-- dump date 20171216_103942 -- class Genbank::CDS -- table cds_translation -- id translation AOR_1_1220184 MARRGRPPRYANRDERNAERRRRRREQGASQIPPPPEAQLHHRF LTWITTEEGDIHPDVVESRDIFQDLEQLVLDGGEFAEGAGDAEGEDDMDIDGDMGDVP DSDVEMGDVERVSRRSAEGTPHEDPSAAGSPSLSGPAGRFPNTAEAEGQPVSTQPTAE TATHWSHGPRVASPAVPLPPEDSARQEVLAEHLVKQLIRHRGCGHSQEEPGPIPEDQA GPRSPPAVPTVRLSEVVQEEYPDVLSRDGIAAYRPAWDEYFPVDRRRRLFSGVETIHA EDGGPGELQGIQPERTPPPQLDLEQDSDTAGRTFQVHFDIDSAGGFASSLAVARQGLY WVGVRPPVSNLTGSLHLDGVPVQFQDGDSGRWRRDRRPVHRIPHLPLGRVCGFEAVEI YILFPRLYHPTRKSFVITKDEYTLWMDEVFLPALHATYSESILQNLPVSAADAQARAT AASAEQPMEHDKRPRVQLLQYGLRPELLDSLWQGVCARIEGQGLAQFRGMKLLLTCKN LKSRTQGPSWAAAQARFFAIWSEAVDVDFLTDDFYDIGREAILPQSRPAGEAGPPATF LSWRRCCLEGFSHWLEEVAATVEGGALSTAPDPIGVTTGPSQRAVRPTTRQQARPQGP PVSDHESDGDVEYTPAEADREGTGAVGEGPRPAKVRRRWRQEMYPFSLTRAMATLTIE PTRRSGLRKLGYLYSQHYNSGKALFAAGNHYVFSNPALDTLALDEGLIRAWQHVGRAV SHSPMALLRAYRYTKHRCHMALADSGHRAYGVREEYRVSGGLLRAVDRRMWAQGLAGQ ELHVPQASADPSTDPAPPHLPFFCHRTDLMLRWLRWNINKFCLGFEMVYGLRPRTMVQ WEHTRVMMMFLRCLLFAFGGQGAHPRRCNGLWLDRRVRPAADGSERERIDEGLGMEQA LEQYGYAWLPDHKIDWASMTFRPPHRAHMSLNTPTLLAAYHSHYRAVLSTHSDFLLFH DVFARMYSLREDRPRSALLLQLLVDLCLRAFRKDVFAVLAERSGTTQPVHRRQMEAAL AGEVPLTVLGLRRVFQRRPLGDDFRFVESRSAKVHHIEVLFAWLWGWQGDGNNGDCNR THWEKKPYRTYMRQCFDTIAQVYGLRQAREWRTTLQRTFIRTHWVLPYPSDRSFWSRA TGTPGGNRFQTWLSVHPGLVEYYRATEPTDPAVRQSVVITPQDVPYLPVSGWQRAQSP MPLDIALPPIPTDLDAYLAAVADPAGPDTDLDIPLPAIGVLDGPIQQYILDHTPEKRI LRSYQREETTAVSSIHSDSKWLIAHLENQVRVLRDAYLQRQRDLSIPFWRRVDSESPQ YLFTAPAKVLEEDSDSENIRNKRTRAEKKHCRYEKDLRDTHRLVQEFMDLRQEMKIIK KTIPGAVSPAESKQELVKKHKVVHERANQIRKKLVVISKRVIEEPVEL AOR_1_1222184 MDDIEADSAYGSGVEDEPPSSPPTSPMRNGDPASRIPWSQTPSR KTFQEQVTWMVSQLMVRGTHTPMETLQDWRTYGLRIHYNTTTPGHVTWMHPDRLLYKH MQFTMGDFRGFVHGLTGVTRQILCQELMFGKTPAIPWHALYDDPTQGAVGWSFLRDQR TAWPVEGAQWIMERVRAEPDVQAHFIHRRQPTQFQPRLVMQYLQRVARFKEKLAVLVH VVGGQPSRAPELLSLQYINTETNQRRNIFIEDGMVTLVSAYHKGFYASNDVKVISRYV PREVGELIIWYLWLVLPFVQQLEAWHRCPAGESSEPPSGPTIPPTTSPSKPPPASTSP YIWGPDPGTQREWKSERFREVLKRETKMRLQYAVNIQAYREIAIGISRRWMRPSSAFP SNVQEEKAPEVPPECDMDEDQWMEAIADEQATHSPHTAGMIYARDIMEQPGTTARRRA MFRLSSTDWHRFLGFAADGAPVEAAGKRKRSPWEDEAEQGRVMRRHRLNTMDMTSALQ QMTGQAAIQFRGVQAPALRAIQDGESPVVAVMPTGGGKSMLFMLPTFAEPSGTTIVVV PLISLRGDMMRRCQGLGIACVSWESRRPPDDATIVLVTPESAVTDDFHTFINRLQQTR RLDRIVIDECHVVLHDQRDFRPELRQLGRLNHARTQMVLLTATLPPTLEPSLLQRMEY QTDQRVPGGLMWFTRAPVLAFIRERIQRAGRGKVIIYANAIRQVRELAQILQCEAYYS QQIDKPSILQRFTQGQTQVITATSALGMGVDIPDIRCIIHIGIPRTLLDYAQESGRAG RDGQPSEAIIIQPDGPVEGDEPVQDYMDVVPGVGCRRYVLDGYLDGPVDGYERRYCRD ENAEEMRCNGCNPNWQLPSSSGCSAHADCTDHSDRAHRAHRAGHSDNRGPRANPRPST PEDSRDSPRNGSIVSQAPLVPIGERQRQRVQAQRQAAPDIQQRIQDAEQWLDEELSGQ PGGAGLDVVYTIPEDPVSAVQRMLGVWNAAEYVPPMENTGLDELRISRCDNSDGGSNV VRAVGVPGTAIVGAPVARIWGRLAGFTAGETVFWTGHSRGQGPAQSFICVVLLVAADI Y AOR_1_1224184 MEHPIFQKLNGLPVIICKKCQHGKHAEAVQIQQIVQQWEDIAPR AEDVEIPHQVDQAFPELPTYPDGLLCRRGYPGCQYIGRSLKTMRNHWRTVHGWSQHAR GGRTTREQRQSQEAELRRSYMIVTCQQIFPSRRGSHYIHIRGGQQKEPYIPVRTEQVN QAIQQVTAAWEAMKTQTGPSGTGEIHDANSWLRVTGWTRYLQEFTTPSDFDALRAMVA TPLPDADDPVEQGVWRMWVAMEGVVRKSQWTVQHTGQAIRIEAVRSAKGQTPYRPLLA YMDPDSVVKHMQPWQQILAFIARTQVPRTEKHPPYGMTPRQRKKWRQLWQMATAHSPT AENDKEDSGEDDPEPPQWRMSDMERACLEFCIELMNQTYHAQEYESVLICAMAVLGRG EFGWRDPESYPPILSRVIKIARFMIVQKALWLDPDVMAIIET AOR_1_1226184 MDLFMYNETYCLWICTSCHYAVTPQHLDRHLRTHHRQHPSVKTA ELRQAVLTEMLKKPWIEPRKEPSRFPSPDSPPIPHLPIYSGLRCPRCSYIGRSSNTLR NHLSHSHPDTRRPRGRDCHQKPDLAATAEYVSCQRFYIAGTASHFFAVMPASQTERIQ KAAQMSKAEFIQSQINQAYEQDQQAQAIQQQSIPIEKHSSEVSPWMELTRWPEYLQGQ NLVSVAPLGSMPDSEKEPLLAVFVQSVERLIHRAYQTIASHRINEFDQIQINTFFRRP GVWNRPIQIHLRPSTYRQYRQVWQRLICFAYRSSRPDQPIVLRHQLTTAQLAALDQME EYGTRLLDQPADSRSEARYLTQTLEDQLDEACLALSIALLDHSLKGDLFESTVVGFLA ILGINTDCSNFRDPNYYTTYLSALVKIAQMLVAERAVEMADHGEVGHPADALDEMRER FLLYGVRAPFGWITRLRTYGKKIQNTTTSLGYIYWSDDEQTLSYKELQLSMKGFRQFT ATQVQLAQDELEQLFLLHPEEIREEMIPSLPLRELQDDPTNNQRGWNFLHDPRNQATL SQAMFTTHERWLLDRVLTLDWLREEFLDVRQSDSQVIWQKPHVDHYLKQVEAFLQRLL LLIHITGGQPGRATELLSLRHSNTVHGRHRNIFIEHGLVSTVTTYHKGYSISNTTKII HRYLPKPVSELVVYYLWLILPFCQALQRQAFQDTSIPSAFLWPSGEGCWDSSRLRMVL QREAKTHLQTKMNITSYRHAAIAISRVHLQCGGFKRDYGADDTTVDHQASHGSWVAGT VYARGLQEAPGHIEARRRQYRTISRKWHSFLGFETYLGPRKRSAENTDELPRKRQYIM LEMDRD AOR_1_1228184 MNAHQSSTTSCHSRSDSNLRKRVSKACDRCRLKKSKCDGTTPCG RCRADNSICFFGERKRTPNYGRLYPKGYVEMLEQQQTWLVYGLQKLYQLSRKRERPQN SPVKCGQDGHPLTHDLLTQLGVLDHMKESYFEENIEALQQKLWDQSAGSFQNLDLSNR SSKSTQTPPTDSCSGDACVPFELPPMPPSFISQASTIKLQPQTLPQNPLYIEPVWLQG EGSPTTSHGLSQLSNSDFDAFDEIYRMEPSQIINHKFDNPMLSPVFHCQIPASCVTMP FFMDAKSEHDDFYQYLDLNSTTSPI AOR_1_1230184 MENPANDPLNSGAPTECGEYDKDADTEKETLTVPEIRGAASAET LIVPGTSNAVSTETIASPNCSDIISTPKNDPGWGMTDHVISTREREEAAGYKPRQLGI TWQNLTVEVPSAETAVNENVISQFNIPRVIGDFLRKPPLKSILQGSHGCVKPGEMLLV LGRPGSGCTTLLKLLANMRRGYYNITGDIRFGSMSSDEAQKYRGQIVMNTEEELFYPR LTVGQTMDFAARLKIPFHLPDGTKSNADYTAETTKFLLEAMKISHTVDTKVGNEYVRG VSGGERKRVSIIECMATRGSIYTWDNSTRGLDASTALEWAKALRAMTDVLGLSTVVTL YQAGNGIYNLFDKVLVLDEGRQIYYGPAAAAKRFMQDLGFVYTDGANVGDFLTGVTVP TERQIRPGYESRFPQNADAILAEYKNSPVYQHMVAEYDYPNSDIAHQRTEDFKESIAW EKSKYLPKNSPLTISFWSQVEACTIRQYQILWGDKSTFLAKQILSTIMALIAGSCFYD SPPTSLGLFTKGGAVFFALLYNCIVAMSEVTESFKGRPVLLKHKSFAMYHPAAFCLAQ IAADFPVLLIQCSVFSIVIYWMSGLRHTAAAFWTFWVILFTVTLCITALFRCIGAGFS TFEAASKVSGTAVKAIVMYAGYMIPKGQVKNWFLELYYTNPFAYAFQAALTNEFHDET IPCVGNNLVPNGPGYENVPSGHKACTGVGGAALGADFVTGDQYLTSLHYKHSQLWRNY GIVWAWWAFFAGLTMICTSFWSDGGNSASLYIPREKVKHGHKSDVEAQNEKNPNRGAG SRVSGPEDEHLTRNTSIFTWKDLTYTVKTPAGDRVLLDQVCGWVKPGMLGALMGSSGA GKTTLLDVLAQRKTDGTIKGSIMVDGRPLPVSFQRMAGYCEQLDVHEPYATVREALEF SALLRQSRDIPEDEKLKYVDTIIDLLELHDLENTLIGTVGKGLSIEQRKRVTIGVELA SKPSILIFLDEPTSGLDGQSAYNTVRFLRKLADAGQAILVTIHQPSAQLFEQFDTLLL LARGGKTVYFGDIGENAATIKYYFGKNGIECPPESNPADFMIDVVTGSLEAAKDKDWH QIWLDSDEHTRMMINLDNMIAEAASKPSGTHDDGYEFSMPLWEQIKIVTKRMNVSLFR NTNYINNKASLHVISALLNGFSFWRVSPNLSALHLKMFTIFHFVFVAPGCINQLQPLF LQRRDIYDAREKKSKMYSWFAFTTALIVSEFPYLIICAVLYFLCWYYCVRLFPHDSNR SGAMFWIMMWFEFVYTGIGQFIAAYAPNAVFAALVNPLIISILLLFCGVFVPYTQLNV FWKYWLYYLNPFNYVVGGMLTFGIWDARVTCNEDEFAVFDPLNGTCGEYLATFMAGNG QRINLTNPDATSQCKVCEFRTGSDFLATLNINHYYVGWRNGAITVLYAISGYALVYGL MKLRTKASKKAE AOR_1_1234184 MPQESSDETQRVSLNTLRTETRETLQASRVTENSPPLGVNYPEL GRPAEPLFPEEYTVETQTGLVPVRTLESIRSTAIGAPRPSTPNVEKASKPYEFVTFQI NDPANPFNWSRLYRWYITMVVSALVVCIAYGSSIVTGGLGLIEEKYHVSLEVATLTCS IMVCGFAVGPLLWSPLSEIIGRQPVYIISLALYTIFQIPCALSPNIGGLLACRFLCGV FSSSGLSLAGGTIADIWNIEERGMAIAYFAAAPYCGPVIGPIVCGWINVGSHRLDLFF WTNMAFAGAMTIIVGLIPETYTPVILKRRAKNLRQETGNLNIITEQEQAKPSFRDIVR ISLIRPITMILTEPVLDLMCMYIVLIYAYLYAYFFAYPVVFGKLYGYNDGQIGLMFIP ILIGAGFSLLITPMIEKRFKQVCRTRAPTPEDRLVGALLGAPFIPIAMFILGATSFKH IIWVGPASSGIAFGFGMVLCYYSVNNYIIDSYQKYAASALAAKVFLRSGGGAAFPLFT TQMYDRLGLQWASWLLAFIGVAMVLVPYGFYWFGERIRAKLSHT AOR_1_10184 MFGMTPTQAAPGGRIIKVGIIGCGMVTQVVHVPSLNSLSHLFQV TYLCDVSEDAMKHSQLKVAVLALQANKYVFIEKPIALTLQDTDRIIAADEAAGGARVF IGYMRRYAAAFVDAVKEVGSIEQIRYARVRDIIGPNSVFVAQSGTYPKTFNDYREADT EALRTKTLDDMEQALQAELGIAVTKETHMMWEMLSILGSHDLSAMREIMGMPKGVIGF SPCATANDDSAIFQYPNFAVAYESGVDQVARFDASIEIFGDTKTVKVCIDSPFIKGLP TTMVVKETLRDGSYMESTTRRTYEDPFMLELKEVYQWVAEGKIPKTTPSDARQDLEIL GMLMKAATAWKI AOR_1_12184 MAEQLGSTRGAQRHRALRACEPCKHRKKRCDGTEPCTTCIRYRH KCYFSLESHVRLKRALSQTSRPEQTTHRSNPASSGTGPTLKRHAEGKSRQLGRSREAI SREALSYASSSKSLADRLQAHLDDEQPDQMMQSAPLLGPSADNDASATLTSALSLDHM QQFASTYFESVHPVYSFMRPTLVHDMITARSSSTGGPQPRDAILFGIAALGALFSDDV DATQEKRHMALARNAQACLDAEISRISTPSFDLISALVLKTLYLRSTARTYATWITSC TTMHSVAMMETDIEDLDSVAKCRGLFCEPRRTLWIARLLNTWIANQCGQSPIKTAFDT LIVPPTPSDPVSATPEEQMIYLYHISEELSPDNHPTYGEIELGIIKLAALNSQQCHDA VLLSRTIMALSFHRVLRSSETADIDRQTFARLVEIGLDGLQAAKRLAQQQKPWWHLAN VPFQFLCAMLVIDTASSFAQIPTALQVFEDVARAIPSSTITEALKLATKLIGLSKLQK TEQLQYLDQCSESLHQHTFRSEATSDEDAQIQPPVAGLSVPREIFNMEELGGFSSFDW SFLPNMDIPIFDYDISNPIIESQ AOR_1_1236184 FGKTNIADAPPYIVVNFDGDCDPLNPQNWSYGYKWMVTGAVSLT SFIGTGASAIDSEIVPQLMESFGVGEEVALLGTALFMIALGLGSLISAPFSEILGRNP VYIVSLIIFGLFTMGAALAPGIGSWLACRFSAGLFSWPPLTNFGGTIADVWSPIERTY VFPVLLCLCFLGPFLAPMVAAFIGGSSVVNWQWTEWLTLILTGALVVTFLLFVPETFP PVLLSWKATSLRKVTGNQLYLAEHEVDAIPLYRRLQRSIKLPLKLLLTEPIIQLFSLY MTVIYTVLFGFLPGYGFIFGAQGIYGLDQAHTGLCFIGINVGFLLSICTVWPVYVRFK RKLFEARKEGKHKVVPEERLTHAIIGAPILPISIFWMGWSSWPSVSLWSPLAASVAFG FAVMQLYISCYQYIIDSYEIYAASALVGMTLTRYCVAGPMVTVSVPMYENLGVHWTLT LLGCIATLLAPVPYVFKYYGAIARKRSKGATSFE AOR_1_1238184 MAFQKTNLSARGEVFAEPKISLGVAENTLMHDEITEHMNKNVSD FSLRTSHVLIARKVYRRQPFFDLRRRLFRLPSPSRYDCAIHYSLFQPRQPSYQNQLLV TSGVGQAIELSGFCLLDKDDGVLLARPHYGNFPIDLGYRVGAKIIGVSFGEMDPFGPE TVGIYEKALADAQRQGIRVKAVLLCNPQNPLGRCYTREVLEAYMRFCQKQNLHLIADE IYALSTWKNPDFPNAPSFTSVLSVKKDGLIDPSLVHALWGMSKDFGSNGIRLGCVISQ DNVNFLRAAEANSYFSCPSSLSDLATSRILSDDAWVQSFIQTNRQRLAENYTITIRFL ESHQIPYKKGGNVGFFVWVDLFDPIRRQVNATLKKQAEANVSSENAARALETKLQEKL LKHRIFLALGADFGGDVPGWYRIVFAHEKEYLRLGLNRMINALGVFREELDTGLA AOR_1_16184 MMHSPLTPEFDALVHVLLKKWHVPGMSIAVIDGFRTFSKGYGIA EYPDTKTTPDTLFYMASTTKAFTAAAMSLVINDINNHHGENSTRMATTADQIHWRTPL ASIIREDFVLEDKYTTAQITIEDALSHRSGIPDHIRHYGGTGASPGSIKDAVRILRYL PATAELRTKYMYNNLMYTAVSHAIETLTGENLGTFLLQRVWTPLHMDSTYWTRDDAQA GDNILAQGYTWNANKSEYTPEPCPDIIGGSGAGAMISSVVDYAQWIRCMITCSGPLSK NAHAALIQPRTIIAEDPTNMFPGTHLYALGWTRDNYHGEDIIWHDGSVAGYGCTMMYL PRRQWGLVMAGNTTLTSNIVQVVLYMHLLDQVLGIPSHDRVDWQQQISERIATWREKQ AHAKDLLYPRLPVVPIPPTLGVWEYAGLYEHPGYGRLELRVDIDGFGLDADRLTCEVP IVILMEHVSGEFWLASLRERNQDPRDHERVRAEFRIGVKGLVSEVGIDLEPEMNGEKI WFQRIDLDI AOR_1_18184 MDVLPAYPNNHGVDKKEDEFDADAEKRDIMAGQVQDAFGSEEDA EIKYKTLTWWQCGLLMICESVSLGVLSLPAAVATLGFVPGVILIIGLGLLALYTGYNI GLFRQRYPHIQSLADAGEILLGRFGRELFGLGQFLFCIFVMGSHILTFRVMMNTITEH GTCSIVFSVVGMLISMVLSIPRTMKGMTWVSFASFLSIFGAVMITMISVGVQDHPNRV IHATVETNLYTGFQAVSNIVFAYCAHVAFFGLIAEMENPKDFNKSLLMLQSFEICLYL TAAVVIYYYVGTDVASPALTSAGPVMKKVAYGIAIPTIVGAGVVNGHIGLKYIYFRAC RKSDLLHSRSWKSVSIWLALGLTCWVVAWIISEGIPVFSNLNSLISALFASWFSYGLS GIYWLHLNYGQWLSSPKKILLTILNIGIALIGLILCVLGLYASGTAIHNDTNSSVFTC ANTDT AOR_1_20184 MMTKLWMIFGCMAAAFSTATSTKLLQEQNVPLSLALEIAQDAVQ DCAKKQYSVSAAVVDREGVLRALLRADNAAIHTPEAARRKAYTAASSRTATSTMVKNI QNPGAAQLAAVDDFLILAGGVPIKVGNETIGAVGVGGAPSGDFDEACAMVALQQVADK LL AOR_1_22184 MAPYNTNDNSAIRSDGAEESPVIGGIAINARRNCSARPSDHAVD TEFLIVGAGPAGAALACFLGSHGLKGIMISSAPGTANTPRAHITNMAALECLRDIGLY DELEKLGSTGADHMQHTRWCHSMAGEEYARTHSWGNDPRRKGDYELASPCEPFDLPQT ILEPVLVRHAALKGFKCRFDFTLVSLFSDSKTGLITASIHDKMTDKEYQIQTRYLFGA DGARSEVVKQLNLPLVVQPGQGMAINVLVKADLSHLVKNRTGNLHWVMQPDREHPDFG WMGIVRMVKPWNEWMFILFPDRNYDRSQGKPSKDAYQKRVQEFIGDDTPAEILDISTW YINEIVAEKYSEGNIFCLGDAVHRHPPLNGLGSNTCIQDAFNLAWKVAYVHKGLASPS LLSTYSIERQPVGHSIITRANQAYRDHFLVWKALGMLPTDLSARKEILEELKSATPEG SNRRRALHAAIKHTSHEFHGLGVEMNQHYDGQGVYTADEPNPYAPSRRAAEDSILFHE PNTYPGSRLPHVWLNKAIPGEPVSTVDIAGHGSFVLLSGIGGGRWKKAAENVAETLKV PIQVHYIGFRQDWEDVYFEWENLRGVEESGAVLVRPDRFVAWRAPEVLKDTEACESKL LTVMRSILGFLDV AOR_1_24184 MGPNWAHLVRFIGEEDGQTHLGEVDPNKYPDVGIAILNGERVAV KLIKGSIFDGRVTDTTMHIARLLAPIGIEEVPIIRCMGLNYRDHAKEANMPIPDVPVV FIKPRTALNGPHPAKINVPKIAQDGSSDYEAELSIILSKTGRDIPESEAMEYVLGYTC SNDVSARTQQFKNSQWSFSKGLDGSCPLGPVLVSPSAIGTPHNLQIRAIHNGNVVQDS NTREMIFDIAKTIAFLSQGTTLEKGTIIMTGTGPGIGAMRNPKIVLKHGDDMRVEIEK IGTLINEVYYE AOR_1_26184 MTASSNESRINLTRIAHVFYTHREIDKAHHFLLDFGFREVKRVG NDIYYRGTSSEPFVYCARQGDQDGFGGAAFVVESESDLFAATKLPGATGIYDLGNAPG GGRCVTFHDPIDKFPFHLVYGQEAHADEKVLPQLDYNFPTDKHRPGNKTQRFKKGPAP VHKLGHFGMCVTNFAQAFEFYTTRFNFKASDLIHDSTGRDITTFLHLDRGSELVDHHC FFFFEGPRSHVHHSSFETHDFDTQVLGHDWLRSKGYENCWGVGRHIMGSQIFDYWFDT SRFIIEHYVDGDLVNDQHQTNRSLATPDNLHVWGPDLPPTFLQ AOR_1_28184 MKPGPKIGSSQKKRKRLRQNETAQRRPMNSHSRPPPGPENGRRD SSFDALNDQLEKEELVLAVPADITETTGIEARGSSQQRTSQLNTLDLAFILHPSHEVT TPSQKQSPSSPGGQQTDLCRQACTELGVSQASMNKIIRVFFDNMVAIDIFHEPSFAEK LSNIPSFCQLTALLAAIAGYATRFGALRTNDGASDAVQLAAKSHRQPAYFIDLAFKYI NEALAECDDEMPPLCVIQALILATHCRLTQGVRGKAWRSLGLCVSLIYETNLHLLDSR KVIQTEDPHHWQQDEEKRRAFWAIWEMDVFASTIRRTPTTINWNQMEIWLPVDNAHWF SGHPASSCFMETDINKRWKALQDSGNQSSKAWFLVINSLMKNAQIACDPLGVPAISNQ GYHQQMPNSQISTADSAMEARQKLETLANAVRCFTWALPSHLQYRDQYLAFGAPVQGE YDAFRSQNRPTETSGRHPSGDSAGRNTFGLLETENEARRQYYEAADRILGIVNRSCED HFQHINPFLPSTIWLASAVQLVRKHFARAQSNRDLVKSRFDVLYLTYKRCVQFWDIQT ALQKNLELIEEQLDARNKKLEVRACPTSHEASNQTSEDTGMINQSASDQDGHNVERGL NFDRTARQASQYIPETPSVPLTSSTPIDVRLDITAQNHAQKHLNQVPEEYMVPPNSHF LDTIYQLDQALDWPTFDFPGGIYDLLSG AOR_1_30184 MATPLSSSTKHHDSPTDAGPPTTLPPPANPSSDPEAQNYSTFAF PYDPSSPGGVPPPRKQGGGGNLSLVVFGLVIVGIVLLFALS AOR_1_32184 MLSRRLGIARLYARRSAPATTSLRWNAVRPPVNCATCTAKRSLA GQAHLKEKLPSTEKSRERFREFSLAGKVFAVTGGARGLGLSMAEALVEAGGQVYCLDR LPEPDGEFRAAEARANPDFGGSLHYRCMDVTDDANTEAVIADIGAQQNRLDGLIAAAG INHVASAIDHRPKNVDDVIHINYTGVFRSAVSAAKVMLDRKCHGSILLVASMSGIVAN KGMASAIYNSSKAAVIQLTRSLAMEWSEAKEDGTGGIRVNCLCPGHIETPMAKMVMEK DPDTRALWESENMMKRLARPEEFRGITLLLMSDASSFMTGSTVVVDGGHTAW AOR_1_34184 MEQTGIEGQALAAWENHARSWDSTMGDDGNDYFSVLELPALKRM ISGQKRNRALDLATGNGLVARWLAEEGFSVVATDGARAMLEHAKARTTLWYEEGRLDK ERKISFELLDVTNKDHWAQFTSSDNLLKDGFDVVVMNMGIMDVHDLEPLAASLTSLLK QDGCFVATVLHPLFFTSGARRQITVHEDPATGQRIIDRSILLSQYQNVAPARQLLFSN DSEHKPPLSFHRSFQDLFAPFFRAGLILDALEEVNFDDTFCEPSREYAARNFTEFPKI LAFRLRLGPMHRS AOR_1_36184 MAPHEGLVHPKEYDIKDSNVELIGSDLDHRVKYNSAATEPAWNN GQIGQEAGLWIWRIENFEVVPWPKNRAGEFYDGDSYIVLHSYKVGDEKLGHDIFFWLG SKTTQDEAGTAAYKTVELDEFLHGAATQHREIQQQPSEDFVSLFRRITIRSGGVASGF NHVEEEEPKEVTTLLRVFKHPGAGRIDSIIVYEVEPTWQSLDDKDVFVLDKGDKIWVW QGKTCSPMEKAKAAQVVNDMTLAKHVDVEVLSQLESRSKIFVDLLGGKEVDQLSFQAP RPVSFSKRSHDESGASRPSKLFRLSDASGTPSFNLAKDGAPVRRSDLDGNDVFLYDVG SRLWVWQGSGASEREKALWLKVAQAYVRHLQQDQNDSDAYLTPISKVVEGYESPAFLK WIEV AOR_1_38184 MASFKPRNILLFGATGNIGTYILQAILTARDEFDRIAIFTSQAT AASKKDYLDDLKRTKNVEVLVGDVQDQDAVRKAYHGIDTVISALGRGAIASQIPLIRL ADASPTVKWFLPSEYGTDIKYSPASAQEKPHQQKLKVRAFLENESPNEGVVSDLAYTY VVTGPYSDMYVHYAGNPIAGGWDVKAKKATLLGEDGNAKVSLTTMKDVGTLVLATLRH PSVAFNRALKVNSFTTTPAEIHAEFVRQTGGQPWTNVQYTPLSQLREAESEAWQAGKP EATVLTLRRIWTEGGTLYDQRDNALIGEPPVQTLEDVVAQEVRKSS AOR_1_40184 MFSFLKNTLVPLLLLSQAGPGAAASPAYPTAPELSFLYTAYVKC EGTLMESRGPHGIRKAIPIVGGNFTGPHLSGEILDVGADWGLTDPQTSVFSADTRYNL RTHDGENIFIQTSGPKSPSGQLHLRLVFETGSEKYYWLNNVLAIGVLTNVEKTANSSL LRIDAWNFASDWNATTTSLVGTD AOR_1_42184 MYGKSLILATTLLGTHSRAAVLPRASIDHDAVVGFDQTVPSGTT GEVYLAYQPDLYVVNGCVPFPAVDAEGNTNAGLEPTGDSSGSCSSSTGQIYVRGGISG DYYALMYSWYFPKDEPSTGLGHRHDWEGVIVWLSDSTSTSADNIVAVCPSAHGGWDCS TDGYTLDGTTPLIQYYSVWPVNHQCGLTTTVGGTQPLIAWESLPTAASTALEDTDFGD ANVPFKDANFSSNLEKATF AOR_1_1240184 MQAEMLASDDSPARKMRKVTRACDACKRKKKACTGNLPCRPCLK RQEVCTYNSTYNRGVAVSPPPSSHTIQQHHAPLRGTTDSNSQDSRLSFASPVTYTSPD TTRPATYHDATTSVISPPSKTNTRYHDHEPEIQRTEVADRYWGPTSAHSFLDRAALGL PTPPSNAIRASDDPKTSVTEFQWPDLETAEALVHRYFEFASPTHRVLHQQTVENMVQR LYQRGRPDGEQISGVTAVCQAILLMVFSTATMFKMDAKGGMTDADENGWQTSEMYFTK ADHLLSKESGAPRLESVQVRFLMVLYLLSSSRAHRAWFTLGTTIQLIMALGLHSRRRN RETAEVSLIQQECQRRVVWCSYTLDKYLSLMLGTPRLWHDDDLDERLPARANDEDISP DRILPPTRDCVMDAAIFHALLARVLSQAAKEPYVVAGITDREEINTIRVLCERVAEWQ AELPPFLSGVIHSSSLIPLFKRQLTVLQLARYHALMFITRPLLLRNYGQIWTDREASY VQYLRVCLTAAKDTVELITTFARDNQLFPAFWYSQYIAFNALSIIYIYLIQVQSNRIL PLSQTNVATEGGLNDGFGFDESTLYVLAETAQYHLTHATARNAPSWKYGTILQSLRRE LERLGAPCDPVATNMPPEHQGEPRIQSRNLNDTDLGATIPPSQPEPHYHEPFPIDAQT LPLFDTFTLDNDLILDLWSQLDSLPITMPG AOR_1_46184 MADIDSKSSSSHVESAVKPLSPEVIQFEGLSDEEALKLERRLVR KIDLHLIPALFLLFIFNILDRSNIANARLGGLQEDLGLSDTQYQTAVALMFVGYLLGQ VPSNIILTRVKPSRYIPAAIFVWGGISICMAATKNYAGILCVRIFLGFAESPFFPGAL LLMSSWYKASELAVRVAIVYCGNTVANGFGGMLAAGILSGLDGKGGLAGWRWLFIIEG AGTMVAGMLAVVLLPDFPRSGQRKWLTEQEQRFAEWRLAVATNNEVDENGSIKQGLWD AVTDPKVWVLVLIQICQLTSQTWTYFFPSIVETLGFGKIVTLLITAPVYVFGFISALG NSFIANRTNYRAILIVWPLCIDIVGNVMVISSQATAVRYIGMFLMCMGSYSAFNVVQA WIASTIPRTRTKRAIVYAMVNFFGNSSNIYGSYFFPTKDSPQYRPGGIILSSFAAGGV CFSILLAVYLHWLNTNARKAEEDDGQIRYKYIF AOR_1_48184 MSLSTHFTLNTGAKIPAVGFGTWQAKPLEVENAVEVALKQGYRH IDCAAIYRNESEVGNGIRKSGVPREEIFITGKLWNTKHAPEDVEPALDKTLKDLGVNY LDLYLMHWPCAFKSGDKWFPLNEDGVFELADVDYITTYKAMEKLLSTGKVRAIGVSNF NVRRLEELLSQVSVVPAANQIEAHPYLQQPDLLRFCQGKGIIVEAYSPLGNNQTGEPR TVDDPLVYSIAGELSMDPGPLLASWGVQRGTVVLSKSVTPSRIAANLQVKQLPEDAFA RLSSLERHKRFNFPAFWGYDIFEEVGEEAVRKAALEAGPVNKTKFTV AOR_1_50184 MFGQGLFSWFSTSKTESSENAQPTWDPNTLTMTQPQSPAAPTTE NVVAHQPTPTEDMQLQLRGGGGPDDDCCCCCCFCGDCCGPDGPPGGPDGPGGGPPGPG GPGGW AOR_1_52184 MACTAPSLPVLSSPATSEAASASPEVSSPVTSNDEYDEPEREIY TIHDLLLTRANGKTADEPIVAYPSKDIDYVYYTPRQLHDYVEAAAIHYAELVPQRRSS EDPVQVVGLLGPSDSEYLVTLMAISRLGHTVLLLSTRIAEDAYVSLVDATKASFLIAQ DGFKAMADNVSRRTGVTVQPVLRREDYDSSTTGKLVLDETKFDGPSESKNVCWIIHSS GSTGHPKPIYQTHAGALKNYANNFGLKGFITLPLFHAHGISCLFRAIHSQKLIYMYNA KLPLTASYLLSTLQGHPDIQVLYAVPYALKLLSESEQGLESLARMELVMFGGSSCPKP IGDTLVKNGTLLVSHYGTTETGQLMTSFRERSDLDWDYVRPGPSLLPYIRWEERFPGI YELSVLEGWPSKVASNRPDGSYATKDLFEKHPTKPNAWRYYARLDDTLVLENGEKANP LIIEGVARNHPDVGEAIAFGANKDRLGLFLVRAANALSKTDEEIIDAVFPAIEKCNAD SPSYAHISRDMIQVLPSDTVYRATDKGTVIRSAFYRDFNEQIEQVYELGDATGDRVLE GTELNMFLRESLLEVAPTINSAVLDDTTDVFSLGVDSLQSIRLRKIITKTLNVGGQRL SQNFVFEHPSIQRMADEITRLRLGLDADKEIPIEEQMSQLIDKYSNNFKAHIPVRQTV NGERIAVTGATGSLGAHLVAQLVQMEQVHTVFCLVRANSAHDALRRVRQSLYDRGLLY SLSPPDERKIVALPAQLSNTSRLGLDEPTYKQLTQSLTAVIHCAWSVNFNWSLGSFED SCIAATRNLLDLCLDAQAPMPARFSFCSSVSTVARTPGHWVPEELPESLSYAQGMGYA QSKLVTEHIVNRAAQHTNIAARVLRVGQIVADTVHGIWNATEAIPMILQTAKTIKALP ELDDILSWTPVDVIATSVVELTLGTNVANIVNLTNPTLSHWTRDLLPFLKTAGLEFEQ LPQREWLNRLRQSNPDPAANPPIKLIEFFASKYDNDRPSRVLLYDTKKAQAGAPALRQ AGGLNAQFVSRFMAHFQNQCWSNKDTTSISKKSREVIFLAGPCGCGKSTAAQALAQRF SILIIEGDDLHSPASRQRMANNIPLTDSDRWDWLAHIRGAVMDRLQHSAAPAVVVTCS ALRTIYRDELRRLSRLFDFPVNVTFLMLSIKDRAQLKDRLIARSAKEGHYMSSAMVDS QLDTLESPSGSEGDVILLDSDEPMEKMLEGVQDVVQGLLDV AOR_1_54184 MSAPDIVDEKKHDAQHVESVRSLITIDNIQVLGLSPEDAQFYTD FSAEQKKAVLRKVDIRLVPMLAVLYLISQIDRANIGNAKIEGLTEDLRLSGIQYNIVL SIFFVPYVLLEVPSNILLKSFFRPSIYLGILITCWGIVMTLTGIVQNFAGLLITRLLL GAFEAGFYPAAIYLCTFWYMPKDLAYRVSIFYCASALSGAFSGLLAAGIAQMHGIGGQ EGWRWIFLLEGLATEGGDFKEQRKRVSWEELKTVLSNWRLYMLSYILLCQSAAAYGLK FTMPTITKAMGFTNTNAQLMVAPPYIAAAISAICSSKLSDHFYWRMPFAAIPLTIVTI GYSIVISFHGQLNENIGPTYFAMILVCIGLYPTHPATTSWLANNLAPSGRRAIGLALS ICIGNTGGVIGSYMYMDKEAPTYYTGFGLSLAFGGSGLIVALLLELSYIYANRRKAKE SEAEIRERYSDDELLAMGDKSPLFKYTL AOR_1_56184 MKKLFSRFKHSMRIPASTSDPTPAATAGQVGSMQTPARGKLQKR VDCDILHRNAPVEDLPPEVRHHLLSMLELETLWALVHASPVYHQQYLSARRRLLCSGL ETTLRSATSDACAVYQSRLVDFSHSRTKEKVNMFLQSYQDQRDTSLHSVPSEMANVDE LVDVAAFYFSIVKPLAQYYTSWTLGNLAIETDKPRSDEPLSKMEEARLIRALYRFQLC CNLLGVGLHKSSQIQRLSFDSVEIGTIFLDLFEPWEVEEISCIYTFAKERYNQIFNDI RWNVHEENPKFEGQRPPTPEGAFDLDNSWTRDSLLKGTISCGLDLLHMVIFEIKDHTH LVTTMQQHISWPTGNFLEGEALGESAQFQRRQEHPSNWDLRQERRDPLPFQGDEVPDP NGVHPPLAWTLIWRGSYSNLYGYYVQDIIRRWGYVMWHATRLDYTGAKELLARQWKAD WGDTDPRDNLL AOR_1_58184 MKWSALVPLSVSALAVLPSTGAWEFTWRDASNTRHVESGHGPSK CITVDHKKGMVFSIDAQGEKNINMLLYGTDDCSGKAVGQATERFSKASSVDIHGFQVE SLSTGSNATTTAANATVTSTRLTQSSSTANSESSDVPTTSATTTSDTAATTTSASETS TSTPNASLRLSAAGSDMAKTVIGMVLGLATVEWLC AOR_1_60184 MTPNNGTIFLQCVKQLNGFKSANIVDGNIAFKCHGVYGNGDLNL HAPSGKKADSCAASFPLSISEEVNMTSCTTELSKNFEEAAPFRAAVDAICHDIVGSWV DKEITHMTHHFTDASSKSDSWLLKNKKVILKTTMNMIPQTRAALNAGKIAKATLDTLC ENGLRDFGTKGKGCTHELHYYKNTIGISHHGIPYDRATTTGVTNGMVDLFVDNIKNII GTIDLSWSKP AOR_1_62184 MRWIIPNLLAALAVSSLFSSVITWPTGDSGLGKGQSVDNDFGDE VGSYDSQPFYGRREITESLPGLSEHPDSETHVGYGAILERVPRPNTDKPNDDADVPDV DQEDLATALEAIHLESHSPSIVSRGQKEEAELSSKFGSRWKGETFYYFIEAKDKNAKN FNANEIKSLAKKGYELIKDKFNFNGNVIVSALFIPEVGVAVGSKPRGTGVVEEILEKS HKTSGKNVFVGTWFERYWAFIDGRDLTHACDSVQQEDLYHAEDLVIIKGADEYLKKMR SDNWRDKKFPRGTHMVSYGKYNSEDKSAGPKEPCGGTEKTKLTIPCKNVAHELNIDWS T AOR_1_64184 MRLLLDLPNEILHHIAGYLALESDLNLLARTNRHFHSAINPLLY HFNAIHRQMSALLWAADHGIVETAQHSLNASFGVSNNIKLGIIYDALSIAVHAGHTSI AKVLLLQEGIDPNFRYRSKEARSWVTFLARAAGAGHVDTVALLLSTKGINPNLGDGMG RPPIAHAGFNNQVPVIEQLLATPGVDMNGKDHHGRTPLAWTVSFGSEAAVSQFLSRQD IDVNAAIATDDLFKKGWTALMFAASRGFAKKVELLLNTPYINVNHQSSSGKTALHWAA QVGSETIVQLLLAKGAYPDPRDSHNRSPLIQSALYGHLSIMELLYEAGANLNTVTSTG STALTSASGEGHTDIVVFLLGTGKVDVAAKGKEDKRNALSVAAEGGHRDIVDLLLKQN GQGFPNERDYMGRSPLSYAIEHGDLETIKLLIRNSSVIDTGETDANGKTLLSYAAQHD DPAILNLLVSATKVTR AOR_1_66184 MRPLCMPPTSGTLARNSSQGPQNSDWIPASIISHDFTSAERLDH DARSLLDRHNVHDAVILTALGGCLQSSIPDWPKKRPSHDQFEKCVQPAISGVVKYDQP ISISPSILVADGNTGSGICSVPGRLLPNLLTGRDMKDLAGSICDTMVTVGQGIVTKDA GAISSALTVRGGHRKGFSITHKHCNLQIIGTVANVAGINVKSELVDLCVHAIKYQAET CLAVLKYGIIRHPVDHVVKPSTTIWRNGKGAIQAIFDIGFAMPDTFH AOR_1_1242184 MVYINHTQLRGPAEITRISHDKLSGTTLGYPAGSSAGSSVTSLC SPSVAASSAGSSEILVGLGISTTTSGLKLDDHQLPITPRPSRGHCVGGNVPACLQNQL DKPLPPLPLRASARDHGLAKHYPLPPRPQGRKHWSLPNKPEAHDLAALDHAFARKGLR SNPLHRLRVHRPRHDLPTAVNTEHTEPTPDRLTSRRWLVRGRTLTRAFQHFRLPRLPR LPQKPSHAIRQSIRPVGEASTQPPLCHTLSRTTGPNGPPIHAHSGAATRMKRILSILL ENRASLCMPDMPEADRERYAGNFIEQAQRLVLDYLQPTLSTAYAGSSGPIVPVPRPRH SIYELGVRTRVLSHPCASSALNVPVPVMRRILQQVDCLEDLFSLARVNRAAYKAFKAH ELPLIQGTLWKVSPPAWKLRQVFVREEMMTALCEPDSEQGAAVDDANWRVWTFCHLFG SRKDREWDLAGQIQWLRGKTCGAVLPLVCCTSPDPSDFNTVLFTPPEGFARGNQGPLS EDQLCDMIEVWTAMASLLDFLRVQTSHARRYGVFSETGITPGDKQQEESMLSAWLDFI LTLGPAAVLELAQSGRRFGPETAFAHANSYGWTHWTPPTFNPRSPDSGFFISAVKALS SPV AOR_1_70184 MPRAPQKWTPEEDKLLCREVHNQLSEGRVRDWRSIADKIPGRTN KDCRKRWHNVLSGGLNKGYWTEEEDKLLTHAVQIHGETWTVVADVVKTRSADQCAKRW KQCLDPQLDRSEWTELENRRLMEACAAKGRRWKEIQMEHFPTRSRNSIKNQHTILTRR YNKLKNLREAQTAASAGTGPEDSSLSPSSCEDSGDTVDSSDDDSGLGSGSTEGSTGLH DPRIADDDVPMNINPTDILPSTTQGIPGHNGFMHGTWDMAGTLSGDPWGLPSVGETDS MGLFLNGMVPELHSIPPDSMHNFGFVDAASGKDCICPSLLSPTEEMPSDYSQGDNFCD DLSVSAMDTEEQTLASLQENVTRGKRCAKIVLTVEEPDNNTVESLVQIAFSSKSRFHF ARE AOR_1_72184 MAAIICSTSLGRIVHWLSGGRLLRFPEEEPGFCLSPAYSTATRV AGSVESDTYDAECEISDQKGPSKWLVPETTTDGMILVDWYSDDDPANPQNWPRWAKIM TYIQINFYTFVVYMSSAAFTPAEVAFQAEYGVSSSVGSLGMALVLLGYGIGPLLFGPL SDKPSIGRNPPYVISFAIFLIVSVLAAVVNNVPGFLFLRFAQGFFGSPCLATGPASFA DITNLVNLPSGLWIWGVCAVSAPTVAPTLASLCVASKGWHWSMWLIVWFAAPCFLLLI FLPETFGPTILYQRARRLRTLTGNEAFRSSSDVNHTGLSQETLYDFLVIPWKINAFDP AILFTTLYTALVYAIFYSFFEAVPLVYQGMFLFAWTSSTAFHWIVPTIGFLLFMIGMP TLLQSMFAYMSVSYGRYAGSLFAMNDFARSVLAFASILWSTPLYVNLGIDKGASLIGA LTVVCVFGIFGLYWFGATLRKRSRFAEYETD AOR_1_1244184 MRARKSGVVANFGSIGGWNGTPAAGFYCASKAAVAIYTESLAAE LLPFNIDVTCVEPGYFRTDFLEGDHKIVASKRIPELDVSTRQTRDGLAAYSLRQPGDP VKGARVLFEALTRTGSCEGRRLSVRLALGKDSLTAIERSLEREQEMLEGWREIIASTD CDDVRGW AOR_1_74184 MPRTWFITGCSSGFGRHLAITAAQNGDKVVATSRDPSKLDDLRS LGVIPTKLDIHNETEIQAVIDHVESTIGPIDILVNNIGYILEGAVEECSNEEITT AOR_1_76184 MSTLMQASRPLARARSKSNTMRPFSIAIPARLANEWMHMPKPRG FLAEKDTTPRQESPYGKKMEFNSLRSVQQTMSEHGHRLDQLEKSMQQLTRMQGVY AOR_1_78184 MDEGKITDHEVMGEKADITHEEVVQVTQLTPEEQAIEKKLRRRI DCLIMPLVILVYLMNYIDRNNYAAAKLQGLMDDLHIDDQQYQTGLSILFVGYILMQVP SNLLLNYMGKPSLYLGFFTTAWGLVSTLTSQVKSYGGIVACRFILGLVEAPFFAGVLF YLSKWYTKKELALRMSIFYSGSLLSGAFGNLIAAGILNGLQGHRGISAWQWLYIIEGA ITMAVGLTVAVLLPDFPDTWKLLSPEMKHVANRRLAIEAAEADVDEAGKMSQVKGLKL AFSDIKTYALALAYMAITGASGFQNFFPTLTKTLGYTETISLLLVAPPYIFMVAYSLA HSYLSDRFGRRFWFFVYPIPITIVGFVVFMTTDGFGPRYFSFFLMIFVFAQNGTVYSW IANSIPRPPAKRAAAYAFINSVGNSASIWTPYTYRDTDYPYYRPAMGTCIGLQVLGLA MAVLMYFHLRSLNKRLDRLEDEEVTLTPKELERLQKTAEIEGIDIAAARRLQKGFRYM I AOR_1_80184 MSHSREGSDSYEWLNPQVNPEQPDTADHRHDQIVEQPSPPSPEL RPDDPLNEHSRPQRVRFQSTNAQESEAPLPVRPSMARFDSDQTLMSVHSINPTSARST DLSDALRAEAEAEPTTLARGRDGSASPPEVPLDYDPERSRFSNDVPNRSPSVGARLKA ASKLIRQKTVRLGERLGRPQDGGEDLGASLLPDDLEGGVPYHEIQERRKPTNETPEEE KQDLAPSAEAHRLVRRVTQSQNALRQRKPKSAYTRSGQTTPEGLGRPESWYNARSRSF SGGGILSQLLKLQAGQTGSTESVTTDSSDSESQVSSGAATPKSGAATPKREKLKWYKK PHHQSTASLVEASMNLSRASLPASADPLAFATPKKRKKKAYGKTRLEDEIRVTVHIAE ILARQRYIMQLCRALMRYGAPTHRLEEYMKMTARVLEVEGQFLYLPGCMIMSFDDPTT RTAEVKLVRMVQGVDLGRLAETHNVYKNVVHDLVGVEEASQELDSIMQRSPRFNKWLL VPAYGLASVAVGPFAFDARPIDMPICFFLGSLVGFMQHVLAPKSVLYSNVFEVSAAVL TSFLARAFGSIKSPFGGEEYLFCFSALAQSSIALILPGFMVLCSSLELQSHQMIAGSI RMVYAIIYSLFLGYGITVGTTIYGLLDGAANSQTTCKRLDVWGSEYIQHFVFVPVYVV FLAIINQAKWKQVPTMIVIAICGYVTNYFSTKKLGSNSEVANTVGAFTVGLLGNLYSR LWHGHAATAILPGIFVLVPSGLASSGSLMAGITYANEVRENLAKSNSSDTLNSASQDT SIASLGFGMIQVAIGITVGLFIAALVVYPFGKRRSGLFSF AOR_1_82184 MDDDDDLWAYHPKYSSVASAEPPCMPLEYEQWFDANIAQGNNGL ETNFQLGPLASPTNVPNISIASTSVKYQSRTLSAYCPPEYTLVTRTSREWASPIQGGT PVAYWTHESTGWARETTAFADVTNVHAYPVVGWNINRPGIGPATYATATATGTSSFDA ASSTSTHAPIPANNGISAGAKVALGVGISVAIMGVMVGAFLVDSRLKGHIERGIATIP HPWRYDREPSEFEVPLYSPGGTPQQPLAELSAVRDPVEMEG AOR_1_84184 MKLHLAFLSAFASLAAAAVAGNSQDIAPPFTEQPGGVEKRDNIE SPSSSMWSMQCNNVCERDVDCCLEDKCSGDKRCEGYWSETFPFGYPLHEAVNYSDPAD VEKWSRLSNIRARPPLRIRSPTPPVGKRDDGKDLSPADSEYYKSTGLWFSRACGRSCE GDFGCCISDTCSKDKKCEGFFQENFPFGYPLRQKVNWSDSTEVKKWSKLSMDEHLAHS PSASPGKRDDVKDSTFPKNERSYSAAFGEN AOR_1_86184 MPLKLLCLHGWGTNTKILQSQLNGLMTDLRRDNTVTFHFLEGDV DSIPGPGISGFYDGPYYSYYKFPRSISDNGAEGESLLSAYDRLYDVVDEEGPFDGVLG FSHGGTLAAGFLIHHAKLYPQELPLFRCAIFINSLPPFRMDPGGTPVIDPDLNGYINI PTVSIGGAEDPLLEYSLALYRLCNPSMSTWVVHSKGHDIPADTRNVSSIAAAIRKLAV QTLAVW AOR_1_1246184 MTADSLAHSPPAIPTPSTQTSSEPTSVDQDDLSILSEDLCMPIA IVGMGFRGPGSATHIKELWTMILEGREAWSPIPKSRWNNDAFYHPDHSRHGTINVEGG HFLAEDVTLFDAPFFNMTSDEAAAMDPQQRLLLEVTYEGFENAGIPMAKIMGSSTACF VGCFNADYTDLLLRDPDCIPMYQCTNSGQSRAMTANRVSYFFDMKGPSVTVDTACSGS LTALHLACQSLRTGDASMAVAAGVNLILSHEFMSTMTMMKFLSPDGRCHTFDENASGY ARGEGIGCLILKPLRDAIQDHDPIRAIIRGSGLNQDGRTPGITLPNGTSQEALMRHVY EVAGLDPCETDFVEAHGTGTQAGDHIETAALAKVFCHNRSPMRPLRVGSIKTNVGHLE GTSGVAGVIKAVLMLENRTFLPNRNFRVVNPRIRCEDWKLKIQLSAEPWEYPGPHRVS VNSFGYGGSNAHAILEDASGYLYSRGLRSIVQHGEHVVDANQVCPNRSRVYMFSGFDE RSTTRQLQNFREYLLKERSEADDRYMSNLAYTLNERRTVHACRAAIVGASPATLAEAS SGRVKIVKARRRPTIAFVFTGQGAQWAGMGKELFEAYPVFHESIQRIDDYMLSIGAPY CMVDEILKTQEVSRLSNPLFSQPICSALQIALVDLLASWGIHPDSVTGHSSGEIAAAY ATGALTMEDAMAVAYYRGVVVSSSSFANGQIRGAMLALGTSVRESQSYLEMLQSGKAV VACINSPTSVTIAGDLPAIDELERIVREKQVFSRRLAVEVAYHSHHMELVKEQYLGHI AHVSPRSREEVANYLHNRSVSFFSSVTGAEINPSELDSRYWVSNLLNRVNFADSLRAL CFETINQRDPVRTLRDKRIKRAGTAQKVSVDALVEVGPHATLAGPIKQTLRDDTKLNA ADILCISVLTRKSNAITTALTAAATLMCTGYPVDSRAINDPTASQEPSVLVDLPQYSW SHTNTYWAEPRVSKTFRNRKHARTDLLGVIDRMACSFQPLWRNFLRVSENPWLLDHRI ESNIVYPAAGYIVMAIEAFLQHTLIGTTADEKPTIYIRDISIQSALVLHETAAVETLL ILNQCESNSRGSHQAHKFHIYSVTQDNIWTEHCNGLIGAQNGDQVESGLESERAAHNF TSLDIPSFYKALASVGLEYGPCFANIKQARFKNSTCISEIITPDTAAVMPMNFQFPFL IHPCTLDSIIHTIFVNTDTINDPAVPVRIDEMCVSCRTDYAAGSKLNVLTKTQCTRKG DLIASISVLDKSRRPSISITGLRCRRLGPSPPPESKRMKNHIAHELKWDLDIDFLTGS ALSSIVPVCKESKKQSSGFNALHEACALYYIKEYVKHVDQATTEKLQPFRKRQLEFFK EIATQRYRRSRNIQSGDIERVKSSGPEGHVLCTVGANLSLSLTEDTSLGTVDEHSMWD EYWKTVYGDPAYETVSMYLDLVGHKNPMVSILEVEASTGGASKRFLQRLVGAKGGVTR FTKYTATHPDLHLLESTRDEICAWDHCVEIKELDVEGDLDAQGFAIQQYDVVVIAHGL YTVKSKHKALSNIHSLLKPNGHLVLIDPLFKPKLSEYVIFADFAGPWKQDGVGYFNND LITTLKDAQFSELQELNGSRDQHMVIISRPTRQQTSLTSEVVIVAEEGECGVQIPHLR DLLSSVSQVDTTDLAHLKPQRKACIVLSDLQLPVLTHLNQATMDIIKQMFLEAAFVLW VTRGGTITPANPEAGIITGFTRTARSETGVEPIITLDLDAGSPLCGYRAAELIYDLVR HRMLGGKSAESDTEYVERSGALLVPRIMENRRLNEAIASVQDKHLTSVEAFHQENRPL RVAVNDSVQPEDIHFIDDSRITTLSDNEVRIQVHAVGLSERDAQLADNQASGAAEIGL GCSGTVQAVGRSVRNLIPGDRVACLRSGTAASFYQDRETAFQKIPSDMSFETAAAIPA AYCTAFHAVHCLADIKPGDRILISSAAGAVGQAAIEVCTVSGAHSYALVGSAADKELF VSSSLLSDERILRTDESYFKDLLMLTDNKGVKTILNCGESDNHTFRSLWKCFGASGTF IQLHARNTAEKRGWEVPELDKDIIFATMDIENLVNNNSEMLDEVWDRVARLFRRGTLR GHSHPTSYSISNFAEALDVLTLTNHRDLIVLTAGTDVMVKTIVPRQPDMLLRPDVSYM LVGGLGGIGRATALWLADHGARTIIFVSRSGLSGASSQRTIQGLNEKGVRTIIHACDI SQSDQVEKMVNDLQETVPPIRGVIQGAMILRDTHIEKMTLADYTTILGPKYHGTWNLH RYLPSNLDWFVMLSSISGIIGNATQAAYAAGSTFMDSFAAYRNSLGLPAVSLDLGVIT DAGYLAENKELASKMEQQGFQGTDTKTLMSLIEVAITSCQEDRTTSQIITGLGQWKAG RSLPNFDAPLFSHFRRLHLDTSVSSQTEIPDTLRQDLQATKTLEDATAVIYTALSTRV ATHLSIPVDSINPTGPITEYGIDSHVAVELRNWISKHIEGTVPILEILASSSLMELAG KIADRSNLVRVDEEQLSSAF AOR_1_90184 MVWSQINPTPLNLSFLFLSGSILLYTVLSRFLKETLLLAEPPLA TLVGILTGPAVLGAVEPQPWKLGNHVTKELARLTASMQVFIVGIELPEGYCSKHWKGI GILLGPVMIGGWVVCAILIHLIFGASYPSALVISACLTPTDPVLVATILEDPKATSDR IKYLLAAESGVNDGTSFPFLYLGIFILKEHSAGAVLREYLTVTIAYQCIASVAVGISL GYGANRLLRYSHERGRITENFVALFPLALIFFSLGFSSTMGMDDFLLVFSAGIGYAYH GWLEHAYQVNPVATSFISFSLSIATFIYFGLMIPWAAVTDTPKLSLSKIGIFVLLVLL LRRIPFIIASKRFNPDIKTYREALFCGHFGPMGVGALFLSMEARDILNSSCSRTKMQP GDDWDKYACETVELVWPVVCFTVLGSVVVHGLSMSIAKLARTLICAQKQHTKSLCTSD DPSTGSESMDGGMYHDEESLL AOR_1_92184 MRKTMSKSYDKVLTFWFGQKGSREYLQQKSFWYGSPSDDAYVRK HLGSDYEAARTGALDGWKFDGQGEGALALILLLDQVPRNIFRDTPKAYATDAKAVAVA RYAVDQGWDKNMPVIQRRYMYSPFNHSENLEDQEMSLRLFTELGDSYHLHWARNFHDQ IKRDGRFVHRDRILGR AOR_1_94184 MSETNVCSGKSTLAHSIVSAYPSFRRLSIDSYIYSHHGLWNIDY PRERYEEYQLEAEAALRAELISALTGGQVDLVLDFSFAYHEVREEWKRLIEGSGGRWV LVFLDVDAAELRRRVQARNERVDKDGDSAFYVTEEILERYLSGFERPDGEGEIVL AOR_1_96184 MEGQDYYAKAPPSDNRDDTDSTATMMGDESAPTPRPANVSRAED WSLMPQVKQQHERDVASGFKSRELGVTWKNVNVEVVSSEAAVNENFLSQFNIPQKIKD GRNKPPLRSILQNSHGCVKPGEMLLVLGRPGSGCTTLLKMLSNRRLGYKSVEGDVRFG SLTHKEANRYHGQIVMNTEEELFFPTLTVGQTMDFATRLKIPFNLPKGVESAEAYRLE MKKFLLEAMGISHTNDTKVGNEYVRGVSGGERKRVSIIECMASRGSVFCWDNSTRGLD ASTALEWTKAIRALTDVMGLSTIVTLYQAGNGIYDLFDKVLVLDEGKQVYYGPMSQAR PFMEDLGFVCREGSNVADFLTGVTVPTERKIRPGYENRFPRNADMLLAEYEKSPIRAQ MMAEYDYPDSDLARERTDNFEMAISHDRSKKLPKNSPMTVDFVQQVKACIIRQYQILW GDKATFIIKQVSTLAQALIAGSLFYNAPNNSGGLFVKSGALFFSLLYNSLLSMSEVTD SFSGRPVLVKHKGFAFFHPAAFCIAQITADIPVLLFQISIFSLVVYFMVGLTMSASGF FTYWVLVFATTMVMTALFRAVGALFTTFDGASKVSGFLISALIMYTGYMITKPQMHPW FGWIYWINPLAYGFDALLSSEFHNKIIPCVGTNLIPTGPGYENVPNHQSCAGVGGAIQ GNNYVTGDQYLASLSYSHNHVWRNFGILWAWWALFVAVTIIATSRWKAASESGNTLLI PRERLDKHSQVARFDEESQVNEKEKKRNDGSSQEGDDLDNQLVRNTSVFTWKDLTYTV KTPTGDRVLLDNVYGWVKPGMLGALMGSSGAGKTTLLDVLAQRKTEGTIHGSIMVDGR PLPVSFQRSAGYCEQLDVHEPFATVREALEFSALLRQPRDVPDDEKLKYVDTIIELLE LHDIADTLIGRVGAGLSVEQRKRVTIGVELVSKPSILIFLDEPTSGLDGQSAYNTVRF LRKLADVGQAVLVTIHQPSAQLFAEFDTLLLLAKGGKMVYFGDIGDNGQTVKDYFGRY GAACPPGVNPAEHMIDVVSGTLSQGRDWNKVWLESPENQRSIEELDRIISDAASKPPG TFDDGREFATSLWTQIKLVSQRMCVALYRNTDYVNNKLALHVGSALFNGFSFWMISDT VHSMQLRLFTIFNFIFVAPGVINQLQPLFLERRDIYDAREKKSKMYSWVAFVTALIVS EIPYLCLCAVLYFACWYYTVGFPTDSNKSGAVFFVMLMYEFVYTGIGQFISAYAPNAI FASLINPVIIGTLASFCGVMVPYQQIQAFWRYWIYWMNPFNYLMGSMMTFTIFDVNVK CKDSEYALFDPPNGSTCGEYLTEFMQGMGARMNLLDADATSGCRVCQYTRGSDYLLSV NLMDYYYGWRDAAIVALFALSSYALVYVLMKLRTKASKQAE AOR_1_98184 MITHDSRALPVSGLPREKRAAVVPSQAPRQVRSCRVCRLRKVKC VYEPGTDEDPQPISQADEIRNLRAEIRDLTARLNNSKRRHRSERRQAQLQRLFATIRS APLELVDRLITQIRTGPSGSNELEGTEAFIHQRSPDENNDDISRDNDYCASRGSGGFD FNRSPSESSEDSSSSSIISINNSSRPMLDVFIERFVDAFSPEVDVKSGQAGALRRAAE IRMFSPLLMDAFEVVSATFFGRSIQDPRIEKSGTLLYGRVLRNLQNALFDPERSKAES TLATVILLMAFESVERTSQASLQAHVHGAVRLIEHRGPENHIHGVEHLLFAELRPYWV GAALVRRQPSFLAEEDWINVPWSAGTTKKDILHYLLDLTVEVPSLLAEYDALEVTRQS GVPSAHEITVKQAALWNGVTNLTCRFLQWKIDWVDAYPDGPPKEVPAEPNEHFPIFRC RDLRTGAVITPTKLVYPNLRLAQTMCLYYTSRLILSTVDTRPDDDRVGPAQQYALGCG ICRTLEWYILTAPGNMINRLAFPVRVAWEVFPDGGPERRFIYDVLKLVEKRHSLGLWG SGMSELSPRAGSPPKA AOR_1_100184 MKLHSLLPLAALLTPSLAIFGINDFECELTSEHPNPVVLLHGLG ATYYEDLNFLQYWLQSQGYCTYAQTYGAYDGFPLLGGLRPISESSSEIAAYIRDVADK TGAKKVDLVGHSEGAFQSLYVPKFQGVSGLLDKIVAIAPPTHGTNFGGLYNLAYLFGN VSREVVGDVLSTFGCPACDELGPDGAAVKRLNDGQPILQSGNNLTVIVSKYDEMVTPH ETSWVEGANNIYVQDFCPLDPVGHIGEAYDLNVWNLVKNALDGTPDRKFICVIGSPGK I AOR_1_102184 MLATWRRFFHPSGNDDASNPSSLYNEKCGELEINVIESSPPKAT GAVKIEAVEAVGGRKGRYLLYIGLVLVMVIFELDNSTVGTYRNFATSDFKHLSVLATL NTAASIITAVSKPPIAKVSDVLGRAEAYIFTITCYILSYILCASSKTFNIYAGGYVFY SVGQAGTAILNSTVVSDISSMRWRGFVYNILYIPFLITPWVSAFIVDSVVNGIGWRWG IGMFAILMPFCASFIIVTLLVFERRAKRSGLILTERLNLFTFCSRIDLGGITLLSGGF ALVLIPITLAATTSDCWKTPWVVVLIVLGAIALACLYPYEKYFARHPVVPTHYFRTLS VVVSMALACVDNIGFGTTQTYLYVWSMVSHNFSPRDAQFLNYTNSVMQALVGMGTGLL MYRLRSYKWIGVVGAAIRMVGYGVMVRLRKNESSVAELFIVQLIQGAGSGMIETIVIV AAQISVSHAELAQVTSLILLGSFLGNGIGSAIAGAIYSNQLRDRLRLHLGHSVDEATV VRLYNSITDTLPTWGTVERNAVNRAYSDVMGYITIAALALSAPVVILSLLIPNKRLGD GHNLVQDNQSNDSRTSDETLVDEKTCTR AOR_1_1248184 MASNSVIPVDQPNTTAEILRLQNRLTDIRNQRKILELRNEIARE SQLLADAQHRLQATESQMMTGDRSLNSSHTPTRLTAGSAIPADKGIKAKPSDSRPKPV TGHNNTSGNVISRREVPKLPINKKYCGRDRSSYITLVSNLRGFFCKHEQHFASDKNKI AEAKRHLSRLILDEWTTLEESSGRTHTWDEFCFFLLRQIERPATPRLARHRWNVTRKH CDETVQDFANYLVMLEDDFSTSLSEHERSQRLCNGMSSSLQKRARDDSSFFTLRYDAQ VELLAKWEKAGPVGKM AOR_1_106184 MAPSTATETAPPITLQARESTQEAGAEKAKVKMNIPRPPVFDDK MKEREYLKGRLAAAFRIFGKNGYDEGVAGHITLRDPVDPSTFWVNPFGVAFSQIKASD LILVNHAGEVIDGGPCRLLNAAAFMIHSAIHAARPDVNCAAHSHSLHGRAFCSLGRPL DIITQDACAFYNDHVVYKQFNGIVLAEEEGKNIAAALGDRKAALLQNHGLLTVGNTIE ETVFWFVSLEKCCYAQLLADAAAAGRGGQTIKVDDADAAFTYKSVGTPLAGYFSAKPL FDVIHEETKGSYLN AOR_1_108184 MASKSLTGACLCGKITYRVDLPADAPSPKVALCHCEDCKRNTGA PFSSNLIVPKPALTYTAGTPKIYAHPSGSLGNELQRHFCGDCGSPLNTQPGGRGTVTV KTGTLDAESRGDLGLALEIFCKRREQWVDQIGSVPKIEAMP AOR_1_1250184 SSNRYAVGAFDCYNNDGVMAVTRAAEAKRSPALIETFPWTMHFQ GPHFVKYIVEAAHAASVPIGVHLDHRMDPAEVEQALKLPIDSMMVDASRLEPEENIEY CRQITEQAKIKGITVEAEMGRINGGEDGIPDASHLEEIWTDPQHAEEFTRRTGVQFLA PSFGNIHGPYPEGGSEKYWQLDRLKLIADAIGPTIPRVIHGTHPVPDWLFHKAIATGA RKININRNARDGYTAFVAENADRLELTALKEQSVAIYQRSVEHLMDVLGSSGKAH AOR_1_112184 MGIHYHHDITALETLKLQPISRLAPFSALIISIVLVVLFVIRYY VLEGFLIKRLYGSIYTNLSEVNRRGFINHHIAGLTKILILIVAAYPFLEVVIGKSSFH TPYAHGSRVTMGDMLVVVAQMLIGMYAFELIYRTRLSPIAVLHHIGTIIIGQSAIAIS LDLAREPDADIEFVLCTVWGAFDIISEFFPHLAIILYRIFPNRHRFLKRLFLLSCITT ALGTTCETIVIFFLFGSLWDRWQIAFKVVTPLLHIAFSAAQVHGSVVFWKMYKRQQKL EEEIPGFDIENGEITEMHVHADKTKPSSSGSTVAIV AOR_1_114184 MKSSLFCILSSIVAANATSVPSFLARDSVSASLSSSASLSTSSS GACTGNTASTRDQWCNYDINTDYTTTIPETGVTREYWFDLEHVTVAPDGRSRFALAIN GSIPGPTIEADWGDTVVVHVNNKLPSSVKNGTSIHFHGIRQYYTNPSDGVVSITQCPT ASNSTITYKWRATQYGSTWYHSHIGLQAWEGVFGGIKINGPASANYDVDKGFIILNDW DINTVDQLFDSAQNDGPPELDSALINGMNVFGEDGYANQTGTRWNTSFTEGESYRFRL VNGACDTHFKFMIDNHTMTVIANDLVPIEPYNTSVLDIAMGQRYDIIVHADQASIAKN FWLRAIPQEACSENQNPTNIKGIVYYGSSPSTPTTTTGYSYTDACDDEDMSNLVPIVN PYTITSNPLYNESEPVSLGKNSQSLYRWKLNSTSMHVSWDDPTLLEIYRNHTSFSNTS GVVQLPRADEWAFVIIETTLSVPHPIHLHGHDFVVLSQGSGTYSAGDITTNNPPRRDT AMLPANGHLVIGFVTDNPGAWLMHCHIGWHTEEGFAIQFVERYSEIQDLIDYDSLHSN CQNWETYQSGKNFAIEDDSGV AOR_1_116184 MEEVYPAEGAPIYHKRIGPGRLCRHSYPIPIVSARISDKASIIF QNMLSFKAPLWFVSLAAVVSTASAAQTFGLYAYGENVGGLPLYYVDGSAVVSPKTPEN GTDVAPVVFNKDSDNELIGNPNTTSTASAPAFTDASLFVPSADSSDKEMGFTSDPSSN QVTNKFVWYGNFLLVENDSGEYTSLFSVKKSSSHDEDGSYDLYWNVTDSDEEVITISM RSVAPSNA AOR_1_118184 MAEKPRSCIDRETKCTAPSDTVDGQKERGSVDVLGPEEEKRLVR KIDLHLMPLLIISYGLQFLDKTSLSYSAILGLKEDLNLVGQEYSWASSIFYIGYLAAS YPISLGFVKFPLGKYLSLLIFLWGAILTLHAVANNYAGLMVLRTFLGVFESAISPGFS LITGMWYTPPEHVSRHTFWFAGNASFSIIGSLIAYGIAHYQDHFSQWKMLFLIFGLIT VAWSVVLWFYLPDSPSNAHFLSSSEREFASLRPKKFQRTTQTRKWDRDQFIESFTDPK TWWLLIFSFVICVPNGGTTSFQSLLIAGFGYDKYQTILMGLPASAFQLVVVLLATIFC TNVRKSRLVAIIMIFAMALAGILMVKLLPSEQKLSRLAGYWMSSAIAPVFPLMLSLHA SNTAGFTKKSTVAALIFVGYCVGNLIGPQFFKDSEAPYYPTAYTTIVICYAIAMASAV VFRVYLGWENRRRDKQQGVHINPEETREIDLHTDEGLDHADETDIQNRSFRYIV AOR_1_1252184 MSISSSLSSLSYRDSSIIGPVVSPSPLLTPEQQVDEESTPSPPN TRLGRQAGLQEEYRVLHYCPPINLLDPMPLLLMNYTHGIPTHDVDDMHALSFHRTIFG PLKSTRTPAQSAQSLFVDYVVDKKMALHFLLAVAHSELSLYYDNGLVLPQKSYLHFDQ GTKLLRYASTPRGPTDHVNMMLSFLYMYMFWMRRDQPAPQKLRELSRTVVDYVRTYKV DELCTNDDVNVFSETFAAGLLIPDQVLLARIFTYLYDRDGFCSFFGCGGSFATFVNDD YSKRRKIWRLSRTAFLLFPEENGLTSDSLPEVHEAAILELYFMLITIHHEINIYSQTG NLHRYGDERRLKQHLDELKKEYSCIFSLVANSEPLSTCPVSLMECVTVTFFYALQIYL HRSCESAFGTDPVPEEVSCALSSLVTAAYSAVALGPIQLLERFQWSLFIGGVETRDPV HRGWIITHLSDPALRRIFQLVQEAKRHSPVTMHAVRRIVDGELG AOR_1_122184 MGNVLSGYQSAECEVELFNNAGKIRGLQFDSKSRRYADIPYALP PTGDYRWRKPRPLPESFSYSSPDGTPYDATKFGKVCLQSSYSASVKKQLPQHIFGEDC LRLNIWTPVGKPNETNPKWPVMIWFHGGWFQIGDPSQEESMDPTELISTGQLNAVFVA VGYRLNVFGFLAGEVLREESGGQEVGNYGLWDQRLAMEWVYKNISAFGGDPENITLSG RSAGAYAVQAQTLYDFRGSMDESARSHFRRLVMYSNAIPTQPKTPEDCQPQFDELCEH FKISPEIPGPEKLQRLRQIDAEELCDAVMKLKHHTFRPVTDGVFIHPGIFEYYRDGSF ANEFKRRHLRLLIGEVLNEETLYAVTNGPQANRESLELQVSNYYSPSTTSRLLQHYPL PDSDRKEDWEGVFGRIISDGQVRAPSRFLVHNLLQHGVDIKDVWRYLIAYRMSFITEK VAPAAFGVSHAMDRPIWNYSVMHGPNPAERQLMDNWIHDLVAFVNGDNDHSYGTKECD EYKVMTPDGNIEIQKDPR AOR_1_124184 MEQCCSNLAFPEAAEVKEPNSVRRPAPVRLNRDKVTEYVADNVR EDPVPFPIAIVGMGMRLPGGVSSGREFWDFLVNKRDGLCRVPETRYNVDAFYDEAREG AVRTKHGYFLEQDIAQVDVGFFGISKLEAEKLDPQQRLLLEVVWECMENAGQTNWQGT NIGCFVGVFGEDWLDLLSKDTQQHDRYRVMSAGDFALSNRLSYEYDLTGPSVTVRTGC SSSMVGLHEACQAIYTGDCSSAIVAGTSLIMSPTMTTTMSENLVLSSSGICRTFDAAA DGYGRGEAINAVYIKPLDDALANADPIRAIIRSTAVNCDGKTPSITTPGSKAQERLIR RAYKKAHIEGDDILKTAFFECHGTGTIAGDTAETTGVANIFGEKGIYIGAVKPNVGHS EGASGITSIIKCVLALENKIIPPNVHFQTPNPKIPFESAKLQVPVEPTPWPADRKERI SVNSFGIGGTNAHVILDSASSVLRKISPEARLASEPDYQLLVLSAKEKKSLDGQIERI TRYIEANTSCLNDLAFTLARRRDHLPYRAFAVTDKDGSLPTFQKAQSTVPCPVFVFTG QGAQWPTMGMGLMGRFPKFREDIHQMDKILTELREAPPWSIEEELSKDEAVSRVGHAE FAQPLCTAIQIALVNMLREWGIVPYAVVGHSSGEIAAAYASGAISARVAIILSYFRGQ AVKFLSTSHAGAMAAVGISPDTARAFLEEGVTVACENSPVSVTLSGDKEALDRVLNRI RKDDENAMCKRLRVDVAYHSHHMLRTRQTYESLISPYVCHNSSMVPLYSSVISTPITE PSRLDTMYWSQNLSSPVLFRTAVQGLLDDNGPVKLFLEIGPHSTLAGPLRQIFQAQTS KNRAALYVPTLCRGTEEWQSLLATAGHMFTHSIPIDLSNIIPNGAALRDLPPYFWKHG ERFWNESRLANHWRLRQEPHHELLGSRVLESSSVEPSWRNILHIDQVPWLGDHKIGKD VVFPCAGYVAMVGEAIRQITELTEYSVRNVFMRAALTLETSIATEIITSLRPARLADN IDSVWYDFTISAYQNSTWKKHCIGQVRPTSDQTFKAKRITPYPRLVRSEKWYNALEKR GLEYGPQFRGLEQISASPSSYQAAATLQDDESLYVSHYSLHPIIIDESLQLLSVAATH GIPRRMTRLAIPTAIEELYVGNGRGTMSLNVSCDTSGGMMRGNAVLVSDDQVVLSLHH GIFFSIQDPDIGNPQLPVAATIHWGPHIDFVPAEKLFSSSQNFLEGRRRVVRLVGLYG VEYYYQTRSSQPTQAHLSKWLSWITSNYKYMRDNAPVLVPELRDICSLSPAERAAEIE GLKSMQPQDFGYPFYVLCSRILGSIHKLLGGQLEPIDLLVEDGALKYFYEQSALNGSW HEFASLLGHSYPQLRVLEVGGGTGGDTLIALKGFTMDHSNRLYSTYTFTDISPGFLLE AKERYKSYPAMEYATLDISRDPVEQGFEPESYDLIIAANVIHATPRISETLRNVRRLL VPGGRLLLIELACTIPIIDYIMGILPGWWLGEGDGREERPYMSVDKWHDKLLDAGFTG VEAFRYDNEPPYHLNAQILSRVPSAKSPEKGEVSLLYLTEIHDWARELARDLEKAGYS VHWCTLKQVPSSGANIISVIDLEGPFFHELSPADFESFQSYVSRLADRHLLWVTRFVQ MECDDPRYALVLGLARTIRHEIMPQFATIEIDQVERASLQPVVQVFERLLSQLDDPGA APEYEFAVREGSVHTPRFQFNSFEEQVGAGESQGPRMLDIEAYAMLDSLTWTCADMTS NDLEKEEVEVDIKYVGLNFRDLMVVMGLMGDMAQVGLEASGIVRRVGSAVHQFSPGDR IMISHLGLMCTRTVVRTERCVQIPDNISLEDAATIPCVYATVVYSLITVGGLKRGQSV LIHSACGGVGLAAVQLCQLIGAVIYATVGSEDKARHLVDNFKIPADHIFDSRSSSFLQ GVLRKTDGRGVDLVLNSLSGDLLHASWQCVAKLGKMLELGKRDFLGHGLLEMDRFLDN RSFIGIDLLQVLDENIGVLQEMIGCVMEYFQEGKAGPINPVTVFDAANVVKAFRYMQS GQHMGKIIVKMPDLPLALPVARVHEMAAYFPANASYLLVGGLGGLGRAVATWMVEKGA RHLVFLSRTGANTFESSSFIKTLECQGCDAITVVGNVGYIDDVQRAVSAAKTPIAGVI QLSMDQSLHNMVHEEWVAALYPKVKGTWNLHHVLKDKPLDFFLLMGSMAGIIGWPGQA NYGAANAFLDAFVKYRQSLHLPAHAIDLGLMGDIGYASEASLVPTLEASQSNSLRVLD ERQFLWAVEVAVLAQRFQCPNQVVVGLGTTRTLSSADFASNWIKEARFGIWKNIIATM EQPAEASRADELREHMEAIKNNPSLLDRPETEERIVIELGRLIASYTSRPEDMTIEEL SNIPIDSLMTFEIRTWFRRHAGIEITLVEVSNSGTVGGLGKIAVQKLRDKHTCKGHKG SESQDGDSDGSEKEPSYHDDLTLAKTMRPISNNIPDWTSESEGNVFFTGATGFLGAFL LSELIALPHIKQIACLVRANTSDMGHTRIKQTFANYGLPVDFRSKVIAIPGDVTKRNL GLRPETFSHLAQWSSVIFHFAGYANYTLPYSVHRGPNVLGLLETLRLANTERLKPIHY CSSISACGISENLIGPVPEDVRPRPESQNVAQSIGYTQSKFVAESIVWDAIENGFAIA IYRPSLVTGHSRTGVCKKEDIINRLLSNCIRLGCYPHPPQQRFHFIPVDFACSAVSRI SLNRSSFGHAFNITQPDQDKVITLGEVYTILNNYSPTPLVSIPTAEFIKRLTKKRDSL IKVSSSILAERLAGHRIWWDDWEYMAAYSTENLRRAMTDHPDIIGLKPVPELLKVYYN FWSRVD AOR_1_126184 MATTTASFSKTLQSLTQSKIHELKKQRIAYESQKSQILASAAQQ SDPRDRVACILQGIKAIRSENDNLKDSKIANIERWLDQARYDSSIPQEKLDSFQEHLI ATLDAQSMRLNIADLYSRLLTEWMEPSSVSDHISDEEEGYLVVEERQKQRLQQLCDQF EKVVFEPLETDENEIHGFIDDLFPSEDSRVALDNLRKKVAHECSELWHKTDPFNIYSI RPCIRGLLTEDLLSEEKQEILKYCLDNTVALTEITDVLNMRYADLKNWDWHAEDGIPV LPRQQLNGKYRIWIDDDVLQTIFTQYIAVRLCSIVKTILKEFIEKKSVWNWHPSPRMT GRDSLRRQYYLSDYDIKSSIETSRKKDYLESDFLFHMPLTESSLSERGMLYDDENNED GSDRGINGPSNIKQQLLRKVASQVLLERQIYGQAVVVQSDMKWYATALPHSTILAVMK FFGFPDEWLAFFRKYLAAPLNLDHSAEGRMQTGPRIRRRGVPISHAMEKLTGELVLFP MDLAVNRETGLLLYRIHDDLWVCGKPEKCARAWEVMQDYAKVTGLEFNRGKTGSVYLT DTPDPQITARLPTGQVTFGFLTLNAESETWEIDQSQVDAHVAQLQKQLSRCDSVISWV RTWNSCIGRFFKNTFGQPAHCFGRAHMDAILSIYEKMQNTLFNVEGGHSGNTVTEYLR RKIESQFGVSDIPDAFFFLPAELGGLGLRNPFISVLLVRDSVDLSPVERIDRFKKGEH GRYAFAKKTFEETPEKTRRRRAETVNPRPKSGEPLVITEAEMHTFMSFEEFTRYRESR SNNLRVLYEDLMLVPYTKTIQSTRECRDALNAVCGQFQLSDKNPEMKWILQLYSDDVL KRFGGMTLVEKRFLPVGILSMMKEKRVKWNMVL AOR_1_1258184 MAPSTAIAEERAVDSASDIVQKAPKRKWVSYIWDTFDKSPEERR LMFKLDSAILTFASLGYFIKYLDQININNAFVSGMKEDLGMYGNELNYMQACWTVGYV IGEIPSNILLTRIRPRYWIPAMELLWTVLTFAMSRCHTSTQFYVLRFFIGLAESTFYP GMQYIIGSCGIASMFSGYLMAAVYNLEGRGGFRGWQWLFIVDGIISLPVALSGFVILP DVPEISNPWYLTKDEVALSQKRMQLEGRKNREPYTRSKLKKIFTSWHIYLLTVLYITF NNGAAGSQPVFQQWLKHSTDPKYSVGQINAYPTTTAAVQVVTTLAYAWSSDTFLNGKR WPPIIFGAIINIICYVSLAVWDIPDGWKWTCYILAGAGYGLSGLCMAWAHEICSSDNE ERSLVIGSMNEMAYVFQAWLPQVVWQQVDAPQYRKGFITVSILSVILIATTLWIRQLD LKERRVS AOR_1_130184 MTRTQIHKVAVIGAGISGVVSAGHLLAAGFDVTVFERNKVAGGV WLYDERQPIEPQYPATKPSETDQPAKDRHQKERFVLEHAPPGPCYESLRNNVPTPLIR VKLNAWPEGTPDFVSHDVIKEYIQDTSRKARVDDVTIYGARVKDLRKRGDKWEVFWST VGENDQSDMVVELEEISVFDAIIVASGHYHAPRIPDIPGLSEAKTHWASRIMHSKGFR KSQGFENKNVLLIGGGVSSADIAKEIGPVAKTVYQSTRNGDFDLPASLLPDNGVRIGE VSHFEIDRSQDTVSDDEPLPLTIHLKSGQKLCGIDRVIICTGYHITLPFLRDYHSDHT PAELADEKILVTDGTQVHNLHKDIFYIPDPTLAFIGVPYYTATFTLFEFQAIVATQVF AGIAQIPPADVMRLEYLAKIKEVGSGKKFHSLKDKEEFYVRDILQWVNEDRATYGLGP LEGHSTQWLEAKEEHRKRIEGLWQSTGRRDSGVGELPVLAVCS AOR_1_132184 MAPAPIDPRIVDVAEPQKDTLALPAASRERLVKAEIDLSNGYPY RPSRPLYSDDVYNIRNYDRPHVDPGTRADPEKKALLSAAKEVIHLTRHIGTEIVGLQL KDLTDQQKDELGLLIAERSVVFFRDQDLSPQQQKALGEYFGEVEVHPQVPQVPGLPGV SVLWPALQATERAASYRRPGGASRWHTDLVHERQPAGVTHLHNDAVPTIGGDTLWASG YAAYEKLSPEFRKIIDGRTAIYRSAHPYLDRKDPEAGPKYIEREHPIVRVHPATGWKA LWVNRAMTDRIVGLDKAESDVILGYLFDVYEKNIDIQVRFKWTPRTSALWDNRITIHN ASWDYEGSEPRHGTRVTALAEKPFFDPNAPTRRQALGLLGPDEIQELGKQ AOR_1_1260184 MLTKVIGHGFDIDDATVEMCRALRGNDWEESVERRPSSVTVSGD VDAISELEAVLNEKSIFHRHLKLDVAYHSNHMKNVVEAYFKAIKTIKPATTAIAIFFS SVTGGIAAPADLGPAYWVQNLTSTVLFTNALGKMCADGESRPNMLIELGPHSALKGPI RDTLKGIGPPTAKIAYARTVVRNSEPSHSLLNAAGAAYVRGAVLDMTEINFPKSKAKN RSFLRDLDGKRDDILGTMALFSNNLEPTWRNIVRLDDVPWLREQRIQGMSVYPMAGYL AMAIEAAERRAQQHEAIFSRFEFRELKVGAALVLTDDVDTEAVITLRPYTEGTRGNSD IWDEFRICSWNTKRAWTEHCTGHVRVRINGKQQTLVSNVAETGLKHMSIQTKKVMTAA TYRIDTQNMYRVLSGVGAGYGPCFQGLENYFSNPHHSRADLYLRDTKKVMYKDRGRME LEALYMPTMIRSLIISANLSTIPGDFVKAWCVGGPSLSTPQPTKFDLWATSQDSTEVL INMEGLILTPMKDPNADSGGDVAELCYKIEWQPLHDDKAIAEEERQEPIDYINVTLWS PMPGGGGGGGGHVNGNGIVDSVNGIHTKDLLIMQYGTPDGSAKWLSEAISTETTNWKP SIYPLGEIYSCSKHVVVLQTGITSLRDLTVDVFDKAKRTLLNASHLLWVYHLDSPDAQ MIVGLTRSLRSEGFGRIATLGLEAKDIEKPTPAILAAMDALWPVDGERSCKELDFRAC GSDLVVPRVTNDTVANAFVHKETHEKTISVQPFYQSGRRFKLEIASPGSLDTLYFADD NVGMLGDDEIEIEVKATGLNFKDIVVAMCQLAQPWLGIECSGVISSVGKNVSSFTVGQ RVVALPEGAFSTYALSRAASAAPIPENI AOR_1_136184 MVGVPRSKGCSLCRKRRIRCRKYGAVCPGYKRSLKFQDEGPRLH GLYLITSPDTDGSDEGSSPISDSLPVKAQLQMRCFTNASLDEQICPSLAFKSFLGQQP RLFKEFVCASFPTMYYHNEFRFGPGFTFPDNVIKKFGSKPYYDATVMCLSLAWLAHLT KDPNLQYASRAKYTEALSGIQYALTSDDIGSDALLMAVILLAFYEMNVRTSDDAWIFH ANAVKMLMKTRGMRAHLTGPGRVCHFAFRPFLIGAALQKGESCFLDEEPWQNLASSLR TEDSQKQDEWAFYIDVYETIFMELVKCPGYIKEARAIVSVTSPEARSLAYRIRTTCDR LRRLSKEMRTLLSAHNQRKQGITLRFVGPEPQLFPETSPSLLLRAGVDAVRILEQILH RITVPTPAVEQTLIIRDGALTPVSSPESSGDAESPPLLSFDLSCDLGNGPQASVGNDD QRALTWLDRVAGAMGLLGAEITYGMKPEVQTDLALRTVRTLTPVDDDLPEPRRSSD AOR_1_138184 MSNARTHTKIAGTPDYDDPIFWDTKFATGQDVGEWLNPGENLVQ AVLSHLDNRSSVQERSPRVLHLGPGISKLGTKLREAFVDRDWKGSGIVNVDFSAEAVR LGQEIESKQNPSHAMHWLCADLRSWNDMSSLAPLAPFDIIIDKSTSDAIATSPSTTLS PTSISQDTCPVVRDVANTQGQTTLSPVELLALHLVPLTIEGTMWFSLSYSTMRFDNLP RLANHWDLVSRTPLKAPQGQTSSFAHAPEVFHWLYILRRK AOR_1_140184 MDVTIIGSSVISLLSALVLTHAQYKVTIIASDLPGDQNQDWASP WYAYLTRTRRFIRKQVHSIEEAKHIVKRDMPVHASGLGAAQLAGDKDVLPVRGQTMYL ETDFDELATFQGSHQGLNKRHCCISAREERRLPY AOR_1_142184 MMNWIYGAPSLTLTISHTLNIMLQKSKIESDSAQIDPIHPAHGI VGMIVETDAVFGNVTEDGPNYRNVGWIGTTALMMKTQIGLGVLSMPLVFGTLGLIPGN ILLLTIAGITTWSNYMIGVFKLRHPEVYGIDDVGRMFFGQIGCELFGAAYALFYTVAA GSAMLSISTALNALSSHAVCTAAFVAVAAVIGFAFGSIRTLDRIGVLAWIGAISIIIA VFIVTIAVGLQDRPSAAPSTGIWKSDYKIVNNPSFTDAVSAISTLVFTYAGTPAFFNI AAEMRQPLLYTRALAVCQTTVTMSRAIPNKRLRAVFGIENALTTDNEIHAKRFLRQVK GLINLSPTSWESLSGLVRSAARRSIDGAMANSPDKPRVMLTNLVQVLVLRVVLSVLFR METEALEVPDHDLLRLAEAINDAWTSSKDKTHLVSFQDNISLQNSLKTVFPHLNCLDP QGNPLNLVIPGFETMWRIVLRLFIEISYTSGLYHPEWRGIMTTFAIAPTKDEFERRNG KQNLSAEMLVNEALRLYPPTKRVYRAFLPVGSDTVEVLNADIEKAQTATHIWGSDAEV FAPGRWGALTPQQKLAFFPFGSKPFVCPAQAAFGPRAIALVVGALLVELGGEWSLCVG AECTEALVPGVRLSNQRTEYRDLCLARAGPV AOR_1_144184 MSAPVVQGLSSLGSQAGQYARRQANTWRDRLLTTDKSRRRAQIV QTSFAVHRPVWVTAGGAAYTTAAAALLTLRYMKRLR AOR_1_146184 MLLIPLIHLAIGATIAHASFDPSHFTWYTSPASNFTSTLPLGNG RLGAAVWGSTVENITLNENSIWSGQFMDRVNPDSYSALDPVRYMLKEGNMTAAGQTTL EHMVGSPDEPRAYHPLGSLVLDFGHEDSQVENYTRSLDLLKGRAVVHYGYHGVEFRRE YIASHPAGVIAARLTASEAGRLNVAASLSRGRYVTENTATAGNDTGSLKLRASTAESD DISFSAAARIVTHGGWVSRSASSVVIQNATTVDIFIDAETSYRFETQEAWEAEIERKL DAAMRAGFPAIEQAATADHEALAGRVHLDLASSGAAGNLPTDVRLERYKTHPDADPEL VTLMFQFGRYSLIASSRKTGTSPLPPNLQGLWNEDYEPAWGGRYTVNINLEMNYWPAG VTNLAETLGPLIFLLETVKPRGQDIARRMYNCDNGGYVLHHNTDIWGDAVPVNNGTKW TMWPMGGAWLSANLMEYYRFTQDTNLLKERIWPLLRSAAQFYHCYVFSFNGYLSTGPS SSPENAFVVPNDMSESGNEEGIDIAPTMDNTLLSELFHSIIETGKVLGINNTDTTKAA SSLPLIKLPQIGSYGQILEWRHEYQETEPGHRHMSPIFGLYPGSQMTPLVNSTLAAAA TVLLDHRIAHGSGSTGWSRAWTISLYSRLFDGDAAWNHTQVFLKTYPSANLWNTDSGP GSAFQIDGNFGFTAGIAEMLLQSHAGVVHLLPALPSAVPHGKVSGLVARGNFVVDMEW SDGKLTWATITARTGGKLAIRVQDGQQFGVNGSVYTEEISSVEGGIYEISLL AOR_1_148184 MTSLNPISQPQEEEEKKQQQSYSDWLREQYNIQYEKWYPWIEDQ YLKWFGKGDNKASYATKDTLNESKVAGINQVDQLQDDVHNLAGNQLGDNGLLSPVGNL VSKEGINRAERKGKDEEGRYGFGGSGITGK AOR_1_150184 MSDYGSIARSFVSHYYGVFDNANARSTLSSLYRQESYLVWEGQP YQGPESIMAALSQTSLNNVKTRVTTTDPVPTSNSGVLVVVTGSLVVDDAYDKPLKFSS TFLLQPIPGQAGGYFIEGQIFRLVHD AOR_1_152184 MTAPKDISSRDELTKRAVPSMVLSDGSPSSMTPPPATNTESDPT TAAQKRFTVQGNAVVTGGAGTLGLQSCNALLEHGLNGLMIMDMDPTISQKEIEELRVK YPKAKIATLKVDVTDEVAVESAFVETTKVLGSVDALLCFVGVVGCVNSLEMPIPQWRK IMDINTTGSFICAQAAAKRMVAQGTGGSITFVASISGHRVNFPQPQAAYNVSKAAILM LKSCLAAEWARYGIRTNSVSPGYMDTILNAGDGIAEHRRIWAERNPSGRMGSPSELTG AIVLLASSASTYINGADVVVDGGQILF AOR_1_154184 MPNADIYKDLKDKKVLGTQIPVYKSGDLEYEVSVDVANLLYRFS RPTCVVKPELPVHVEEVVKYAYENKTPITVKNGGHSYAGLSTTNDGILLDLSRMNDVY LQHKSEPPTITMQGGALWAHAYRQLVIEKVNKLVVNGGRCPTVGVSGFVLGGGLGPFT RKFGMGCDSLLEATLVTGKGDLVTVRKDDLDPEKRKLFWALCGAGANNFGAVITMKMS LHELQEDKVVAGRYTWYPSTDEREEGFMEAMNSFYAANWSNSMTIDTSWFCDLKDGKG DLAVRFLTYYDGKKQEFQEEIDRNLRGDAGSDIHKIKNSLADNLKRRSIAEDSSRFLH ETLVSQWSEETKKAIPSNKAYSIYASFVFGTGSDYTGITKSIRDHMKNFKKEFRGESA LLQVTFIHTGQQATRIGANETAFPWRDASRIAYIMLQWDEKWLGEEMVEFCKNFKENL MQFSIDGKAGFLNFPDRELCVTEDHHQAYYGPNSDAIREIKQTWDPKGIFQFAPKNER DESIATDIVPILQAALSIEEIVSASLPHLNPLMTLISQLSAGNLS AOR_1_156184 MSMTVSDSTQIRDGFPRPFPDTPANVLEQFKLNGKVVVVTGAAD GIGLAVAEAMAEAGAHVALWYNSNDAAIKRGEELAKDHGVRTVAYKVDVSNPEEVQKA VADVVRDFERIDVFVANAGMAISKPILEQTLDEYRKQMSVNVDGVVACAKYAGEVFQR QGSGNLIITSSMSAHIVNVPTDQPVYNGSKAFVTQFGKSLAREWRDFARVNIVSPGFF ETKMGASPLALNEAYRMAVLGRQGHVKEIKGLYLYLASDASTYMTGSDVLIDGGYVLP AOR_1_158184 MDCGFCKEAHWGLSEPLITFREVFHTECHDAYCCPSEGEEYQRL CPRCRHLRLPHLAKRVAVNLPDASIPPYICVSFGWTEDYDGEKIKCHLCQLIAHTAAT SLSAVRRDLRTSYHSALFITCRSLYVSVWLDDIRFHADLALWSELPESGGYYLQRSGD RVTTPEIPPTLGQYVDWGKVRSLLNSSSVPTSGLSLESERPAGLKLIDVQQDRVVEAP VGCEYIALSYVFGSLTTIASTPSGSFDRYSLPTTIRDAIVACYKLGFKYLWVDQLCIN QSDPVDQLHQINQMSRIYGCAAYTIVALAGEDSRHGLPGVTKARSWSYKCIRIGSFGI TALAPSLRFCMKNSKWYTRGWTFQEAMFSSRLILFTSYGVHCAFRYKDDTHVLSESEC HTPLNVFNVLGLPEKDDYWEALEQYTTRDLTFPSDILRAFTAVLRSIHKENTYYGLPS REIDDAILWAPAKFTSAPTSRRHGFPTWSWASHCGPISRPKWSAAGLAVWALPPRGLG TAVIFCKPRPGHQWDDWRYPSQQDRMRIIASALLTGCLQSNIHLDAHLATPVCEQLYS RWPDPTAYWEDVFGQYRHDEIFSPAVVRIASLGARILVYSQTAKFLVGPSTSPLRDTN CIRSKNGELSGYVDIPHYDHSSTSYIAEFIAISVTEDSWPWDLVGNYSCDPEYKNYLA YTDGKTDVSKRMQKILSPEWGLYYMLHVMLIRRDEQSNVARRVGIGRIFLRKWAEAER RFEAIVLE AOR_1_160184 MPQRNMDQALEFDQNMTQVRRPRDDQENHEGTDADEGQGTTTSN RFYTQYDILAPFTKADAEKICMEPFEYTRSLPGKNTVGKVIESLRPWLNISDRSAAVL TDVMTMLQNSSLMLDDIEDGSQLRRGAPAAHVKYGLSQTINSTTYIIAKVVSEVQTHL RLECAKVLSVLRKLAEELQTLTLGQALDLNWTFNKTCPSVNEYLVMIDHKTGGFFRLM LRIMEIEANATPNDELRHLITLLGRYYQIRDDYQNIASDEYTTKKGFCDDLSEGKFSF PLIHLLEHSPNASTLHKMIYDGQPVDEGKMSEEAKSYIISQMKEVGSLDYTQEVLSGL FSSIWETAERTEKAMGENMGFKALCQSLKL AOR_1_1262184 MAILAVSGGTGKLGRAVVEALKNKKSHSVFILARSTNDELSETL DVPIIPVDYSNVGSLTKALEENKIETVISTVPISDESATDSQLNLIEAAIKSKSTKRF IPSDFGIIYNEQHASIFPPLKGKLLAAEKLRSSGLEYTLVSNGFFMDYYGLPKVKSYL QPFVFAVDIANNSAAIPGSGNVPVVFTHTFDVAQYVAALIGEEKWNERSIIIGDKLTW NDLVSLAETTKGTKFDVTYDGEEKLKTFQVTELPSHPPVYPFFAKEQLQYILAVFGQW TEAGAFNLPDEVTLNKQFPDIKPLSMNDLLQAAWKV AOR_1_162184 MSEHILVFGATGPSGTEFCSAALRQGHRLTLLVRTPQKLPLEVS GNPNVTVIPGTFEDVTKMEQAAGCGARIFVSFAGPTYGSKETPVTEAIKLIFPMLVAN NYKRAMVLGTSSYPSPLDKGAIKWKLSVALIKIIAGSAYEEFRGLGEFVASQDPTQLP WTLFRVPFLTNGAEAPVTATYTGHGDDGFFLSRKSMASWVLKEMDTNSVQVGKTPVIS N AOR_1_1264184 MSVSDAKKESWLSLFQLEVARAIAAQLVIAVQYIHSQGFVHGDL HRGNLLFLPSREFTELSTEAIYKEYGEPEFEPVNRLDGQKLPPAGVPEYGVVPIWPGE ASENITLPESRILLSDFGEAFSPAQEDKFESHTPLLIRPPEARFEPTKPLTFSSDIWS LACTIRDIIAQKTLFEGIMTDEDDMTCQQIGLLGPLPTEWQEKHGESIHQSKYLNRSL EDRFKNTVQQARIEARMPSFKSDEQYALLSMLHSMLCFQPEHRPSAQQVLESEWMVKW ALPECEKIRNSQ AOR_1_1266184 MPAPAGTHPNPQAGVRNAPRRNDRRRQDKKAKPDHVEHATGGQP NARLRRLLRIRQRGREKRRQKKREEAQQALEPVPPPEWLSLDSITPLSFSDVPLPGPF IPTFPLAGSLSETHAVDREMPLETSEATDHIKYPKDETAVQGPKIEGDEVDEGLNTLD GDESDVSDYWDSYEKYHPEIKDKQRAYEISKIEEIQNAYKSLKAALEKHNGRPRISIA DTHFRLYSTDYFDHCYKPEHYPSKYVKFYHWTDDHTKMNGHVILDSCFGFYFETFSSP TYASPHGVNLTENETKHDCSNDYLILVLSREGVFAPEDPPPGAPQYFTFFGVRFDKDK ERVKRESRLMKSQLVEKKPSPSPDSSFESANPWFEYIVEAVSSLTKAT AOR_1_164184 MKFTGLAIVSLIGCAAALPPFGASSTPSSSVSVSVTPSASPSSS SIAFAGLSKRSETPSSSAAPSSSSVAAPWVYHKRQFATPSSSGIASSSATPSSSSVAA PWVFHRRQYATPSSSATPSSSATPSSSATPSSSVAAPWVFHRREYATPSSSATPSSSA TPSSSASVTPTSFAAQKRKFSGSSSSSSASPSSSSATPSSSATPSPSP AOR_1_1268184 MVGEIAKLRCQLVKAQQLREEAQLRQKKLELHQKDTERQAELNS LSGLLNGCHKLSQAIRVTTNVTLIPEDTTNPVNRLFPRRIRHWNEFPEVQEKIWDRLN RDRTFTRKRRFRNNYFLDEIHKHTRRHTIFSEASLHDFQRDTMERFVNDILDALLQDH TLRREFRLEGRRRNRSADQFCVHVASDERRIPAYAVEFKAPHKLRIPDLISGLHEMEP ERDVIDKKGDTFEFHASHLVAAAITQLFSYMVDSGVRNGYICTGEAYVFLHIADDPTV VYYYLCVPNRDVNPEDKYHLHRTAVAQVLAFTLNALAAEQPSQDWFDAARKNLSTWKV EYLHILKNIPETIRKDPPYFEYKPSDWKPSGAGQSHIADQHGQTRSRQTLDVGPLDVI VAPWLEVDSPRAKFMSLATAGPKGLPSFAHTAQAKPQPPDVGHGPVPDDRIPICCYLI AOR_1_168184 MSSTRHNASATSSERKRLRDRRAQQNLREKRENRMRALEERVSY CEKNHGNELIHNCMLTVETVRRENELLLARQEHLRRLFQGWEAQGNGAAGARLALSST YMASDPLPAPTTPICSPGSETVLVDPEMHTMSGWSGNGIEFPHLGSTTDGLTATSMPS TWPVGSGTQSPPSPIIELGLVPDMHPTPGPDTCPLMTPKEYTHITANTRWDGWLAVSE AIAKLPLLSTPFELLHGSRRNWLADQINRLLRRMSLREPDRLAYSWIMYSFVKWRANP SPLAYANMPNFIQPVAGQVRQDQHADLVFFLWPQLRLNVLENWNTIDIIELYRYAISA CRVRWPSSQSIWDWDDNNNMFVKPEFFQTFMDRSGWGLTSVFIDKYPQLMKGMDVEHI RYDIP AOR_1_170184 MTRTDVLIVGAGPTGLVLALWLTRQGISVRIIVKSEAKASTSRA MAIHARTLELYRQLDLAEDVVANGHKIAATNIWAGGVHRSHVPFGDFGAGLTPYPFIH IFPQDQHERLLEARLNTMGVHVERNRELAEFQEQESSITARLRNTTKPHIENSDIETC EAAFIVGCDGAHSAVRQNCRIGFEGATYSKLFYVADIEGSGPSLNGQAHLSLNDNQFF LLMAYDKDRRARLNGAVDEGALTKDISDLTLDDVAPDAGKAVGVKIDKVNWFSTYHVH HRVAEAFRSGRAFLVGDAAHIHSPVGGQGMNTGIGDAINLAWKLTAVIQDKADMSLLD SYEVERRAFAALLVNTTDTAFNAIASEGYLSYFIRTWFIPYVSPILSKIGLVRQRMFR GVSQIMLNYRDSALSAGLAGTVQGGDRIPWAPVGELDNFQSFGEITWQVHVYGEAKDE LKEWCRSKGIPLHIFTWNEKYQSVGLGKDAAYLLRPDTYVAVAEPSGRPERYDQYFKD NKIRL AOR_1_172184 MSNAGSKPKLRVAIIGAGPAGLGAAIEFQKLPFVDLRIYEQARE LREVGAGISIQRNTWRMLDALGVYDNIDPSTIFSAADGHSVQHRGQHDTPPRHKHARA LRTVLQQALLKAVDKTNLRLSSRLVEIRELPNKTLSLRFEDEHTDEVDLLIGADGVRS VVRQFSFPDHRISYTGTTAFRALVNADDILSIPNFPDAVTFWHGPTRGIYEVTARTDL PETSETVSWGQDASPDEFIGLYKEFAPIIQEVLSKIGEVKKFPLFAGPRLSKVISHGS IALIGDASHPLSGAFGAGAGFALEDVYVLARAVQWAHERDFPLSDALTLYDRVRSPHY KDMYDILDGFRRADTSIKGLNSFDEIVMANIQSKWTDDHHWLYHYDVQEVWRKAYAEE DARRVGETNDIRDVDVYSRL AOR_1_174184 MVHPKVRKALEEARKLVADLESYEDGPINHQAVVKQTERVRIAL QEPIDLVTRSIEFLALGGAVHTILGIRAYHAMPEDGSAITADELARVTNVASTVIHRI YRVAINHGIFTETAPDTYAHNDLSRALNPKGMGSFFMIALEFTRAWIHLPEYLQSHKT DDIFDLVKSPAVYSVGKEHLGKSYYELLELDPDPERREVWNANMFMVDQLMPIVGMFP FASLKEEVEQDPGRPYLVDIGAGRGQSCFAIQKDINGAFDAKFILQDLPGVINNMNPE DYPGFDLMTYDAFTPQPIKNAHIYFMRRFLHDFYNPVCIEFVKNTASAMGPSSRLLIC DMLVPDMVEPHENTDLYWLDFALLCMTGQEKKKADFIEIFEAAGLELVKIYPSTYGRT VMLEARLKK AOR_1_1270184 MTARYHTKIHPTLYPPLLETLIPDDRTVADQLVELYFSTFETTF RILHVPRFLEEYNDHWHPDNHGPMSTGCGDIFAAKLLSLMTCATCLVDTAVSGEDSQS LNEKAKSWIQAVVSWVKTLTSHARLTLDVIQVKHLLLLARQAVGHEGDFAWLAAGSLV REAITIGLHRDPSHFKGLSPYWGEIRRRLWLTIIELDLQAALGTGAPVTLSEDEYDCA PPSNIDDEDLLVDSPVAPTPKPITVLTRTAFQVSLAQTIGVRINITKAVNRVRLTLSY QRILDLSEMLTSERSPALIGDASCEDDSRRCRLAFRQSLFLFLISQCLLTLHRAFFLS LAETRKETYAFSRQMCVQASLALLAPLEISADDLDHGPDNEQRTVYPYLLRLRGGMFR DEFFHAAATLCFELQLQAKDKPLLPLPGSVQGFVDKTTSYQRTALFHNVENAIRYFEL KVRQDKQACKAFMLLNMVLNSAQSQMPSSNSEHCASSGTHSESFDPNDACPRAARRCR ELLLADGGLLYLQEAEGWLSSSGGFPSINQEDLDQHQTSSRTTRTRSIVTTEVTSQDA PTTDSIPDLSDELPFDWDIFLDPMAIYPTNEVWPVADPLL AOR_1_180184 MQRNCQILRTWCQTGHVLLPASRPLQYFSSFSPAQACHSNQAKE KSRCQASTRDVSTTTTIKPALSMWHIKFWNCRSTWKRAGINTLRCLVGCTLGDFSALW MLQTYYPALGMGTIMAASMASGMTTSIILETILLRRGVDQLSWPMAARTAMGMSMVSM VAMEAAENIVDYHFTGGVVALGDPKFWMAAAVSMTAGYLAPLPYNYHRLKKYGKACH AOR_1_182184 MSDHQYKFNVKMGCSGCSNAIQKALGPLSGLKSLDISLEQQTVS VVAEPSLSFDAVLAAIKGKGKDVHSGEIDGVPQPI AOR_1_184184 MVTDNQPSPPQSRLTTILVNNLHCPSCVTNVEETLSALTPSPFS ISTSILSHEIKVVHPITLSSSRIVRALEEVAFEIDSVIAHDSDESDIEAQQPRTHRPA SGKHDVHSQSKIHIQKCNECATQLASSTSNSSDDEGAMKEITATAASVSSAENSLTSV MDDRLTGSRTRITLSISGMSCSSCVGKITGALQNRPWILSADVNLLTSSAVIMLMDNS HIDEVLEIIRSSGYTVELIDTEELQPQKTSKSSGMADAWRASYVIGGMTCSSCVGKVT DTLNHLDWITKVDVSLVSGSATVEFQGKAHLDEIAGIIKGLGYTATLSDLESRAPAEQ RSSKRSVMIQVDGMHCAHCPQRILDALDVYSDRLDVTEPPSKAQHRLTVNYLPDAPNF TIRHIIRTIADVDKSFTVSIYHPPSLEERSQAMHRRHQWQIARRLALSVLVAIPTFII GIVYMSLVSKDNPGREYLEEPMWAGQVSRIEWALFILATPVYFFAADLFHRRMITELR ALWRPGSKTPILRRLYRFGSMDMLMSLGTSIAYFSSIAILAIDATEPRNGHKASSAGT FFDSVVFLTMFLLIGRLLEAYSKAKAGDAVGLLGKLRPKEAILVERNGEGSTTSRAVP ADQLEFGDVVRVANGASPPYDGTVVEGESQFDESSLTGESRPVTKSIGDDVFSGTINQ ARPVSVRITGLSGNSMLDQIIGAVREGQIRRAPIERTADQITGVFVPIITLIAILDWI IWLALGLSGRLPESWMNGNPGGWEFWSLQFAISVFVVACPCGIGLAAPTALFVGGGLA AQNGILVKGGGEAFQEASQLDCIVFDKTGTITEGGEPAITDHEIANSEDVDKVWGAVL DLEKNSSHPIAKAMVSFANSQQPPALKATTVDEIPGKGMKGSFFPQGQDGPALEVIIG NEALIKDHKVAISPTNDEMLTTWKRQAKSVVLVGTRICSTPGPTEHIPWKLSLMLAVS DPIRREAKGTLQALRNRGVAVWMLSGDNPTTAHAVGEMVGIPPDNIIAGVLPEQKAEK VQYLQKTLQKPPRSSWFRRGKEPQSGRAIVAMVGDGINDSPALTVADVGIAIGSGSDI AISSAEFVLVSSGLTSLLTLIDLSRLVFRRIKFNFGWALVYNCVAVPVAAGVFYPIVS NGTHVRLDPIWASLAMALSSVSVICSSLLMRTRLPLVGFRAKK AOR_1_186184 MSCNCTTIMLSDGKASPSVESVEYLFDLGAFSRKITTSSPASQV WFNRGLTWVYAFNHMEGVKCFQKAIAYDPKCAMAYWGIAYALGAN AOR_1_1272184 MEGIYRDFGDDLDVVTLYADSMMSLTPWRLYDVATGQPTKGAFT LESKDVLERGLQLEDSLKHPGLLHLYIHLSEMSPNPERALSVAEHLRDLVPDAGHTHH MPTHLDVLVGDYRRAISSNIHATLADEKYVAVEGPNNLYSLYRLHNYHSLIYAAMHAD WLEGFVAVRLHVMVRFGMWCEIIAMALPKDQGLYCVTTATIHYAKGVVYAATNHVTEA EQERKLYVAAIERVPITRRTHPNRSVDILNVGVAMLDGEIEYRRGEHEKVFQTLRRAI ELDDGLNYAEPWGWMQPVRHAFAALSLEQGNIEAAGEAYKADLSLNSTLGRAHHHPNN V AOR_1_188184 MKPYSLLSSLAILGPAALTVAQTLNIVAHQDDDLLFMSPDLLSD VRSGRAVRTVFLTAGDAGQGEDYWTSRQAGSLATYAQIAGVANEWNEGDAGIEGFDIP VYELAAKPQIELAFLHIPDGNLDGSGFASTGSVSLQKLWEGTIDQIGTVDASGTTYTR DQLLDVLSDIIENFSPDRINTLDFVNDLGDGDHSDHHTTGFFADHASQNADNDAAFYG YMGYLVQNQPANLNADQIADKKNIFYFYAGYDSGTCNSDAACAGRPELAWLERQYLV AOR_1_190184 MTITTMKDIKTVAIIGTGVIGASWTALFLARGLKVLVTDPAPNA EKNLETYLNAQWPTLTQIGLSEGASLKNYAFVDSLDNHFEEIDFIQENGPERLEFKRT LFAYLDEKARPEVIIASSSSGIPSSEYASACRHHPERVLVGHPFNPPHLIPLVEVVPH RTTDRETVVPRAMEFYRSLGKKPVLIQKEIPGFIANRLQAALSMEAYSLVSRGIISAA DLDTTVTSSLGLRWALNGPYALNAMAGGGSFQHFLEHLGPAAKSWHDDMHKHTFSMTP EAIQDLSRTVEPMVQATDLNTLQKERDDVLLKLMDMKSKTSLLE AOR_1_192184 MSPVQLQSSQEAHILEDLPPDDYYTSNGGLPPSGTKEQNYPHEI YFLELAQSTEITNGDFFGQNGRILHSMDGGKSVTALVSGLRSPDGIEISQSAGRMFWT NMGFGTSIQDGSVMSADFDGSDIKTIIPEGAVYTPKQLTIDDQNHKLYFCDREGMSVH RCDFDGQNHEILILRGDYKTTDIEDPTRWCVGIAVDINNGKFYWTQKGPNRGGKGRIF RTNIDMPFSANALNRSDIETLFVGLSEPVDLGFESETQTLYWTDRGAHPSGNTLNKAY VGEKSPRVQILARQFHEPIGLKVDSINQQVYVTDLGGSLYRVGMDGGGKEVIYRGDGF YTGITVV AOR_1_1274184 MAGGFLPYHEPGIVEILIIISFFFFLSLAEWVSAKVLRAGIIGQ IAVGIIYGVPLANILESNWQQTFLALGYVGLILIIFEGGLGARLDLLKENLVVSTIGA ATGVCFPIGLSYLLLYLGYGYGAVETFIIGAALSATSLGTTFAVISSASKTVDLAQTR VGSVLVSAAVIDDVVGLVLSSIISDLGKLSNSDANLGWIIGRPIVASVAMAIVTPIVT KFLFAPIFRKYIEFHFARYDHISNIILMTLVLCAFISIAAYTGTSVLFGAFLAGTFLS YLPSKRPDGPFVVMSREEGEQNEDKSPTFVHTFEAYLLGVQTYLMEPLFFASIGFAIP FVQLWTGKRIWRGILFTLLMVVAKFVVGTWIPVWKHLPWTKSKADKTSKESSSAPDVP IETPKDNASMLSALLLGSAMVARGEIGLLIIEIGYNSTEYVSEEGFITGVWAILLNTI IGPVTVGLLVKFYGKRIEEGEWGLQKDSSPSQEKGNAQSAV AOR_1_196184 MLDINRCPSKRLIVCCDGTWQDSTADSSKPASNVTRFSRALSAN AVVERNGQKHEIPQIVYYQKGVGTGLGDKYWGGVAGLGLSANVRAAYGFLVDNYNEGD KIYFFGFSRGAYTARAVAGLVCQWGLLTRRGMDNFPTVYEDFYQKKADDYTPEQRRAL GFRDPLPQFTVEIIGVWDTVAFHQTWLGGWIGEKLEFRNTILSENVRYAFHALALDEE RMAYQPTLWNLPKKSHGQELLQVWFSGVHTDVGGGGDDPRLSNITLAWMIAQCMKDGQ LSFDIDGYLFDIPPRPLEIGVTPWATSLGKTGKWSFTRSLEGVLGGVSKRTPLADKPV DSGITNETIHVSISDRNLAGAGKWPWPCSALKARKDPNSWLLTDGRVIVESPLLKMED YMKGRIRTVHVDEQD AOR_1_198184 MQLTHLLAFALSLATSEAAYKGFNYGATKSDGSVKSQSDFESEF STAKNLVGTSGFTSARLYTMIQGGTTNSPISAIPAAIAENTSLLLGLWASGGGMDNEL AALKSAISQYGDSFAKLVVGISVGSEDLYRASSEGEKVNAGIGIGPDDLVSFIKEVRS IISGTALSSVPIGHVDTWTAWTNGSNSAVIDAVDWLGFDGYPYFQSSMSNSISDAKSL FDDSVAKTKAVAKGKEVWITETGWPVSGSTQNLGVASLANAKTYWDEVGCPLFDETNT WWYILQDANPTTPNPSFGVVGSTLSTTPLFDLSCSNSTRPSASASSSAAGSATPVGSA VPSGSAAVNPSSSGIVSSAVPSTTPGFTVGKGFRPSNSSAAAYYSSASASGSAYPKFT KTASGSSATSTTAGSSSDSSSTNSGKSSSESSSTNSGASASSSILATGGASSVSGSVF GALVAVFAFVATL AOR_1_1276184 MADALAIMHWHTRIDAMDIEFVLGSTPFDHNSVRRPPPLQDIVR LTPGTSTFERTTNTVPNFKKRIVSLWMLDFDACGPITMNTAGVNQAIKAFIENDPYCP RPHMEDAYSEQLWCFFSRRYLETGRKITAGSPSQSLPQQFIQGVIAKLSPPDQTGAPL VARQRKLLAIEDEVEGRDEGIVELRIPVEYC AOR_1_1278184 MTTKQDSHENYIRIGSGFCGTVWARSLDGPAIKREDGGPSRSLA NDFVMHKRALDAFVKLSLTKCSSPDYLIQPQVRIPQCYSFLTPQHTWWGENLSHFPPG FSPCNAMSSERIPPFPEDVRVLIVERYCPPEIRNQILLSASNRACLIRPYIGRRRTYG TAMNARSRFRGFSLQNYPLHLDQMVELGIPSTHIERYAAMMGEALATLHWLGEIDGND VEFVLAPPPRNDDCTTTVTNVLGEHTLWILDFDLCRSMAMDLEGVKQAANAFCRNDPF YPRPHTDQWIAFSRQYLQTSADLAHSFHEDEAESRLGLARKFIELLETKK AOR_1_202184 MASDSSTFAHTALPSDAHYIRLLQFEDTAATEPLRFTLGVYKFS DVPVYNALSYEWGDGTADRTIFINNGPFLIRDNLHHFLSVLAGSGQRDTLFFADAICI NQDDIPERNTQVQRMGDLYRQAQKVLVWIGPGTTESDLVFDICAEETQEAIDLQGSSG NALDMVYRRSYWTRLWIIQELFLARDAIVFCGSKSAPWSSFRRLTTAVRGDFVLGGFT GVDIQLGSSPLGLHTRTVLGQLDKKDREGSILNKTIDNIVIEFGQAQCSDVRDRVFGL LGLAKMQEDGRGLRIMANYSATTVNLFVRLLSNMPYTLRLNHALSIFNILKLHHVDVC AWDIGIPETICNLVFEVGLTHLGHIRHVSESRPLLCDWCKMWNKRNKHTPFELGEHLR QELRDKAITEFMVGATQSSLAAHNCGPLLSLGPYDSCVTAKKLNEGDEMFLMEGTNIV LIEHKPNPEDESHEPVTRFTRGVLAHTQREESILQAAMLLDSCLPSLPAPTEVRLQKA RFERPAYPFEVIHEELTLRQIMFILTRAAQHSSYYD AOR_1_204184 MMVKVTDSNITATTVVVESATSKFKATFTSLENGVSLQEEAVMG FGVQIQWIVVDRCIHSASQLADECLHSNAVLEENVRFSSLKIVDRFLNFVDDFNPKTK CLIEFLENVASGEISKEDIRVIDKGYGDTGRFKDE AOR_1_206184 MPIHIEPITQADIPRMVDIQQITMGASAFFRSTGDVPNIDGTPE EVSASPCRTNKISRVLDNWEKDPTCYFLKAVDEDSGEMVAFAKWHVYHGEEGMKEWRA SVRTDEGMKVPLGANEEGFRFCKGKLLEKRRVFFGEEGREHCLLALLATDPRYERRGA ASLLTQWGCDSADRLGIECYVESSKKGYPVYKRKGFEEISSQEDENVIHFDASRFTGR GGNDGDWVNLVCMVRKPRKSVC AOR_1_208184 MADESTVGSPIVADAFLEALAEAGVDYLFTVLGSDHPSIIEAYI RRQNDPTRQYPKMILFQHEFVAMSAADGYARISHKPACVIAHVDVGTAALGQGLHNAS SGRAPVVVFAGVAPSTLLGEAPGSRSEHVQWYQDIRDQAALVAPYSRFSAEIKSPHNV GSLVHRAVLMATTGSPGPVYLTATREILATPIPSVEPRPKPVPSCHLGSLSPEAVEMI GNALLEAKAPLVITGYLGRSHRAVQQLITLADTVQGLRVFDSELREVCFPATHPACLT RSTGAAPAIQSADVILVLDADVPWIPRRVHPSPSAEIYHIDLDPRKERMNMFDIGASA TFHADTTSALTQLNAYITSSPRLPALQEAWTSRAQDLLTAHKEGKARIDSRATAPLST PNEPCTVDYLCSRIRASVPQDTIYITDSVTNQVPMTEQLQLTRPGSHLTKGGSGLGWS GGAAIGASLATARYDISDRPNLHLNETTKTPFICNIIGDGSFVFSVPTAVYWAAHRYQ TPFLTVILNNGGWNATRQCLADVHPSGVAAGLSNRDLGISLVEDGPDYGEVAKAAANG NLWTKRVRSVGELDVVLREGIRVVVEERKSVVLDIVIR AOR_1_210184 MVLSPSDVPNKGPLFVKVTAVLTVIAFILVAYRIVWKVYMKSKI ATDDAIISFSMAIQIVNTVMGDLACHYAFGRHRADVARTGGNRVLALKFFWLFQILYK LVLCLNKLSFLAFYLRLFPTRKFRLVCWITIALVLSGTFGFVIATIFQCIPVHASWHK DIPKKCVKNAEFRWSWAGYNTAMDIWVCLMPLPVLARLQLDRIRKIGVMIVFCMGLFV CITSIVRMSAMAESTKTNDPTWGSFDALMWSAIEASTGIICACLPFLKHPIQRLIPTW FVSLSNGSKKTRPSYRMSRLGSQSGIRTGGHRDEDYYGEADAESMGSQGPIAKGQIVM KTDIVMRSERAYS AOR_1_212184 MEKRDIEPRVAESLSSDFDPALEKKLLRKLDWRIIPALWFLFLV SFMDRSNIGNAKIAGMVKELHMVGNDYNVAVTMFTVAYVIFGVPANLLVKKFGPRMLV LYMFTWGLFVMGQGLTKTATGLIACRFFMGMCEAGFVPGCAYLIGSYYKRDEFLRRYA IFFSANMAAGAINGLFSSLLTGLNLSGYAGWRWLFLIEAIITMGISIVCYWIVVPFPE DANFLTPEEKALLLARLEADGGGVRNDPISFKRVLSMAADWKIWICVLAYIGAEESAS SLVAFQPTILKDLGWTARSAQAHGIPIYAVAFVLTLSSAWLSDHLRHRFLFTLFGSVL IIIGWSVQLAHYLPAGVRYMGMFFVASGAFIMMSITVVWLCVNLGKGVKRSVGMGLLP AFGNCGAFVSGNVFITSQSPKYPVGFGVGLGFAVMAGVASTVYYFGLRAENRRRDSQP EKEWTPESAQDLGDAHPDFRFQL AOR_1_214184 MTLSAESSQLPWALGNIVQQVHGIPIWGTVLTILVLVVLHYAIY AVYNITFHPLAKYPGPKVAAMTRIVYTSHLLSGRLVEFLHQTHLKYGAVVGVAPNEVI FTSSQAWNDIYNVRQGAPEMSKDTPLYQSLGTPPTIAEAGHDLHRRYRRLLAKGFSEA GLREQEPVIQQKISVLIKQLHAATAKGTTPEMTSWFNFFTFDLISELTFGESFKCQEN GRFHPWILMVTQSIKFRAIIQALGYYPLLFKLFMGLIPKSYQEAFRDHQKLTSENVQR RIDKKVDYTDLASNLIDPKHNLERYEIDGNCAVLIVAGSETTTTALSATMYYLTQNPE AKAKVIKEVRSSFSSAEEITAISVNQLKYLPACFNEAMRKLPPAPAVFTRRVPREGAY IAGNCIPGGTHVGMCHFATNNSSLNFKDPEKYIPERWLGDPEYEDDARAAMQVFSVGP RNCIGQNLARLELRLLLSRVIWEFDWELDSASMDWDKDMPVYLSWGMKPLKFHFAPVV R AOR_1_216184 MALESLPTELRILIIQQLPDVQSLKSLVHASPVYYNTYALSKKK LLHDILQRQYGLVDLAEPMAALRSQGLHADVPANRDEIVTLLDRRRRHDELPVSENAP ADMEECLQLSHFYRQLEYLLNVYCSQASCPPGVSQETWEQNRPINPSNTEKARILRAL CRLQTYCNIFGAREWSEEHATELSSPSQSRFKRRSSSWYRNFNLHEMWSMIFGTMPPW EVEEFGCLWVFLQQQYTEIFSEIAQEFPRNSHEWQSLRPTVDGMELFPSVDGDGSDGN DYNDYRNHLVSLGPSFLYKVLRQPSYEARRNLIACNAVSSKSSFMILVQVSRDPPSLL YPADKYESEDIGRTLPLMPAIEQPNSGWKHHWHGYGPIHRVREVVRSDNLQEPWIERT IGNSAGWQWGYAIWDEERNAAGHRL AOR_1_218184 MAPSGYTIRLQKGIRGGFAPPTPTAILSLAKDADNSYISIYESI RPDGGSGLEDKPERTVDSSDEVEGLVTELYEILQDLPLESPPGSEDIYGKDISISWSS DDFAWCNGGQQGCVGGDSDVRPSETERHKFTRAVEIVNKLVDMAV AOR_1_1280184 MTINEMIHRLDWMSAELSTEPLNFRKVVFLAADEDSRERLSRYQ AQLASEGLTTVFIGHATEITPLLTPDTIVVHIPHVAREKSGVYEAVTKSCTSLIAAAQ VLYHHNQDSRDRTSRLFSLISRDSGTDGLEYAPLYGLARVMKTEMAEGFGGLFDEDQG HFPLSAFKYAQGFDVVRICQGVPQTASLQPFQDQLNDQKQLQLRENSTYLITGGTRGI GLEIATWLGERGAHNLLLVSRGGLTPALGRNAKNADHEKLVSRIAKLKDMGISVHVLS IDFGKPEAETLLRQAIDELNIPRIKGVVHAAGVAGFHTLQHCSPSDVADVLAPKVRGS LALDTLFPPGTLDFFFFMSSIGQLIGFPGQLSYAPANGFMDGLAAHRRRHGDNSMSIL WTAWRGVGLAAQTKSVTRILTRAMEARGIAAVSTSEAMDAWSRIVSLETDHVVVVPVL KLEADGPLRHPLLKDITMRKKRMQSTAAPYETYPEHAVAVVGMACRTAAGDTADDLWQ VIQADRSMTREIDETRFPGVAKDGKTWGNFMDDVDSFDHQFFKKSKREAAALDPHQRV LLETTYHALESAGSFSGGQKQEAETHEKSSDSEITSCFIGMNAPDYALNVACHPISPY TGFGLLRSFVAGRLSHHFGWTGPSQTIDTSCSSAMVAIHQACRAIEAGECTRAVAGGV NLITNMVTYNAMRVGGFINETGPCKTFDARADGYCRGEAVGVVVLKPLAKALGDGDHI HGVLLATGNNQNIKKTCWAVLGRAGVNPKEVSYVEAHGTGTRAGDPVEVEGIRQILGG KDRHSPLYIGAVKPNIGHSEPASGVVSLIKVLLMMKYGKIPGQAEFLTLNPSIPALEP DMMTIPTSLTDWCDGLRLAVVNNFGASGNNAAAVVAPPPPSSQSSPLSIASATPSPSV SAWPIFISAASKASLASYCSKLKIQIQQGSLAPELTSHLAFALATKQNRKLQHVFSIT ATSLSDLQAQLSEPESHTTSEGPKPIVLLFSGQNGNAILPAKPLYDSSLLFQTHLHQC EEVMQSLGLPSLFPAVLQGIDGDGDLVLCHAVMFSIQYSCGKSWIDSGVKPEAICGHS FGEWAALTVSGAMTLEAGIKLATGFVVHLLNYCLIFQGTNVSVGRAAIIQKVWGDDPG SMVAIEADLVGTDTTPSKHLESFHKKHPEAKLEVACYNGPNNYVIAGSTTHIDLLESY LNEQRSSGEKLRFKVLEGTHAYHSYMADAIIDESEKLSASIPFQTPVFPFESCHEQPW TGPGSNVIARNTREPVYFGPAISRIVNCLGPCTFLEAGFGGPIITMARNALPQPQTQS QHTFMPISGTDPVQSMADATVSLWKNGQTSVQFWLFHRSEWAKFVPVSLPPYQFEKHR HWLEYTGLGGNRDGKIDEQEPARGGICSHCLGDIDRFPYIVQDKSQTQTMGRSIFKID MRSRRYQDLVKGHVVVGSPICPASMYLELASRAVILLLGEHTATNIPEIVANAVDIKA PLGLDMERSVVLTLTEKSRGTWDFEIFSTKNDRTISHATGSIALRNSRTSSVEEVRDK RDKWARITYLLEEDSDTDALRGAMVYKVFGELATYSAPYKGLRYLVGKGSESAGDITV PVDELNAIAKASNVGISDPVVVDSFSQVPGAFIQLLRVTDEEEAENTSCICTGMDSVG PLNRLQASGKYRVYTKVVREDSKETAVDVFAFDTQTRDIVWSARGLNFSRVPRDSLAK VLGGANPGMEFNNSVGSTNLATPPLSPKVPSQVASAAPQVKSSESEKGSANVLHGVQE VLSQSLDVPVAEVTDQALLEELGVDSLVSSEIITHLRNKFQTQISTDEMKVATNVACL CELISSRVDSAASSDVDSEDQDPDTVSVSADDDIPAWQKTVFHILGQSLDMPVTDIQM DSKLEDLGVESLIETEIISNLNKDLGLNISPSEFATMADVASLCDYIAGGGNTSVRTP TTSLSNFSYTRCNPRSDLSMGLDTGATTPTGSEKSTLVKGDRMSIHTAFQRVCRGFDT HAKDTRFTGYWDQVYPLQLRTATAFILEAFKKLHCPIRDFSPGENLPDLQGTLPKYHR EILWLWETLEEVSLVEKTGGDSIRGPVSLDGDSRKDSGQELIMELISEFPHYASTHGL LGLLGPHLAECLTGKSDPVSLLFGSDQGRRLLDDYYANAPDLLAATKLLCDFFTAAIH SQASNREPFHVLEIGGGTGGTTKHLIPLLQATGLPFTYTFTDLSVSLLAHAKKITFKG VADIDFRKLNIEENPPEELLGRYHIVMASNCVHATRNLRHTLNNMRKLVQPNDGCVVL VESTQRSAWYDLVMGLLDGWWLFDDGRKYALQCPWAWEQAMRDAGFAHVDWSESASRE SRSVRIICGMVAEPEKACPAKATSMLLHQTSSNSGDRNLFLLPDGFGSSAVFGALAPL LSQVKHVSVYALNSPFLKIKPDPDQSPSIEELAATYVAEIKRRQPEGPYMVGGYSVGG VLAFEAARQLLEYGNDVKRMFLIDTPCPTFACSMPDALVDFLISINRFGVMSEDEVQE NKRGSPLAKHHFTLSRRQLSMYQVSRLPGRNIPQVVLFSAREGVDKQEWVARPEVLPA EQQLVDWFLNDRAEGELFQWDKVLDNVKVVPVNGNHFSMMVPPMINSWGCELARILNA AOR_1_222184 MNFDLLTPLGESITGGGDVGPILGAAKDIKPGNMTSFTEVFYKL ANDTKAQAEDPENAYDPLNVRDTWFSAAQYFRRADVYNHGNWSNPLINSLWAEQIEAF NKGIAALPIPGKRIRIPATKGNFTVEAIWYSASESKNDKLPTLIIGNGFDAAQEDSYH NYVAPALARGWNCITYEGPGQPTVRRNQNLGFIPDWEKVAIPVVDYVLSEKKDVIDEN RLVLVGNSLGGYLAARVAAFEPRLSAVVLIDGVWDNYAAYIRELSSEMLAIYEAGNYT QFDDVLLSARKAGMLSTGAAWGIDQGMWAFRTHSPSDFFTQIKQYNVSSFIDKIKIPV FVGDAALESSYVGQPKQVADALGHWATLHTFKGVAGFHCQTAAGQELSRTIHAWLNKT LGNVTHDH AOR_1_224184 MVNRGRSGGCVTCKQRRVKCDEAKPECQACQRLKLRCGGYNRHQ NTKLKFKDQNHKFCTNTKQDVVKVATSSPGRSPESNVQDREVVRALTLRRLSEPDTAV QFYLGHYASMGRDMGSTRGFFEMLIPAYFSEREDSALSLAVSALASETLSMWRQDATS FRSPRKSYSRALTRLQTAIQDPIERGKPATVLAVLALQTYENASAVYGLRRVSSTHHN GAASLLSFMGSDDMGGVVRAYLRRFMLHTEVSTAIRQKKPLKRIAYSWIRSKETMAVP ENPSAALDAIGASVAELQASYTEFLTQGGSATSLKCVPKEWMAAAKRVDAELLAWAEN VPDHWRPVRLISGRDIDLSIPTYQSICEVYPSCQIASIWNLWRFQRLLLAKITLGSLN AFSDLSRFGLAYGQFVGDPTDFVNCQQTLQEVVDSVCHSIPFHLGNRISRSSLADFTD PTVLLPSYNALVDGTPLDREVFDGISRDDHRRHVIAQGPWRAMHPLSRLLALFSEDHG EVIASLLRPGQREWICNQFLRVATLLHLPLESGNGMESSESPGGSADAKAEYLAREIR KGAILMSGP AOR_1_226184 MSPSRSTRESSKRKLDSTDDDQEKRKLRNRLAQRAFRRRQAEYL RNLRDRADSGDRPQNEIIHALREENACLRRQLVDVQSKLSRVITTMQALSGSVSSVLD KPSQVASTDKVEATESPSSRQETPKDIDVDIAADDYPKLDTSTSSATHIILIQNQSSG LDDPVDIMPQTLNQGLARSDNSFPEFNHDILALESLTPHTNSLSHQLPNIWSFEYQMG LQPYVNALTSSQQFSVTLGKDWTESNSPFSDHIQVLQKLLKNRLDLIRPLFQPSPQLL YQQVLMVLSLFNSITRPDVMAWYAKTRFYHIVDLTAWQIYPCTGTLSKVHEQYRPTEV QLQQQYPRVIDWIPFPTIRNRLIRLHAANPQIDQIFCDTVSSYVVEANMADLIMGAPA VTAYIRVTDVIANIPSTTPGSDPQPSAMLPAPDADTLFSSPEYARAVFKKLDIDRGIS HYKMDPAFFGTYPELYDPSVDIAAKGIPLRPDVQLRLTYPKPLDSSTFQTYRSFMDFS LYAPLSVAQGFR AOR_1_228184 MSMTEDGDITLTSMQLNDPSLLHEASLLNGEWVAAQSNKRFDIE DPGSRHVFATCPTNGPEDVDKYVETSHAAFERYRHVNPRERAKFLLKWHELITKARED IATIVVYETGKPMAEALGEVDYALGFAWWFAGEAERVRGSIALPSVSNRRTFVIKQPI GVSAALVPWNFPVAMIVRKVAAALAAGCTMIVKPSPETPLSVMALADLALRAGLAPGV LNVITTDNEYTPSVSERLCKHPLVRKVTFTGSTRVGSIIAKHCSEGLKKVTMELGGNC PFIVFDDGNLEQAVAALMILKWRTAGQACTHANRVYVQAGVYDKFAQMMLEATQKLKV GHGTDSGTTMGPLTTERGVEKLKKLVSDAISKGGKVLCGGKQPNGPQGYFFEPTIISG MTSEMLASQEEIFGPLLGLHRFETEEEAVKMANDTSMGLASYFFTKDIDRTWRLLESL AAGMIGMNTGNSSCAESPFGGIKESGYGKEAGKDVAIEEYLISKTGTLTVEGAPGP AOR_1_230184 MATTTEEVYIAVIGAGGVGSCFLKQLSYLSKTRPSPRLRLCYIA IIDKALHHPDYSEINIETALNRLEAEGQAPPTIPWIIDYLSGAPSKVILVDNTSANSL AEAYPQFLRRGISIITPNKKAFSGNYQLWEDIFSSAAAGHSYVFHESSVGAGLPIIST LKDLIITGDEITRIQGVFSGTMSFLFNSFAPTEGQGEKWSVAVHKAKQLGYTEPDPRD DLNGLDVARKLTILGRLAGLPIESPTSFPVQSLIPQELQGVSSGDEFLDRLPEFDQQM EQHKAAAEKEGKFVRFVGSIDMASREVKVGIESFEKTHPIAALQGSDNLISFYTKRYG DNPLIVQGAGAGGDVTAMGVSSDLLKVLSHIAR AOR_1_232184 MSPQKEIQANELGIDLSSGTETEYFKWFLACLLFGKPVQQGVAK RAFLELVQEGITSPDAILAAGWDRLVEILDEGHYVRYDFSTATKLLDVAGVIKEEYGT FGEMLKRFQSVDELEMHLQEFRGVGPKTVEIFMRDMRPLLE AOR_1_234184 MGARDETPQTTNEASPTPIEPPPDGGLNAWTQAVMGHMVCFNTW GYIASFGVFQAYYQSSLGVSSSAISWVGSVQIFLIFFIGTFSGRALDAGFFRPVYYTG VLLQLLGVFMTSLATRYWQLFLAQGICTGLGSGLQFCPVMGLVATYFAKRRVFALAFG LVGSGTGGMLFPGLVKALMPAIGFGWTLRVLGFVMLGTSIPAMALLKPRLPPRRSGPL VEWSAFREPAYVLFCVGMFLNFWGLYFAFYYVGAFGRSVIGLSYSDSTNLIIVTNGVG IVGRLIPAFLAGRYFGPLNTIIPLALGASLMMFCWIAVHSVGGLYAFAVLYGMFSNGV QGLWPSTLSSLTPDLSKTGVRIGMGFTVVSFACLTGPPLGGALIARADGYIGAQIWGG LTFLLGALVLVTARVSKTGMQLKARI AOR_1_236184 MAPRVGETIQPDDLAKRAHSQISEILTNTPKRLFLMGVLASDDA GSNQYANWTRKTCQEIGVHFHLMKLSPKNVATYIRAINANNSVHGIIVYYPIFGDRRD DEIRQLVAPSKDVEGLNHQTLHRISDAAEVSRPPKPGRNVIPCTPRAVAWILEWMDVH DRTRPAGERLQNQEICIINRSEVVGLPLAHLLAGEGARVYSVDISGVQLFQRLYYPWQ SEALHGKDLPNWSVQDAVQRSRVVISAVPDPAFRVNTKWLQRGAICVNVSSEKNFEAN VVEVASKFVPRVGSVTIGALLYNLILCSSRN AOR_1_238184 MGSAVSHPSTPSPPANDLIVVGSGASGVAILLQLIERVKNGKAL GEVIFVEKNGLPGPGLPYSSQCEGTILNMHTDTMGLYHDKPLHFSQWRTDQESGPFPS RARYGQYLQETWGQALEEAQHIGLGVSVIQDEAHDIDRQADGTMTLSLRNGTQLTAKS VVLALGNFTSVCNTHLINLPGFFPGPWPTSQLKTIPTDASVLVVGSRLSAVDAAIFLS EHGHQGPITFMSRSGSLPKVQGDTTPFSRRYVLHDLAKHIEENSDENLLQVTSSLMEE IFHATNGDWGWLHNDESPVKQLEHDIQAAKTGKVEWQKVLRGTAPVIERYWNGLPAKS QQLFMDKFFSPWMRYRHGMPIQNAEKILGLLRKGQLQVVQGDRVQWDGIYKAQTSTGL LEAPYVIEATGQECQLDRIESPLIQSAVEKGLLKPHPAGGVAVDFDSLRASEGLHVIG SLTRGTHFYVSAIDRVAAHAARIADAITDEPTARPLHIAIFLGSDLFSHLMASTLVPQ LLAAGHTPFIFLPVHKANRKTTPPFELRELTFFERELLQKHVIPYFKNEKPNGAPHMT IEQMKDAYGILVQEVPNVNSASFINTLRKHHIDVGLSLRCYQRFKTDIIRYFARPKRL LNLHPGVLPTYRGVMTTVRAMKNREKFFGYSLHDIDEDWDAGDLIDVRHHPIDYSKSM LHFMNDVYKMGAKMAVDVCDNIARGKELSNVPQKAEESNYYTFPTKEDLEGYRKDGIR LVDAESIVNVIVESFAPLEKQEKFRAHIDEIVQEWYDKNRP AOR_1_240184 MAPSAEPVSGQNKPYRSGDPRLAVVDEEAEQPPKFDDLYQERRY LKHRLALAFRIFAKFDLSEGVAGHITVRDPVDPTSFWVNPFGMHFSLIEDDDLIRVDH SGTVVEGGKNKRLNYAAYAIHAEIHRARPDVLCAAHSHSLYGRAICATGKTLDMLTQD FCVFWNDHVLYSNFAGLVLAADEGKAIAAALGNKKAALLGNHGILTVGPTIEATVAWF VLLERCCQIQLAADASAAGTGRPLVTIGEDEARATWEAVGTIGNGYFQGLPLFQVAER EFGERTLLGRGIVPWNAA AOR_1_1284184 MLGVIESGVSPAFMLCTGAWYTHSEQVLRSSLWYSFSGGSNIIS PLINYGLGHITSGSLHPWQYMYLIAGLATLAWAIALFFVFPGSPQTAKGFTAEERMML LERVRANNAGAENRTFKWYQVCEALYSYHFWCIFLLSTLSSTGSGAVTTFGSIIFNGM GFSTFESLLLNIPIGALAFICVLGSGYIGRKYPNARLHTVSASCIPVIVGGCMLWRLP SSKTAARIIGFYLINFFSAAWVQCIAMGTSNVAGYTKKATMAAGTFMGYSLGNIIGPL TFDARYAPRYDPGFEALIICFAIALVLSQVFRALMALQNHRRDQRVGPPTAECGLQDL TDKENKSFRYPL AOR_1_242184 MSINATEEQLNAEPNYQNPREWNGFILVKRGILQGAEVVHYLDF YFKHLWPLFPVVPEYFANRDRYISMAREEPVLVISLVALASRYHPLQGPNGELRSERV HWRAWSLVQKLFQSAMWGSTAMRKPGAIAALLLFIEWHCRPINCEDFDTGLDATSLFV SPNQPSGAQYNTQPTHEIGLDCSVNSHTNVEGLPARLNIVAPAYRSHMMSWTLLSTAI SLAQEIGCFSQDVLTNTTLARRGAVSGSVRLEWNRTLCTFIHLTDQNLSLRLRLEPQL PGSRCGNMVDQLHQCFSLDSFWESAIDLAAHTDKARELLCSWRSGELGVQSAPLTASW ERFRRGLDRWKKQHAPISSEYFYIRLCGLSPAAHVFESSSGDDQRDPNMPILSQLAND AVQTSIDLLSCVTHDLTPSTLFKYVGVRYWLYIVCASLFLLKATLRVEERLEDSHPHI LLIRQAVRAIKQNAPDDIHMSQRYATLLDIYVNAALRSSPSAVISEDIFRWEHDDSST SNCGPVQGYTLDQGNNVMFDSSFCNYLPDMVGLDDIFSWLPAGSD AOR_1_1286184 MNTLTVIITLASLWLSRALGQLTPLNQFFKPPTFPNEQTENVTR YLNEAAAQAVDGSIHQYFQDQCITQQLYPPLFTWPSGYVQPFSPFEGLFFVGHSGVSA WAYNTTEGIVLIDTLDNEDEIRAILLPGLQSFGLNGSEIKHVIITHEHADHYGGAKYL QDTFKPAVYASELAWKSMAAMGKNATPPVPTKDRILADGDNLSVGGVDFHIVLTPGHT PGCLSLIFPVSDQGRQHMAGLSGGTGTPADAASREQKIRSQYKFADICQGRGVDVLLS NHQVADHALFHADILAHRTQRTSNPFIIGVDNFDKYMKINAICSKVIAAREGQDLQI AOR_1_244184 MTQSHSHPSAISMINGLNFVDDDGDSLYAERRTMKDLEVAHIFP HALMSLNKGEQMMPQGKHGWDIDRPFNALTLTKDAHTMFGNFEIAFGHLGGHTYNIDY VNPQELLSLLDLPVTRILFITPDRSVDSPSVEFLKMHYAIARIMHMSGAGDYIDNDLR RMDETDGRQLSANGSTPIDHYIRLKLSFGEELSVH AOR_1_246184 MHFHKITLGATLAALLSLTTALPTTSYSFPLTTRDDTQCPTGKS FYRCYKNNFRGCCSVDPCDLDDGCPDNDTPTCTPGKIYQPKMQTYLLPSSDPISTPNL NVSKSATAEWDQTMTFSVPQGAKTCTLNWGVPAERNFKAGNNALVRVWQGDKVEGESI GAADFTNWPGVEGPHLHTVGTVQCAEEIVLRSRLDKESEVFLEQGAETGWYIDYKC AOR_1_248184 MQWKSFLLFVAIADLGLARLHGHQRRHKHNPAHPDLQGPEADLK ARDLEVKTVVDLEVVTVTTTITGQPVAEAPAPSSSSSSSTTTTTTVAAAPAEITPAET HEDVISIDLGASIGVSVAAPSLTPSAESTAPTSTPAASTTVSGGSTSWTSTPSSGEFS TSGFGTRTNSSGSGIEYSGNVGSPWGSNIIEVSASTAYQYRYVVQFTGSNTEDWTIVF WNKIGPDGKMDGWYGHSALNLTLGAGETKYVAFDEDSQGGWGAAKGSSLPTDDYGGYS CTWGEFDFGSTINDGWSGWDVSAIQAQAAGQTVQGMKICNHNGETCSTITSGASTVTN AYTQSEASVDGIGGSLNTDSHGKDYNGGSSSQLWTPTVLRPWVFVAFATLFIAILIVV QVLYSVSIKNNGISASDAKFRYLWTYGPTAVLIIVAGVWGQVEYRTKQLMPWKLMGQT PRPASQSLLLDYVSDWNVVTLFRALKHCHWVVAIAVLGTLLLKLLTVASTGLFMLQNV HVSNVPTTLTAEATFSGTGYNSANIDSNAALIVVGNKFLDLPYPAGTTDKYAFSPFHG SDTPSDSNTILSGTVDTFSTDLSCEVATVKNWTQGCETQHCEQTQFNLTLSTPECSRY QFNAFRRSTSAVGGWHANVFSERCASSNDDTNTDRVIFAAAHWLNDSAQVQSLVCEPT YTISPTLVSLFKSNQSVAEINSTDKVDTSNYTIPGVTAVDIVSGLLSTLTIADSSVGT LIQLNHGLSANLADPNNSYLDSFYRIATVSSADNLEPLLDAANLEAISRPVYNAITAQ VARQYLMTSANKPFNGVYSASTEKLLVRELSVRLMEAAVALLVLVAGAMCVWRPAKCT PRDPGTISGMATILARSPDLSNRLAGIKNRKDLKSSLAGGLFASEIASIDGQRSFSII TKHDAKEDMRVAEASSQISWWKPLAMSLGWRILTLAVPLLVIAGLETTYQISQKRNGL ADITSDGYIRYTWVYIPAFIMLLIQALFECSHFSTQVIQPYLELRRGGLTAQESLMDN YLSKLTMHALWSALIKRKYAIFTTALTMILAPCLTIAASGLYSTEAASYVRAVSILRN DSFNSTVEPQAYHSGQKGIGLTGALVVGTNLSYPDWTYDEVVLPALKEGTLMDVKENT TYKPTNQSSTADSIFELTYPGLRAGLNCTVLEASQILETTVYKGFGSSMSVSLSLGGD CDTSSRKVDNKTIKWYSMTLPLNGTTYNGTAFGTFQVTDIINEMPECPKMVGVYGVVS NPNSTDTDGIRGFTCFPYVDEIDVDTTFTVPGFKIQSAKPDESSSRRFADSLASQLDF DSFLPTSNTVTAYDGFDNVFIAMMQDQETLTVTDLEQADRVPVVINATQHLYRVLMAQ SMNGNSRLPLEQETKYQGTVVDPTRVRLKQSAVSTRILEGFLAAMALCIMVAFYLGRR TEVIPVNPCSIAGAATLLAGSEMLKPDMIPPGSEWCDDTELVKRGVFNGVVFGLGWWE GKRFAIDIGRPEE AOR_1_250184 MMRGASLLPVALAALSCVDALSLHRRDTPATVELPIERRQHAGG LQKRDSTLNLPLINYYDSFYILNLTLGTPAQQFAVALDTGSSDLWVNVANSSYCSSRT NPCKPFGLYDPDASSTYKNLGVEFNATYGDGTNAYGYYATDELGLGDVNVDDMQFGVA ESTTITQGIVGVAYDTLTNEASHEGKTYVNLPQALVNSGAIKSPAYSLWLNDPQASRG SILFGGVNKAKYKGELQTIPIVRTLRGYSYLAVTLTGVSVEQGKESEDYSSRLPIVVL LDSGTSLTYLPDSLVDELYKTFNATFLEDDGLAYVDCELMKKDYTVNFDFSGATIAVG ISELVLKAVAEDFPLGTCAFGVVPSGDSQDAMYILGDTFLRSAYVVYDLGNNEISLAN TNFSPGDDDILEIGTGTSAVPGATPVESPVTSATVASATDIVHTVMVGGTKATATDSN SGAAETSSSSGIAALPTSNTRHLLSGLAGAGLLLAL AOR_1_252184 MFAARRLTAGVPRVFSQRALFHNTAPAFVQKGDSIPNLDVLVED SPGNKVNLASELKGKGVIVGVPAAFSPACSSSHVPGYINHPKLKEAGQVFVVSVNDPF VMKAWATSLDPSGKSGIRFLGDPTGKFSEALDVTFDSSSIFGNHRSKRYALLVENGKV KEAFVEPDNTGLDVSAAEKVLA AOR_1_254184 MYSRTSTLLSRSCRYFFRAPVHQRQTFSISARSFAAVNAAMADA TAGVTPEGLKSKLIEQLQAQHVEIEDLSGGCGQAFQAVIVSPQFEKKTMLARHRLVNS VLKAEIAAIHAWTPKCYTPEQWQALQQ AOR_1_256184 MADKYKPSEHGGLREDGQPDKRTQQTQFAYGKVDPTEAGQEGGS VGGHASGKSSGGSGQGQFAHGKVDPHEAGRKGGQTLGDD AOR_1_258184 MGSPAITVATVTIVFSALSFIALCLRLFARYYIIQAVGLDDSFV MGLYHMHCALYAHANRGMWLSSLIYPASQGFTKVSLCWFYIRLGHVSLTRACYAMIGL VVAQTIAFVLAAAFHCPLSRWSHNPIHLLSCAKGIKAFTLSTGGLNILTDVLTFALPI PVLLKLQMPSKQKAYVIFIIALGLIACVASIVRLVYSTNLMQFPPDIVSISGTFYWTS IEMNVAIVASSIPSFKAIASRYLPRLVGYSSRENPMLLGSRNGNENPLPKPPRRPRHS LGASILYDGDRSSGLPTSSQERIHVQESMILSEVSFKVDVERNRNHG AOR_1_260184 MDDTQIHEWRAKCLYAYEGPGISHIKCYTASAIIGDERLLRGEI LCLLRLFRGRLRNKGMENHVIAPVLMISLMRPQHARIIEGYFDGKELVIICFKRLQK AOR_1_262184 MSKTLAVFGATGQQGGSVIDYVLNDPELSQRYKIRAITRNVDSP KAQQLKEKVEVVQGDVLSQSSLREALTGAHTIFAMTTPIPGPDALETEYQSAKTIADV AIDQGAEYIIFSTLPAISEISGGKYTQVTPFEAKAKAEKYIRGLPIKSAFFSPGSFME NYQLLPFLRPRQAPDGTWVMVHPMSPKGLLPLIDAVGDTGKFIGAILAEPDKYEGKTF CAATALYSLDEIAAVMSKATGKTIACKQVHPEEFKKSLPFLALAFNVFIEGFQSIGEF GYYGPDSERLVAWAAQNARGRLSTFEEYLEAHPLQLE AOR_1_264184 MDPTICVSFATVKRDGYDYFEASVGSLLEGLDPRERSALCLNIL FRDTDPSRNPSWGQKWTDRLVDKTGSYDASEEEFSHLEELEKARNFHEKGIFDSIFEE DNIIADGWMVKTLKALSDISYRSTQNPWIDLRLFYTETLMSWSLSDFAYRNMIFIFLV VQLAVFAGFMLRRRLAYGHPYLHYPIIGVVEMNTYGCCTQRLVFPREQVDGLITFLKD IKTGQTDFIIEEYADMARFTQYALVPQQLQHVGLKSSRDNLEIYTGSTWAFWFEENDP AKLKREHEDFLQHPDIQRMLGHV AOR_1_1288184 MSVQTIQEYVFIPFISLLLTITKTNSYVSFQSRTGLRSHPEWQA DHHSLLDPNIGPESPIAPILHNEAENRLDLDFYIVDSGFIAPLHSEDELPLTVFYVGG NAVDQAPFDPSEVQNLFQRI AOR_1_1290184 MALADGMVCSAVWAVLQSLSEITIAFPVSGNYIDYADLWVDPAL AFEAAWSAIVASEADFFNVLVLYWAEGSLPLELQSPSSLQSAYLSSYSQQGICL AOR_1_266184 MVDIPERPRVTDSKKVWCSILTNTAYLPGILTLEYSLRKHDTKY PFIVLYTDSLPEEAHAALDARGILKQPVPYLKPAMTTDLTQDRRLYDAWTKLIAFALY EYDHVVLLDCDMMVLHNMDELMDVELDPPEMGGKGKRVFGSTHACVCNPLKRPHYPAD WIPANCGWALQHDTPELAQTTAPPIEGSWGLCNTGIIVTRPSEGTWKIITDSLATSNT ADWIFADQSLLSEVFQYRWAPLPYIYNALKTKRWEGVHDAIWRDDRVKNIHYFLTPKP WDETPPVHADPTHAWWCALNAERKEHDKARGLTDGH AOR_1_268184 MEAYVSEKHGGPVLLANPQSPDQGLLQTPARRVELPAFQVQPQQ HVPLNVEATATREAHDIEDEERNGDEIAAAALGQPRRVGEIPFYTELGLCLLWISTTM TDDDREYLQKKGVFTLPKPEVCEGLLRAYFYHVHPIMPVIEVESILNYQHHGRLGDCN FLLLWSVFFVAVNFISPRLYEQEGYVSRKEMKATMYSRAKRNKVTLLQASLLLGFWHS EQDDHMQPWYWTGIAINLCQMLGLHRDPDSLRYNSSITDCQRRLWRRLWWTCFFRDRW LGLTLGRPLRINLDDCDLPMSVPADLLSELDSIPRAIFASYIPQEFPKLAEFWIILIE LSQLLGKVLVLKNQPVKSKSSMGEINALEAQIMRCRLPDQDEHGLTHLAKFYAHHVHL HYHALLITLYRPSGSEHLDNLALSQQGSEQQRLQSKANAAASKSTEILDILARENLLE YVGPMTPALLVPVMQTHLLNCKYANPLSRRFSFNKLDMCMGVLEELQKTYTVASLFRG IFIKALQQIFPTYTASSPLSISHQPVASIGDPVDSHSDTVPIETTNGECNQVIGDRAG SSMPAGFIDALIDENSIFDFLDSWSEI AOR_1_270184 MAQKNSPIIIVGGGLAGLVAAFELSKREVHTVIIDQENEASLGG QAFWSLGGLFCVNSADQRRLGIQDSRKLALEDWFNSARFDRECDFWPRKWAEAFVNFA ADHMERYIKSLGVPRFHVSWGTGPAIVEAFEKPVRAAAKKGLVEFMFRRQVDSLIVDE STGAVIGVRGQVLEPSDTARGVASSRKSIDTFEVYGSAVLIASGGIGGNVDLVKKNWP VDRLGTPPSNFVIGVPAHVDGRMIEIAKDAGANVINEDRMWHYTEGLQNWDPIWPQHG IRIIPGPSSLWLDATGKRLPPMLYPGCDTLATLKHICNTGYDYTWFVLDKTIIGKEFA LSGSEQNPDLTGKSRLRTLYRMLGSAVPGPVQAFMDKGVDFVVEPTLPKLVAGMNKLA KERDGPPLDVEKIEREIHLRDMQIDNKFTKDAQIMLIQNGRNYWPDRLGRVAKPHKLA DPSHGPFVSVRLNLLTRKTLGGLETDLHGRVLRPDGSPFPNLYAAGEVSGFGGGGVHG YSALEGTFLGGCIFSGRTAGIRMAEEVAGKAPRSAL AOR_1_272184 MNTLLRSSVRLYSTMSASLSPTSSPFTKAVVSSMRKLYPETLAD KSWDNTGLLLEAPFNPTRRQNNSVLLAIDLTKAVADEAIARKDSAIVAYHPIIFRGLK SLTFNDPQQQSLLRLAQEGISVYSPHTAVDATPGGMADWLCDIVTGSVTPSPSPSSTT NAPILSSSKTYTAPSYPTPHAVIPSEASSIPKHTRSTIHPSPPPLPENMETAGMGRLV TFDSPQSLTSLVDRIAEGVGFPGGIPIAIPQGVSVDEISIRTVGVCPGSGSSVLMKGG NVPDLLFTGEMSHHEALAAIERGKVVVALAHSNTERGYLRAVMKEKLEGVLKEEWEVQ RAEALKASEGDEGLVEILKDGVCEVHVSESDRDPYGIMVRKV AOR_1_274184 MTSYFPPVGAIPSHASTRTPSPAASPLSPPATQRSSALTNRLTS VLSASYADSDIRDALETLSLRDIHNTPEVRRQLRLDVQKEVVDCNAEIVRDFGKVAEQ LKRIGTVITSLNQTCDEMRKHISLARQDTAPVLEEASTLMNQKKEAETKQQLLDAFTK HFVVPDDDILVLTSLEDPINDQFFEVLARVKQVHRDCEALLGGENQRLGLELMDKSSR NLNSAYQKLYRWIQKEFKSLNLEDPRISSSIRRALRVLAERPSLFHSCLDFFAEARDY TLSDAFHYALTDAVSGTAGDSNVKPIEFSAHDPLRYIGDMLAWVHSTTVSEREALEAL FVADGEELARGIQAGLSSEPWSRIDEHEEVAFDGQKALSDLVNRDLIGVSRALRQRVE LVIQGHDDPVTCYKVVGLLSFYRTTFQKLLGPNSNLAELLVTLEKFTFGHFEALMKDV VSSLSTDHLALTPSEDLSTPQFLIDALEGLTSLMKTYEASFGADDTESTSDENRFTPV LRAAFDPFLELATSSAEELSNATARAIYLTNIHLTARTSVSEYSFVSTTHLNPISTKL SSLRIDLLEIQHRYLLDESGLQVLLTALEPFSPSSVAKSSTETEKQDSHPLESQPQQQ PNLADIATLPAFQPEALIAVSQQLDDFLPSALMDATDNLKRLRSAAFVKSVTEEAVEA FCRDFEFVEGMIIGADEARGKVDVTRVDRGSETGAESEKGMEEGENGWGLRRLFPRTT GEIRVLLS AOR_1_1292184 MPIFAGLITTFGADTNLAQLILYSGVYGFASGIGFNAPISAVQT VLPTDDVSLGLSIVLFAQHLGPAVSVAIAQFIFTNQLSTNLARVVPGLNLATIEDGGL SDIVRRATLARHVEVLADVGRSLSETWYLAVGLTCVTLIGSLLM AOR_1_1294184 MKRPALAFAAVLQLLATAEASWSLTLYNRPGCPGNELYMSAGST HGDPVYAWSGQGDDHGWAVVLGRDNNCCGVDNGVMSISTVSLRTGSKRRGLPTVVKAN YYVYRNRSIKYRLPIGSEHEESYAALEDPVSKANFLAIHGAMVETE AOR_1_278184 MLKSILGISPPRVEVNKEDDFDTWYEPIVVKGEMIDHCSLPGYF ILKPVAYGMWEKVRSWLADHLQPLELQSFSVPILPYLGDRAQAPYAVSGSSSFPNAES VIYPYCRKWIKSHRDLPLRMNQWCIRFQHEQNPQPLLRSCEYLLQEVHSAHITKDSAR QEAAQILELYSLLYRDLLAIPVVKGYKNAAYLQDTDISTGVVIAYIPATNQCIEGAKC HEFGQTLSKAYEITVRDPSATAEPAALLHVWQNCWRISIRALGVMVATHSDNHGLVLP PRIAETQVAIVAAQASSTDEQLILDTETDRLVSRLSSAGIRVKVDDLEGYSLGWRFNE WIVSGTPLFIVLGPNEVTNQVVTIRRRDLLHAEGKVEAAIPELHTIIPTTLANIQEEL YRNALAKFQADQTRIADNWDDFIKSLYRDKLVCLTPHCRQRSCELYIEGMGSDGTDQA LGSHIRCLCVPLEQPGATEECATPCINPTCKYSALKWAMFGCVLIAYNAIHFAQQANI ALTVTTTPGLKCLAYAFYCGLLFVMIGFTEITSVTYMYHVVQVLKSMRIL AOR_1_280184 MPYPPIAQHDGVPHGTASRPAHSLSLRASTSLRDTSNGLWDILN DLWHPESNPHGYVTLGAGHNALMQEQLLHRVNSNFDLPGRHLLLNDTITGSVRLKTAI ARFLTRYLSPSKALKPSQIIATNGVSSATEHCSWALCDPGEGMLVGRPYYRDFSRDIC LRPGAKLVPVSFDEMDPVGVSAVSKYEEAIVSSREQGCTIRAIMLCNPHNPLGRCYPP SFLVGLMKLCQKHGVHLISDEIYALSVWRHGQDGATTIEDFTSVLSINYDGVIDPKLV HVLWGISKDFGANGVRLGVIISQNNGDLLESIRGVGQFSSISGFADYVTTSILEDEEF VDRYIEESREKLAAAYNYVVIFLDNHGIPHARGSNAGFFVWCDLLTAYLKAQPNRPSD DSDSAKWNRSRELAEKLALHKVHLGVGDDFGSEQPGWFRITFSQHRVQLDEGLKRIVK ALHS AOR_1_1296184 MDSPLLSPAKARQAAIQAKDWAYVNSWLSRQYAPNPVPTFERNE DTLRTLLALAAANDTADEEAALLHQAREQAVQGFKAREETEDKQKKEILDELEYCLDD KGGQDLDDLAKSAAALGALDVEAAHLGQAVVDLTKEEFGVQDQLDKVDMLHDYLQREL EALRRQLDELRSDPAYEVPADLPAKTVEWTKGTKVLAAKVNEYQDRVAALKRNQSKGP TLGEVLAEEEDIKKLMDNVKSLEHRLQLFQNLPTDVQGARAKYRELLEELSELTQERD SKLDQSRREK AOR_1_282184 MSDTGRKDFSTKAKEELTPDSAKSTQQKVKEGATDIGDRVSRGF QPDDQKSGTQEAFDKGQRVHDNKSHGGAGESILDKAKNAVGLGDH AOR_1_284184 MPSSATSSSNWDFTPVINLLRSPTYSSGDRSTPARHNDSHQAPS TPGTVAAQGLNDSAPDLKHESGGPPKLGDFSSLWDFLGNTTPPVGAEAGLRQATKESI VEQPPQQPKRIQILKRPSHGDTNVDSPDKTLPRTPPRPIPTSDVSKSHETTVEYRTTK HRNQTKQPTYEPHLSEGTVEAESDNPSIFDSSYSKRRVVSFVPSQVGIAEALSESSET PPSSFDEADGALAPIAIQNLPGGAIRVQPVAYKSAADRKVGLLTKLLKNFPDYAETIT RVGRAGKSKPGSSTCRPIHVFVDMSNIMVGFHDSMKVSRNIPVKTRIRRLPLSFQNFS LILERGRPTAKKVLVGSDRFAAIDDGEKLGYETNILDRVHKVKQPTRRQLKYRKGPRA GAHDGGSGSETNDAPEERWVEQGVDEILHLKILESLLDTDEPATIVLATGDAAEAEFS GGFMKMVERALRRGWTVELVSFSQVTSYAYRKKEFRSKWGNKFKMIALDGYIEELLDM AOR_1_286184 MTTYTPRDFYGGAIKGLIPQNWVDASNVREIPSHQEVYLSRTTL TSQITEINQRVTDPETSSLDTLINTQLQSQPLKPDAKAALYHVHDLCDEDDKLEIVTA PTRVILRKFPAGVVGYRGVVRVVSPKASSRAGARVGSGVGIGGAVAGSSAEGELTSTV TLWYLVVRLVEQETDLVVFVNVPREEFERAGDLRGLEAEERLAEGLIDGLVQELEVVD WGLFC AOR_1_288184 MARLNPTSPVKQGGTRENATKSVRKLKSNTNSVFSSRFRDTSPT PKVGDHSGTLFDEPEFKRPTARTTTNDKPVQRQRKLQRSGTVSSGTFNIFSDSDGLSD PDDVSFASTMRSSTTRSSTEGRPSDPLQLARANSLLMPQSRHSRSRSTRKSELYDYTK ENYPVEEVVEDYSTTSSISRNPSDASSTRRSPTRREPRQTNTRRQNGRQFRDYRQPAN RSEDEESDDGFNSLDDFIVSDSEEPSRHEASASESEPEETKRAPSPPRVKRRLVRGRR LNPEEQIKRALESSANTSLRLEPSLPAAVSMPSDHQASPRKLFRNTSNIDEKMSRLDM EDGDAGVDDNEVDHDTAEEAAAEPRSQTSEAEKANLETPPASPSKTTLKSPSKDKTHI PPTPYRESVDAFWSQEATNDWIDQHSPRKLHNLLQELEESDNEVDPEIMPRNKTTKKA AKPPSKTALKKAEMEKKKAALERKKSFDNKKAAVAEDFFEVLDDHVTGGRIQEIAEET GGVQIIWSKTLQTTAGRANWKREKLRTEGTLGTEPQTPGGSLSKHHASIELAERIIDD EDRLLNTLAHEYCHLANFIISNVHNNPHGASFKQWGLKCKEALKDHPVYGGRFEVTTK HSYKIDYKYVWSCVDCGQTYGRHSKSIDTTKSRCGKCKGLLQQIKPKPRSVSPRKKQP LGDSEKVAVDEVANVLGEISLGN AOR_1_290184 MKLSSIVAGASLFASSVIAADLDPIIIKGSKFFYKSNDTQFYIR GVAYQQEYSGPDSSANSFKDPLADADACKRDVPYLEKLGTNTIRVYAIDPKSDHKECM SLLSDAGIYVIADLSSPGDSINRNEPKWDNDLYNRYVTVVDELSQYSNVIGFFAGNEV SNSENTTSASAFVKAAVRDTKQYIKAKNYRSMGVGYATSDDSSIRKNMANYFNCNGAD DSIDFWGYNVYSWCGDSNYEKSGYASRTEEFKDYTVPVFFAEYGCNAVQPRKFTEVQA LYGDKMADVWSGGIVYMYFQEENNYGLVSVDGNKVSTKADFSYLSKELASATPSGTKK GDYQPTNTALQSCPTVDDKWLATSSPLPPSPNQDLCSCMEESLSCALKDKVSGEQLDK LFGTVCGYDVCDGITTNATTGKYGAYSVCTPQQQLSYAINLYYQNQKAKGNGDKACDF NGAATTQSSKSGGSACSALLKEAGTSGTGTVTSSPTGTAGSGASDGAAASSSGSAGGL VAPSSVNVGIFQLGAYVVTAMVAGAGMIVL AOR_1_292184 MSGYNQGGHYDDGYGQHGGHGDSYYQDEHHGQAYYDPNDYGDGY YDRGGYYPDGGHGYNQDGGYYDAGHQDDYYGEPYYDQGNGQQRGRRRGDSEEDSETFS DFTMRSETARAADMDYYGRGDERYNSYADSQYGGRGYGYRPPSSQVSYGGNRSSGAST PVYGMDYGNALPAGQRSREPYPAWSSDAQVPVSKEEIEDIFLDLVNKFGFQRDSMRNM YDHLLTQLDSRASRMTPNQALLSLHADYIGGDNANYRRWYFAAHLDLDDAVGFSNMKL GKADRKTRKARKAAQKKAKENPENVEETLEALEGDNSLEAAEYRWKTRMNRMSQHDRV RQVALYLLCWGEANQVRFLPECLCFIFKCADDYYSSPECQNRVEPVEEFTYLNEIITP LYQYCREQGYEIADGKYVRREKDHNQIIGYDDMNQLFWYPEGIERIVLEDKTRLVDIP TAERWMKLKEVNWKKVFFKTYRETRSWFHMVTNFNRIWVIHLCSFWFFTAYNAPTLYT KNYQQQLNNKPPGSYYWSAVGFGGALACFIQIFATICEWMYVPRRWAGAQHLTKRLMF LLLMFIINLAPGVVVFGFKKQIGETIALIIGIVHFIIALVTFFFFSVMPLGGLFGSYL KKHGRQYVASQTFTASWAHLQGNDMWMSYGLWVCVFGAKLAESYFFLTLSFKDPIRIL SPMQIQRCSGVEYLGTKLCYIQPQILLGLMFFMDLTLFFLDSYLWYIICNTVFSVARS FYLGVSIWSPWRNIFSRLPKRIYSKVLATTDMEIKYKPKVLISQVWNAIIISMYREHL LAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDQSFKTEFFPPGSEAERRISFFAQ SLSTPMPEPLPVDNMPTFTVLIPHYSEKILLSLREIIREDEPYSRVTLLEYLKQLHPH EWDCFVKDTKILADETSQFNGETEKTEKDVAKSKIDDLPFYCIGFKSAAPEYTLRTRI WSSLRSQTLYRTISGFMNYSRAIKLLYRVENPEVVQMFGGNSEKLERELERMARRKFK ICVSMQRYAKFNKEERENTEFLLRAYPDLQIAYLDEEAPENEGDEPRLYSSLIDGHCE LLENGMRKPKFRIQLSGNPILGDGKSDNQNHAIIFYRGEYIQVIDANQDNYLEECLKI RSVLAEFEELTTDNVSPYTPGLPSSDTHPVAILGAREYIFSESVGVLGDVAASKEQTF GTLFARTLAEVGGKLHYGHPDFLNGIFMCTRGGISKAQKGLHLNEDIYAGMNAMIRGG RIKHCEYFQCGKGRDLGFGSILNFTTKIGTGMGEQMLSREYYYLGTQLPLDRFLSFYY AHPGFHLNNMFIMLSVQMFMIVLINLGALKHETITCRYNKDLPITDPLRPTFCANLVP IIDWVNRCVISIFIVFFISFVPLAVQELTERGVWRMATRLAKHFGSFSFMFEVFVCQI YANAVHQNLSFGGARYIGTGRGFATARIPFGVLYSRFAGPSIYAGARLLLMLLFSTST VWSAALIWFWVSLLALCISPFLFNPHQFAWHDFFIDYRDYLRWLSRGNSRSHASSWIA FCRLSRTRITGYKRKLLGVPSEKGSGDVPRARITNIFFSEIVAPLVLVGVTLIPYLFI NSRTGTMDKDRDPKNAIARIAIVAFGPIAINAGVAGMFFGMACCMGPIFSMCCKKFGA VLAAIAHAIAVIILLVIFEVMFFLEGWSWPRCVLGMISAAAIQRFVYKLIISLALTRE FKHDQSNIAWWTGKWYSMGWHSFSQPGREFLCKITELGYFAADFVLGHLLLFIMLPAL CVPYIDKFHSVILFWLRPSRQIRPPIYSLKQSKLRKRRVVRFAILYFAMLLLFLILLI APLVVRKLNINLPNIPMNLLQPLDEKHNNTISQYTGNGLPGGSSGIPASVLASATY AOR_1_294184 MDIQETQRLLSEYLHELADLFHRVPGSAIFLRYVKSSYQNDPIR SAVELFLFLFAVRYLLAPKYSTKPGVVQLSEDEIDDLVDEWTPEPLVGKPTVLEEMEV DKRTVIVGPVCPKSKLANGRTVVNLGSYNFYNFNTNESLKEKAIQTLRNYGVGPCGPR GFYGTQDVHMKTEADVASFLGTASCIIYSQAFSTISSVIPAFSKRGDIIVADKGVNFA IRKGIQISRSMVRWYEHNDMEDLERVLAKVTKEQARKPLTRRFIITEGLFESYGDMVD LPKIIELKLKYKFRLILDESWSFGVLGRTGRGVTEHQNVDAAEVDMIVGSLAGPLVAG GGFCAGSEEIVHHQRISAAAYTFSAALPALLSTTASATINILQNSPETISQLREHTKA MWAQLDPRSDWVYCTSAPENPIMILVLKPEVVAAKRLSVEDQQFLLQDVVDECLANGV LITRLKTLLDNFEPKQVVSPALKVCVTTGLTKKEIEKAGTIIRHAITKVLSKKK AOR_1_296184 MKWLICVLVVLLLSLQVKALPHESLDQSDPAPLSGVAGKHEIPI NGDPNNLPPLLPIPDPATPDLVPSLPIEGGYGISYAPYNDDGTCRSLDGINKDLDKIS EHYSYVRIYGVDCDQTQNIVSAARQRNLRVFAGLFDLQNFPNSLDQIIWAAAGDWSTF HTISIGNELVNKGQNPQDVVTAVHTARAKLRAAGYQGPVVTVDTFSVLLKHPQLCEAS DYCAANCHAFFDANQIPDNAGKYALEQANRISAAAGGKRTVIAESGWPYRGQANGKAV PSAWNQAIALYALGYSFRDRKDDMVLFSAFDDLWKQDGPGTHGAEKFWGIMRR AOR_1_1298184 MYKVYCDTKEQVQTPTSLTPINSLPFVPPPTEPTRHSRRAQRRD ECRVTTHPTTTSEPWEMEFEVSLENEQQFWDEIQEIVSALCSSEDLIDNALRSYLNLA TKYKDEYLQTELEVTRCSYKLLSSKIFASHADYVRRQMIYGLLQDDDPDTLYLISSFL LFDGRQNEVALHMMNGEGAFPRLLELLQVQNRKKEVEGDEALLHRVFMDLIYEMSRIQ RIKMEDLVLVDDEFIKSLFDIIEELSYDSSDPYHYTVIRVLLVLNEQFMISAHDPVDE KSSTPLTNKVIKILSMHGNLYKTFGENIILLINREAETSLQLLTLKLLYLIFTTPTTF EYFYTNDLHVLVDILIRNLLDLPEEASALRHTYLRVLYPLLAHTQLKTPPHYKREELK KMLSVLVRGQLSSNEVDKEKIMHFDEVDETTRRLVIRCAAVDWLQDEELDTQVPKAPE EETTLSCPTIPDGSHPEAEIGTPLESTTSRPISPTSTVESSPVTLSPTSIDESPKIEV LNQAQRLGMHLEPASSSSLSVQEVAAQHEKPGVLTPSRNKTQTATTDRPSSPNKPKIK PLPPKSRRWRGRRTTGDENDKIPEEPGIGTGTGTSASPSPITPTAPSIPQGPSDRRNS TSTSGLVPPIPAHGRRSASNPPPAVPPPRRSTLPVVHPHHVSSHCTPVTSPSRLQIQQ NSDSAQKHGQKPEPPKTRRSGRRRPSQTDSPTPSQDGHDGHAALPEVSNEKHHDNQTV SVEEAVQNVSLS AOR_1_300184 MGAGWSSDHPEEPLFSAADLRQPPNPPSQSKYPRCYGDVVPSVC SDRPEDFYDELYEQMYFVQHAYQDCIVAFQQCEKENPRVDPEEWLFIAERIPRVYEYL AHSTNTSNVDVDQPIDLHPRIVKYLGRLPVGYLLEKLDPGPLGATTLPLLTTFPASRM SSDDKDSPDNGDREPLLLLYYRWTLQSLTMLAFLHEHGVYLMDFSSSTIWIRDDLSIA LSGFVNATIPTDEWPYSPDGTRYETEIYYPTNPDSGHPELSPKIDLSDWATFVWQLMR KDASSHRAKRWAMPTDPLDPAEMPREVNVWEYHKQRLKEGKLQLLEEERLGPMLVKAW KGKYENAQEILQEVRSYLQQIGVQMDGEDEVLLDDGRKWEDVFTVVPTDGARWAVFVL AIIHFSRSRQPPTVTLGVPPPVDRPVSYPQSPPAADNKGPAPETPLPTPLLEGSTDPS TPDEDVPSQPPYSDNGLSTPERPKPSDEPPSKEDGPQFPDNSGSQSQGKLDSDRLEDQ PASHWKKLPERYPVTPADLVKLPTGKSKSLPKLQAKFSDEASEDKIKRLNRLSTIKAT FEHAWDGYKKNAMGHDEVMPLRGGFRDPFNGWGATLVDTLDTLWIMGLEEEFSIAVDQ VKKIDFTTNKKSEIPVFETAIRYLGGLLGAYDISGHKYDLLLEKAVELAEVLMGAFDT PNRMPMLFYKWKPGDAANFHRASSMSVLAELGSLSVEFTRLAQLTRDDKYYDAIARIT NELEKFQSQTSMPGLWPMKVDASGCTVAQSPTGHEAPRDLPRNSSTPTATQTPAEGII SYPTDAESYRILLESRQLHENAQPAIYNTNPQIPDPKAHEIPTSTGDTAGYCRGGLTS APGPQQFGLAAPGDSTYEYLPKEYMLLGGLNEQYRTMYEKTADAARKHLLFRPMIKDD RDIRFLATVTKPRLDAEFVYQYEGAHLTCFAGGMFAIGSKLFGIDNDLKLAAKLTDGC VWAYESTKTGIMPEQFQMIPCKEDEPCVWDEAKYWKALDPNEKERIAWGKQQKELSAK MEEKKEEVRGIQTNGTSPVPRSHREKRNPERGNWHVIASPTHTPKPSDSSDVKGRDPK GPSIDPTPSHEEFALSRIQNEHLPPGVVRINSRIYLLRPEAIESVFIMYRLTGDEYWR EKGWKMFEAIAKYTRTEIAHATIKDVTVDKPPKSDEMQSFWLAETLKYFYLLFSEPNV VDLDEYVLNTEAHPFKRPVY AOR_1_302184 MDFSNVPGYWNAVVDAPGSSSSKRDLNQLVNRFYGSSDQWYTKF KSLKFPAAQGTKYTEKLDQLVYHNPQICVYEGTKVGESLSVAMEGTTTVESHFGFSLI ATWQPGKSFNVHQAAGFFHPDGETDVTFKIGGQGVLDTSQSLQGSTITEILGEASLAG KSIYKGWASFDLYRESSVSLRSGGGNSGAVAVDTYAESRIQSDWGRVLVNFPAGAVDS PLEGTSEGRRADDKVSTAEKDNISRPLAESPKGFIEVGTTTRVGIKMHLKFASPWLSD IDGELPDMSVSQSVYSRWHFEHDGAKSCLTTSLGTTMKSHLERGSYVGWKDKETKTFV QELAQAGERQCFTGDAATKRDRIERRQLVNPNFDPDLLVPGINLGDGGWNNMAARILC NGYGTQASVRDDDYYVFPISDQTSLARRDLLINASCGLQDAHCVGSDNKTWLVERQAT TPRISQTPKKLNICRTQITGPKYPSYPKQSPNAVLGPQDFNSHWSTQNVIKYMHNSSQ SCTNWAVGKFANSDIVWDKSTNIRHNQFYETEHPFEGQTISRFFNVHMTSVATVTRPC TWIVTWILSRAPWAGATTNVAQLMSYELESRDRQGRLAVFLTDSNWMKGAIVKGSSPI QTSRWDNLDLGDEQLAYLKELGMLFSYWNHPEVWQSFCDSYNGMRDVLLQFDNWYIAN RGPSDLVGEWKKFNQLELKRIVERGKASAQYLKESRKPVPGFWGRGWTLKWQGLFTYF PGNLLYQFGTIKLDQTCVNLQ AOR_1_304184 MVSSLTLITLLLGGWGAEVAADSYALYNADSEVLLDASENCLAA FNANVTCPALTGELFSSPFFEFNRNTTWLDLVCATSCRESLQEHRNNVSTACTSARYY DEFEKTTWQPWYPDDYMIYSHDIACMTRSDGQLCNAYFWETGPPESDCDECMLKIVHR QVNSPFAGDESDRAHFSSATEACQATGYPATVATSLLISTPTPTATGSTGTMYSIKPT DDFYSIPKSQSVGTDQLLRSNGLSYVQDKFPTTGTLCIENKCQTHVLAPNDTCASIAA AADIWQVQLLTWNPNINPFCSNLERFVGNTFCISNPLGNYSLPDSPVVTPMPTPEPVP DNIGPNDNARCGRYYAIVEGEDCAMITVKFNIGLNDFIFLNPDVNANCTNLWLNYSYC VQPVGEISTYPGYLPGPTATRPPFTAGPSTSIPWYDPESTQDADLLVIPLANLTRTDC WDYIWINSTDPDQLGCWDYAWAAGVEPDQFALWNPSIDQNAEDSLEPTYDYHCTLTPS VSYCIGLASPTPAPAQTASAPVPRASGEISDCVWWTYTVQGLSCEDLLSNLGLTIDVF YQMNPSVKEDCTGLAVGTYYCGSTLSMGILADDEDDENPLSNTNISTANPNHHAGGFV TPTPIQEGMVSNCVEFYLVVTDDDCWSIANDHSISQADLYAWNPAVGNDCAGLWPDYY ICVGVEGTTTSTPTSTATPTSTEPGSRRTEVTNPFKTNEEV AOR_1_306184 MMTSNILSRFLPPNGSPSVYETLRQQDAESNPSDVEERAGLEFE DDRRTQFSDRELEEAMVDAARDGIRSPSPSPSEPFLTQRSPQRTSGTATAKTGGRRRK HSRPRWMHQDPDDGDDDVPPSLLVEGHQDDDEMRSRLPPPPPSHRHPQRELSPAPGPS SRADRARWNTTREQLPLHNDGRGQRPGVIWSLGHPNLASVDPKEKAMWLWANVENLDN FLKDVYTYFLGNGIWSILLNRGLSLLTFAFVVGFSTFLTNCIDYRNFRGSRKMDDILI QQCTKKMSIDANPATAGAVSARHRKFMGSQSKQRMDAHDIANRLMRKENYLIALVNKD ILDLTLPIPFLRNRQLFSQTLEWNINLCIMDYVFNDQGQVRTLFLKDTHRKALSEGLR RRFIFAGIMNIFVAPFIVVYFLMHYFFRYFNEYKKNPAQIGSRQYTPLAEWKFREFNE LWHLFERRVNMSYPFASRYVDQFPKDKTVQVAGFVAFVSGALASVLALVSIIDPELFL GFEITHDRTVLFYLGVFGSVWAFARGLVPEETNVFDPEFALLEVIDFTHYFPNHWKGR LHSDEVRKEFAVLYQMKIVIFLEEILSMIFTPFILWFSLPKCSDRLIDFFREFTVHVD GMGYLCSFAVFDFKKGTNVISQGGTGRRESGRQDLRADYFSTKDGKMLASYYGFLDNY GGNRPANPSSRRQFHPPPAFPTLGSPSAIEMGNIGERLERTQTRHGPAATFMGQQSGL GPGFGAAGFGDHASPAPSILLDPHHQPTASDFRTANRSALYPRFRSSRPVRPITDPIE DDNESPSAEIRRGAVKKSPHTTTGSSGGAIGTSDSNLGESWRMNLIGDELDKDTGEDG ENVDEIAGNAGVLGLIQQFQKVSKDNRGRTTVGI AOR_1_308184 MEPEGSNGPKRNSIHQGLYSRPVERRSSKKSSSRDRHGMVYPES FRDTGIRTVTPDSAAETTNHSPLSDAEHLSSGAAPSPRVTARTRPANLDTRRDFQSYH PAGDEEEFQVESKSQRARSRTTTLEDQRSELSPNSFYLKSRSRLGSVNAVTPQSRHSD EPTGSIGYPSIQSPTYLSQTLGRHRVSRASGGSNFLASVASNPPPSPLSSTDSAKILQ LMKTTCGRMHGILSFRTANTTAWTSGYCAINVATGSLIYQAKGEPALAKTLIPDLRGC QVRSLVDPELRTNYLSVSTFTSGLGVELRPHVSETFDSWLAALLCWQPIRPKGVQNKM TKPQSVAIGDRRLADRRRNSESTVQKEAAIIKVGKMLLWDRPNASGARPSSGRRVSTY RQQRALSSSWQRVSCTLQENGVFKLFTESDITFVHCIQLSQLSRCAVQRLNSSVLEDE FCIAIYPQYAAHSVSGLTRPVYLALESRVLFEVWFVLLRAFTIPELYGPETSIEDNPA KAPAPDATSTSIADMFRIERMLTVRVTEAKLFRSRSEEAPRSRKQSRSHSNSTPTGAV NDYYTEVLLDGEIRAKTAVKYRTANPFWREDFTFNDLPPVLSQVSILVKNPNPTQKDW SLIAHGSYALNQDSYPMRVLDDVELSSHDATFGRVELRLDDLEPGVEVEKWWPILDDR DQPVGEMLMRARMEETVVLMSHEYTPMSELLHSFTNGLSVNMAQILSSELTLLSEALL NIFQVSGTTVEWIQALVEDEIDGLHKDSNANRLRYTTRIHSNDTRESGQDREVLVRDL GRTATVEANLLFRGNSLLTKALDYHMRRLGKEYLEETIGERLRDIDESDPECEVDPSR VHRSDDLERNWRNLISLTTSVWKTIAGSASRCPAELRLIFRHVRACAEDRYGDFLRTV TYSSVSGFLFLRFFCPAILNPKLFGLLKDHPRPRAQRTLTLIAKALQGLANMTTFGSK EPWMEPMNKFLVGNRIEFKQFVDSICAIPADRPTPIVTPSYATPIQILGRLPPTSREG FPSLPFLIDHPRSFAILIRIWLEGAPARLNEIEDLDPALKKFHDMALHLDRRTKECLS KAEQAERPSGDLEVKWEELVDSMERSATFYEESSKPTTPATETAIAGSASIAGSHRNS IGYFATRPSLPRRSTDYAADGDDDTPPSSSSATWDQSRVPFSIPRWSDTRDSTGSSKN SSTYSLEYPDSSKPRRSSITRETASKYRFFDLVPTTSRRRARDREHAQQQSREELRNE F AOR_1_310184 MGNEEISDFEKQRLANIAERDALLKQLSLNAQSVFTPTLPNRAT GSQAKTKKKPAPKKVKKEEESPAPRRMSSRLRGIAADSEVAKRKAEEQHQAYQEAERA KRVRKSDSFSLNDIFVSGQKLSGDGLLGVDVVTKGVAVPYQRTFGDDDIKKTTDKELK ALRKEMSELQLWEAWEPNRIKLTPERVYTMTFHPSETKPLIFAGDKMGHLGILDASQE KPTSVKQEDEDEEDDDPDPVLTTLKPHTRTISSMVIHPSKPTHLYTASYDSSIREMDL DKTTSVERYAPDSTSDDVPLSGLDMAADDPNTLYWTTLEGEFGRYDMRTPKQGSVAVW SLSEKKIGGFSLFPTHSHYFATASLDRTMRLWDIRKLSRREPVPVGEHQSRLSVSHAA FNSAGQVATSSYDDSLKLYDFGAKGIASWKPGHTLSDAEMKPDTVVRHNCQTGRWVTI LRPQWQINPQSHIQRFCIGNMNRFVDVYSSSGDQLAQLGGDGITATYLYPGMFCLVVP SFFVAWFYVIPCGLTIVQNSVENE AOR_1_312184 MSGHIHRSLLAVTTRNSLAPFLYQTRTLSGSFPHPLQCHTQAYS TSSSNTPEDQSRTESSQNDSSANTPADSQPQTEHSNEPTERRSYLHKRAAMASRNAPR LKPNPLTMTRGEKQVFSDLLEQLGAVQKDTTTAETQKPELSEEDKNEMAQISEIFEEV LKDIKQKKKRKTATTGSADGQSADTDTPVTLRNLELQERLRKSEYSSEDITELLESNQ ISMEEAIELVVKKEAGKIENALRAAIDEGKEDTGVWDICRERIFSMLQHLGDVRLAQG LGMVQDKNQAADIPTATTDTSHLEVPESVAVEPVVTALYPKMLLVAFRLLNLHFPNSP LISQFRATIKSHGRASAVLGSSTGLYNELIYFYWRGCHDIPGVVSLLREMEVIGVEPN DRTCGLLTGIVNQRDRDLKQHWKRMRNEKRGPRREPWWDLAPNRKAVCELLGPEGWMH RIERRVQEKRPSR AOR_1_314184 MAQPHHLTRCHAAILSTSKRQLTTLRTTTRSIIPQCTRQLHHQT RPARRQPTPAHLTSSPISQPTRRSYHSEHHPDPPPHEYTNSQTTILSAALRHVPTHGF TRDALTLGARDSGFLDVSVQLLPRGEFDLVLFWLASRRGLLRASVDNGLFEKDERVKA GLKLTVEEKTKLLIMERLRMNTEIRHQWQDALALMSLAGNIPLSLSELHALSSEILTL AGDASVDASWYTKRLSVAAIYASSEVVMTRDQSPGLSETEAFVERRVEDSSAIGEKLT GFKQCLGFVGSTAIGLGRSWGLKI AOR_1_316184 MADVYRPYQRERSRSPRRRSRSPRRSRRSYSPRSRSRSRDDYRR SERRSRSPMSAAPGASGGHSGSGYGGRSSYPPPPRSFEDRAVAKEQMMQAVRESSQQD RRVYVGNLSYDVKWHHLKDFMRQAGEVIFADVLLLPNGMSKGCGIVEYATREQAQNAV NTLSNQNLMGRLVYVREDREPEPRFTGGPSRGDFGGGGRGGFGGGGGGGYGGGAGGRQ LYVSNLPFNVGWQDLKDLFRQAAQQGAVIRADVHTDATGRPKGSGIVAFESPEDARNA IQQFNGYDWQGRALEVREDRFAGAGPGGFGGRGGFGGGFGRGGFGGRGGFGGGRGGFG GFGGRGGYGGGYGGPPGGAGGPGFEGAPSVPPNPFTDFATSGGEKGPVIYVRNLPWST CNEDLVDLFSTIGKVDRAEIQYEPNGRSRGTGVVQFDNAETAETAIAKFTGYQYGGRP LGITFVKYMNAGAGPVDAMEGAEPTGGITQDQIM AOR_1_1300184 MATVVRSQTPLQDTYGAISQPANSIFSGRGYGSRSASRPNSFIA SNSGYNLATGAVAEPPVTQNGKFHEEFDVASQRGSVVFEGPSSAAVQRSASQMSNSRS ATPTRSGTLKKKSSLSKRGSMRRSGSKRSLRAGSVRSLVLGDKEKYSVDGAEDQNSAF YIPVPTNGNPTEVLADRFQAWRKVLKDLIVFFKELQKSYETRSKLFMSASNIINNSAL PPTFLKSGGLADATDILRNFHKQAYQEASKAAEVENELVAQLMGLRNDLQKKTKEIRS LSGDFRNSVDKEVDATRKSVRHLHEALGLVDTDPAATAGKGDPFIVRLSVDKQIEKQI EEENYLHRAFLNLENSGRELESIVVSEIQKAYKTYANILTREADEAYDAAEKLRAGPI SMPHDHEWNSFIAETDELVDPRVPLRDIENISYPGKDHPAAAEVRSGMLERKSKYLKS YTPGWYVLSPTHLHEFKSADRVAWQTPVMSLYLPEQKLGSHSQPDSTSHKFMLKGRQT GTMHRGHSWVFRAESHETMKAWYEDVESLISKTGEARNAFVRRHVRTVSGASFGRTST SSDRVMDEDEADRTPYSAGSVVLNQERPASQPREAGGRFPSDVHIDRHLEAPLSPSSG ESSGERDLLAAVGSLPDAKSPLQSTRHSISERDMDIDQPFERHDSYYGAWMNTAGHQK QVQAQQIYDNTQDTAGFERGNMAPNLIAGLKTTNSREHSLTRQRNRGESTSTAPTTTN VTDHTLNTVPTSIDERPESISHGHDDPMTDSWNKVQGPPSIRTASSTAVPINAPGPSI VESNEGRRPPAQTKNSVSTLELKIPGHYPPANVPA AOR_1_320184 MAGHTEDHSYHPKDAIQAAMKTTMLTGGVGLFASAVQNTLTRKN VGPFGVFVRSGGTIGVFAAMGGTYEFVKTASANLREKEDHWNVALGGFFSGTILGLRA RTFPALLGYGAALATFMGAFEYTGGSLWGYKKNADIDEFERREQLRKSYRTSGEQTLA ELGEGRGLYGPGYAERRAQRIKEAYGIEVPTSQAPAS AOR_1_322184 MTSFQRSGKTAPRLVQDIYEYDPAHPPATGRNLLADVPPVFPEY YNGPLEHISSAACRHNFVSKPAQSYVPQSEQGNTGASTKVSAVCLMCRYHLQVEVSST PGIGQPSTRFSDHVHHLVYKSGKHRGGAAPEEVSPKGQMLEMFHYECSYLSCSVAVSL RFASPVLNDDRVRLLTDPEVLRQRANEAFAAHPDRLEGVAPPTPINVLLNLRTYISNA LLDSQQSKSISAVNKRFMTCFGVEGKPCKDLLEFLGFSSKNEGFWEPPRPNPWAEFPY RDQLKIFLDDVIHELTALIEQRPVVEKKGHQLEFIRQPVFNDLLYALGSLDYPKASRS SEFQMAPQPYYEDLGVVEDMAASSIIEAFNRQVSVDPARTPVYLKCLKSIGILRGGED GSLIDQAVQVAYSEGKYTEEDVVDAYKYFGLSHDDQRLTEESIIGKFYAYLGATTAVQ ETETRRQLWRIGDSRRSERIKAAAEERVSTAEQARVFLGVSADTPDDFVMAVYTAKVN DNPSSRDLARRAVQLLAEERKSEALKHFLKTGEMTAGEMDVADAYRLLQIPDRTVDEG AIMAAYTICVDEAPAQAENYNQALRIIAKDKNSPLLSNMVSGSTTKPDRNLAEWPVGL QNIGNTCYLNSLLQFYFSIRPYRDMVLDFEKFKMEINEDMLGQKQVGSRKVSQKEVEQ SQRFLSELRTLFNDMITAPATYVIPTQELARLTLISPSNEAAIRRRSTLSGIRPSALG EINGVPVMGPLGPPQLTPESEVKGPIAPEPEKPQRSTTSDVDSEATLVSDGARIDATV PSSNDKEPEPLVTDPTKMDVQDVLTPEAVEYQPSDKPTSGEQNELSSQPPPVPPRPGP QPDPQKQLIEEVEIGAQQDVTEVINNVLFQSQCAIKPISLAPDGEQLDQVKDLFYGKA KSYIQTTEGVRSKEEWWCDIKIDVATGSRDIYAAIDGAFDVQKVSVGNSVAEQFSAIS KLPPVLQVQVQRVQFDPVKKRSFKSTHHLELKETIYLDRYMDTQQPEILNRRRQCWEW KNALRTLEARRAELQRQSEADGLDIPSLFDNAKDVLEELNSMKEDPEIAADAVPINSE LIPELNQLSQIARAELNYIEQEIKDLQIMISSQFADYKHLAYRLYAVFVHHGSVEFGH YYIYICDFERNIWRKYNDNYVTEVHDLDEIFTSQDRQNPPTPYFLVYVNATMKDRLVS PLCREILESPPEDQLSGDGGIATEGVTPPTVAEDVDMNPPAYDEIWADKGTSGSETTL PTGVASLNPLKRKGLDDIAGSTS AOR_1_324184 MPSQVATCLRLARQFSADPSKHQRFLARAFSSSVRRSEINKVVS SAELAIKDMKSNSTVLAGGFGLSGVPDTLINAVRANPSITGLTVVSNNAGVDGAGLGL LLESKQISKMIASYVGENKTFERMYLTGEIELELTPQGTLAERCRSGGHGIPAFYTPA AFGTVVQTGELPLRHNADGTVALRSKPRDVKVFDGKSYVMEESIKGDYALVKAYKADK LGNCQFRYAAQNFNGAMGRNAKMTIVEAEHIVEPGEIEPAAIHLPGIYVKRVIQSTTP KNIEKYTFAKEEGEDTAALGKGDTAAKRERIVRRAAKEFKNGMYANLGIGMPMLAPNF VDPSVEVQLQSENGILGLGPYPKKGQEDPDLINAGKETVTLAPGAACFGSDESFGMIR SGRIDLTILGAMQVSARGDLANWMLPGKIKGFGGAMDLVSNPSATKVVVTMEHTDKKG NPKIVKQCEFPLTGKTCVSRIITELCVFDVDFTDGLTLVELADGVTVDEVRSKTEAPF KVADDVKPML AOR_1_326184 MSKPSAQTITRINHLLKHWPTDHVRPSAVSVQTYLQSYLPQTSE NASKQPQQQQQSSQSPPPPLSEASLNALSSLLEDRYARRYPLPPKLRRPTGNPDYYDN VIKEFSEAPTRDFWGRVSARLKGLFRFS AOR_1_328184 MSSQRYQRVNAHDEDEEPQSQSSIPLRGTPNSPPPSFRSRSTSP SSRRLLHDDPLNNDADQTLADAFDDESDSEADEPDDRQRLMRAQPESRPVADSSSATA SSSSGMGNEQQQSSDPRSGIQRRQTILPSFSTGSRVISSTNDGVFANLAAKPERGEKT EDLPPSYEEAAADATPPYWETTILAPGISSDEVYVDGLPVGSVFSFVWNAMISMSFQL VGFLLTYLLHTTHAAKNGSRAGLGLTLVQYGFYMKGGSDTKPDDGTDQYVTPPDPNSH NFDPSSVADGSGSDGGGGGVSGISTSEWISYVLMIVGWFILIRAISDFLRARRHEQLV LQSPDRGLPVPIIATNERTETVV AOR_1_330184 MAKVDAAADSPSAASPNHSLPQGPVEARSLSSITAVASNPPAYP RNPTQKKLDPLVLYIVRVPGSKDVFLSPLKPPTKASVSAEAINASLYYLHVSTPEDDV LLQECEQEREEEAKLRRELGEEADVPPEFAKMNHVRRKPVPGGGGGAKVDADARPPLP AHRSNVSLPENVLPVPNSADLPLSLMPARPGLMGSRSSIDLPQSATQMTTESRDGFLG HTVPGDEVEARPPLSARPLPPVPKDELAFELIEDNSAPKKVNRWSALSGYMPGRNAEN WKEKYEVLTSGRHSLDSRRPQARPQSAHANPSYNRMGSPARSPGQSPSRRPYDSKPPE RPGFHITLIRRDPTHGSQWNVATISTPKMDGGAIDIEVSTPGYNRFAAQSEPLSLASL GINLPSEMGNRISLSSFRPTQAELAESTPTQPSHPRKFHRKLCVSRPYQEDGRGSLDL GGNRPSLDNGTSSPSKHSGSKLKSGYYTFTSPWNGTCTFSTSVNGRSLKCKHMIPMPS TGPNGASIDNPAVTVAEIRFNTPFQAGHLHHQPGPSHISPFTLSQTPAFKDLTSNPNN HGHGHNLDPSPSSPSDRTSKRASLAQFLNPNNYNRPRARSGASAHSTSSTSNFGVPTR KPSTSSTSSGVADLDDGPRRPLRRPPSEDRLDLSLARENAGGGMRGKSAKLGKLIIED EGIKMLDLLVASCMAVWWRGYYY AOR_1_332184 MADLRGRKIFKVFNQDFIVDERYNVTKELGQGAYGIVCAATNIQ TGEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPDNFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSIDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHYLGTPNEETLSRIGSPRAQEYVRNLPFMPKIPF QRLFPSANPDALDLLDRMLAFDPSSRISVEEALEHPYLHIWHDASDEPTCPTTFDFHF EVVDDVQEMRRMIYEEVVRFRAAVRQQSQAQAAAAAQQQQIAQQTNVPIPENQQGVWK QEEPKPQEALAAGGGVHNDLESSLQRGMDVQ AOR_1_1302184 MQARYYPFQWRQSTAVTAVAASLLLIFAVFLFNSRHHIDISPIP NAQPPSYFSNSSACHVDLDLLRTHASNSSAEYARLEISVVRTKNFTGFSDALDVPIPT YQTVQLDTTNHTELLPEERCTTSVTIHAPVAAPRPNASHLIFGVATSTERLYDSLDAF AHWAGGTNAHIVAVVDDEGSVTQSKKRAKELDIRLTVIQNSDDLLDRYFSLIRTLFER KDELTKWIVLIDDDTFFPSMTNLVERLATYDPAEPQYIGALTEDIMQMYHGSHMAYGG AGIFLSIPLVRQLNAVFRNCYDFKGAGDRMIARCIYSHTTTKLKWEPGLHQLDLRGDA SGFYESGRPLPLSLHHWKSWFHADMVALSKVAAICGEACLLRRWELSDDWYLINGFSV VKYSLPLQDPNSMEQTWDKSKYKGPDPFEYSLGPLRSKDEDKVSFRLKEAIAETDRVR QIYVRKQEKPQVIEVVWNLRH AOR_1_334184 MASEDSKDLPVRSAPGAQGAGSLPDFIVERNNFFEELWQQYLEE TKNKPHPEITVTLQPGNGNKEQVSAKAWETTPAQLLKNVPKELSATIVLAKVDNELWD LSRPLEGDCTVSYVRFEDPEGREVFWHSSAHCLGEACECEYGCLLSHGPPTPQGFFYD MAMPDNRVVRETDWPALDKHANRVFKEKQSFDRLEVTKENLKKMFAYSKYKLHYIDKL VTGEKSTVYRCGTLVDLCRGPHIQNTGKIKTFKIMQNSSAYFLGDQSNDSLQRIRGVA FPDKKQMQEHLKFLEEAEKRNHVKIGKEQELFFFDEVSPGCPFLLPNGTKIFNALQTL LRSEYRKRGYQEVQTPNMYDVGIWKTSGHWAHYKDDMFKLDVEKREWALKPMNCPGHF VLFGHRERSYRELPLRLADFGVLHRNEASGALSGLTRVRKFQQDDTHIFCTQDQITSE IEGLFDFLQSIYGLFGFTFKLKLSTRPEKYLGELETWNYAEEQLKAAMTKFKGDDWTI DEGDGAFYGPKIDITIADALKREFQCATIQLDYQAPINFKLEYMSNEKADKSQAAAES AEGENKSSEPGPGRARPVVIHRAIIGSFERFLGILTEHFGGKWPFWISPRQILIVPVM PAVNDYVEELQTILRGDKLNVDIDISGNTMQKKIRTGQLAQYNFIFVVGAQEKEARTV NIRNRDDPATQKQGVMIPLEEAREKLRALRKERRLVNSL AOR_1_336184 MSFRGGGRGGFATGANRGGSFGGRGGRGGFQQPMGPPAQVLEMG SFMHACEGEMVCESINPKIPYFNAPIYLENKTPIGKVDEVLGPINQVYFTIKPQEGIV ATSFKPGDKVYIGGDKLLPLEKFLPKPKPPPGTAKPKRAGGAARGGARGGRGGPRGGA RGGRGGFGAPRGGGGFRGGARGGGGGFRGGSGGFSRGGGRGGPRGGFRR AOR_1_338184 MSRRSSVMPSNASTNSGLPPAGDGGQEKQKMLLSAETGHFSMVR ALHLADLVTELNGFCGVMSVLSSMRYCLGDPHDYGAIWSALGFMPFGLFFDFMDGKIA RWRKKSSLMGQELDSLADLISFGMAPAAAAFALGVRTNVDHLLLAFFVLCGLTRLARF NVTVAVLPKDKTGKSKYFEGTPIPTTLSIASLMAYWVSQGWVQEDLPLGVIAQGTAFE FHPVALLFVLHGCLMVSKSIHIPKP AOR_1_340184 MKSFTMKSALAASALLIAAYLPAVNAQTQVDKGCYSDSTPLKDQ GSYTYQSNGYCQKLCLKDNYAVFALAKGTNCLCGNQLPATSAKTDDSNCNVKCAGWPD VMCGGNNAFSVYLTGIEDDVDSYSSSSSSSSSSSSSTESGTSTTTNGGTVVTTSGGQT VFKTSESEMTTQEADAKKEKNSGSNTAAIAAGVVVGVVGFCALVGAIFFLWRFRKRSN MPEQYRNNNIDSFGAKPMSQSSMSDSRFDGDFMAQRRQSNGSIDDDQDFSRRILQVTN PDRRY AOR_1_342184 MPSTASTSRTQNNKASSRKTTNTMRRTNTQTEIPLPITYTPTTH RISKAKKGKRVHACEYPGCNKIFTRAEHRRRHELNHNPEAVFRCTHPGCKKAFHRPDL LSRHVERHEIETQMNNAQWSRQSHISMVSESHYLPKAAPVDPNAGHYLAATQPTTSMS IGSLVAPPIHPDLANDTGYMWMGMNMPSEHQNPLYPPHHIHESIEDSQFYSSPEACPS PCSDGATLSIPSHPRSSVASTPTAVADQYPEPIIDSDLTSSPMSMHATLRCWDQSEGP LATPSYAPVPLSDLTSEQPFHCQYPSPTWPAAHHFNYDEPALPSGTQFPPPVSWKSFT I AOR_1_344184 MVVFVDLDHDTFSKNHFSHGPDALPHHFAEPEKLTLSKLMVVGA PQSAKLATDDDTSIDPTHDASQFRLEEQNQNQNAFSVALGCYPIVKEIARSVDLNTLH ALSRTCRQFHANLALYRHQLVKQTLRCENEYIETLSDLLRSGTPIPDSVKSVIRLLSQ EARSSGRLTAGKVAKCARDMVGECRRCSKVVCRNCTAKPPTSNMLKNRIRRLCTACQT APLADLLALSPPSLSAYDTQPLSSEQPSFTTEAFQRSPCSCADSVWLCHQCGMFVRNS DTTYRRVWTWRTRYSTYLGGLGTGIGEGCQGVKCGRGETCLAAQEIELEVECEADESS GSLQGTGYGNEEAHNHPNDRRDSREEEEPGYFRQEIIGIGGVVKHKAKKRVMVGACVV EHEDERETGQYLAREEAGQHRSWCGWCWRVIPSKSDLQK AOR_1_346184 MPLNSKAVYSRLNPDFVPFTSRRSTVHSTNGIVTCTQPLAAAAG QRILQQGGNAADAAIAVAAALNITEPSSTGIGGDMFCLFYDVKTKRVHSLNGSGRYPA NATLEKIRKDLNVGPNDAGTIPMKSVHAVTTPGAAAGWVDTIEKFGSGKLSLEQILLP AIELGENGFPVSELSSFFWREGEDLLRQASPNAHEMLKPDQKAKDGVRSPLPGEILKN PTLAQTFRSLAANGKKGFYEGRVAEELVKVVQDLGGYLTLEDLKSHAEIGTQETEAIS LKFTGQSIAEKQTAGTDGEDNQGVEIWEHPPNGQGIVALMALGILEELEKMGKIPKFT EAQHNSAEYLHAVIESLRIAFADASWWVTDPDVEKVPTSELISPAYLAERAKLFNPDK ATDILDHGSPAHNHCDTVYFAVTDKEGNGISFINSNYAGFGSGIIPKGCGFTLQNRGA NFSLVPGHPNALAPRKRPYHTIIPAMITNVSDGSLHSVYGVMGGFMQPQGHVQVLLNM LAFNYHPQAALDSPRICIAAGSPELGKPVDRSVYVEEGISDEAVEGLKRLGHQVKVLK GWERGMFGRGQIIRCHYDDGQLVYSAGSDQRGDGMAIPVL AOR_1_348184 MAKKGGKNKKKNNNNNNNKQRLPVNDNQLSNPESNPPIEPAPET AVESTENGETDPTFDRHTLQFSFYPVASTSTDDLTPDNDQGNNPAEAGNAPEEGSSSS STDTASSSEAAESSEATTPSPDPVESSGEPAAEPVEEKIEPAAEADDVTQTPKDESAS DDKKDELPAEGSAQNAEAEQDATDASTPEQDDQEPKNDTGVSEDGPAAESDKPAPENG EAAEQVCEDAKAEEESATKEKDNLDDGSKETEIVEALPEEETSSPPTDAEATPQPADE PANPDETGNSSSDAPATDSNTEDHPSDPAPGTEASTEKAPTDEPTATETQEESTASAN PDIDAEPNPADSTDKVESESAETPVDEAAADGEGKESPPAEHAEAVPAIEEPAPVDTN EGAASGKGKKGKKNKKKKKKGATAVEELPPTPPPEEPEQVQDPAVAEAEVESEVKETD TAAALSTDEINDNPAEDTAEPGSPPNEDQADAGADAASDDKNPEPTAAEPTSEEPVPE EPSVDKPSEESATLEDAEHVTDLSEEPPVELSPEEGAPETEGSPEAVSEEPPKEEPTE EIVPEGAEDSASAGEVTAEVSTTDEKSSEKEKPIGEPANTEAAEQTPADEGVDEAAEP AAEETPAEVLANNEEAGSDEHPTDAEASGETQVETPATTPEPQEDLVEEERAEEEGSE ETSPVIDAPVEKSNDQEALLEEADASKEISAADAPEEMVSEEPTTAEEAQADDPSPTT ESVAEEPAPAAEPPVAEEAPVTEEPAAAEEILVEEAPAAEELPVTDEAPAAEEAPTTE PAVTEEAPIEEAPAEKTPLAEEAPAAAEEAPEPPTTQEAPAAESAVTEEVHVEEAPVE ESPVAEEPLVTKEVPSEEAPVVEESPVVEDEPVEETPIPEAAPVIEEAPAPEAAPIAK EAPAVEEPVPEEAPVTEEPVSAEEVAVEEAPAPSVSRGVTVDEALDVAEAPVEETPVE EAPAEETPAAVEPPVADDVPPAEAPVQPAPEDTPSEEPEQVEEPAADPHVIESAPADP IIIERAPASDDTPTEDTVTDEPAVGEVPLEEPDLEDSAAERELSEEATDPTSEYPDTV DSLKDDPSTTTEAAAGIAAGAAAAAAAAGLAHGHRKKKRRTADMDRERHSKRSSDDYP PRLERQKSTKHGGIFTNRWAEALDEAKRQHEKKIRSEERRKDKTVIVKREPGRSSGRE KEHERWKLFLKTRAVLNAKAQSFSEPPQTSMSLIGDLLRAVLMAIATLTRDEAPLNAP VGLTRPTKKIELDVKLVELAEKPMKRSRQNKKKSLSNVITVIAEKDIIVTIDTNVLRL LHGAEVPN AOR_1_350184 MEKEGTSAGMPPDYTRREHERHAMDPPQRSTTDTDSDLHSISHE DHPPQAFEGKDEECRPSYASDTSRDAAVVVPRPNRRGLFGQFTLLAEVENPKTYSRKK KWFVTFIVAWAGATAPMGSAILFPALSQVTKELNSTTTVANLNISLYMLSMSIFPLWW SSFSEKLGRRTIYLVSFCLFVIFNVLCAISKSMAMLIVMRMLSGGASASVQAVGAGTI ADLWESQERGRAMGIFYLGPLCGPLVAPIVGGALAQRWKWRSTLWFLAAYGGITVAFI FFALPETLISAKSPSPNTSNEQQEPIGRRLSRVSSRQVVGLTTRWLKVLKMALVDPLK IVLYLRYPPVLLTVYYASITFGSLYVLNVSVEHTFGSDPYNFTTILVGLLYIPNSLGY VVASTFGGRWMDNIMQREARKAQRYDENGNLIYHPEDRMRENAWLGAFLYPAALIWYG WTADRGVFWLVPMIANFFFGIGSMLIFSMATTMLTEFMPKKASSGVALNNFMRNIFSC VGSLVTAPIIDAIGNGWLFTILGIVAFLSSSVLFAMKVFGPRWRKSMDALRH AOR_1_352184 MSPRFRLVFFVPPSAVSACKTAIFSAGAGRYPGQGNYTECCWTT AGTSQFRPGDAANPHIGKVGELETTEEIRVEALCAGEDIARKAVEALKKAHPYEQPSY GVYRMEDF AOR_1_354184 MSSSNGTHRRQESIDPFSHPDVYYGDEEANARIKDRRRAFSTNL NQFNRQDIQNFLGGIPARRGSHDEISAQPRKFLIDVEQTLESLLEREDTDRNMQITIE DVGPKVLSLGTAASSGYNKFDVRGTYMLSNLLQELTIAKDYGRKVIVLDEARLSENPV ARLSRLIKNSFWNALTRRIDGSNIEVAGRDPKDWTDDPRPRIYIPPGAPEQVEYYRGI AEAHPELRLDVQVLDSEITPEFVKDLNSKPGLLALAMEKKYDETTQKTEYSGVPFVVP GGRFNELYGWDSYMESLGLLVSNRVDLAKSMVINFCFCIKHYGKILNANRSYYLLRSQ PPFLTDMALRVYERIKGEPDALEFLRTSILAAIKEYYSVWTAEPRFDPVSGLSRYRPE GLGVPPETEPTHFLHLLTPYAEKHGMKFDDFVQAYNNGIVKEPELDEYFLHDRAVRES GHDTSYRLERVCANIATVDLNSLLYKYEVDIARIIRTYFKDRLEIPPEFRTEQSKDIA SESSSVWDRRARRRKMRMDAYLWDEEKGMYFDYDTAKQERTSYESATTFWTMWAGLAT PHQASELVSKALPKLEAYGGLLSGTEESRGIISLDRPNRQWDFPYGWAPQQMLAWTGL LRYGYQEEAERLAYKWLYMITKAFVDFNGVVVEKYDVTRPIDPHRVDAEYGNQGVDFK GAPREGFGWVNASFVYGLEILNAHQRRALGAITPYETYIKAVAIQNDSD AOR_1_1304184 MANNLGFTLTPDSMVSFQDATFPMLIMTFLAYAGNNLYPVFLRL IIWTTYRCTPKNSSLREPLDYLLKYPRRCYTLLFRSKPTWVLFGIIFVLNFVDVLLIV VLDLHNPAVNTLPGGPRVLAAIFQAASARHTGTSSFNLADVNPAVQFSLLVMMYISVF PIAISMRASNTYEERSLGLFSSDGEVVDESNTTNYVLSHVRNQLSFDLWYIFLGIFCI CVAESNRIMNPSEPGLTVFAIFFEAISAYANVGLSLGYPGVSTSLSGQLSTFSKVVVC LLMIRGKNRGLPYQLDRAIRLPNERLVDDQVDSESDNLYTDGKLDPLDIRDLKVKRHH TK AOR_1_356184 MWKPPVNFITLHYTYIILCSLLGIIILYPCGNLRAIDAFFFGVS SATVSGLNVVDLKDLKTYQQLFLYFIPTICNMCFTNILVVIVRLYWFEKRLRETAPTA FRPSSRSVTSKEYDYDQEAQPRKLNTIRVRDPEQTAGEKINAENEHLADSSKSQTVPE ELTESKPPDGPDLDAIQLTNRRISFADNNKALYIPSPRERDRGQPIVEVDERAAKDEI SEYAENDDAKSEIRRRLRDTSNPLERVASSLFVLGSQPSRTQGSQLREAVSLSKSSNL PSISAEATLGRNSKFYNLTAEDRERLGGIEYRALKMLLKTVLGTDIAIKAIR AOR_1_358184 MDSQSLFDVKGKVVLVTGGAKGIGRMISEGYVANGATVYISSRD AKACEKAVNELNALGKGKAHAIPADFYKEEDVKKLAEELGKRESKLHVLVNNSGSNWG APYDEYPSSAWTRVLTLNLHRVFDLTRLVTPLLEKAATSGDPARIINIGSIDGLRVPA LETFAYSASKAGLHHLSRVLANHLGRRNITSNSLACGPFESKMMAATLETYREQIEGN IPLGRIGTPQDVAGACLFLSSRAGSYVNGATITLDGGSAIAAKL AOR_1_360184 MEELLAKHRKEQKDLQARITQKKKSATKKTRRGINEECERLQRE LSERHQAEIAELNGEPAPPVDDLDDLSLNGTEEDKTQKDHADSSQNPPSIDNSPDTSS ATSESSAPSPRPKKPNRQKARLARRAAEQAAQSAIAAEEAAKQTDHRGDEQEVMDGVF KRLGLKQVEIKPDGHCLYSAIAYQLEMLGLGLKPDPKRIILENPTQSRIDTVASPQHD GYRAVRAVTADFINEHHDDFVPFMEEPVELYTRKIKLTAEWGGQLELLAIARAYGVEI NVIQGDGRIEKIEGDTQEYDDEQKSKRVIWLAYYRHTYGLGEHYNALMK AOR_1_362184 MGFLEDEDKKYLDDVQAVKAWWTDSRWRHTKRPFSAEQIVAKRG NLKIEYPSNVQSKKLWKILESNFENKVASFTYGCLEPTMVTQMAKYLDTVYVSGWQSS STASSTDEPSPDLADYPMNTVPNKVNQLWMAQLFHDRKQREERITTPKEKRGNVANID YLRPIIADADTGHGGLTAVMKLTKLFVERGAAGIHIEDQAPGTKKCGHMAGKVLVPIS EHINRLVAIRAQADIMGTDLLAIARTDAEAATLITSTIDHRDHAFIVGSTNPNIQPLV DLMVAAEQAGKQGDELQAIEDQWVAQAGLKLFNDAVIEAINKGAHSNKQSLIDQYLKA AKGKSNLEARAIAKNITGQDIYFNWDAPRTREGYYRLQGGTQCAINRGLAYAPFADLI WMESKLPDYAQAKEFAEGIHAVWPEQKLAYNLSPSFNWKKAMPRDEQETYIKRLGALG YCWQFITLAGLHTTALISDQFAKAYSKQGMRAYGELVQEPEMEQGVDVVTHQKWSGAN YVDNLLKMVTGGVSSTAAMGKGVTEDQFKH AOR_1_364184 MVASNIPPLEFTPVEDIPGRVSTLRKTFLEHKTRDLEFRLVQLR KLYWAVKDHEQEIADASALDLGKPRFETEVAESGWLQNDLVFVTRNLHKWAKDEKAED IDLTFKFMNPKIRKDPLGCVLVIGAFNYPFQLTLGPVIGAIAAGNTVVIKPSENAPNS AVVIQRIIEAALDPSCYTVIQGGIPETQALLAERWDKIFFTGGATVGRIIAKAAAPHL TPVVLELGGINPAIITKSANPRLVARRLLWGKLMNSGQICTSQNYLLVDKDLVPAVIE EFKKAYNEFYPQGAKASPDYSRIINEGAFRRIKSMLDNTKGKILMGGTMDEKERFIEP TLVLVDSVDDSMLTQESFGPLIPILPVDNLEQAVDIANGIQATPLGLYPFGSKADTEK ILSSTRSGGVSVNDAALHIPTLPFGGVGESGHGAYRGKASFDVFVHRRPITSTPSWLE SILSIRYPPYAGKLSKFKAANTLAPDFDRNGNKIRFGWLRYILTLGGGSAKAGAGRAV VVAAVAYLVLQVLERRASKL AOR_1_366184 MMSGEIDALYIYDEHNNPLVEQVYRSRPPSAATILPLYLAHPAP RPSLLYIPNATPPVTVFSIVQSNLLFLALSEVDTEPLVALEFLHRVVDVLEEFVGAPL ISHKIQANYEVVAQLLNEMCDAGVVCNTELNSLQEAVEMPGWMGKLLGNVGLSGSSTP ILGPSNTLKRSISANAATQGPAIPWRRSGVRHTSNELYVDIIESLSVTMAPSGRLLSA LVSGTIAFTAKISGVPELLLSLTAPGGQQAIGRKLELPVFHPCVRLAKWRERPGELSF VPPDGRFILAGYEVDLLPIDPSLDQPPSHMEKLFLPAIVDIRKSLGSSGSDFEVRLIL NTNFPGYSSSNRPGGRNGSGTSTPSFLGGGGNSSGPVLEDVLVTIPIPKSVRNITDMQ ASRGDALFSPGNNVLEWRVPTKDAGTVSGTATLRCTVAGHSTGDDDFDDEAEEVDPEA NLLQGYYDPEPSTSYQAPEEGTTKRKTKKKKKKKVKKSSRAAPAIPDADQQEEIPQEP SQPQSPTPPQPSLSPQPQAQQPPTDDASRSIFHTTQRKTKAQLNATLMPNSAAVSFSV RGWLPSGIKVDSLNIDPRRSRGLGETVKPYKGVKYLCVSRKGVERRC AOR_1_368184 MSSTSENNGRAWDVESKEAKRLLAEDQYDDCTACRVTGSAAFIG LGVYSYYTGMSNLQKQERTVMQSATKYKMGSRRLGIAAISATLVGMGIYRAFN AOR_1_370184 MDSMRSLNTSLPSSTPRPQPPEQLLQSFKAAALSVTNLYKNAVY EQSQAKQAGYQEAIEDLLHFLDKEGLGLAGGEGSKIRQWAAERSDGTGTPSDDDEPEK QPRSTSPVATRKEQTKPEGARQPPKSTSTDESVATQQQTPLSNSQVTEANTLDKPAVF TFTAGPSFPPCQEPDVDMQSSDSSTASSQDSSPVSVSVLPRTSRQQARHNNFSRTNPR ASTREPSVGAGSKRKFAVPDFFDISGLGNRDIFGGGKRGRFT AOR_1_372184 MAPSLAPNSETPSQADTPMTDANDEPVTSVPVDDAQMGGYHDTP DYTDSDTNPNTTASSVAGDTVPVDGRRKRSEAFHLRKSILGKKHGRLDESKEDDSIRR FRYLLGLTDLFRHFIETNPNPRIKEIMAEIDRQNDEDEAKAKKKGSSRSGGAGGDRRR RTEQEEDAELLKDEKSGGETGTVFRESPPFVHGEMRDYQIAGLNWLVSLHENGISGIL ADEMGLGKTLQTISFLGYLRHVCDITGPHLVAVPKSTLDNWKREFHKWTPDVNVLVLQ GDKEERHKLINERLLDEDFDVCITSYEMVLREKSHLKKFAWEYIIIDEAHRIKNEESS LAQIIRVFHSRNRLLITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSNQESDQD TVVQQLHRVLRPFLLRRVKSDVEKSLLPKKEVNLYVPMSEMQVKWYQKILEKDIDAVN GAAGKRESKTRLLNIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLVYNAGKMSILDKLL ARMQKQGSRVLIFSQMSRVLDILEDYCVFREYNYCRIDGTTAHEDRIAAIDEYNKPGS DKFVFLLTTRAGGLGINLTTADIVVLYDSDWNPQADLQAMDRAHRIGQTKQVVVFRFV TENAIEEKVLERAAQKLRLDQLVIQQGRAQQQTKNAASKEELLGMIQHGAANVFSNDN STAPFNADKQISDDDIDAILRKGEERTAELSKKYEKLGIDDLQKFSSESAYEWNGKDF TDRKKDIGLNWINPAKRERKEQFYSIDKYYRQALATGGRTADPKPKVPRAPKQIAVHD WQFFPPGLQELQEKETAYFHKEIGYKVPLPDGPEEELSEREAERDLEQQEIDNAVPLT EEEQAQKAEMSEEGFSTWNRRDFQQFVNGSAKFGRTDYVGIATEVDSKEPDEVEEYAK VFWKRYTEIQDYPKYLRVIEQGEEKLRKMNHQRKMLRKKMEMYRVPLQQLKINYTVST TNKKVYTEEEDRFLLVMLDKYGVDGEGLYEKIRDEIRESPLFRFDWFFLSRTPVEIGR RCTTLLNTVAKEFEVADEKANGESGKGRGRDRDDEDAENDDDGAPAKKKNKGGAVNKQ VKAVKGNSKANSTSTSRAASVSSNTASKAKSRKK AOR_1_374184 MASKIFLIENPNYCKSGIKSYVHLIRKYRLHPTKNGPYSIGRAI HQTGRPFTDKPIGGRVRFHDVMRKQTSDDQLQQVEKDDIQNDAAFFMPVSIGTPAQTF NLVLDTASVDFWVRSSELPADTLPKGEEESHTFDPEKSSTFKNIEDSPWKVTYVDGSS VSGSIGTEDITIGGVAFKTQPVQLAKTMSPMFTQFSADGVLGLAFGHINHPQQDIKAL AERLNTRDDREQSAKLFTAKLGSWGDSDLKKSFCTFGSIDEDAVRYCGHDVHHTPIDN SRGYWMFESPSATVGGKPMSRSENKAVVDTDAALTLLDDQTCQAIYDSIPGAFYDSET QGFLIPSDINVDQLPVVQLAVGEKSFAMSKESLMFAEAKPGYIYGGVQSRGSLEFDVL GGTFLDGLYAIFDFGSLRFGAVQAKTKV AOR_1_376184 MAVRIAIDLKTSVILVEQNQRNTTVPQREYQKFIYGTSAVEAAL RCSRRHMYKLYVYQASGEELSSAKVALRKLALSKNVKVKMAFGGWDRLLDKMSAGRPH NGCVLEASPLPRLPVRGLKSVPSIKEEDFGVELGAQSREEALVNSTNDKLKIHHWDQR TRYPVVLLLDGIVDPGNLGAIIRSAYYLGVDAIIFAGRNSAPLSPVTIKASAGAAENM TLLEVSNEVDFIQRSKANGWKFYAADAPGPASKYVDTASIVEGKGTTEGEHVSTQSPC VIMMGSEGSGLSRHIKSHADSIVSIPGARLATPLGVESDPSRVDSLNVSVAAALLMEM FLRVPLAVADVPPKKTR AOR_1_378184 MDEEIASRLNEDLVADLLSQFQRESHAHIPEGSPEPTKSQLAVE TPTISNSDEYDFLPGHFDVRCVISEAIETAKEPSYLVKLRSGETETMSSSRLESLSNG PEALTRFQNTEQVYGSSQFPSSMASSSNEEGYTSSESGARRRSTRARNTQFTDFFRRM SSEDEESRSGSPSSDDVIISSTATTRKRRLRRRKQAKIHSGGSDMESGYSPRPTGSRF STRQRKTLRKNLRERYEEDISEFEDATKTQKFFGAKESFRKIPSDDPFRSLHNEINLN PDMTTEEAIPEDFLRADIIFDVQYADDENDDSSEDRSIETDISRVDKVSKAYIKFKGL TYEDAVWDSPPDRNNAERWADFKTAYEDWVKRDYTHMPNQHSLRKHLEQVRKQNFKKS IVKDTQPETMTGGQLMDYQKDGLNWLYYMWFKQQNAILADEMGLGKTIQVIGLFATLI QYHKCWPFLVVVPNSTCPNWRKEIKAWVPSIRVVTYYGSSFSRQLAQKYEMFNGGSHD LRCHVVVTSYETMADDASRRVLAKLPWAGLVVDEGQRLKNDKSQLYESLSRIHFPFKL LLTGTPLQNNTRELFNLLQFCDPTKNAEELEEEYGILSKENIPELHNMIRPFFLRRTK AQVLTFLPPVVQIIVPVSMSVVQKKLYKSILAKNPQLIKAIFQRKNDNQGPKQAERQN LNNILMQLRKCLCHPFIYSTAIEERTNNATASHKHLVEAAGKLQLLQLMLPKLRERGH RVLIFSQFLDNLDIVEDFLDGLGLLHCRLDGRMSSLEKQKMIDQYNAQDSPYFAFLLS TRSGGVGINLATADTVIIMDPDFNPHQDMQALSRAHRIGQKNKVLVFQLMTRGSAEEK IMQIGKKKMVLDHVLIDRMVSEEDDGQDLESILRHGAQALFEDDDSGDIRYDSASVDK LLDRSQAEQASSSNEDAPESQFSFARVWANDNQNLSELQDTEDPTSENSAIWEKILKE REEAAAEEARKAENFGRGKRKRVTVDYSNTATTELSPTKTPRKRDAESDTEFKGDEIV ESESDTSPELDFEGIERPAKRTKVRAFERVNPISDHDLSMSAAGMDGPTETRPSCFAC NQNHPVGSCRLKLAGVEHCGLCGLAHFGISRTCPHLQSDAHVARMLNALKESTEDPEL VLQAKKYLTGIRGDLAQRKRKQASKATGSLTATHGTPDGATPTAVNTTATKPSVVNLT DNARFAKPATQSGTDPRFLQSYNPRF AOR_1_380184 MSSPLRPQFFCARPNGTITPLVAVDELPSHISIRGVPRTLSANE TQGMTSLGTVSPRAQTYVIDGLVSASTRASSGPRSRDFDLQASLMRLVSDENVPANQR LAVNALLQQGISQNWFMSNASTTSWLVPSSSGGTGSGSSRQGAHHNSKKEFCSYWIRH GECDYQQQGCLYKHEMPNDLPTLEKLGLRDIPRWYREKYGIPSLLPNGHGHPRSHASH GQHWKDDTVERGAMKSIQYPSRLEINGAIDSSDIEKASKQKGTHYLSSQQHATGATGP SRHAYQAVSSPKISTNPKHTHKHISGAVNSVPRRMDLLSFDSLLEYPTLDHMGGGMSG LPYPSPTDHAAIENVDRVKHEEFVRNLHSLMPAPIATSADYLSTSFEGAPTQPRSKKS QKSRRLYQPRSQMAMHDISMEKGEIDSFGTYHSHETASPSAVSVMSKETPISLLASPI PDPSHLGAASSEPPTRGASPSTHSGASFSSGSSPRAHRNQFKEKDSKTMQAPIGTKLV NRSTGSPVNYEF AOR_1_382184 MASAARYLGRSALSMARRGPIAIPYRSFSATTPSFVSEDPPVPP APREPRPEELPSMAEYSPDLLSKEERSMYDMLAPEEREQFDAENRRMVEEFNDPQKRA AAFAELEKSVNQIDKEYPMRFDDVREKRVGFWGDEEDDEFALVEDADEEFNDDDITSM AHAELEVHREIREYARIAAWDMPFLSHLAKPFTLPPQSHILRFRYTTYMGESHPAENK VVVELSSQDLTPKHLSEAQRQTFLKLVGPRYNPDTDIVRMSCEKFTTRAQNKRYLADT VNSLIKEAKEGDAFADIPLDLRHHKPKTKLQFPDSWKLTPERKKQIEARRAERLRLEK ERAGIIDGKAVIADAARVLPALNPALRAKATEERERVAVRVTGKAQKKRLR AOR_1_384184 MAPQDHDRPMKLCFVTVGATASFHLLLQAILDDKFLAALHEANY THLLVQYGKDSQALFEELLSKYPPGSPSRHGIEIDGFDFNHAGLDREMRLAQARPDEG RNGGLVISHAGSGSILGALRLGVPLVVVPNTTLKDNHQVELARELQKQGYVIASGHQE VYVAVERAEALRARMLAWPPVNGANQKRHRTLEQVMSDELGFLD AOR_1_386184 MAEATLHNAPIVIDNGSGTIRAGFAGEEVPSCFFPSFVGRPKHP RVMAGGLEGDVFIGSRAQELRGLLKIRYPLEHGIVTDWDDMEKIWHYVYENELKTLPE EHPVLLTEPPLNPRKNRDTAAQIMFETFNVPALYTSIQAVLSLYASGRTTGVVLDSGD GVSHAVPVYEGFAIPNSIRRIDVAGRDVTEQLQLLLRKNGHVLYTSAEKEVVRKIKEE VCYVSLDPKREEKEWMNSYHKSETKAVDYRLPDGHKIKIGQERYRAPEILFDPELIGL EYPGVHQIVQDAITRTDLDLRKDLYLNIVLSGGSTLCKNFPDRLMREIKRLAVEDMKI RISAPAERKYTTWIGGGILAGLSTFRKMWVSADEWHEDPEIIHRKFA AOR_1_388184 MPATVNYRVVEPHPSVSRPALHTARGGAGNIISLKNTKTTPSQT ATGPPSLTRLDSHVPPVYKSGRGGAGNVHSSSERAIFSFDEELERDLRRAAPVYHVGR GGAGNMVYSDNGSTLSRKFSASSNATSSSTGSTSSTREKALRGLEKGWGKLRGMA AOR_1_390184 MTARNRTTSSSLPRKRRRPAKSCEQCRERKVRCDLQLPCRACRH ARGNLTCTYRESPRPRPSQTDARAVSRSPDIPASTFAEGDQSQQQSRGLGSISFTQLE QDDVVNTRTGASGSSLQHLEDRLCKAEQQLSELSRTRQFCAVCGDLTIPGIMPRLRNT AEKTKLFGPSHWMYTAEKIASDQIDSQNMDISIVDMKADLADMVKECRNLRKAAKSQR KLQLNDPVPDLLSTFPERHVCDELASAYIRTFEKIYRVVHIPSFWKEYYQFWEAPHAS STSFLIKLGLIFSIGTTFYPILGESERLRHLAQTWIYAAQWWLVGPSEKSTRNLDGIQ VFCLLLVSRKTNTIGPSPWLSTGSLLRMAMTMGLHRDPRVFPGLAPFQAEMRSRLWTT ILELVVHGSIDSSSMPLMISPQDFDNHVPHNINDADLWPATQEFSTPKPLKQFTESSI QLLMRQSLPIRMEAAKLINSSNLEQSYNAVLQIATDLQKACRDLATYFQLHWPQYSGE TDLHRKFLDMQIRRYILLLHRPYMLQAQHNPRFYLSRKICGESAMIIASYAESLQLPS QNLDDLSRLMVMSTGPFCGALHLDIITVLGLEVTSQLKETATGSSEPGQLTMDPLAEM SKAQREPMIRILEHINDQMLQVVALGIARFKRYIFLSVVLCQIRALESGTRDIRPLMI KAVQESVKNCYMAVQSSQSVSTPQGPIETLICTESPPGLDFNLMDPNLDFSAFFAHFS GGGGDGMYEMTL AOR_1_392184 MAFYASGIKKALHILPSATAIVSPAHWNSASTSNFDTILVYDLL SDCQAVLTSRRAHIIRYTTLHLPTELISSVTKPKSRATRHLSAAEFKFRLQRSESSAR SDSQDAKIYVLASPSHNICDVHQARHRADAAIYRYHSQPHLSLTLRSTSTGDYCDVYL THDSMSVRKAHNAGRNHLRNVLEYYQQIGQEKAQSVIDSITSSYAAEGQAVPNPAMAP PGAFPPPFPFPGRPGQLPPPPFGFPPPGGPNGAPGMPPPPGAKGLPFPPPFPQASGAP GSLPPLPNMPAGNVPFPPPPGGFPPNFQIPAPGAPGFPPMPGAIPGQPGFSPSSTPGI SGPPGQEGGYAPPPGAGSAGLPGPPPGLGEPR AOR_1_394184 MQFKALLSALLLAFLSAPLVTATKLIESNALNLCQDSSNFTATL FKVTFTPNNRSLVFSFDGVAAISGKVKAEIVLDAYGYTALKKEIDPCEMNIGGLCPMS AGPIDVPKAQIDVPQDVVNSIPGIAYTVPDLDASVRIYINSTDTGESIACVEASLSNG KTVYQKGVGWSTAIISGLGLAASAITSGLGHSNTAAHVAANALSLFSFMQSQAMIGMT SVHMPPIVEAWTQNFQWSMGIIHIGFLESICTWYQRSTGGTPSTTLSELSTTSVQVLK KRTLDEATGLVKKASDIIKRGSGAESETANTSNLVVRGIKRVGFKAGIEATNIFLTGL IFFVFFVAVVMILVSLFKAGCEVLAKNGKMKTDKFQDFRNGWKIVARGILFRLTLIGF PQMTVLCLWEFTQHDSAAEVVLAVIMFFSILAALGWAAFKVILLAKRSITMHKNPAYI LYSDPTCLNKWGFLYVQYRATAYYFVIPVLGYILVKGMFIGLSQEAPVVMTIALVILE AGMLIAVSVLRPWMDKKTNIYNISIAAVNFLNVIFLLFFSEVFNQPGLVTGVMGVVFF VINAVFALILLLLVLIASIYAIVSKNPDMRYQPMRDDRGSFIKSQGQLTTELDALGAA ARGDVKTGAYKSSPFDDDNGSFSSGNGASINRQNLDVHGHDQAPNTRQAPVSPVDPSL PLFPSDASGRQEPPSYNARSPSPVPRGYTASPLNNPNAYRAQNNPSPWQRGAGYDH AOR_1_396184 MPIPVDTAQSISKKRKRKHGGNARKEEAAAPAATEAVIESPEKE EVTVEKKKAKKEKSKKQKVEHMSSDEEQKNTEESEQEASDNEEEKSDAEETPAANGED LPSADTIRLPQQDGDPVKFTELGLSEKTMKGIEGMGFETMTEVQRRTIPPLLAGRDVL GAAKTGSGKTLSFLIPAIEMLSALRFKPRNGTGAIIVSPTRELALQIFGQVRELLAHH SQTYGIVIGGANRRAEAEKLMKGVNLLVATPGRLLDHLQNTQGFVFKNLRTLIIDEAD RILEVGFEDEMRQIAKILPSENRQTMLFSATQTTKVEDLARISLRPGPLYINVDHRKE HSTVEGLEQGYVICEADKRFLLLFSFLKRNLKKKIIVFLSSCNSVKYYGELLNYIDLP VLDLHGKQKQQKRTNTFFEFCNAKQGTLICTDVAARGLDIPAVDWIIQFDPPDDPRDY IHRVGRTARGSNGKGRSLMFLQPSEVGFLKHLKEARVPVVEFDFPTQKIVNVQSQLEK LIGQNYYLNKSAKEGYRSYLQAYASHSLRSVFDVHKLDLVKVSKGFGFSTPPRIDIQL GSSLKDKPPQGRRNYGSQPGSKFKRKHNDD AOR_1_398184 MGVDTRRPILPAPTESIVDTTTTGGSVTGTDLATDVSRRTDKTS YSIPDDGSPVTISTRRKNRTHGDESKLSRSAQHSQTSLLIEYFEGGKGSGSLVSRPSV RVRVTPSNSRKRKDSKDHIQITESNGNRKPVYSRRISFSSPSKNNKSSDDYSICSFNS GTDENQQPSGHTPVEIELVNRDQSSELSSDTRYFQPTSDISSMPADSMLEASSSNIRR KRSQSSSREREHSPEYKDYLQTPRRQRSRSLSTERIAHRVAEKLSNDPRETSKGQRKK GDTADFHEPESKSRSRLSVYPDEDMSPNPSLLSASALSAHRKSGDQYSFRSGTSKSSI NNPKLLETVEDAIRRLILPELKELKKDQKVMTNTSKFERDMNTSHSSGSTPSRDELGR RLSKHASAPDVTRPTVVLNKDDQDEGIVLSGEPAPSQQDRRPSDAPTEASYVKWGLRP ELTEQEKLRRQKSKGLRDAEKAARVGSALTAAALKHHDSRSSIDKTERRRPSGPRSGN GSFNDTELVFQKHNVAPMPLRSAIESDMTRDSLLSEQTAEPYGSRETSEIHDTRSPHR DLLDSRYDLKHSNFSSHDLSLHDYPEEDYREQSLSPVGDAAAGAIAAAAAANLLDEHP GRHGSKFTDRRRTLSPIQSVASNQSESHIKQDSIQPVEHDFSGTEREMEQRLSIDSLS SAPSTNLARSSRPHGISPAGQKEFLRHNNKYGAELGYEESPAMSPRSMTETASTDPKR MTGFTDESEVSYMEKVNQRQPVAGIGANPQVIHPIAVESAVASLLDPSIVDTKSNQSA PNRSQTDLSRRLEGQSPKPVERANQTPHGSRQGSPLKQRQDASSPDATSFPRRMGATS PPQSVTQSLEDQTDPTHMFSEGIHDRESPSPEADRSPDSESEINTNPSIIQGPIGGGM HGGNWAYEQTPDNRGQSPIFDNAGSAGNTGPGSGDQPDYGHDYYETNDYNPDNYLNHQ YSRGPLFGTPPGKDEGYVSAPNPLSPGVDTPEPLDKGFGGMDTNGMGLFDSPTGADDQ FMSGHQRQLSGYSQGVGSPLYDSATGRGIDRIQSQDIVALMDHLTVRDAQRNARDTEI LVTLVRSAAEMRNSFEEMKKFIAQQDGMLMEASDKQHDRTYRALGGPRPLPASTRSAR QSSAEDEDLRSKRKNVFKRALKGLSLKSSNDLSRIEDMLEQLLDEVEALRDGQDERLG RSGPRSASVDPEGYEPEGHAGTSSPDHSGYLLSTSSRPMPESRGNGLRRDSEHRVSTV PEADEEMELNERGKFLSPNPTNDQQDRAGPSSASTPPRAPMASGALSNETSPKTNEKS KKHKSSSSSFFPKISRWSKTTASSMGDNIRNSIQPGRKERPSFDASRSGSDIQGPYKA ADWYDPQGDDRLRSTYTLDDQQQENRPPSPLVPSQVSEAPKYRAHRGSLDLQHPQPRQ GPTGRYQSQLETQAQIYGMPSGAPSDQWNSNPSLSAGNPNPRHSSGASRLSPISDVGY SEASSRHTGPPRPPKIKDDGPLVPERPPKVKEDDERSYVDRVASRILLAIHHYLSFLC HPTPERLKMPYYVHIAAKGALNNNHLHVLTTVSREAADYFYRQLQQHTPLRALGHTPI KTTYYSPTFWSIDPTYDDTISLLNNLVSDIDKGTNGQIPEAAQNYLKGKLFIGPLTGQ ITVNPTYVSDDNSSGNINNRCYYIRNRHTPECWFVSPGAVEVNVSISNRSKFHVQICP ENGEPLVLVGGDVIQLMLIVPDNARRFVCVSTDNYCLMCLPGNVTPSFKFHFYELRKG WFGVSTSLSKPGSSVQWTPTHSLSMEGPGEDWVLLASEDIPC AOR_1_400184 MPTPSALMATHTDEESTLPPNNPITISTGEVLSLLGALITLKLL HAVVFLFRLDRSRKRPLKSPVTRAVIRREQLIINIFLSIMPEGVFRSPTIPDAIELAH FPLVDGHADESRTSSDMRSPLIHTSPCIPTGFRLWQCGSCRGVEAFPPSLQRPGIAHA ASESSSAQQLLGANEDEERRSLSVTHTESPSVNQESVSTLNPEYTASRPERQQLSPVG RPLSMDSVGLPLMNDSPTIMIIEEGSRSPRSTEGYSGRYSISEGSYGNNLSMTPHCTR GRSATSVRPFSDL AOR_1_402184 MSNSLDLTICSTCGTQYPTTSESTCKICDDPRQFIPATGQSWTT LRILQTTKQYHNEFTPDTIHKNLISIHTVPRVAIGQRALLCRTATGNLLWDCITYIDD ETVSKINELGGLKGIVISHPHFYTTHLHWAEIFDCPVYLAREDREWVVCPGERQVFWD SGRLSVPGVEGDLVAVKTGGHFPGSSVLWWRSLGVLLVADSIGVVPSGIYHVGRLPGT VSFTFMWSYPNMIPLPPNEVHNIWRAVKDLDFDDIRGGFMGTEVNGNCKQRVLESAQI FVKSMGHFNHAIREEQCP AOR_1_404184 MAHTFYDGTIPVLQSILKTLSHILHRASQHPNANALLTARLHED MYPLTDQVRITTQFSENLVARLTGREPVSFDGSPTTFAECYERIETVLKALNEAEKDV VNRQADVVAKTPMGPETAVEMSAAAYAHSIALPNIYFHLTTAYGILRKEGVELGKRDY YVGFFPVLGGQ AOR_1_406184 MAARLEEDNGVNKETYEPDNHIPPPSRFISVQQQEYQEKILQIS VDSTHVLNEPLTSLPSENSLSHDMRALLRDIRLVMRRRPVATPRVLKNLTRVPDLLSL FEALPYCGYSFKNGPWKHALVAFGIDPRLGPEYRMYQTYEFPWNYDPIIAEPSVISPL TVEISFPRVVRTKHSDNSHVFDGNLLYTDDNIWQYCDISDDQLHRIWSTTTIRHSFCP QNGFFYNGTNAKLWEIMSDKVMTIRDGEEPAVDDYECLLDIPDDYKGGSRSGDRKRYG QSFGQNYTRKQAFMRSLILKKAQSL AOR_1_408184 MEVATRKLLVEEGTLKRWMREEDQIVAMPPGSKRADKRPRVQAK TLAAPQQGTRETVSGDEGSFEDESSLVNHKFSYYLQLSPRQLRDMLSLTASDHRLHTF QHPVPFVGIEGGRVGKLYTFQDAYNFLDCPNIHSHPGHPLPPPTVSGSPSKERPSLNI HAESTYTSRAGESNISTLRVVDVNKQLYELAPEFGVRAPTGGYTGSYASVRPCYDELK YCVALICQSIATSSSKVTSALPVRIELDYDCPYPGAPAQWAVLLSFGDEEVLLPLALS LWGPQTMNASRPNILYLQGWTIDHGIRISPREFKVKEYYKNIQRLFEAFRYSTVLHVM DVEPLFLRAEDRWRPRRYTSTWTLYTTPIHANYVCSGFYSPIQGRFMDIELLVNNIHR WGSRVIFTKDTTRKPFQLLRLRDFTLHRPASQPYDHLYSLTEKLVEGVRPQGSKAPFS DEVDTFTTSEVVKDGEGIYISPHTMLQSREPDRGTDGARDVTDSIAEPEDFADTTDAD EVGEATPSSNRGHTKKKRLYQRSSMQRAVLKNYSLVDGKKKCLILTCPLPQELYSGYC KHHSSRIIQYVSSLKATGAELPMPQWELKLTDDAMTDVKTLSTSYHRSPQNTWVIDFE YISLSGDMSPIPLQFAIRQLDGKLLFAENVHYGLSLEDFLVKLKTWENADRHVQVLFT HCYGDIVTNGLRPDEIRDEIIHNLDYSAERISIISWFSPQDMQCFQRLLPGSPELIVP KESHHLCSNFQNIDVGRLLKKLLPMNWPSLSLPAVHSSFLASQGRSGDKGEYHTAAYD TEAVTDIVKEITTLLQGFGF AOR_1_410184 MASVQNYSLRQRRFAPLNPERKGTSSAPPLKGIVFDVDGTLCLP QNHMFVKMRESLGILHKDIDILHHISSLPTPEQQLEAADKIKAVEQEAMQTQEPQPGL VELMDYLHERGVKRALCTRNFERPVRHLLDNHLPAHVFLPIVTRETPGLLPKPDPAGI LHIANEWGLDSRGENLIMVGDSIDDMTAGHTAGAATVLLLNDHNVHLKEHPHTDLCIE RLDELISILDGGFVGNRGGDKVPTSD AOR_1_412184 MPDSPGDDNNDSRTASVGAQRNRATSTNGSRQSSIDSNSHPRKR QRRNGKGEPTDARDFVPQGATFSANTLEVDPDSTSSSGSSSSDDESNSSDDGNEASSQ AGPQSAAAPNWNKASKSTIRTSLNKRGNKANEGEHDSRFDAVNDKYWRSRSESVSNGG DNDNVSQTNSDGASEEGEVQEDDSSDSSRMHLSGDSDDSSLDSEADDSILLNINARGQ TQNASQKQNGVQDDDYDPESLPVSQNGSPTTSKEEAFRHFAQKYPTNPETLADLNRED MDAQAKYVFYDREINDINLQLPVACIECMREGHLAEVCPYKECVHCGAWDKHQSSFCP SWRRCQKCRERGHDQRDCSSLLKGSASEIPCDLCGSSAHMELECDFMWKAHRQEPQSG PVLVSISCSHCTSSHHLVGDCPSLPQPLKSSSWTLKGVDPNMVTNINSVVNGRGGPSS RGRGGMKIRGRADVHSSPDDSDDLMTRRRPVGRGGNRGNIRIGSGIGKNKNLAPAGSR GPDMDSRQFYRDRQDFHSGNARQRSLSPNPRRGRGKDTWQPAPRSPPRGQSRPPPRGG RGGGRGRGGRGNGNKRGGNGDNYRPMPSAAKKAWDKYRL AOR_1_414184 MSQNKGGRRRRSSSIIYQEPPESIERTSDQAALPNLNANWVNAK GAWTIHFVLIIALKIFYDIIPGVSQETSWTLTNISYMFGSFLMFHWVRGIPFEFNAGA YDNLNMWEQIDNGDQYTPTKKFLLCVPICLFLLSTHYTHYDLTYFTINFLATLGVVIP KLPFSHRLRIGLFSPEPEE AOR_1_416184 MAVADEEAPSPLYRHARTLPFELVQHIGIFFEEKLYTQALNLLL NIITTGTIPPTPVYVPSPQHLALAATFLVHPSTTTRAKTAEQEEASNVSLRLLRLANT IAGPVSAKLGTAFSFTHFEASRHGRRRRAEDEQPPDDDTKPLNLDLAQSASVWSRAED FWHAVGWAFNCSVLHRERWEKWQIWLEYMCEVLEDDWNERKRMSDRNTNIDHKVLKDS LIFRYITETTAGYGRNRRILRAIFADGGSTSVNEFREVFHHELKKPKQDKNTKKREVQ VNIDEDQYGDYLTDDDDDSSDNNNNNKNDPDTASKRPTRQTKRPRRGTRAKDVKSADA VDTTSTVYALSDVSSHGGFQSLALRQRLLHLLSGVAEALPDDFISLEELYHLFVENIR HLSLPVFQALVTPSTLPYFSPEACTTLCECLLFRIRESAAPDTDEEYLSQAKLEECFL PYAASTNSVIDNTKMSILLEALLILLANSDMLKVTTDLQEAVEEGIQRRAEKAQTETK KSVSARKSEDAEWCWLQESGERLRFLVEVLPRGEDSD AOR_1_418184 MASVTSLDKDLRSLRLSRYTPQAAAEVRSWIEEVLHERLPAGDL LDALRDGVALCRLVNLAVSPGVKYKQSSMPFVQMENISHFLRACQIAPLSLPPHDVFL TVDLYEAKDPAQVLQCLVAFSRRANALQPGKFPRSIGPQSKVGALSPNATGSSQGAHT PSRPRGLSNANSSASGYSSPAKSPGPVSSWSKRTDEHTTMPAWNIHQYGYMGGASQAN QGVAFGARRQITTAAPNVPSLAEKEKRRREEEERQRLEFEESERRRQEREAEEARARA EEERRWEEETARLKEQERRKLEEERKQWNDQQRRWEEEEEQRQREEREAEERLEKERL RRREVNDTRLNGQFLSQYQASQVGPNQAPAESSERRRIRELERELETAKQREAEYQRE RQEIQEKQAAPAATPAPRPVPVPPKPSYNLSSLESERRLLRTEWNKHQTEESIAEAAN PPPSLPPRPLPEPVATSPRPLPDPTLYASKPANQESRVDRFLASNRPPVAPKPATHRP QDYTTTAEVDAENSRRAAAQQKTKAGGWASKSLLEREMERERERQREWEENQKQTQQA AANGLRDGNLGVGPGQGAWDVHQYGYMGGDNQNRGGHGLGVGGARRQIIGPRPPP AOR_1_420184 MSPVNSLWFKWKSLRLPWRKSFLVGTDLSGNTFWEFKDALNAAR YRRIVKFNPKTHYADVQVSPQWHQWLRYVRAEPPSVQEQQQDVLRQIQIKQLARLADE RWASKASYLDMPQNKQPEPATQITDATTNASAQASNAHENTAPTPETKETDHVETPAT KNDPWAAARGAPSQDWQPQSWTPKPSQR AOR_1_422184 MFAQPFDHSFNNDDLFSQYVNIDGSSTDGNKDVSFPSDFDQFFS LDSLSSNCGEQSPIISTSKQQTHPSPQWAKDFWSLPPDAPSSLGQAPLAFQDTVHPSA VSDLNVNLEASSTTCPAETRSSPTTPPGTPRRKPKSALVTPKSIQRHREPNGRRGLQH KQSFSPSLTRPSQFQKGRMAYQEAWAHRLQNLNFLRSADDRFPLSPPPSDILPQQENI AADNSAVHIHHSGDSTEMHHHFDTSIFTPSPAISMPSPCTGVLSRQQARYLNHSNNST VTSSPPSADDIFPSPHSSDPQSMSSWHSDALGTPGLFTPDLQSHDAQAWWPPMNARVP QRQPSYQQVVASPPPQQPIQNTTHQHDLANSQHDILQGGLMIQMDPSAYDMTATANSS FSSTTMAPTASSCQENHTYSHVPTAHAKYVDASSFATPQLHPQSRSPSLSPRADRSPK NGLAMHHSITMKAQRRQPGRKISSNSMNVPKPVKGLNGSGSPKGAKSVTVSFVNFTLN DSQKILTGVAPSGSSKTKARREQEARDRRRRISEAALNAVRKAGGDVEALEAVMF AOR_1_424184 MSNQTMNKKSWESLSSTFSGLEISLSQDSCYASDEVSVTSTNPT TVNSSVVSSVTPTTATAERPLLKPILKRPYAEIAEDGESESGYASDDSGSDSDSDSDD DEVYYVTCWEDESDSMSEYSEDDDNDYDYDDDSADGSFISFGSNNVRFDTNVLYIEAP EVQEEDAPSTELTCHELMEMARASGSLHLQEGNDIGDDFDDAEHGNISDSIRQLPEEH TSDVVDLDKRLFIAYMNGINGIADPGYKSRLHALVGDIMMGRAKSPYLEADNADGVYL DHALNHVIGTFPNLVAKQEFDELLSLSEEKGALEQPAEVRESLNLSLLKKIESLLSER LASDVKIGHDELSFFAGGVAYALENWKPYMVH AOR_1_426184 MQNTKGPESDMGRTASRSRSNSMSSDSLSRIHMSPPAAKPPPSF IASSSAAQIITTDQEFNTADFVAEEGGDSDAKAIVTPEALAALNGFLDHILFNILAAA KSTQLACIRPAVADVLKPRLANQVVSAADEELSEYMGGPEEEEFQFRRGMSQNGDFDL IRSWKLTRLRCMVYTRLGDMEEDDEEEYIAQNGLDDDGSVSPRFSMHVGNITPAAAIF LTSIIEHLGEQALVIAGETARSRLSAKPSDGPEEAESGGERSTINRLIVEDLDMEKLA LNPTMGRLWRTWRRYTRSPMLSRVVSRESIRRRATVGPSSCRKSSGVTDDELPQRPVI EIPKEIDPASVALPEGDHDVEEIEGFTSDGEGAEIVQTMQAVVAHKVRPHSLMVLTLP SPRSPTSPITPLSAKFTRHVRAKSLPDTTPPESAETDQTADRPSPTASDEQKPLETMY EHEEDDEPPKAKAESKVSEADPLPEESLEQDKMATSSQSAVSVEVESSQASSTSGSST SLSDRFQTDSDTEVIEGQGMCEKPKLAPIQRPKRVCSRDANRENDRSTTATRVISERA MPTVVDDPSQTQGGNSTPAQPTISHDVSKDPDTNKPSTESSAPQTRTSTDLEEKISRP PSTSGESVYSDPVQRVIRPSTSAASSVYSRPRRSDSFSSQREKRPVTAGSTTSQVSSR LKGLIGLQVDSVSIRLRNSSETNRFAEEPYDDTTGLDELIRSEETLHYTLTPKNMREI EEPDSPRWRVRSSTADLAEFLKNSAPPGEEVPRPSTSHTSSRGTINTPKYKAIEIPST ATSQQSSDPEQAADRKPNTGSSSDYDHSIKSTGPSSPLSTQPTQSPRSPSSTVRSGPK LEARSAASSKADQDRTTELIDFIREGPPTAGARRIPRAVAPFRDTMDSDELNSLETGL SENNAPSVSSTQDGSMLTSVGSRTGLLETGNRTTVHPTAAQHMPTAPVDDPRPVRKQR RVPDPYAIDSDDDEDLDELLDDPKPKREEESLIDFLRNVPPPESEPSPQPMAVNTMPP KSSSGAFGMKARLLRNASGDKVPTTKLSKASLRQQQDSYAAAPSNYSVKVGAERSRGA MYGTSSLPSVTDRQTETSALAEFLRTTGPPEPPAPRASSSLASKGRESGVNSLSRLFG RRKKLEV AOR_1_428184 MGTSQPTLRSILGILFLCLIQISAALKFDLPAVSGKNERCIRNF VFKDQLVVVTAIVSGQKGDGQKVNIHIKDALGNDHGRPRDVVGETRQTFTSSEDTAFD VCFENKLEGRSGVANPYRSIELDVDIGADARDWSSIQDHEKLKPLETDLRRIEEMVQE IVSEMEYLRAREQKLRDTNESTNERVKWFAFGTMGMLIGLGVWQVIYLRAYFRSKHLI AOR_1_430184 MSAPRLIQRFARPSLSPFFLRTTLARRSFGSSAIRPKDDNGRAP STAPEHREYQTNRPPNQHVPNTTSTMTRDFPKAGEKSVPPEFVSAADPNYKPADPYPG KVEHFTGGRQETGAQKPELGVGEMEGITFKVEPLKRTGEDVSTIRARLLYQSRKRGIL ESDLLLSTFADVYLSKMNKEQLQEYDRFLDENDWDIYYWATQDPPTEDNVAEDTPTET WKRTGAKSGEWAQTVGAYKAAYRPVPSRWADSEVLRLLRQHVQDNSATGFHAAKSKKT GGAGLGRMPNVQVFDS AOR_1_432184 MAEQLVLRGTLEGHNGWVTSLATSLENPNMLLSGSRDKTLIIWN LTRDEQAYGYPKRSLEGHSHIVSDCVISSDGAYALSASWDKSLRLWELATGETTRTFV GHTNDVLSVSFSADNRQIVSASRDRSIKLWNTLGDCKFTITDKGHTDWVSCVRFSPNP QNPVIVSAGWDKLVKVWELASCRLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLHAGDEIHALVFSPNRYWLCAATASSITIFDLEKKSKVDELKPEYIE KGKKSREPECVSLAWSADGQTLFAGYTDNKIRAWGVMSRA AOR_1_434184 MFTPTTLRLPRTLPSTLTRRLSTLPKTPRPSLKHHLQPLQFPQR RSLSTFQKWTLGLKQAKRDIWRKNPILLPLALFSAATATAIFTYIAYVEYTRVGPQYH KFPPPVAEALRTAVYYTEVDLSPPKALQAYKEALRIGIEMGMHPFSDEVVGIKIQVAM MLERAGLAKAAVEVLERTKGEIMGFVEGKDRGEGVIGVKIEKEEGEEKRKEVEEFEKQ QRDKVLKKAVGIEMKLAELYSSDYIQDEKKAEAAQVAAVELCLKELHRRQSLGLPVGG GLEADNTEGWLNVTEIATALTDLAGRYTAQENYELSIPLQMRALNLLHTEEGDAPTCK QVVLLNSVAGCMAGQAQKPIRAEDPKKAKEQLFDAAEKWAQKALDVAARIQPPVRDEE CDTSCVAATFNLGWLAEFQGKAKEAERLYGEAKSLSQGLGFEQGVSMADAALKRLTKN AOR_1_436184 MCGRYALGVRMAFMRRRLQEQGLQVDEAPSDDEVRETYNFAPGN FGAVYRADIYPSEHGEGQYENDDSGPHNTSRTQNRDGGKDEQTQSSSQLHYKIQSMKW GLVPSWTKRKPDYGSLMRTINCRDDSLVEDRGMWTSMKRKKRCVIVCQGFYEWLKKGP GGKEKVPHFVKRKDGELMLFAGLWDCVSYEGEDEKLYTYTIITTSSNSYLKFLHDRMP VILDPNSEAMKIWLDPTRTTWSKELQSVLKPYKGELECYPVPKEVGKVGNNSPDFIVP KKTEPGVKVEGDGITDQNIVKNEDDPSPTKDSEWSEDNAPKPAAGIKRERTPEGPGEV ADEEVKKPKTELRTPSPKKVTEHTPVSKRQTSPAGKKMRSATHNEKPIKKVNVKKADG SHPITKFFST AOR_1_438184 MFAIRTFVRNTPSLSRWSQIRGVSTLENHPHIYVFPSNGSHVLS LLPSEPPNSRLSIGVTSKLPPTTDSFKENPEFLKILQDVVSEYGHQDPDAVSQAQVMV STSGANLGSGGVLLTGGRARRRHSAADSSGGASGQGGAGSAGRGGWIHLSDNRRPPEY GRIAWPEDIFGSLEVDSDGSFVGSNGNYQPSGTYRIVTRDGILGLSPFLREKLVQRLR QQESQ AOR_1_440184 MATPSSKPNPGATPTHLTSSPRPSGPMARPISHKSPSTRTPSAS GHAHNHQPILTHQNATPLAAATGADDPVTLSSPSALLALGGYGGISPSPAVHDVLVAP GMHDSDIQALGMQGLKLGNARDSDDERRRHIEDVVQLLRTRVAGRGVCREGIERLGQL EGFESIWQEDSLSIAGNFVDLEIEFYRAQNTVKDVSLNIATPEATDGERREATAVLKR DLIESPEDGGRSSWKTLTKFHENLQWLAKHDRLSQEVNCFEAIEGLYESLKRIWDEEG HHRKFSGIHDHLCSGWVGQPCLHQGGRVGLNLEYWVHQARVLDSKQKKVSPDDMAIDQ PSVSSMGGESGNHNGKWNIIIECEEGYPSLRVSKEWVNSEVFTVVNNNANEPSSNEMG GSDVAVVNWADPPATLSSQGQQDAMALDSGMLGTAPNRRHLGIQMPQEFKMVTYDGLL APGWSPLSAAGAMGLGPEEASQLGRRRRRMAVQSVDQDGKPCTKQHSYTFQPFESVAG RTMRDIPFAHPRQLADILPTLRQYAFLANMIRNIFSPPSKSDDNRKDAQTDSPKSPAS QLKFTEPGKPRKNVITLSNKDPNEKKLDWLLKGLHSTDRMKEKGKDTANSNAEGVDDD VDEVKVDVTLRTQLGQAPVVMLLFTVNDPPGSAESAKEETTISKVSSESTTISNTENL MKYMNLDQRGRVQAEYVWIDSVGGCRSKTKTLSKKVTSVDELPEWNFDGSSTGQAPGD NSDVYLRPVAIYPDPFRLGDNILVLCETWDSDGTPNKYNHRHDANRLMEVNAKEEFWF GLEQEYTLLGNDGWPYGWPKGGFPGAQGPYYCGVGTGKVYCRDIVEAHYRACLYAGIN ISGINAEVMPSQWEYQVGPCPGIEMGDQLWMSRFLLHRVAEEFGVRISFDPKPIKGEW NGAGLHSNVSTVATRAEGGMKAIEAYMKKFEARHVEHIAVYGEGNEERLTGRHETGSI DKFSYGVADRGGSIRIPRQVAKDGKGYFEDRRPASNACPYQITGIIVETICGSN AOR_1_440184 MSESTTISNTENLMKYMNLDQRGRVQAEYVWIDSVGGCRSKTKT LSKKVTSVDELPEWNFDGSSTGQAPGDNSDVYLRPVAIYPDPFRLGDNILVLCETWDS DGTPNKYNHRHDANRLMEVNAKEEFWFGLEQEYTLLGNDGWPYGWPKGGFPGAQGPYY CGVGTGKVYCRDIVEAHYRACLYAGINISGINAEVMPSQWEYQVGPCPGIEMGDQLWM SRFLLHRVAEEFGVRISFDPKPIKGEWNGAGLHSNVSTVATRAEGGMKAIEAYMKKFE ARHVEHIAVYGEGNEERLTGRHETGSIDKFSYGVADRGGSIRIPRQVAKDGKGYFEDR RPASNACPYQITGIIVETICGSN AOR_1_442184 MSLVQPQPPSEDIVKNPDSRDIREQFRDGRDDVESGSEPDIADI ERIYRKLDFRIIPAFWVLYFLCAAVRSNVSLAQTMNIDTNHTIFDVLHVNDHQVSTAL ALFYVCYVVFDLPSNLIMSRLSPHVWMSRIVISVGIIGTCMTAMKAAWSFYLLRLLLG IVIAGMWPGMAYYLTLFYPPSRTGKRIGQYYTAAQLSAAAVGLVSAGFQKMDGVRGYV GFQWMFLVWGVITIAVGILLLWWLPDRPTIPGEQPAKKKYLRWFPRSPPALTGRDAEI HYHDLKRVYHRSAWTLQDLLRVFLDWRLWPLLIMYFGVVGVGIGVQSYATVIIKAINP NLSGIDLSLLSAPIWLMDLAAILLVTPFSDRFHHHRAVFFSVPVLLQILGLLLTTYAG TDTNSWPRYGGLLIVGFGLGPTVPITMTWTTEIFQPRHGEVGVAAASAVVSGLGNLGS IVTTYALYNGWAEDSAAPGRLKFRKSNLVMVGILCGSILAAVLMQILVRVIDGRKSDE NDPDKIVDGAARREAQQRGLDGLGSSVFFLFKKRGGN AOR_1_444184 MGGWGADDGAAGWENAGDIATYNDENANPSGNFKDDGFGGNAWE NTSAGNEQNDDNKCRNCGSDGHFARNCPEPRKGMACFNCGEEGHSKAECTKPRVFKGT CRVCNQEGHPASQCPERPPDVCKNCKMEGHRTIDCKENRKFDLNNVPDKLPEEAWAAM QKASEEKDLEDFREELTEKKALKIYSKAVPDATFVDIENKMRQDNLNFYLIAMEKPVG DCISVINLQGKLDCKYVVGFYYSPKPQRANLKERWPESVEENLERLEDAGIPYDREIP KCSNCGEMGHTARGCKEEHVVHERVEVKCVNCSAVGHRARDCTEPRRDRFACRNCGSS EHKAAECPNPRSAEGVEFGHFAKDCPQAPAPRTCRNCGSEDHIARDCDKPRDISTVTC RNCDEVGHFSRDCPKKKDWSKVKCNNCGEMGHTVKRCPSAVVNDTGMGDNSGLGDSGN QNATADDGWAADNTGMADHSEAQPAEEGGCEPGW AOR_1_446184 MGRRYLGLQGNALQIAIGVIAGMDFLLFGYDQGVTGGLLTLQSF IKYFPTIATSGAYYDSLTQAEQSTQSTRQGIVVAAYNLGCFAGSIPTIWVGNWLGRRK TIFLGSFIMVIGALLQCTAYQLPQLIVGRLVTGFGNGMNTSTVPTWQSECCKSNHRGK LVMIEGAMITCGITISYWIDFGLLFADPNEVAWRFPLAFQIFFAAIILAFVMFLPESP RWLVLKGREDEAKEVLGALLGDGTDPTFLQTEFTAIKATVLEMAKGSFKDMFTMDEDR HFHRTVLAYVNQMFQQISGINLITYYIPVVLEEQMGMTLINSRLIAACNGTEYFIASW IAVFTIEKFGRRTLMLFGAAGMSISMIILAITASLKTSEANIACIVFLFVFNTFFAIG WLGMTWLYPAEIVPLKIRAPANALATSSNWIFNFLVVMITPVAFDNIDYQTYIIFAVI NAFIVPVVFFFYPETTRRSLEEMDRIFRKTKSIFSVVRIANEEPHMYGKHGELLHTLD DVEDEAVRRASVLSHAHKELEKDSSENDSTNKA AOR_1_448184 MTEPQNSKPVGRRRRPRVPDALRKRAARACNPCQGGMPCLRCQR YKRNCQYSQAPPQPASNGTTRASTSPQRDSDKGRCLERIVRHFMGDISFEPSNLQFIA DALERDQVSSRKPSTLAPGSSELYSIHPLSTNTMIYSGEFSHWNFSRMIRRKLQSLGN DPDDSMTGDSHTAHDPFRATGLQSPSSIIASARKYFPPRHIADFLLETFLEYTLTNYY YFDETEFRQKLDYYYAEDRYLDINDAGWICTLFMTFASGTQFAYMHASRPPYLQSMSG EDHLPDDTIGLALYRFSCRLIPDLITTASVETVQAFLLFGVYTMPIDTSGLAYTYLGL AIKMAIQNGMHRQFGEEGLDARTIELRNRLWWSAYALDRRISILHGRPVSVSPTEIDC EMPKDIPELRPPGRVTNLPNLTATLQLTEQLAKVAPIISRLRNCPGELHQIYLTQLLH VRDELRSWWTTLPEDIHCRDLDPSKPLFRYNVHLELTYATIIMYMGRPLILAGTPSSS ASVEGSSDSTVDAGAMLCFDCVQAALRIVELCQLLQDTVGVARVSYTEFSSCRAALLA IIAQSLNTRTERLRGALTQGMGLIRRMCVGLQSARSEVAVIEALERAARRLDSRAENE DTATGEQGVGYNQFRRWAMLWQSETPSNVGDEPEPIGLENAQLPTGFFDGFFSSFPQE LGAFASFPETGMQFGESLPTMLWPDDLSLPAFPPNPDAPV AOR_1_450184 MTHDMRLASEKTFRPVAALFAFDEEEEAIREENRLFRVAEALEV GMVGANTGTVSNVAAPLGGIKESGLEREGSKYGIDEFAQVKIITIGGLPLT AOR_1_452184 MSKLTNYRLLSFDVYGTLIDWETGVWNAIQPTLASNNAQITRSD FLKLYQELEKSQQTKTPGMPYSQLLSTIHPQIASRLALDPPTAEESNRFGESVGEWPA FPDTVEALKRLSKRYKLVVLSNVDRESFQKTNAGSLQGFPFDLVITAQDVGSYKPNLA NFEYMLKAVKEHFGVEAEQVLQTAQSQFHDHHPAKKVGLKSSWIERPGALMGNLEDTV YDWKFDTLGDMADAVEREL AOR_1_454184 MREIVHLQTGQCGNQVGTAFWQIISGEHGLDASGVYTGSNDQEL ERMNVYFNEVGNQKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSSAGNNWA KGHYTEGAELVDSVVDVVRREAESCDCLQGFQITHSLGGGTGSGMGTLLISKIREEFP DRMMATFSVAPSPKVSDTVVEPYNATLSVHQLVEHSDETFCIDNEALYDICMRTLKLA SPSYGDLNHLVSAVMSGVTVSLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAHSFRAVSVPELTQQMFDPRNMMAAANFHNGRFLTCSAIFRGKVSTKEVEDQMRGVQ TKNSGYFVEWIPNNIQTTVCSVPPRGLKMASTFIGNSTSIQELFQRIGNQFSSMFRRK AFLHWYTSEGMDEMEFTEAESNMNDLVSEYQQYQEASIDDEEDIGEYGEEEIEEQ AOR_1_456184 MQTKTKRKRLSRGGRWTEEERLQLVRLRDRNKHLAWDQFQKIYF PRRSYMALTKAYSDMKLKHTRDNAMTNNTSNETTLPSKTNRPNKRPNIDERSVNERAN KQARTAERDSTYVPEEDPESPDNGDIHEDGSSSPMHGRTRSSVNSLAKLRAQTVATQP ISQSSRNTTSLQTSASDKTASESPSQAKQTRGTERVMGSAHNNKPVPTTTAMQGISLP KANPGNSPSKASQPERASAQIECMSFGTAHDLFASLVKTIADYRKAYELLSKFPERQG NELNIWSHLDLISNALSYQSAQLLIEKQTQELQELRSENDTHKKGRESLEAELAKKDQ QIKSSQSQGCKECRRLQERIAALEKKINHYQHIGRQFLSDSEERQPLLGSPTSAAAIS S AOR_1_458184 MEQQSLNPEPQQGDLNWRLSAHPITLLCFLGFRTSALLMYLFGV LFIKNFILVFIITLLLLAADFYYLKNIAGRRLVGLRWWNEVNVASGDSHWVFESSDPT TRRIAATDKRFFWLSLYVTPALWVGLAILAIIRLSSVIWLSLVAIALILTITNTLAFS RCDRFSQASTFANRALTGGIVNNIAGGLLGRLFK AOR_1_460184 MASRNALRRALLYIPGSSQRFIDKSRTLTADCVAYDLEDSVTPH KKAEARSLVRRALDQPAPSGIRERAVRINSVDSGLALADLTEVLQSPNLSTVVIPKVN SASDLTFVNDVITHTLSQQPQSQDASSRPPISLLALVESAKSLTNLTQICASTPLLQG LIFAAEDFALDLSLTRTPDLTEFLFARSMIATAARAANLPSTIDLVCTTYKSTKGDGS PPAVLEEECRGGRQLGFNGKQCIHPSQVPTVQQIFGPDSDEVQWAVRVTIADDKAAAA GRGAWTLDGKMIDVPVAEKARAIVKKAEACGFNVEELREKWRDQEPE AOR_1_462184 MISEELLEDCLQSLQGQDFDEEEQAEKAEDFLREKTSLSGSSLE NAVLDVLWRHRNRTLPGSSPPPPRHTVIRRSSPAPWQMGRSSTPLSPHSNLGTSPGST SWLPNSRGGFSRPALSSTVSPFTSPRPSPRLALAQPIPHSPNLNAYEFSDQTQVSDFY GDFGSDSNVDWLVADDANSTTSSVGTLSAMGLSATAPEFVPDMSPHDILRTVLGDKRT NDEIEAALEANGYDLGATIASLSQGHDGDGFVHHADGTRVVVGKSMAMEQAKPGSSPG QIRSPVVCKYWLSTGQCLRADCRFSHDLTNHLCKYWVMGNCLAGDGCPFSHDPSALVA NLSVTDGSHQGSTGPQFHIDSASEAFPPLQSSLGVGDQWAGQYIGKYPAHLSGLLGGK GVPQSMQLVTGKRNGSSTHGSRPHSRPGSRHQNRELNPAAPSVDDPDAFPTLAAVSAK NKKHHGKRGAHNREINSNKDSVPTSLADVVRMSPSPAVGKGKTTSRNKEGAKGRENSA ATQSIPPPQNIPWLETGSRANQQYIKYRTEAIRHGTVRNKFLQSAAQAWNRNDARAAK ALSLRGQAENEAMRKCHREAARQLYEERNKHIVNAGLDDSLEELYVDLHGLHPEEAIE YLEKILLKHANEGRRVIYAITGTGHHSKNGKDKIGKAVKAWLNEWKYLFREFSVPGER GGYVGGILGIDPTSYDRSLAKSLEDSADANAGAGVNTPVLTMGKIQLLKREDLEVKH AOR_1_464184 MPADYTSTARALSLPTSPSESLSPADNDSYPPWSHLASGRSNPA HPSERPTLWKQVTHRLNETSQRMMAIWRRLSFWQKVGAAAAALLANLLGIGFLVFTGK VFIWLGPVAEQWEQSVVAYTVLWLCVFFVSFPPLVGWSTFGTISGYIFGVWKGWFLYA SATVLGSTCSFVVSRTILSKFVNRMMERDKRFAALALTLKYDGLKLLCMIRLCPLPYS VCNGAVSTFPTVQPLMYGLATAIVTPKLLVPAFVGSRIRLLSEKGEEMSAGSKAVNII SIIVTVAIGIFTGWYIYKRTMARAKELEAQERADIRRSLQADHADRRPHHSFSEDPDV NTAATTLARDEEERLGFHDFDDDNVDLAIDDESGGENSPRLQSGGPYRDEFTDNDSDD VFKNGDGGEGETYGLHTHVRKS AOR_1_466184 MAPLASNMGAAASMKTHGLNGQSYEITTHLDQYIPTNISFNGSG HVALLPSNQTLPKSSLQLNASVMKAHASHLDNNFNQASVTNLYSVPNSNSNPGLRVGR TSLEGVDSSSRSTDYLSQDAHATTAHGRSSDSITTTSFLSQPSQTKPTPSITNINKLS SSSLVTDTKNADSVNGLPKYRPRSSIPSRLPAAVYAQQCVSAAYASRLNPYALHIKEQ EALQDYLCHLHVTVYLNIRNGILRLWTRNPMVSVTKEEALGCAKDYRWMNLASFAYEW LVRNGYINFGCVEIPMPFVTPKKGRRREGPVVVVIGAGMSGLGCARHLEGLFQHYRDA STTPRVILLEGRRRIGGRIYSHPLRSLQTSKLGPGLVPKAEMGAHIVVGFDRGNPLDP IIRSQLALPYHLLRDISTIYDIDGSPVDEIQDAMDERLYDDVLDRSGFYRHKSIVVPT AEGERELIDSGRDLSTSDGVTVRQYEEARASGTIGLLLPTKRVRRGVGHKTADIKAPG ASGADLARSEEQPAALTCQLNGWRLNDGIPANATLNLDPVAKISSSQTLGAALDEGIR QYQRMLPLSPKDMRLINWHFANLEYANATNVNRLSLSGWDQDIGNEFEGEHSQVVGGY QQVPYGLFSLPTKLDVRTNKIVSKILYDPSGMGKQNTVVHCEDGESFVADKVVFTGSL GVLKHQSIQFEPPLPEWKCGAINRLGFGVMNKVILVFDEPFWDTERDMFGLLREPTNR NSTIQEDYATNRGRFYLFWNCLKTTGLPVLIALMAGDAALQAECTPDDQIIGEVTSQL RNIFKHTVVPDPLETIITRWKSDKFTRGSYSYVAAQALPGDYDLMAKPIGNLHFAGEA TCGTHPATVHGAYLSGLRAGAEVIESILGPIALPNPLVPEKGKAELSTPTTAGQKRKE PHMSVASSTGNTPTNPADSTSPTSARQQAYEQAMWTSVQSEIGPPMPRPARTGLNPFL LYQKDYWGICRAQCDEARRAATNDPNAKAARDEIRHELGLMWRRASEEEKRPYIEQTE VNRQTNAEMWSRWKQQIAEWEQKAGELKTKWYAANPFASWGIHSADTAVPTNHLSTGA GGTTSSISSLGQHINNSRDQTQGGTIAATVHPQTNGVQVNGSLHTS AOR_1_468184 MTTIDDDDDDPDDKNMMIMNVRSMSYYFRLFPDPTFFLSLPNLP PNTVKLFSFASRRLPFSSLRSSLGLTAAAASSLHQKSSPSASVLTNNLSTPLRVSQSS ATRMVHSKVVIIGSGPAAHTAAIYLSRAELKPVLYEGMLANGTAAGGQLTTTTDIENF PGFPDGIGGTELMENMRKQSVRFGTEVITETISRVDFSQRPFKLWTEWNDGPDNEPAR TADAIIIATGANARRLDLPGETKYWQNGISACAVCDGAVPIFRNKPLYVIGGGDSAAE EAMFLAKYGSSVTVLVRRDKLRASKAMANRLLSHPKVTVRFNTVATQVLGDEKPMGLM THLRVKNTVTGEEETVDANGLFYAVGHDPATALVKGKIDLDDEGYIITKPGTSYTSLE GVFACGDVQDKRYRQAITSAGSGCIAALEAEKFIAESESSGEEAPVNEVKQDPQGNTA EYKSNPLL AOR_1_468184 MVHSKVVIIGSGPAAHTAAIYLSRAELKPVLYEGMLANGTAAGG QLTTTTDIENFPGFPDGIGGTELMENMRKQSVRFGTEVITETISRVDFSQRPFKLWTE WNDGPDNEPARTADAIIIATGANARRLDLPGETKYWQNGISACAVCDGAVPIFRNKPL YVIGGGDSAAEEAMFLAKYGSSVTVLVRRDKLRASKAMANRLLSHPKVTVRFNTVATQ VLGDEKPMGLMTHLRVKNTVTGEEETVDANGLFYAVGHDPATALVKGKIDLDDEGYII TKPGTSYTSLEGVFACGDVQDKRYRQAITSAGSGCIAALEAEKFIAESESSGEEAPVN EVKQDPQGNTAEYKSNPLL AOR_1_470184 MATASSQRPTNGGSIPPQSVPLNSVPRAPSHRRQQSHDMKPTAL DYETDSDQTYGSVDVPQTRAVSYKNNPAAARGHQASRSFSARTKDLSCEGHQNWMEKP LPPESYASPDEYATSRGSITDSRLSISSSVKRDDPHMVPSPRDSHQSPLSRSNTVRST AEQKHDWAADRSPLQKLEVALTGISKEEKRARVMEAEMRVKERMARQQAEKISRAAVP VQSRQGTVPRDTSSPLTEVSQQTDFEQPALPRQRPAQGPSDRAKPPRARTSSVQHPVP LPANVPHHVRGYPQNTGVVRTGNAPKRSVSVSHPAGNNALGPQSTKPNMPREISGPYA ADAPPAHRYPVPAAGQTPYLSPATSGSRPVPQAIRRKELPTAAIVPQQVDPNAYATGN GSTNNAMPAHSDSSREPGGNATMTSQIFESEPASQPKPKRQTVSFDVPPPTPPPLSEW KTAPVARLGASDFDYQNFDVNKSKAWWEGGGSNNRRKSRALPNNYQKPPNTKPSANMR FQPHLFLKCGPLLRYGGLKRVRIDGPNGPFDKETWRGSVLIVTRDSLSSYEPPPTLRL FSQPMDLLPPPPTELNGEDAKLAPEYVDPTAGLTKSGRDGRPLYVKPVDHTEEELDLS CIENDDGIYEMSPSIIDYSSEGIKQPIPANRVHSMDGETAGFYKEVAGARLYADPGRD VTFWRFNIEIELGNTQQRIAYRLNQGPALGFWVPARGQTMNIMYHTCNGFTPGVDSNK LCGPDPLWRDVLNEHQTRPFHVMVGGGDQIFNDKITAESRFFQEWVKMKDIHEKYDTP LNMEFKAELENSFLEHCSRWFSQGLYSLANSQIPMVNLWNDHEIIEGFGSYPDEFMST PVISGLGNIAFKYYLLFQHHSVPEETEADEPSWLLGALPGPYISHRSRNLFMSLGGGV SLLGLDCRTERMNDEVLSEQTCDLVWDRCHREIVRGETKHLLVLLSIPVAYPRVAMVK NILNSRKSLGKAGLFGGFVNKSGSKVEIFDDHWTAKHHKSERQYLIEDLQDLAADKSV RVTILSGDVHLAAIGQFYSNPRLNLPKDKDYRYMPNIISSAIADMPETEMVSDTLNKR NRVHHLDTNTDEDMIPIFTQDVNNKPRNNKRLLPRRNWCSIRAYQPGFTPPTTPESES SPPVEEPRPGKLQRTLSLTRGDRPQGSGGLLRRLSGRGRPPTKDFNLGGNPVGRRMSM DGPFPPAETGDSYFPPPPDSRPAPFLRRPTNLSQKASKKAAKQGDDGVGAFVNLEGGL AISLNMELNPKDPSGITTPYKLLVPMLRYEGNEYDPPAAPVAKGWKRWLSVRRSRREK QNVEDTEAEEGFSDEEDEDEHDQYENHGRSAGREHEYIAGRDAPPETIVPVANPGELE YDGVAETSRRRKWFGR AOR_1_472184 MRLSESATVAFGLFCAATASAHPRRSYETRDFFALHLDDSTSPD EIAQRLGARHEGQVGELTQHHTFSIPKENGADLDALLEHARIRKRSSRAEGRGMTLDK ERDLSGILWSQKLAPKQRLVKRAPPTNVASRGSVKEEDPVAAQAQKRIASSLGITDPI FGGQWHLYNTVQVGHDLNVSDVWLEGITGKGVITAVVDDGLDMYSNDLKPNYFAEGSY DFNDHVPEPRPRLGDDRHGTRCAGEIGAARNDVCGVGVAYDSQVAGIRILSAPIDDAD EAAAINYGFQRNDIYSCSWGPPDDGATMEAPGILIKRAMVNGIQNGRGGKGSIFVFAA GNGAGYDDNCNFDGYTNSIYSITVGAIDREGKHPSYSESCSAQLVVAYSSGSSDAIHT TDVGTDKCYSLHGGTSAAGPLAAGTIALALSARPELTWRDAQYLMIETAVPVHEDDGS WQTTKMGKKFSHDWGFGKVDAYSLVQLAKTWELVKPQAWFHSPWLRVKHEIPQGDQGL ASSYEITKDMMYQANIEKLEHVTVTMNVNHTRRGDISVELRSPEGIVSHLSTARRSDN AKAGYEDWTFMTVAHWGESGVGKWTVIVKDTNVNDHVGEFIDWRLNLWGLSIDGSSQP LHPMPDEHDDDHSIEDAIVVTTSVDPLPTKTEAPPVPTDPVDRPVNAKPSAQPTTPSE APAQETSEAPTPTKPSSTESPSTTTSADSFLPSFFPTFGASKRTQAWIYAAISSIIVF CIGLGVYFHVQRRKRLRNDPRDDYDFEMIEDEDETQAMNGRSGRTQRRGGELYNAFAG ESDEEPLFSDDEDEPYRDHALSEDRERRGSTSGDHARS AOR_1_474184 MDQHDDFDNVSWRHEPESDISRPTTSGTDAEESPATSHDANGKR RMSSAHENPQAGPLADAVDLAGIGDGVLECRVDSPLKENDGTKDAYISYLVTTQTDFK SFQRSEFAVRRRFTDFFFLYKTLYREYPACAVPPLPDKHKMEYVRGDRFGPEFTTRRA WSLHRFLKRLALHPVLRRAPLLAIFLESPDWNAHMRLHTSRTSTNPSDNSGAPGIFDN FTDTFVNAFTKVHKPDRRFIEVREKADKLDEDLNHVEKIVARVARRESDLETDYNELA TQFRKLVSLEPNVEVPLQVFAASVEETGRGLKGLKDHTDQNYLGSLRDMEAYILSLKA LLKTREQKQLDFEALVDYRNKAVSERDSLATNPSSYYASNPLTSSPASFIRSKMEDMR GVDHEQSRRERVRKLELRIDELTREVESAKTTSEMFDEEVVREVADFERIKAVEFRDT LGALAEKHIDFYQGVLNTWERFVAEMEGDLDENHEGSAPQESSTTR AOR_1_476184 MTSSTSTTTTKTTTPPAKKSKNLPAESALDLSANFANNRKGAVG YDHEVYLQLTAGRNAEKTPNQVYREERVRQRTTRALDPAILDPQGEPGPVDFECAEHE GVKERLR AOR_1_478184 MRPFTRSALRPYVCPSCRSGRLVGRRRFGSKPDQAPDVYDVVCV GGGPAGLGLLAALRASPLTSKLRVALIETQDLQKARAWSLDSQHFSNRVSSLTPSSVS FLKKIGAWEHLDTDRAQEYQEMQVWDGETDSRITFDWSADTSPFENLPTVATMTENAN LVRGLLSRIAASGDENISIFSNTTVSSIENGTDHPDGPDLSAWPVLSLAPNGTAQSQL PTRIAARLLVGADGINSPVRRFADIATEGWDYNRHGVVATLSLADPDQVSFPIGTRTA YQRFLPSLGGPVALLPLPNNHATLVWSTTVENAAYLKSLSPKAFIAMVNAAFRLSMTD LKYMMRMERPANSASDYEDLHESELTWRLQHTPQASQVPPMVNGVQEGSVASFPLRFR HASTYISPRVALVGDAAHVIHPLAGQGLNLGMGDVASLSKTIEYAVDHGMDIGDILSL EKYTAERWAVNAKIGGVCDMLHKLYNVPGHGPVAWGRSLGLEIIDRLPFVKGFLMKNA EG AOR_1_480184 MEVGNGDGETYPIQRNIKEKQKRDLELEVFPFQLSWMKSTLSSG LLRSARRAIADPVVVTLRRRALTATAAVRPTALKNIISTSSIHDPTIPHYRHSSSSSS SSNLDSSRPVDTMGKEKNNFNLKTPKGTKDWSGSDALLRDRIFTTIADVFKRHGGTAL DTPVFELREILAGKYGEDSKLIYDLQDQGGEICSLRYDLTVPFARWLAMNPDVRSMKR YHIAKVYRRDQPAVSKGRMREFYQCDFDVAGTFDPMVPDAEVLKIVTEVFEELGWQGR YSIKINHRKILDGVFEVCGVPADKIRPISSAVDKLDKMPWADVRKEMVEEKGLDGAVA DKIETYVVKKGTRDLLETLLKDEALLANASAKAGLEDMGLLMDYLEAFGVLDRISFDM SLARGLDYYTGVIYEVVTEGSAPAVSSSAPEAEKLQKSGKKSKSKGNADDDDRSNDPT LGVGSVAAGGRYDNLVGMFLPKAQIPCVGVSFGVDRIFSITKARLEREKSAEALRSSE VDAFVMAFGGKGFTGMLKERMNVCQTLWNAGVKAEFSYKVKPKLPQQFKAAEQGGVPF AVILGEDELAEGKVRIKEMGLEDGHPEKEGVLVDLASLPAEVKARVARKRDQASAVTQ QLEGLNVNAPTN AOR_1_482184 MQDTRATKAAIELSIPVLYNNAGGPTRRANEILSTVWQDWNFSS NAGHSGGPGTEEDSIGTNQLKSFGHMCESHHFLYWSHRRHVISRRFPASALVSVTRST NAERQSDLIFRNAAQRLFPHVRLRVTLKMSKIDKLSILGVRSFDNTRSETIQFHTPLT LIVGYNGSGKTTIIECLKYATTGDLPPNSKGGAFIHDPKLCGEKEVLAQVKLSFKGTS GAKMVSTRSLQLSVKKTTRQQKTLEGQLLMIKDGERTAISSRVAELDQIMPQYLGVSK AILDSVIFCHQDESLWPMSEPSVLKKKFDEIFEAMKYTKAIDNIKSLRKKQNEELAKY KIMEQHAKEDKEKADRAEKRSIKLQQEIETLREETQQLSLEMRRVAELADKAWRESES YSQVLGTLEGKRIEAKSIQSTIDNLKRHLVELDDSDEWLQSNLEQFESKQLQYEQQEE ARKENYMEIKEQIERTRQKLGVKQAEYGKFENDKANFERQVERRRRMTKEIARSNNIR GFDNIQDQNDVDEFMRKVRKLLKDQNQTLDRVKREAQGELREVQTALNDIAQQKSALQ ETKNAAKRQIAANDKESTTYQGKLNEINVDEGVQAALEANIEDIQSHLDQAKGRAKSA SWDKEIHDINSEIRGLEDESSRLNSELIDATKRAGDLARLDHLKKELKERERSLETMK GAHGERLSKFVGQDWKPDTLEQEFQHVLEEESKQVSRAENERDGVSRELEQVEFRLKN TKKVLGQRQKALKECIEEIREAINDEPEEFPEVLKQRQAQLDIARKDAEQYAGIGEYM ATCLETVKQSKVCRLCHRGFKSDAELQTFRNKLENLVKKAKRDADDEDVKNLEEDLEA ARSASTSYDTWSRFQQTEIPELEKEEEQYVLQRDELLNQLEDRDKIVSEKTEKKRDVE ALAKTVNTIVRYDGEIKSIRSQIQDLSSKQQDTTSARTLEDIQEEIAAIGEKSRALKK SLSKLTHEKEQTQTEINNLELQLRDVKSNLDNAKFQLERKSDLLARIEEYKNLNNQQR EAIAKADRDIEDLTPELLKVQAKYDDISQRAEAREREMQQTISQMSESVHQLELANEE IDAYNERGGPTQLERSKRELENIEKQIGQLEAEQANITKEINKISSQLKDSENTKRQY ADNLTYRQATRALDEVTQEIEQLAAQNAEVDRSRFKDESERRTREHNALAAKQAGRMG EMKSKDDQLMQLLADWNTDYKDAASKYKEAHIKVETTKAAVDDLARYGGALDKAIMKY HGLKMEEINAIVGELWQKTYRGTDVDTILIRSDNENAKGNRSYNYRVCMVKQGAEMDM RGRCSAGQKVLASIIIRLALAECFGVNCGLIALDEPTTNLDRDNIRSLAESLHDIIRT RQAQSNFQLIVITHDEEFLRYMQCGDFSDYYYRVSRNEKQKSIIERQSIAEVGRIPNR FFDFHANGLQVM AOR_1_484184 MDWTAAEHESGVTQNHQHRMQTEDHDSFAGEIQKLPSPPAGNTG GQNPSDPADEQGWPQRVLNEMKDMLLLLSSDGKILYASPSCKSITGYDANQLQQNALE RFIHNDDKTTFAEEMNECITTTRPVHCHFRFRKKDNSSNTSCLLEAHGHPHMKTSEPN DSPENHNEDCIGVFLLCRPYPTRGSQLLDSFLEHKIENVRLNQRIAQLREEEEEDLAS GQQLYAGDSTGDSGFRHNSHSGRSNSNQSSFRDTTGSGEENESSDTLTNDDPDSRSYL ENAADELGQTEDMSHIEGIEMLTGLHYGDGERSQGLSTGVRQGRLIRYDMESAKLDQQ ARVIQDSDRKKRQKGEYMCTDCGTSDSPEWRKGPEGPKTLCNACGLRWAKKEKKRQDQ I AOR_1_486184 MVYLTRNWRKHNLFYILMAIELPITIVILTFTGIASHDLYRTKL WQDGADNGFNSSPDEVLYAAANHRPYKVPMVWGSFITNYNLVLGVLSIFILITKLPVH ILRIFYPPVSVFVHVGLFIVYIVSASYQAGSDKSDPKHLQSGPPWYITKSCSVASNKD NIGYCQQAKALFGFTIIIIVLYFVEIIVSVHSCFVTKEEKAERDELREEKRTMKEYED MVLRTPRTFPMMSPALPSGGTTQMMPTMSSRSPEFSTFGHGSSDLPLRDHFSTPNPRP PAQQESSETLAPGNQPQMYFPPPPKKAAKV AOR_1_488184 MRPLQLLRCRQLHKPPPNLNLLHKRRPSVIRHDMSSLASKKTSV PHKLRTAAEPRQNILYNVRLSHIEEVNPTVRLLHLAIPPRVQAPEEPNQSDEESDEPQ PFTFAPGQWLDVHIPSISDAGGFSITSTPADAEALPSLQPTAESLAVEDEETGLPPVD PRGRDPYVELAVQKALSNPASAWLWKPKDEILGKELCIRVGGSFVWPPPSGIDLEKVK NVVLIAGGVGINPLISILSHLNNNADETPASHHPFNIHFLYSTKLPEATPQEAATSPE SILDQILFLSRLRQIIHSQSQSHRLRITLDLFITSLRDTSSPLLLNQPDDLSIHSRRV SQDDLRTALTGPNGDIKPEETVCYMCGPPAMTDEFVAALRGLMGDGSDRVFFEKWW AOR_1_490184 MTRRFVRAGVQLAIFALCILVFVVTLDNRFRVLPAAIHGHLPSH YSGLVVTDVTIKTCSHINPFSKCKPTSQSWTQVDKDLYLRTGWTSTAFVQFERKKEED LLPTDKVVIDLKISRLVPETTEDTKDGEKDEETWEPRPGGIWLRRTAKRHASDSQTAI TLVDVLFGADAVDPRIGWEVRDTPLLLDSRTEELEARLSIQRGDPQKMKKPVPRINEH GRFKIMQLADLHLSTGLGLCRDPIPAEPVPGQKCEADPRTLEFVERLLDEEKPDMVVL TGDQVNGETSKDAQSALFKSVKLLVDRKIPYAAIFGNHDDEGNLNRSELMAILEQLPY SVSSAGPEDIDGVGNYIVEVLGRGNSAHSALTLYLLDSHSYSPDERQFRGYDWIKPSQ IRWFQNTAQGLKRKHHEYTYMHMNMAFIHIPLPEYRDPNNLFIGNWDEPPTAPGFNSG FKDALEEEGILFVSCGHDHVNDYCMLNNNKDEKPSLWMCYGGGVGFGGYGGYKDYVRR VRFFDFDMNAGRVMTYKRLEYGETEAKIDEQMIVDGGAVRGLS AOR_1_492184 MSASNLPYMKTNPKIIFFTDFDGTITLQDSNDFLTDNLGYGQEK RRQGNLDVLENKVSFRDAFRDMLDSVKVPFNECIEQLKKNMQLDPYFVEFYHWSKENN VPIVVLSSGMTPVISALFETLLGHKPDDHLVIVANDVESRDGKDINTEGGWQIKYHDD SHFGHDKSLEIKPYAALPDNVRPTLLYAGDGVSDLSAASETDLLFAKKGRDLVTYCER QGTPFTIFESWSSILATTKDILSGKVTIKKVAQEGLETIQKEGN AOR_1_494184 MARMLQSLRRALGLTSPKPVTAFRRSIDTDFSFFREGDRAIVHG KTPSLTKPLRQGQKTDLRRGYLEHNNIIGRRVRERIQAQKGPEYRLTLPTLDEYVALT PRLVTPIYAADANLIVSLLDIHVAPPAEGEERTQQPLEILESGTGHGSLTLHLARAIQ AANSTPPPLPAQSQIQYLQGRPIRPDEEPEEKNKESAPTNETPIDPAQQQWDAWRTQR RAIIHTVDVSPKFSAHAEKTVRGFRRGLYAGNVDFYVGHVENWIAEQKRLRTPTSLLP LTQKTADPFLSYAILDMPASHQRIPHVAPILKENGVLAVFMPSITQIGDCVNLIRRQK LPFILEKVVELGPGISSGRQWDVRFAVKKSRADPSSWNESSEPSEGAVQQDRETLDEG SVESVSVPEEAPKEEDSVLVCRPKVGSRIVGGGFVGIWRRIEDSQKQ AOR_1_496184 MADGTYRFQQPGAGQFFFQTQQQQPSHQRHLVRNGTNSPTGRLK FSHDTPSPSRSPPLGQAAALNPFTMYSQTHQGQHVLMNGGQAHQRFGMQMPKFQSQSH HPHPAQQAHHHTHHNQASHNINHQHNFSSGALAAATPHFTPSHMQNGAHANVDEDIDE SMNEHWQQQLQLAAESRQASSPHYYARAVAQQTKGIQIAPSQPEPQENGGDVKNGLTK VKASPRQGWYALDFGGQGLRALSTSLFSYDFLKELYLNHNKLKALPQTIGQLRKLEHL DLSGNDLTELPEEIGMLTSLKKLYLFDNNIRTLPYEMGYLYRLDTLGIEGNPLNDILK SQIMKEGTRALIKYLREEMPENPPPPDRDWVILDETAGTSTEKITVLSYNALCDSSAT QSHFGYTPSRALSWEFRRDVILSELRSHDSDIVCLQEVDQGSYNGYFREQLAYNGYKG VYWPRGRAMGMQEEEAKSVDGCATFFKGTKFILLDKQMINFGQTAVRRPDAKGQDDIY NRLWQKDHIAVVVFLENRLTGSRFIVVNAHLYWDPAFKDVKLIQTAILMEEITKLSET YAKWPACTDKTAFRFSEAEGGEAQTPPEPAPSMEYSSGDQIPLFMCGDFNSSPGSAAY NLIANGRLTEEHPDLEKRLYGNLSRVGMTHPFKLKSAYNSIGELSFTNYTPDFKDILD YIWFTSNTLHVSALLGEVDKDYLQKVPGFPNFHFPSDHIALFAEFVVKGKKGKVVEAD FGPQRN AOR_1_498184 MDMMSVSTAAFAPAAASGSDLHSILSSTGPTRAATSTSSLNSLT DYTNYPLIRQGERTYLRDPESLYPLPCDLPEIHRQTLRSLMLLRVFGGPFCNPYFADR PPQRVLEIACGSGLWSSLSHDYFARRGARNVAFHGIDLVSLAPDLRKKGVNWQFKRHD LRKPRLPFPDDYFDFVFIKDASMCPSSPAQQASGLSEPLRVLKPGGVLEIWDSDSVFR SLLPNPAPARKLASRELEIADATATYTFSPATPFTRVQNKFLQDYNSWAEKAFDRRKL TALPCSTIGLSFNSEVDVLEKVDSRRIAIPLGDLRWERESKDSSGGARKQLTADQMSI RRTALLTVIQMIEGMEPILMEASGKSRDEWDRWWTAMMADVFQKGGLANGECLEVSAW WGQKKNPSTKNS AOR_1_500184 MTNPELRRQVINIYKELLFLGRNYPLGYEYFRNRLHKAFASQAH LTDDEQIRKGIARADFVKKEIEALYYLKRYRTLKQRYENN AOR_1_502184 MRSIVLSALAAGFAQAYTVTNVGLFMFKNIDPLVVPGKYTSHMH SFFGSDAITANTKTSEELQKGCSTAKNPNDYSTYWVPTLYHVDGSNYTAVPIFRFSAY YVDVNSAEIAIPQNMKLLAGNATATSQDGVDGNAGIQWFCDSQAGEEKDDAAFPTETC KYHLQTLLLFPDCANPDTLEYAYSANPDWVDGYGKNRCPIGHVNNVTYVRYAETGRVY MTRNFATHIDPAHKKEWMNIVGNTGIGIILRSIKIDYKFPMKYPDEVTVYHKVAHDPS SSHSHKDAFHLQAVIISEAKQRPAARVHEDLVIYDYKRGKKAEMPPFMLDQFKSTWEL QEKAKTFWQQRILDIEARVRTLEMESWDREDAVEDTGSAKK AOR_1_504184 MPRSSATARKSHSNRQENGAAGSGKKVNKQKSNGQLNGNANGSS APISGPSSQVDWPSSRSNSDTAINSTVATATKANGTTECSKADGNGRGYLNGYVKGNP DMSYGQANGAVPQNGGLTGQASRRTESSKRSGSNTSINPLQLASTILKSCPMYDTIAI LIFLLQLPPMVLTLVQFLFASLTFMPPGGASAGSLTSNFDIFQGPAGTPSLGTMIAMD GFFLLFWGLFMWTWAQNFALDLAHVQVAITLGGGGSGKNGGVNTLCVGIVLVLHLIRS KGIQDFVLGHLLSAKVISPDLLSQYSHLLPSEFRRTEPQSSPSWIRSLLAVHILAQAG TAMARRSMAKNRAPAPPRTGKRVDTEASAGSQTQIDSAFESGTSVSSYIGADGQLITP ATHKDGRDRLISAKKRRRQANQVRNRQPFWAALASTKVTVMREYEHSRALSKTARGLT MTEEDLQGVSLDDGLVWITDVDSSSIKFAAGDLVSEDAGVSGSCESGRLGEDMEPFYV CVNGALWATVTICKVQDVAKGSSVVHWRGEISGLAPNCAYTCSFLRSDTEEEICVMSV KTPIANDAEQVSSVSTPPQPSYRPSSPTTTLKNSIVNAELKLNEKRTRLRKAKNDHKL VVSKIRKELDNYNHRLHSGTDENRQKQRSLQLERNIKQTEEATAVLEVQLDNLENIPE EELEEWSAEKAKYEHELELFNSAKEDVATARSAAAREVSQLESDLTSTIQRRERLQGR RTRVNEQYERIISANAQGLNERERRAAEQFAREQDQAKVEANFNEQFASISQSVQEYQ LRTNQLWQQCVAIEQALQQQQQQQILLDSAPLTPEGNLPGTNTLSEAPTLSLGALTTS ASSNRSLLGLSFPPLKSSPLQHASSPIGPTSSRPTSPTQAPSYLQHFPASPLINTASP FESDFIHRDRSFSNRSGHSSLYGSDFFDSGRRPPFQFDLSEKVVDKRRSSGSESNAPN LGLRPICSPFPRAGSRASGSGSGGSGSGSGSPSSATGKGN AOR_1_506184 MKSRVIIKDAVEKLNSTQSGYKALLEGKGLGRRTGVPLLEQMQQ WVRRVGYETSDFDRLNIVHVAGTKGKGSTCAFVNSILQCYNRSIGLPRKVGLYTSPHL VTVRERIQINSEPISEEKFTKYFFEVWDALESSALREGLDPALKPSYFRFLTLMSFHV FMREGVDAAVYEVGVGGENDSTNVIVQPAVTGITTLGLDHVKRLGDTVDKIAWHKAGI FKNQCPAFTVEQVPDAMEVLEQRASEKGAELATVHIAPELLAVDIKPAEDFQKKNASL AIALAYTALEKLGVSFNKEQGNLPKPFVEGLETVTWKGRCETIKSGQLHWHLDGAHTE DSLKVACSWFGRVSKEKELPRVLIFNQQSARDAISLLKCVHRTVYDDFRTKFQYALFC TNVTHKGHLYKVDLDDKNTDPEVLRNLTLQKELAATWHDLDPSTEVVALYSIEEAIEY VRNISGHIGETRALVTGSFRLVGGALSILEGEDVAHGRATAN AOR_1_508184 MKLPYSRPAVSVNRIVGQIKGFPCGSTKYLPLRAQQWHSSRTIS SAEATSPSPRPQSSRENDTPDTGHTTLSLSDQVRLLMRRVPYPVAIITATDPHEPLDK AFRGMTVSSFNTVTLNPEPAISFNVRRPSETLSALQSSKRFLVHLLAPGQTTATLARD FSKGNHNLSIASGKGDFEFVPHTSTSREGAPTRPLPLLRRKKAADAPEGDSLSDIPFV FECQLHPKEIEVNDHTIVVGTVLKVLSEHLTSPEAIVNAHSVTDLCLTYANTRFWEMG HEI AOR_1_510184 MTLSIPLRRSAVTAARVQTSTTFICSQCRHATLLRRPKRPYTFT QLITLSDGSAFTHRTTSPAPVYRSTRDTRNSLLWNPSSSKLMNVEEDEAGRLAAFRAK FGRSWDANTPTEAEASKKAEQPDKEAEAAARAAAEEEEDNLLDLISSFGQEEEQPSGK KKN AOR_1_512184 MDLDAGDSPWGDVPSQSTGNHGTSRPETEDATREQSSQQTTSTG PRSPVRRGPRGIRKISAHATKLEAVDDTLDPLGPLGDKADETSPAAVEQAPVPPQKEA FAGRNVRPTSSASQTSSGAGMVDSVNLEEDGAGFRNPPPVQPPSDADSSKRQSEPSIS IEKAAKPTFEITVGDPHKVGDLTSSHIVYQVRTKTTSKAYRQPEFAVSRRYRDFLWLY NSMHNNNPGVVVPPPPEKQAVGRFDTNFVESRRAALERMLNKIAAHPILQHDGDLKIF LESETFNLDIKNKENREPDLGQSKGMFSSFGINVGGGSKFVEHDDWFHDRKIYLDALE NQLKALMKSIDTVVAQRKGLAEAAGDFSASLHALAAVELSPALSSPLVGLSDLQLRIK ELYERQAQQDVLTLGITIDEYLRLIGSVKTAFSQRQKAFHSWHAAESEMQKRKHTQEK LLRQGKTQQDRLNQVNADVADAERKVHQARLLFEDMGRLMRNELQRFEKEKVEDFKSG VETFLESAVEAQKELIELWETFLLQLDAGEEGNPFYAPAADAPAESATEGTTTEAASS AAAEEEA AOR_1_514184 MAKQVENNNNDHLVQSTDPEHPSNLIPELCRKFYNWGWVTGTGG GTSIRRDDHIFIAPSGVQKELMKPENIFVLQFPTPKYPPSERKYIRKPLDLKPSACTP LFLAAFERGAGCCIHTHSQWAVLVTLLVEREKGPGGYFEISNIEQIKGIPRGKGKGML GFFDTLRIPIIENTAFEEDLTGSLEKAMEENPDTCAVLVRRHGIYVWGDNVAKAKTQC ESLDYLFQLAVEMHKLGIPWVKE AOR_1_516184 MRFNAAVASALVSSATLMGYAHAEEAEKNPDATSVVEKPTFTPT TLKAPFLEQFTDDWESRWTPSHAKKDDSQTEEDWAYVGEWSVEEPTVFKGIDGDKGLV VKNPAAHHAISAKFPKKIDNKGKTLVVQYEVKPQNSLVCGGAYLKLLQENKKLHAEEF SNATPYVIMFGPDKCGATNKVHFIFRHKNPKTGEYEEKHLKAPPAARTNKVTSLYTLI VRPDQSFQILIDGEAVKNGTLLEDFNPPVNPEKEIDDPKDKKPDDWVDDVKIPDPEAT KPEDWDEEAPYEIVDEEATKPEDWLEEEPTSIPDPEAEKPEDWDDEEDGDWIPPTVPN PKCNDVSGCGPWSAPMKKNPAYKGKWTAPMIDNPAYKGPWAPRKIANPAYFEDKTPSN FEPMGAIGFEIWTMQNDILFDNIYIGHSPEDAEQLRKETFDVKHPVEVAEEEASKPKK EETAPATSVSFQEDPITFVREKVDHFVGLAKQDPVNAVKQAPEVAGTLGALVLSMVLI IVGAIKASSPAPAPVKKGKETAGAAKEKVSEAVSSSADTGKGGASKRTTRSSAQ AOR_1_518184 MAARRSLARSLPTLRAASPRTSLANASSARSATSRSLMTASMSS SSALARRASSVTSSSPASNVAARRLHATARQMTPATTSAATTATEYPTDHKPIANPID TANFLDNEFVASKATTWIDLHDPATNNLVTRVPQSTDEELRAAVESAEKAFPAWRAMS VIARQQIMFKFVSLIRANWDRLAASITLEQGKTFADARGDVLRGLQVAETACGITTQL TGEVLEVAKDMETRSYREPLGVVAAICPFNFPAMIPLWCIPIATITGNCLILKPSERD PGAAMILAELAKEAGFPAGVVNIVHGSAKTVDFIIDEPAIKAISFVGSNRAGEYIYTR GSANGKRVQANLGAKNHAAVLPDCNKNHTLNAIVGAAFGAAGQRCMALSTVVMVGETE EWLPEIAERAKALNVNGGFEEGADLGPVISPESKKRIEDLIASAEKEGAKILLDGRGY KPEKYPNGNFVGPTIITNVTPEMTCYKEEIFGPVLVCLSVPTLEDAIDLINKNEYGNG AAIFTCSGSTASRFQKDIEAGQVGINVPIPVPLPMFSFTGNKKSIAGGGANTFYGKPG LQFYTQQKTVTSLWRSEDAVSTKAHVVMPTHH AOR_1_520184 MAAPADITIKDLSGEWTMDKSLSNPTEPILALQGMGWMKRKALN IATVTLSVHQYADEKDPKVLHVNIDQTVTGGIPGTTEHRITDWEGREHDDHVFGKVRG QSRLIRGSKGDDGKFRPNVEIATKTDDEDVKKFLKGEILADGKDTEGFVADNVGEEYG EGESLFLQSFVQNLDSTGGWTAEQIWGFEVIDGKRYYTRRVVVAKDGKYEKARFVYTF IKRRE AOR_1_522184 MAFNKKYAGLPDLDLAPDIYETPDLTDEASTVPTATVRTNSNAD DDAGSNPDIDRQGINADEARAHFLGATVDAREVNFSDSIATKRKAYRSKSRRRRRDEN GLEEVGDFSDSEDEGLDRRLARLRREVEELKDEMASRQTGSESNEPQAEHKEGLDDGM LELSRALDNLYASSRSASGPHSAAAILSKKISETSPDDPDKPDGPTEKVEKKTTPPSA PSSGVLAHAAAFDGRLALVEAAMGISSSSNPFIADGSSDVPLQPVLPALDHLTSRLTT LMNILVGPAPVSAVPTIGSAPPSTTVSTPHLENLSTRVRKLTADTEALASARKRAVDA AKAAQNARIATAALEPSDMSVSSSSATEVDPAATQRDEQATKVQALYATLPTIQSLHP ILPSVLERLRSLRAIHAGAAQASESLDELERRQADMAREIDQWREGLHVVEEKMNQGE AALKSNIALVEPWVRDLEARLDRLESKEA AOR_1_524184 MGKADAAFILLLGAIPALILLHLYQAPYTKVEESFHVQAVHDIL SYGIPTQNVAETLRAKYDHFTFPGAVPRTFVGAAVLSAFSQPFIWLNDTIDRQLLARA ILGLFNALSLLSFASGLRRAFGKTTAIWYLLYQASQFHVLYYASRTLSNMFAFGLSTL ALRCLLQDHSQSATSKTYRNRCRLSLCLLTIAGIIFRSELAIFLATNTIFLFLTGRIG IQREIIPAGLLGLLLGLGTTVLVDSFFWQKYPLWPELEAFIFNVIHGQSSAWGTHPWH FYFTNAIPRLLLNPLVYLVGLPFALFQPSTRSAAAYLLIPSLTFIAIYSLQPHKEWRF IIYTIPPLTAASALGASYIWTHRTKSLLYRLLSLAMILSTLASLLCSTFILLPASSAN YPGAHALNSLHNHAHSTKPTISVHLGNLACQTGVTRFLEMPSPLENSTPTWTYDKTEN ETLKSTSSFWSQFDYLLIEPGEEEVKVRSLSGPDRWEDVDVVEGFAGLRIVRPGEEAV GPVEERVLTKFVGEDGARLWRTGREFARRAVTRGWWVEVRMDPKIKILGRVSV AOR_1_526184 MFLFGLGRLVYVAVLITNAIAILSEDRFLARIGWGRSQADPAFG TSYDSTSVKAKTVDLIASVRTVMRIPLIVINTIIIVYSIILG AOR_1_528184 MGSFLRSLRRDVGPPTPSVGATPAKKEPPVPPVTPLEKMLQDMG AIREDGSDKFFGMENYGNTCYCNSILQCLYYSVPFREAVVNYPTRTPIESLEAALANT LRYQNFAANLEAEALAEKQKAANAQRPGAPPNQPQKPEDKDSPEYKKKMALQTLPLLE TKNNATSYGMSESLFTSLKDLFESVVASQSRIGIIRPQHFLDVLRREHEMFRTAMHQD AHEFLNLLLNEVVANVEAEASKQPEPERSLPPAESADSTELSGSSGSKTPNTTRWVHE LFEGTLTSETQCLTCEKVSQRDEVFLDLSVDLEQHSSVTSCLRKFSAEEMLCERNKFH CDNCGGLQEAEKRMKIKRLPRILALHLKRFKYTEDLQRLQKLFHRVVYPYHLRLFNTT DDAEDPDRLYELYAVVVHIGGGPYHGHYVAIIKTQDRGWLLFDDEMVEPVDKNYVRNF FGDRPGLACAYVLFYQETTLEAVMKEQEQENMDLNTSVADINDSTLKQNGYPLSPGLA HVHSASQIPSPSEPARFSNLQRAPTAPPLFPHPEHADSESSPADPSTTASATPPVPPI PDIHSLPLSPKKSDSHFKKERAKEEKERKANEKEKEKQRRRDQEARIREQRREDAEIR AALEASKASKAEEDRRHSPDDTKKSSHGLSRLKRGSKSFSHRLGKDKENRVSSSSHSA TPIAEHPPSRNGASESQQQLPNGQSPGSHGLHTRHTGLDEERDTLKDPKHDRSGHHGK WRSFSLKKKSFSILS AOR_1_530184 MPPAATENVPAPAPAAAAAPKPEAQPYLSTMPSSDFSWQITLAN KVIAITGANRGIGLGIAEVCLANSAKFVYSFDLMEPGEDFAELQKRYSNFRYIQTDVT SEESIENAINKVIEETGRIDGLVANAGMTKHQPALKFDREQLDKLFNLNVFGAYFCAQ IVARKFIELGIKGSIVMTSSMTSYRPNRAAPSAPYGATKAAVRNMCHTLAMEWSQHGI RVNSISPGFVRTAMTYYVEKSPDWDLKMQYYGGMPRLADPRELGGAYVYLLSDASSYT TGIDIPIAGIVGAW AOR_1_532184 MAGHLEVEGKEDLSSKEIEDVGEETVPPYSPEEEAALVRKVDLM LLPTMWIMYLLSYMDRTNIGNAKISGMQEDLNLTSDQYSICLVVFFIGYVVLEVPSNL ILSRTRPSLFLPGIMVIWGTLTCVMGVVKDFKHLVVLRTLIGCVESGFAPGILLVISS WYKKTEQSKRFGVYISAAVLSGAFGGLIAAGIVGGLEGAHGIRGWRWLFIVEGAATRY VAIARLESDNVTAMTDGGERLSPWQAILASMKDWRTWMFVIGYMVIVGASTLSYFYPT LVKGLFGDASTMRINFLTIPIYAVAFVCTGITAYFSDKIPLWRGVVIAAWLTFSLVCS IAVCAVYNYSARYALLVLMAAGLWATNGGTLAYASSAFADMQPQVRGVSLALVNALGN LAQIYGSYLFPDSDSPKYIMGFAVISAMLAVGVIVFLILHVWIRRKAKSDARQYN AOR_1_534184 MPNNGPQSGIRKQRKSRGRGLRTNTGCLICKRRHVKCDEIRPQC GPCAKGQRPCVYGNGIDTSSHASMSTTQSQDIPTVISSQAQIHEPLQVLVDACHQEHA IQPTNFNQTLAPNRSARRPISSRSVSSPLVEYVPSPGTESSATSSRVAPLSWFELLAQ DAANADREFLLSPQQRFPLPTADEASISSTQSPAFEPRTLRERESFQAAAFHHDPEMG KKVPLAAVDEQSQALPDVPSSWSTSAPIQLSEQEFRLFTHFVQTFSGWLDFFDSSQQF CSVVPHLALRNTGLMKALLALSARHLTLLRETPGDRRDSDSSYSIDRNVAVRYYYETL HYLNKAMRYQSYAGSQELIATALLISTYEMIDGSNRDWERHLKGVFWIQRYQNNHGEC GGLRQAVWWAWLRQDVWVAMRERRRVFSFWRPQKHVSVLTIPELTTRAIYLLAQCVNY ASREESESTDLEQRLERGNELLYMLQEWQDCLPREFSPLPVPSSSDVFPPIWVNPPPC AAALQFHSLARIIVILHRPSIGGLQDYRAAQKLLTSSLCTICGIARTNDENDDMAFFV SLQCLYGGNLPPAKCFAMCLPLTSFQQGSVFILPMNELHCLIS AOR_1_536184 MTRRATNKTLQILDAAEKGRYGVLAAIAYNVEHITAFVRAAENR KSPLIIQLFPSALTQTPSLVHAAAAAARAASVPISLHLDHAQDYDQIKEIADTLPFDS IMVDMSHYEKEENLERTASLREYCHARGISTEAETGRIEGGEDGIMDTGDLEGILTSP EDVEDFIRAGVDYLAPSVGNLHGDYGPKGPQPDMDRLRGIFKALNGRARLVLHGTNDF SPELSKACIEAGVSKFNVNKLVLDPWYDYIRANINKPITQVIDEGIEVLIQSMERWMD IMGSSGKV AOR_1_538184 MADVEPIFSAVSSNAHHLYTLLQCIGFVPKATVLITPDGIRFSV EEGRVIQGLAFLDKALFTTYTFNPPAAPNSTSGDLGDGDDSSDATYNPCFVVSLSALL ETLKIFGVNDPSSTGANKAVSVQPSNPSSSNAFTTPALLFDRSCTLQYFQNGSPLSIT LSETGIKTTCELTTYEPDGGEVDIPLQRDAIIMKVIMRSAWLHNAIVELGATNPNVLK ISASADREPFFALSGSGGPFSESSVEFSIEEQGPNNGASSQSRKVLTDDGTSRSRAKR TKLAPTVTETFLVSPPSSMGSRIKQNYRFSLMQKASRAMSVANKVSIRGDRQGVLSLQ FMIEFDAHGSSGASNTTRPSGGSLGPTVTFVDFRFVPLLDDEEAADEDSTGDLE AOR_1_540184 MSLNEVWEAASASPYAPLISKDSQFSVGFTLLLSALILTGLFGL NRSFLSIASFGVPASLAFGFGAVYMICAVGVYV AOR_1_542184 MASENNKRKASEEALSPDSQLQSKKARTDSPEREPKSEGGPLGK PPLRIVPFPEKPAVLEERRGEIEFRVVNNDGSRDSFVVLTGLKCIFQKQLPKMPKDYI ARLVYDRSHLSIAIVKHPLEVVGGITYRPFNSRRFAEIVFCAISSDQQVKGYGAHLMS HLKDYVKATSPIMHFLTYADNYAIGYFKKQGFTKEITLDKSIWMGYIKDYEGGTIMQC TMLPKIRYLEIGRMLLKQKEAVHAKIRAFSRSHIIHAPPKEWKNGACKIDPLSIPAIK QSGWSPDMDELARQPRHGPNYNQLLHLLNDMQNHSAAWPFTQPVNRDEVPDYYEVIKE PMDLSTMEEKHEKDMYPTPQDFIKDAMLIFDNCRRYNNENTPYAKSANKLEKFMWQQI RNIPEWSHLADGH AOR_1_544184 MASPSLTDLEQRNRLPTLFEVLSRRTLAPVDLFSFYIYMRDQQR SVDYLDFWLDVSQHMSLCRHYVRELRRSVLVATPDLEKADSKGSSDALGNLETFGDIP LVEAGPSGLRHGNHDLDDQDADQRLSAFLRADGHNPGHSPQDSLGSQNAARTPSNEQP PRPSSGTRNDSTSPGHTVARADIRASAEKILYTYLLPGAEREIVLPEDMVSTIINLVE DDGRDDPEVFDPAKDYVFQAMERDAFPGFLQAKALGNLVPLSTIARLAFALISFGGGF WGAFYVVLRDKPRHIRCWLILPFIVASYFIVSYQYKIDPVMAFLGYSEYTFMNWSPIR EPYVRKLLNKRATATILIAAFVATALSVLFIFVPGTML AOR_1_546184 MDQTHSRAMEALQPFVLLANSNSATSPKFVANLITNATSSPNTY VFAELLETPTVQALRSEDTPAEYQGYLTLLEVFAWGTWQDYQTTPNLPPLNNEQTLKL RLLSLLSLSATIKPLTYQTLMDALSIAAPSELESLVTTAIYSSLITARLSPVTNPPTI NVTSVAPLRDVKPQSLTTMISILTQWETRCGDVISDIEAEIARIKTDSAKRRAKEQAR AVLFEKTLSNWGSDGGESNPRFPGSKKQSWHGGAKGGSSGFVGNKREFSGDDFDDGYW DNGADGAYDSQFSTSKMDIDQGAGSSATGSAGAGARHAKRVLGRKS AOR_1_548184 MLGAEVDGSSAARSPAHMATTTVNVEGMTCGACTSAVEGAFKGV DGVGEVSVSLMMGRAVVHHDPNVLSPDKVAEIIEDSGFDATIISTDSPAGPSGDTTTV KEKGSMVSTTTLAIEGMTCGACTSAVEGGLKEVAGVKSVNVSLLSERAVVEHDASTVT PDQLAEIIEDRGFGARVLDTAAPQSGASQETTETTSRLMVTTVSIDGMTCGACTSSIE NIFSGVDGLVQFNISLLAERAIITHDPVALPSKSIVNMIDDAGFEATILSSEPQAPVS SAVGRVILNLHGLRDALSAGALEESLLQKPGISSASVDIPTSRITVLYDSSVIGVRSV VVAIEAAGYNALLADTDDTNAQLESLAKTKEVQEWKRSFLFSVSFAVPVFVINMLLPM YLRPLDFGKVQLIPGLYLGDVACLLLTIPVQFGVGKRFYTSSYKSLKHRSPTMDVLVV LGTSAAFFYSVFTMVMALIIAPHKRPSTVFDTSTMLITFITLGRWLENRAKGQTSAAL SRLMSLAPSMTTIYDDPIAAEKMAEEWEASRTGNGEQKSTSDNERPGPGHQIIPTELI EVGDIVVLRPGDKVSADGIVIRGESYVDESMITGEALPIHKAKGSAVIAGTVNGTSSV DFKVTRAGKDTQLSQIVKLVQDAQTSRAPIQRMADTVAGYFVPAIISLGLITFFGWMV MSHLLPHPPKIFLADDNGGKLMVCLKLCISVIVFACPCALGLSTPTAVMVGTGVGAQQ GILVKGGAILEAATKITHVVFDKTGTLTTGKMSVAEAKIERHWTSNEWRRKLWWLIVG LAEMNSEHPIGKAIFSAAKTESGHPDEGGLPGSLGDFDACVGKGISALVEPASSAERA RYRVLIGNATFLRSRDISVPESAQAADSDMATSKVPAGITRIHVAIDDQYTGTLLLRD TVKVTAVAAVAALHRMGISTSLITGDTHATAISIANAVGISPESVHASVSPSDKQSII SSLEASGERVAMVGDGINDSPALATASIGIALASGTDVAMEAADIVLMRPDDLLSVPA SLSLSRAVFNRIRMNLVWACMYNVIGLPFAMGLFLPFGGYMLPPMAAGAAMAASSISV VVSSLLLKFWRRPRWMDAEKLEKQVEMGNLSFRGSRKSWWEAALSVSGSSGRVGPLRW IQGTRFWFFVTGKPSGRLDSDEGYVPLQTVEPAV AOR_1_550184 MSLGLNAVHISSFHPASFTATSSRCICRSYVNELTDIALIDEET LETVANGGSMDFEKWPSMVEPLLERLEYIVYNVFPMPQVPPESTAAISQQQPISNLSA SFPQEPNSIPTSSNKENATPADSQTSTQLTEPSGERIPNSQPQSSAGPNLPTPLQLLL DSTRSTLRSLFSTKPPHTIQRLAELIIRPNKHYKTLPAYLRAVDRVVSVTSSADIFPL KTHASGDQSNGILNGAESGPMFSDHALGSDESLGGALLTPIPWLSNVASPDAEGEGGA SLSEDHDAMSEAAPQQHSLQQETDGAAEPVLTHSTTSVIENEAMEDTGPQQTNPSEDI PHARGPRILGVEDMGLQDGKGVEMTLENEEGANNNSAEQNGQQGNDAGQTATKENADG DGDITLDDAKNANEEPGASAKGDEQPKP AOR_1_552184 MWNSPKVGILGGGQLGRMLVESANRLNIQCNVLDAENSPAKQIS SHDGHVTGSFKEREAVRELAKTCDVVTAEIEHVDTYALEEIASQVKIEPSWQAIRTIQ NKFNQKEHLRKYGIPMAEHRELAENTPEELAKVGEQLGYPMMLKSKTMAYDGRGNYRV NSKEDIPEALEALKDRPLYAEKWAYFKMELAVMVVKTKDDVLSYPTVETVQEDSICKL VYAPARNVSDAINQKAQELARKAVAAFDGKGVFGVEMFLLEDDSLILCEIASRIHNSG HYTIEGCPLSQFDTHIRAILDLPIPPKSLELRQPSIMLNIIGGAAPDTHLKAAEHALS IPNASIHLYSKGAAKPGRKMGHITVTAPTMHEAETHIQPLIDVVDEIRSQRSDIKTQP QKSGPSKPAPSVAVIMGSDSDLKTLVPGLKLLKEYFGIEPAVDITSAHRTPEYMAEYS ASAAARGIKVIIAAAGGAAHLPGMAAAHTALPVIGVPVKGSSLDGVDSLYSIVQMPRG VPVATVGINNSINAALLAARILGSFDPAIQRKVEAYAEQAKTENLDFKGTKMRELGWE KYFEQM AOR_1_554184 MSSRLVNRPMDTKQRDKDINQKLQLYGIYQAFKNGKLPSNKQCD VALNSALKSKALSTPPKELSEEGQALIGDVRDVIEQAKRLILSKNDGQLIQEFIWEAQ SITGEHVGEKPDVPLSRESAQQDGREALEGLKTLGNLLITNGEFRKLLNDAWILVRDI AGDASQKAANQVRPSEEQLAQVDQPAEDNTWHEKPDYAKHKEQFTSRFRKNKATAEQQ ANEVTDTAAQAATGDQRQDSAVEIDGRTGAAAGAEKTKEKLSENIPEEQKSRARDLAG KTKGYLSKKMPKERREQAIWRMKKMIIEIQGRADYQRAIETLLSLAEKYGSTTRDVTQ QGAGTVKGTRGTDKISRMEKNLRVLIERFANSTSLDDLFDSLENIYRDADRDPELKGW FKNMDTFIRKSLQEQGYVMQEDWDRHYDQLSDHGRYLLRERYRDHTDRILDEVKFIGD QFAQDPQNKAFGEAVEKLFLDLGRDSSGNVSFKPHLLTDLRDVILPGIFENVRYVPIP RIEVSDPMADVVVENLVVESDNLVPNVVEFGSDNYLRWGRKKISSKRDNKIMLSVSGI QADLRDVSYYINKKQGFPSITDQGVMDIFLGGDGFGFKIAASNAQREDRQNFVKLDKV SVKIDSFNIKLKKSKHKALFTIFKPLLFRTVRPVLQRVLEQQIRDAFSRGDAFAYEIH SEVKRAKEAAIEDPANAPNIYSRYLDAARAKMEENKQKAQAVAQRASNTKVQTATTLH DSLFPEIKLPGGISSKATEYKELAERGERWESPIFSIGDAPESSNIPTPADITRKPHT TAQGRISDGNVTNGSAAASGARTSNGSTTIGATNGSAAVTGTSGVTNGATNGVHKANG YGAHGFSDQVDKAFTSNGGYKTTQDGVTATIPGAQHAFNPQTA AOR_1_556184 MTSSASFLDKPLLKVNLRRHKESLSIDGTGFVRESSITSMSSAG TVGQAHRKEISDIDDLVGDFGFLSVNATSRDFHGIQSDTSFANLLLSLSLVEPIPRSS SQSLPARHEITPLLQHYFDNLFTQMPFFSETNFWTSVDTVYQAGGRFAKPSDHWFLRL VLAIASASVSHQSGDSSHQRALSLISGALPFAEDVLRPGSIVGIQAILLLAQYSLFDP KHFRVWYLVGMAARALVDLGLHQDPPSEVQSADEQLDMRRRVFHCVYCMDRSVSTALE RTFSFSDDSINVALPSASGSAMTGKSHIFLHSSKPAWKIVEIREILSSAYQTRYFNTD GLSLESAWVLCSRARDWFDNTPKNVPNYFPVLYRSELLYTTIMILSPSQDYSKLCDYG KVLLFDRCIQYAAELHHILGTRNWLPLMTSLDIRRIYQVSRRLVDILQQNYELALSPS VPVLPSVFHDITKPPMLEACNTVNCHGRAIECLDHIQKLLQFGAMKWELNDLLESFQQ DSVSVWKQLMDTSVAYLPGPGAYMAGPCTIVPGAGSVYSDLTLGHYNRNAR AOR_1_558184 MATQLNPSYTKPQFTNPWRSPSSKTSSQSDEIPDGRIAHTLTAC TRCRQRKSRCDPGIPRCSPCERSNAKCVYFDSARKCTIPRTYIVSLREKARMLERELE EAEKEFQHAADAELMVRGAGRIRFKENDDPRFLGPSSGIAITRLVMEMAKQNTDSKSI KDVVPELTAHEIKQAFEQESSKPTSKVYPIISSNPQPSLPAKHVTYRLIDVFVVKAQA MLPTLHEPTFRQDVEDVFNGSNDPCKNFQLRMVIAISMQKMSTDYAGLADGYYLAALP FLEASLRRMDLRALQCLVLIGQYSLLTPTRTAAYWVVGIAVKLCQDLGLTDEATITKS PSGELFNPLEIEMRRRLFWIVTSMEFGLSHSLGRPSCYSVNHDHIRVKFFELIDDKFI TPDGILPGGKPILPKRTLYLNKRDAPVDDQDPWFQQMLNKLDYWVASCPKKHGGSGLS EKWFHGRRNTMIVFMFRPSPQVPEPSVSAAQKCYEASVFNVAMQREQTANQSVDLTWI FTQSLFMALNTILWSLSYPEIRREHPVDEVKRHLDVALEAIVVAAERWPGVASALLLY KSLVAACLKAYNTDESFVVQSPSNHTTPASSQEVATPPSMSSPASTTMSIPSRNIRGM NASVPDSLSSGTLSRGHSADPTFPFSTTSPPSEPIKVAPYPLWDPQVQPQELTSSSVT PTPYTTSSFDPTIVACSDGRFDPTTPYNQFPSIVPGLQGWDPDFTLASTTASHLAYVE ATVDPMNWMDSIGNQYSQYFNGAYPIPSWRERTLSQQDQIELMTKLEENIPDVSAQLV RESATFYQS AOR_1_560184 MSDRASSASFRLGPPSPSSPAAGSLKSNHPSYTSTEHTPQTPTS PPLMSVSAQNYASNFTSSQTSPGQATSQPANLSSPPSSVPMSTQASQQPTVGTTNSFP TPASSVNGHFTGATPVDDSEQTEKSFGPEMGATSTADMNAPIQQTEHRRTDHDRQSEG PSAQTGVRDFGITGDQNMLNHGDAMDIDKGTADLSNYESSLESLQKEFTSAFHLCKSS HIATGPDPSYDLVSLYGLGPVAKSVARMDPVTGEKINRLRKSYEGKLKGLGLAGRNKP VKHEPGMAGGLRQMTMWPEEEWQNQKVFGKEIKVADMDSALHNLQMRAMKMEPGTVTN NDYWEDILGHEKQSKHAGSGDGSKKAATPSNGVRVPSQANGTPNAAEPERSRPSRGRK RHYDDNSFVGYGEGYADDDDDGAFYSNSEGISKKKRKKDHVSKISTPLPERGGSYGVG MYGIGAR AOR_1_562184 MAHLESRKHISPDSGFPITLHPNFNPKINQHVPPDPIREHLNPP KDRALFADPEKKALFSVAKPVDLTESIGTLLEDVQLSQLNEQQLDELALLVTERGVVF FRDQDLTTEKQVELFQHYGVLDKHPAQKDQKFVNIHGSREDHREIANYTPWPSGEFHA DTSFEINPPSYSLLRMEEHPAVGGDTAWVSQYGLYDALSDAYKKFLDGLHAVHTSRLQ YLWGVGPNRPPIDTHHPAVRTHPVTGLKALNVNNGFVTGFAELKKLESDKLLDFLSHH IHAADDHYVRWKWAVGSVAMWDNRCTVHRVIPGRYKENRRGIRTTVFGEKPYFDPTSE SRDERKLREEKNANDDA AOR_1_564184 MGGSKTLAHDTTQEWSEDENAVLGGHDGLWIRFLTWARWYPKDM NHLEKRLVLKLDVLILTFGCLSFFTKYLDQQAITNAYVSGMKEDIGLVGNELNYITAA FWAAYCTSMIPACYYLTRSRINIILPTLEAGWGLFTFGCAWAQNPGTIYAMRVLIGIC ESCSFTGVIYVIGSWYKPEEIGRRISLFFIASPLGTMFAGYLQAAAYTNLNNTHGLAG WRWLFIVCTIITIPICILGYIAFLDVPHRTKPRFLTHKEHELANSRLVGLTAPSQLKV SRDIFKRVLGRWHWYVFVAQWILVDQNFLASSTPFSLYLKAKPGIYSITRINTLPTIA TAVSIVAALIAGTTADRKRNFWLPSIITTIPVLLGLVLLVVWNVGEAGRLAGFILTGA EGAMSPLTMSWATVTMANDAEERAIVTASMNAIGQAMSAWTQLLQYPAAEAPNFRGGF ISNLATTVAQLAVVAIMIGKAHGVEAQEPIRRSISFYQKMFVHTCKLEWNAVREIAKD WQSEIEQKWPRYYHEIQDVIQHGSSWFEADTYLRQNFIENNTNEKGADTAMKRNIYVP PFDHPDIWKGAGTMVSEIAAQLPPRDTPAGYAFPADAIICSVGGGGLFNGIVEGLGDY MRSHPTPDLITGTTLKNVRVLAAETNGADSLALSLRSGSLQSLPAITSLATSLGALCV SPQTLKDAQSPPAGVDVVSVVGSDAEAAKGVIHLADDLRLQVELACGISVDIAAGPRL REAVPDLTPDSRVVVVVCGGSNITAEMIAEYRQRLQNGWE AOR_1_1308184 MDDQVIQFAEQHYIAEQTARHIRIWGNQDQPLLVPPCPNFKEIW SSIAPTLRQPYVERVRALASSATPTGAQAQYRDILKTLYEKCYAEMTQSVAEETWFVP LSQFEQSIGQLGTRVLSESYQSLDIQDDENSDDDRTVCSQDEDMDMADTSIPMEYDPR KYEDPKISTLETALKEGLLALNKLQQAFEGSDVRNADIDWKPQIERTLSISQTEKVII GLNGSTGAGKSSLINAIVDEENIVATNCMRASTAVATELLYNDGESRYKAQIEFIELR DWEQELRILFEDLLDSHEDVIRGDLQKNSDAAVALDKIKAVYPLLEVKDILNTSVEAL MEHSNVSDLLGSVHVFEQNDPKVFSRMVKSYIDSRGRRGRSEKGPEKGRDMKYWPLIR VVRIFLKAPALITGAVLVDLPGLHDTNAARVEVAKEYSKRCSAHWIITPINRAVDDKV ARDLLGQSFIMQMQMDCSFNNITFVCTKTDHITVSEVQDSLKLRLPSMQEREQRDRKR AQLGAELKLLQEGKGRVMEELSLLDDEIEELESCLFNEESSLNLSQLTPIKRKRHSDL EMDVTANPNAEVPSTPILHVSATDTQPSADSEIDELLRKFRSLKSERKVLDTQRREIY QQIQINKAALKRVQAESDNMRADTLSECIEARNEYSKHEIKRDYANGIRDLDEEDQDT LAPSDRSKLARNYKKIEDELPVFTASARAYQKLRGRLRKESAVAGFTQLEQTEIPQLQ RHCISLTERAREASAMRFLTQLKQLFQSISLWSLETGQAHIMSAEEIQELEAEFNSTM VELKETMVAEVEKHIDSLRHEFEKTIIRRFDPAVKHASEKIPGIISGWNAPRSEGGLH IQTYRATCRRRGMFRELDWNQQLVNPLLKRVIQGWTTMFNEKVPGHFFQLKGDLAEIL EQYHRRAIALVERRSVTKESKDMLDKALSICCKSMGEQLGSLEQSMISKQKEINRMFA ETIRTKLMPTYEECAEQKGESLQFLSHKGVLAPSPVRNLMTQEGARNSVNMLQTSARR VTKGLTSLLESTGADIEALIDTTVDQVSRDYRIAIIDPRVRKLSQQQIELKNKITNII QTAETEVHLDQHLGLSNHQELSAEILKHEGVANIKEENMQA AOR_1_566184 MVLPQLFSRHKSKRSKSKQIQHPDLSTLTPNPTPVPSASSPPPP PPPRFPPSSPSTSSSPRHSQSTRKNPPPPPPPPYQPTAAATAPPPQPNLPRKSSTRPA HSRSSSIPSHSKSCHRPTHSSATSKGSFSSSASRPTFSRASTVHRFKKHDPDLHPLNL PPDELRRLSAMAAAADRSSMDVDSNDPRLSSSANGVNGTHAEKSPTPPPHRSNGTTAE ADSFKLAGNKFFKDRNYARAIEEFSKAVEINPNSSVYLSNRAAAHMAAHQYINALEDC ERALELDPSNAKIQYRLARILTSLGRPQEALNVLSRTDPPASATDRAPAEKMIRFVTQ AEETLAQERGVSMALFCLDQARALLGNGVKEPRKWTLITAEAQLKMANENSYAKAQDI AMNMLRQNNQDPDALMIRARAFYGLGETEQALKTLKICISLDPDMKSAIKLLRTVQKL MRTKEEGNNAFKAKDYRKAIDLWSQALEVDPSNKDMNAKILQNRAQAHINLKEYDNAV KDCTEALRLDPSYVKAQKIRAKAHGAAGNWEEAVRDYKAVAESNPTEKGIQEEIRKAE FELKKAQRKDYYKILGVSKDASEHEIKKAYRKLAIQYHPDKNRDDPQGDEKFKEIGEA YETLIDPQKRASYDNGDDLIDPSDMFGGHGGFSGFGGMGGMGGMGGMGGMGGMGGMGG THINIDPKILFNMMNGGGGGGFAQAGGNPFGGGQSRGGFPGGFPF AOR_1_568184 MRFSATTIALFAGLAAAIPNGEVHTVYQTEDVTITSCAPTVTDC PGRQTSTPEGVEPVTTGPAVTETPIAQTSSAEVPPVAETSSAEVPPAVPTSSSPVIPG VPSSGVPPVPSSSSPVIPQPPKQPSQGTTVIAVTTCIPTVTYSTITGPVGTPTGVSPG KSSSVAVIGTPAPSGSATPSSSGPTSLFTGAANTVGQSFGLAGAAAAAAFFLA AOR_1_570184 MSTPAVPLLKPPVPGNRNNSNGPRPPKLTLGIPPSPNARPVTGN GIPAPAPVPAPALAPEVPQLQRPSTRPAPPQLRLATPMGSSKNIQQVNGRPAPPPLAT SGLNEPNGHSRSGSFNYLDGKASGPASASSSNYSALSFAMGLRQPQGSTPDPSSAISS VYSDREGGVQMERDNSVNGLIPDLDKLSLEKGRPLDVDDLDDEGWLAASEQKKIVELG SLGEGAGGAVTRCKLKEGKTVFALKIITTDPNPDVKKQIVRELNFNKDCASEHICRYY GAFMDKSTGTISIAMEFCEGGSLDSIYKEVKKLGGRTGEKVLGKVAEGVLNGLTYLHS RKIIHRDIKPSNILLCRNGQVKLCDFGVSGEFGTKGDANTFIGTSYYMAPERITGQSY TITSDVWSLGVTLLEVAQHRFPFPADGTEMQPRAGLIDLLTYIVRQPIPKLKDEPGNG IRWSDSFKYFIECCLEKEPPRRATPWRMLDHPWMLDMKNKKVNMANFVRQVWGWDN AOR_1_572184 MANPGRLSGAHAILLATHLCVTGNVSRLPQLQAQFPGYLPFERV LRIILTFLPESTAPQSYTSVLQELLDGPPSQTDDDDIDVSPVDKFSESAAKKRVRTLR LLPLKYHDDEDSQDPTDLLTQFLIHRAYRIDLETALQPLILELLLPFYQRLPTVRTWL ISSLLPLLRLNYEYYPSQDETFSLDVLESMDSHTAINVLLSMTGAQKNSMDLVNNLRG LLGPWMYGSNRSKRRRLNKAAEANSISLPQLNTQQQSNNISGWQYVNEWLLARSLVDY ESTVNAFLNWDGPEDADLGGFEEGNQKYDHDVSKDLNLRYAANICLHSNQDTQLHELR NIVSSIVRQTKLSHDWRDVREQILWLQHWGSDKTEGNESNSPCHGLFWRISRDVVEAE ILKALLEIKEFNLAIDIYINSKTSLSSAQVEEAVKEAIFTTYDNASNGNRTRGGMKQA YDILQAFQPHFPGSVSFKEIRALISATHGLSFYSLTLQHGVPFQPVSIRVHPDPLSLI EKVLDQNSKAYTRVDDLLAIGRNLVAAGFSSHLSDSNNLDLPSTSEEDAVITAERRIM SLAISSALSSNDFGTAYSYIHTRLTPPSLLSTSSPLTNPAVRDDISWRAVYNAGRYRD PALSSSSNLQAQITQLSQRMELLSLALILVPSPDPLPEILGAWRRCDEEMNGLRTREA EEEELWDTKGDNLSSVPGGFGPTDSEQDAYETKKQHARRARAHNDRLNAEEAPMGLFE VARGAALALHKNAFPLSGGTAATDQQPKPSSHARGPSEDSAEERVRKRDVVSNMVTGG LVSGIGWVLGAQPVNR AOR_1_574184 MASGFGLNGGPSRCYNFWQEVLGCYVVNAGDGETGKKKCMPALE DYYECLHHRKEALRTMKMQAAYRKAEAAHPRENAPKAEQIRSLGLLGKEEEASAFLTK A AOR_1_1310184 MTSRLDRLVTLLETGSTPLIRNTAAQQLGDVQKQHPDELFNLLG RILPYLKSKSWDTRTAAAKAIGLIVTNADIFDPNEEDGLGIKKADDEDDLAVEIKSEE AQLSPSDELLQLESLDLTSILKYGKRLLGSAGKEYEYSLAAMDPISRLQHQKKTLNSR LGLAGEYIEDDLIDDTDLALKTPAIKEDPSHAAVSRENSHQLSAPVTTPSEPANGEES GLSKRQLNQLKRKNKQSAKMGANKVRVVDLSARKTSDVTTPSVTTPHPIKAENGEERN GDSKSDYFSLERPSGDDDSKIVSEFKGEAAPEKPLIQPESSDEGPSIAWPYEPMCDFL MVDLFDPNWEVRHGAAMALREVIRVQGAGAGRLRGKSRSENDTLNRKWLDDLACRLIC VLMLDRFGDYISDNVVAPIRETVGQTLGALLSQLPSRSVIAVYRCLYRIIMQNDLGLE RPIWEVCHGGMIGLRYLVAVRKDLLVKDAKLMDGVLEAVMKGLGDYDDDVRAVSAATL VPIAEEFVTSRQNTLGILMNIVWECLSNLQDDLSASTGSVMDLLAKLCTFREVLDAMK ANAAVDPESSFGNLVPRLYPFLRHTITSVRSAVLRALMTFLQLEGDGTNEWVNGKALR LIFQNLLVERNETVLKLSLQVWSELLKALDKHGSFKSEAELLSHIQPLITLSMAPFGV PRYPIPMNASLFIKPSGVPFPMSAAAPAKSSPSAFNNTSDATKKRGRKAEKKEVPPPS AHNVDGHMLQGDIDLVGADTMLRSKIHAAKALGQLLSFWDKNGLPSLWQPILHGLKHS ASTSQLATAMIIEEYARIQGSDSPYASVLCEQLRPIIEGDRPSWYGDIACYLHVARAQ CHSLLNAFRDHAHVPGSRLPVLAVIVQGEAEAGPSAFSLADAEKVVGPDFERLKKGLA PAQRITALQVLNDTRATAESAINEARSVREARDLRILAAAAGALVAMHNIPKKPSHII KGMMDSIKKEENAELQQRSATAVVTLVEYYTTATKRGPVDKVIGNLVKYCCVDTSETP EFHHNAGLEKSILSLRKEEDRRDHPDAAKFEREAKEARIMRRGAKEALEQLAVKFGPA LLEKVPNLASLVERPLTDALANELPADIHNPDNELGQEVVDGLSTLRALLPKFHPGLH PWVVSLMPLIVKALQCRLSVIRYAAAKCFATVCSVITVEGMTMLVEKVLPTINNGLDV HHRQGAVECIYHLIHVMEDGILPYVIFLVVPVLGRMSDSDNDVRLLATTSFATLVKLV PLEAGIPDPPGLSEELLKGRERERKFMSQMLDVRKVEEFTLPVAIKAELRPYQQEGVN WLAFLNRYNLHGILCDDMGLGKTLQTICIVASDHHLRAEEFARTQAPEVRKLPSLIVC PPSLSGHWQQEIKQYAPFLKCVAYVGPPVERARLKGSLGDADIVITSYDICRNDSDVI TPLNWNYCVLDEGHLIKNPKAKVTLAVKRVASNHRLILSGTPIQNNVLELWSLFDFLM PGFLGTEKVFLDRFAKPIAASRFSKSSSKEQEAGALAIEALHKQVLPFLLRRLKEEVL NDLPPKIIQNYYCDPSELQKKLFEDFTKKEQKQLANKMGSSEKSDKEHIFQALQYMRR LCNSPALVVKDGHKQYDEVQQYLHAKNSYIRDVAHAPKLSALRDLLLDCGIGVDPPSE GDLGTGASYVSPHRALIFCQMKEMLDIVQSEVLKKLLPSVQYLRLDGSVEATKRQDIV NRFNTDPSYDALLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDIQAMDRAHRIGQKKV VNVYRLITRGTLEEKILNLQRFKIDVASTVVNQQNAGLNTMDTDQLLDLFNLGETAEN AEKPSDNAAGNEVDMVDIDGEVKEKGKKGWLDDLGELWDDRQYQEEYNLDSFLQTMKG AOR_1_576184 MAVRRSARLRNRQASNYQTTNFGSWLKEPQPPASPVNNTTTDTT NNNNKLPSVMERDETPADVPSNHTTTNTTTTTPKSTTNVRTPHKATPAQKQTPAKTPT SAVTRPSHQEMHPSKVHQSTTKQADSGLILGFNPIKKDAEGNVMKDSLVETTPSKTKT SPASTYYGTPAFEFKFSSADSQLSDEAKKLMESVREDVARIKAQMVQEKESQPDQDSN NQTENRKIAEPKGQASRFNAAHMAEFKKMDSIAGHASAFRATPGRFQPVRKDLKRTTS KARLDESNQNSPTKSPTKSPAPAPVGAKRVKRDRSDDTSTRRETVGEKSASKPAVTRT RPAIRSSLMTPTRASMARTSSTSLRPPRTSMLPRPSLAHSPTSKQSNTPRTPNTDFNP RFKSGLPQLNLKSILRPHQPLFSKDPAKIAAGTHVAAPEFSSKFLLGSTHDASEESAV TPSPKKRVEFSSSTRLQDDEALPSPSPSKFTAGSPSRVASDIVYPTLPALTPDHASKK SDSKTKSPTIRHVRQSDAPTNLSLPDIPGVPHGIGSKKRHRETEATHDPSLPDVPGVP HGIGNKKRHRDAAGRDVDTENVPPADTAAENRSAKRVKLSSPSKLSPFKFSPSKMPTP SPTKARSHTPLRSTTSASRTGTPASARQKSRNALTMSRLNALAQPKNRS AOR_1_578184 MSSSNSAVWLLEAGGQFVVQEAPLPSPGPDEVLIKNKAVAVNPM DWKIQIYGPHLPFPKKYPFILGADVAGEIYEVGQDVTTFKKGDRVIGGANWFLTNEIR DSGFQNYTICKVNLVAPLPDTMSFESGSVLPLALSTATMGLYPAGRLELPLPLTPKAS PINKVILVWGGSSSTGSAAIQMAVASGATVIATASAKNHSFVQSLGAAKVLDYHEDSI IQDLIQAIRDTPGEFLGVLEAIAEEETIRKCADVVKGLGGGRVVTNLPVPVKDIPEEV ELVGVVDVANLHDHKEISEYVWGKFIPEALKDGTLKPVPEPLVVGEGLEKIPEGVSLF QKGISARKIVVTL AOR_1_580184 MSQLQGLFAAAAHPLPDIKDPSFSSNFDSFADYRLVLLGDGSHG TSEFYSARAEITKRLIQHHGFTVVAVEADWPDAEVIDRYVRQRPGPKAKIGGHSKPID PFTRFPTWMWRNREMQELVEWMRDYNAQVPDSKKAGFYGLDIYSMGASIREVIDYLDR VDPAAGKVARQRYGCLQPWVDDPAMYGLASVRGMRNCEKGVLDTLRDLLQRRLEYSEN RHDGEEYHSSEQNAYLVRDAERYYKAMYYSSASSWTLRDTHMVDTLRRILRHKPEGTK AVVWAHNSHCGDARHTSMGIRRKEVNIGQLCREQFGRNNVALIGCGTHTGTVAAAHEW DEDMEVMDVRPSREDSWERVAHNTGISSFLIDLRRDHIDPGLAAAFEAAPLRLERFIG VIYRPDTEKISHYSAADLLNQFDGYVWFDETQAVKPLEKHEPATPLGKEETYPFGL AOR_1_582184 MSDAFPDVASRLERFQEAQESLYGDLRSIANPQDWTPPQQSGGH RGRYLWTDAFGVINFITLHREYEKALASSTSAPDDRYLTLARRLVQTVHDVLGRTRDG QSRLPGATDDNPMGGGLRIGKIEEHGSDGDGQYHHYLTIWMFALNRLSLATGDPTYNR QAIALAKAIHPRFFIGRHLSRPRMVWKMSMDLSTALVASEGNLDPVDGFVTFRLLQAA AMQMGEGEVLANEIADYRKVMERKGKHFVTSDPLDLGMSLWTAHWFAEKEDWATQLSG ECFEHIYELFEIDRYLDRSHKYRLAFREFGTCMGIKCMSEQSTEKESAVDLKVYADKI LDSWNIYMQKSLATKMTPDDLRPITRVMYASALLPGAFARGYLGPEPMPSPER AOR_1_584184 MASPDKSPSTTIPRLYQPPTTALLVYPITLIIGSLFSVLSPTAQ GTRDPPSDHPTPLAPSIAADVNLPQHPVNYFARKDNVFNVYFVKVGWLWVTAAFASLL FSQLPYTTTSSQQPRRVGQALARYSLATLVWYLTTQWFFGPAIIDRSFVISGGKCEQV VPQAEEGSASFHTLLTATACKAAGGAWRGGHDVSGHVFMLVLATAMLVFETIGAWRGA PDIDQGSKKSEDADRELNGPRKWSVWFVGAVTGLGWWMLLMTAIWFHTWFEKLTGLLV ALSAVYAIYILPRRVIPWRDVVGIPGV AOR_1_586184 MKLGWIEVAALAAASVVSAKDDLAYSPPFYPSPWADGQGEWAEV YKRAVDIVSQMTLTEKVNLTTGTGWQLERCVGQTGSVPRLNIPSLCLQDSPLGIRFSD YNSAFPAGVNVAATWDKTLAYLRGQAMGEEFSDKGIDVQLGPAAGPLGAHPDGGRNWE GFSPDPALTGVLFAETIKGIQDAGVIATAKHYIMNEQEHFRQQPEAAGYGFNVSDSLS SNVDDKTMHELYLWPFADAVRAGVGAVMCSYNQINNSYGCENSETLNKLLKAELGFQG FVMSDWTAHHSGVGAALAGLDMSMPGDVTFDSGTSFWGANLTVGVLNGTIPQWRVDDM AVRIMAAYYKVGRDTKYTPPNFSSWTRDEYGFAHNHVSEGAYERVNEFVDVQRDHADL IRRIGAQSTVLLKNKGALPLSRKEKLVALLGEDAGSNSWGANGCDDRGCDNGTLAMAW GSGTANFPYLVTPEQAIQNEVLQGRGNVFAVTDSWALDKIAAAARQASVSLVFVNSDS GEGYLSVDGNEGDRNNITLWKNGDNVVKTAANNCNNTVVIIHSVGPVLIDEWYDHPNV TGILWAGLPGQESGNSIADVLYGRVNPGAKSPFTWGKTRESYGSPLVKDANNGNGAPQ SDFTQGVFIDYRHFDKFNETPIYEFGYGLSYTTFELSDLHVQPLNASRYTPTSGMTEA AKNFGEIGDASEYVYPEGLERIHEFIYPWINSTDLKASSDDSNYGWEDSKYIPEGATD GSAQPRLPASGGAGGNPGLYEDLFRVSVKVKNTGNVAGDEVPQLYVSLGGPNEPKVVL RKFERIHLAPSQEAVWTTTLTRRDLANWDVSAQDWTVTPYPKTIYVGNSSRKLPLQAS LPKAQ AOR_1_588184 MNARNIPVAIVTGATSGIGADIARDLHSRGWKVACVGRRAQAGN DLVQSLGENARFYQADVSDYQSQAAVFRKVFRLWGRIDALCANAGVGDQSSLYLLNRR DKGVEDVPPEPDLSCTDINFKGVVYGTVLATHFMRHNRPEAGGRIVVTGSIGGIFPHR TYPEYSGTKAAVNQFVRGVAPVLKAKDNIHINCVLPGIVATPIVPPEMIEAVAPECRT PMKTVLDAVQIFLEDETGMAGELLECSADKLIYYHLPEMGNGHITKRAVTVWEPLFRL AHGENSDLPDAIPDISPSNGSSFGALREAKL AOR_1_590184 MDNVNQKKIDKLARVRENQRKSRARKQEHIRGLEQKLVALQEQA HQKDVEHRLAVQKLEAENRGLRLLFSRLEIPAEIIAEYVQAVEDPNTAQKVAIPALRQ SLDVQTHQKNCRSPPSLPRCQTDSKAPHAEDLGGLSKTDVSQNATRLTQKTEPQVTLN QQVPNQRQSICGCLPDTAAGSRPTSYDVLNTTLCAIAEELIKQHNRRGLDMAEIQKKL WAGFSNGLTTDEGCRVQNQILFQVLDEISGD AOR_1_592184 MVSTRHHPRDFPPPSTGKASSPSNNSNGTTNRWVHAPSTAIIIW LVVSVPVVIWDAGYVLLRPHSMPGNKLHFPIWIPYARYGAIDYIYGWPAFNARNGFPA AQTVLNLVECIGYIYYLWMVYRHGVSSSGGRGQRKTKKGLMWMLRTEKVVSGRTGATA LLVAYSASLATLSKTVLYLLNEVFSGFDNIGHNDAITLISLWIIPNYEISWTSPQSTF SGIPNDDPRLALGVPPPVMIPPESDESPLASSAGLTLGHDDIALPDDIDTHSRASSRD PGSVQTGGDISTILEGGYDHHPDDGKGDSVNGEQRNEKPAWSEMKTKAGKERKRLPLA CIACRRKKIRCSGEKPACKHCSRSRIPCVYKVTTRKAAPRTDYMAMLDKRLKRMEDRV IKTIPKEETRDMAAIGRSVVRPPQPGQASKSQKKRSADEAFANEMDEWARGERKASLD IFPMRRESKINDVTGLMTEGAEFLPSLEIQEHLAEVFFDCVYGQSYLLLHKPSFMRRL KARTVPPALILAVCAVSARFSTHPQLNSEPPFLRGENWANPAAAIALSRHDAPNITIL TVFLLLGLHEFGTCHGGRSWSFGGQALRMAYALQLHNELDEDPLLSQTNGGGSQLSFT DREIRRRTMWACFMMDRYNSSGSQRPPIGNEKFIQIQLPIKESHFQMEIPGPTEDLDG NVPNPVPEDVGQLSNAKDNMGVSAYIIRAIVLWGRIVDYLNLGGKKKDVHPLWSPESG YTRLKRQIEEFSASLPSHLAFTYENLQIHAADRIANQFLFLHIIIHQNMLFLNQFAIP LSPGGRPPRDMPKAFLSNAGRAAVEAAHHISVLIDRASAYPLTVPFAGYCAYSASTVH IWGIFSKNSQLEARSKENLRHTYRYLNKMKKYWGMFHYMVESAKDRYRQFADAAIKGS VVTQNGSQISAMFQYGDWFDKYPHGVSRMHWEDPNAQKKNKTDEAVMSQKPDLQSVED FFASLSPTPQPSVSGRQHSKKDSRGESLSDMGQPTSQPMVDINTPGMMNTPGAGFPQP ALFNQARGQLYGQYPFDFPVPADQLPQLDRQFVYGSFGGLDPSTNFMPSENPPISTVN GSEPSPATAPDNSAIFPGQLDPNAPAGVGEYYQPSAWFLPFNLDPVSAGVGLDPSPAQ VPGSGTPDMSTFNGGNMPMGTFDMGMASVNRGPQMNE AOR_1_592184 MTTASNAKPPPTDPQSSPYPPEATASSRNFVPSFSRDQDEISWT SPQSTFSGIPNDDPRLALGVPPPVMIPPESDESPLASSAGLTLGHDDIALPDDIDTHS RASSRDPGSVQTGGDISTILEGGYDHHPDDGKGDSVNGEQRNEKPAWSEMKTKAGKER KRLPLACIACRRKKIRCSGEKPACKHCSRSRIPCVYKVTTRKAAPRTDYMAMLDKRLK RMEDRVIKTIPKEETRDMAAIGRSVVRPPQPGQASKSQKKRSADEAFANEMDEWARGE RKASLDIFPMRRESKINDVTGLMTEGAEFLPSLEIQEHLAEVFFDCVYGQSYLLLHKP SFMRRLKARTVPPALILAVCAVSARFSTHPQLNSEPPFLRGENWANPAAAIALSRHDA PNITILTVFLLLGLHEFGTCHGGRSWSFGGQALRMAYALQLHNELDEDPLLSQTNGGG SQLSFTDREIRRRTMWACFMMDRYNSSGSQRPPIGNEKFIQIQLPIKESHFQMEIPGP TEDLDGNVPNPVPEDVGQLSNAKDNMGVSAYIIRAIVLWGRIVDYLNLGGKKKDVHPL WSPESGYTRLKRQIEEFSASLPSHLAFTYENLQIHAADRIANQFLFLHIIIHQNMLFL NQFAIPLSPGGRPPRDMPKAFLSNAGRAAVEAAHHISVLIDRASAYPLTVPFAGYCAY SASTVHIWGIFSKNSQLEARSKENLRHTYRYLNKMKKYWGMFHYMVESAKDRYRQFAD AAIKGSVVTQNGSQISAMFQYGDWFDKYPHGVSRMHWEDPNAQKKNKTDEAVMSQKPD LQSVEDFFASLSPTPQPSVSGRQHSKKDSRGESLSDMGQPTSQPMVDINTPGMMNTPG AGFPQPALFNQARGQLYGQYPFDFPVPADQLPQLDRQFVYGSFGGLDPSTNFMPSENP PISTVNGSEPSPATAPDNSAIFPGQLDPNAPAGVGEYYQPSAWFLPFNLDPVSAGVGL DPSPAQVPGSGTPDMSTFNGGNMPMGTFDMGMASVNRGPQMNE AOR_1_594184 MKSLATSLLGFLLLLSAPHASVYAASDSKGSNALPPCVARSPTT GFYYDLNSISLSPPKTKDEKLRGNVRDESWHAKGHDYHANFTINVCAPVVENIKDVVG VDRARWQNVSAYYEKEGKVYSIGQQASEPFFRGRKLVLNYTDGSPCAGELIGNASRTK STIMSFLCDRDAPAHQATASFVGTMDQCTYFFEVRSSAACGAVAPADGQGLGPAGVFG VIALIAVVAYLVGGCAYQRTVMHQRGWRQCPNYSLWAGMFDFVKDMFTILGSSLGRVF RFKRSPALGHTRSGSQRGGFIGAIGGRRNSGRDVDAENRLIDQLDEEWED AOR_1_596184 MAPKKNSKTKVPIQPVPEKRGYEFLGPPGAFAFVTCLPTLIYAF TFLCNDVSGCPAPSLLNPSTLSLDQLKAEVGWPQDGLNGFFDARVTLWVLSYYLLSLV LYVFLPGEEVEGTELACTGRLRYKFNAFPSAVLILSGLALGTYVYGADFAVWTFLWDN YVQVITANLVICTAIAVFVYVRSFSIPAPGQLNPELRQLAPGGHSGNALYDFFIGREL NPRVQLPIPFVSEASRTIDIKVWCEMRPGLLGWNILNLSNIARQYRTYGYVTDSIVLS TAFQLFYVLDGLYMEPAVLTTMDVIMDGLGFMLSFGDMVWVPFVYNFQTRYLAVHPVE LGLKGILLILAVTGVGYSIFRGANNQKNRFRTDPNDPRVKHIKYIETASGSKLMTSGW WGMARHINYLGDWLMSWAYSLPTGFAGYTLIESINQTGDVQKRVVQTPEVRGWGMICT YFFLIYFGVLLIHRERRDEEKCKRKYGADWDRYTSLVRSRIVPGIY AOR_1_598184 MGESETKTPLASRIASLVHAHFDGLPARSKPSIRSDGTREWIPM SGIVVVKGEYTPDEELTCVAVTSGAKCLSASQVPKSKGLVLHDWHAEVLALRAFNHWL LSECRGFLAQGRKKEKEKEKEKEAYSPYIRRRRQDPDDSTITLPPFELNPDVKIYMYC TCAPCGDASMELCMAAQEDPTPWEVPTTPDGGDGELLDGRAHFSRLGIVRRKPSRADA ETTRSKSCSDKLALRQVSSLLSFESSLLVATTENAYLEGVVMPEEEISRVGCERCFGE DGRMRELKGRFWSPGGKKGVEERGYGWRFRPFRVLSVPTGLVEELWAFGKSDPMGASA SRRTKPAVISAVWAAASSVLVPSVVDNGAKSLPKLAGSRTGLYETIINGVKQGNRASE PLARGASALSRARLWGLSKEIVQSCVDDHDQETGTEIGVEERQSVELDVTKRIADAST YREFKKEPTVLTESLEARRSAIREARRVLSGWIPNLGDENWGLEVLIDPKKRKRCPN AOR_1_600184 MADKLRTLQNLEAMQARYVGTGHADTTKYEWTSNIIRDSYASYI GHPPMLSYMAVGMGEPKEKVRAMFIEKMVRGAGNPPETQE AOR_1_602184 MAALQEALECLAPTTWDEVPTDPSSLRTYINDLSTKAHLIVNSV PEPPPATTTTTTHQVKPSPARLNTTDPTLQSLQQQWSKPIKVSSTRDNPLDLLIHKLP GNDGKGHWFGRRSVHEGLPFSTWQAKLSSEMTETLKANRERMKHGQTPDQSVRGIGAE KQVETIEVKDESGEKVLAHVTVFHVSAQFPKPTTPRDFVSLIVSWEVGVEEGGRFWMM VSKPVEHADAPPCQGYIRGQYESVEFIREIPVKRGGGGDAQGETNPVEWIMVTRSDPG GNIPRWMVEKGTPKSICSDAVKFLDWACRDPNSVSEPGMDDGRHKRRRNSLHTAGVQE DEDSEISDSEFSDTEVEHHGLIASFAYLLNAGLERYAPQAVLDYIPGHSHHPSGDMSD VTTEDGERAPRSSGDPVPQRDATERDKDDTRSQLSQDKASSINSGLATPIEAGHHDIP PVDLMKIEKKDGKLTSHEKQLAKLAQRKREVEAQLDRVREDIRSLHLPSREEGFKRDK ASAAALAAADASNDQLSTSAGSSNQRKTPESRSSSSNNLAHPANSRDPAKMHKVASGL FSEESKLLKQLGKIEKHQLKEASKIESKQRKQAEQEEKTKSRTENDILRHENEHLRKE LERLRNERRQWLELIASLQTENTNLAAAAGKKSDA AOR_1_604184 MPTVLLPSSAAAFAPRSSPNVVLNTKIEPWLTATLKRVSRVKRP LNNVTQHTKCLTETLSSPNAIWTLCSMMFPKAPEAELRRDENPWVEAFFNYQMIHVEA YVVHVDMVSRNEVAFKLTPETIEALVDFHKEVYSVDTAASTWDWSEKESQLKKLQEEF VQAANKFVYRASAQALEGLEEDGAGELLGGRSEEAKSAITSLFVPLLPPPPRVVDVLR STPVLPSSTGPETWWHDPMQQPVSMDTWKVLPSSPATASTGDSNPNIWTSMNNMNEFS YASPTPSYSQPYTTSPYNATQYYSTAATSAALAALPLPSMLVQPCSTAANMIGFGWGD RYQDFALPYGTTM AOR_1_606184 MDDLSTTDVLFHPVYQILGDHSPATLGHIREVSPLLTTAICAVG ALHLPSPDLEILYKEFVALSAPLSFSRRHTVDDVRALCIGAFWLSDLSRSLVGLAVRI ATELQLHKRFSKALQGYRSHYSRTRLYMLVYACGHHFSVPYGRPPMTRESEAVRDARK FLDCTHATEDDARLVNQVLRWSICTNFYDTFGADVDRPLSDADIPQVRRFSIVLDSLR AEWGDKFNRNAYVGNYPRKGVGIQYHFAKLYLCSHALHGAGSSHAKYRAPDVALELEE IANTAVLSALSILRAVISDTEIQSYPNGLPTYFDIMIAFAVVFLLKVPTHFSTSVQLD NQKIQRLMLSLAMVLKGVTATMHPHHFLVSITEGIDDLLQRSRMVTGAAQAGGMDPLQ AOR_1_608184 MRFSTVVSALLLSSTSLATPAQDFSALGNASSQGAPEEHPIAQT PAAAAAAQPSDNASQQQNVNVQQPTAQPSQQPTVNVQQQPTAEPSQQQSANDEQQPTA NVAPQSNAAPEPSSSPNANQQTDGGLLSHLIPTGVAESSAQQESNAPTPTASPAESEG SASPTEQSTTQGSATKTAASSSTSSAGSPWESLIPDGVTGNPGTDLGNGLQGLLGLLS PTFLKDVESFFHHFAYLFDDQTTEQTKSLINIGSGLLTQDLIKELNSLLSNAGKLLTS DFVDEIQKLIKQLGPLLTDDLFKQISTLLNNGNDLLTADFVKEVNALIGNANQLLTAE TVKELRQLIDSLGPLLTPELFKEISGLLNNANDLLTADFVKEVKSLISAVGPLLTPEL FKEISGLLNNANDLLTADFVKEVKSLISAVGPLLTPELFKEISGLLNNANDLLTADFV KQIKSLINSLGPLLTPELFKEISSLLDNANSLLTKDFVDTVKNLLNEAGPLLKPELFK QISSLLDNANNLLTPSFVNETTGLIDTISPVLTPDLLLKVGSLLNSAGKLLTDGFVDE TNTLIGNANSLLTEKFVKETSGLIDGIAPVITPELLGLVGGLLANANSLLTPKFVNET QGLIDGISPVLTPELLTQVGSLLNNAGKLLTSEFIDETKSLIGNVAPVITPDLLEDVG FLLGNATNLLTPKFVNETRDLIDDVAPVITPELLGEVGGLLGNANDLLTKKFVNETQI LIEDASELLPVVVKILGTL AOR_1_610184 MPISYRLLSVTDDSSMLLVSPHSAPRRALLKYVLQKLTALNVFP ALRSPIESAKMNQEPLSPPSEPTPSPTTNPVPLSSPQRTTPIHPLLPEVRVPGEPLPP HRYHPITCTQIDAESEDIRAQLEQLRQEYTSPEAALRAQEQAAREVKQKMEDAERKRE DVQKAMDKKIKERNTEMKVLSKYQEVKVSDIPA AOR_1_612184 MQAFVPKNRRPRFELGLRIVDLNNVPLVNGTAFVKWRLPSSSGA ENHGHTDKAVIIDHRAYWNYEKTLQVRLTIDRNQSLHDCELQFEIIQEFESGGGGDTK NFLGRIRLNLAEYVDKSDDDEGIVRRYLMQDSKVNSTLKVGIAMRQVEGDRNFTTPPL KSAMAFGGITGVISSEQTDPDDLGPLPSIHMQSREAADMQDMYRRTLAASWNSRSDDV PADKLVEDLFSGSISWSNEVHGLQSAEAKGGEHLPSIGAATKNTSANRLSPNFERRPK SSSSNQFRIDGKLPEFNSRVGHSRKRSSIEHQLYGTAKGNAWKNRSNDHELSEFDVRE DLRSWEIESADSAENIESTENTESTESRPRRYRRRFKPQTTPKKEFDKVPINVSSLGN PGEVVVVPPPKRRRFAWLKNPQYRIDQDTKTALPFMLNDIENDDLLDSDLITERIESF RAHYRPGDKLSPTDWENLRSGFQTSFTNQQLSDYIEQFKAEDTVPEEGLMLHGGAKLA EWRPGTSAFFETESAPQAGAAKRVAKSLDLRGKYVLTERILRDCWHLGLIGEVGQLDV RLPAHSLSLFLHSEHFSFEELAGLHDAKIDITHSLGLVRITGKQHSCEFIRDIIYDAT TRIREEDLELYPPGATPKGKNRVFTADFLSWISKTYGVSFDLTTTTPTKLFYLAENKP KADSARRTLNLAIHDATQPPIPFGTYMPASEPVDTYDINPELNVSWFDRQKSWFRWAV PSAQTAPSSMSDAPFFSKHEMRLSDELLKLLRARARTKLGNAEAHESITAAVGRCLFE HKPFEGKAITAAQLGKMAPPRTFTTDIPRVIPFLHQLTADYPEDEEIQPHRLRLVPSA IHAHIFPQLELEVAIAPGGFEYNVQNAKAILSQSSVDYLLPESGLDLRFTRKLTHDVL DSFGEDAPLQPLQDSLQDFFSKAVIYEGETPLPAFSQLSIPNHLLVETGEERDPDGFT TAEYMYLPVNDFRGTRLHQYNYEGHRLNYSFYESGPFSPHRTTDIFLDMDVDGSEEQS PSENGDSQEPVQQEFGSFYKAACSLAFELDRSGRVM AOR_1_612184 MLSRSSKGVAGLLSCPIPPSSRKCSSPSTLRLRDVFVRSQHTDG ESENDSTESADSAENIESTENTESTESRPRRYRRRFKPQTTPKKEFDKVPINVSSLGN PGEVVVVPPPKRRRFAWLKNPQYRIDQDTKTALPFMLNDIENDDLLDSDLITERIESF RAHYRPGDKLSPTDWENLRSGFQTSFTNQQLSDYIEQFKAEDTVPEEGLMLHGGAKLA EWRPGTSAFFETESAPQAGAAKRVAKSLDLRGKYVLTERILRDCWHLGLIGEVGQLDV RLPAHSLSLFLHSEHFSFEELAGLHDAKIDITHSLGLVRITGKQHSCEFIRDIIYDAT TRIREEDLELYPPGATPKGKNRVFTADFLSWISKTYGVSFDLTTTTPTKLFYLAENKP KADSARRTLNLAIHDATQPPIPFGTYMPASEPVDTYDINPELNVSWFDRQKSWFRWAV PSAQTAPSSMSDAPFFSKHEMRLSDELLKLLRARARTKLGNAEAHESITAAVGRCLFE HKPFEGKAITAAQLGKMAPPRTFTTDIPRVIPFLHQLTADYPEDEEIQPHRLRLVPSA IHAHIFPQLELEVAIAPGGFEYNVQNAKAILSQSSVDYLLPESGLDLRFTRKLTHDVL DSFGEDAPLQPLQDSLQDFFSKAVIYEGETPLPAFSQLSIPNHLLVETGEERDPDGFT TAEYMYLPVNDFRGTRLHQYNYEGHRLNYSFYESGPFSPHRTTDIFLDMDVDGSEEQS PSENGDSQEPVQQEFGSFYKAACSLAFELDRSGRVM AOR_1_614184 MTDPNYTPPTVEDIFRYRYQHGTNLGSMFVHGPWLSDGASSSDS GGSRELEEVKRSLKACGLKETRSKWEAHWRTALTDFDIIWLKDVARCNSIRIPIGFFT LGPVFCTGTDFEGEPSEVYVNCWNILKRLIEKCYHHGIGVLIDFQTIPGSMDRNSHSE IGIADFCASERNWTMATDCVAFIVQEVTYHAMFGVIGVQISSETDWKTCDLRKWYDEV LEITSCINPPLPIYISDGGNFADALDYAMMKNRLESPVARSPIIVDTHKYYTTGSYQH MDPRDIISRIHNELSELSTRQGKVGSQRTAVDAYIGQYSCALDAQTWDRVDASERPTL TKAFGQEQSKQWESKACGSAFVGFKIHRITGDEWDFERQVSADAIPSPSWLAIPRAQV IIKIAQAESQRLRSRETALSQHSDSSDTCEYQQYALGWDFGFKDALNFFGALSQDVIT GGWNGGEKIGAMELWIRKRFADTGKLNEDFAEEWENGFRKGVSDFYDLVSINTYSVH AOR_1_616184 MNGYKNIVLIGASGDIGKIILDGLVASSSFHITVLSRKESNASF PAGITVCKSDFSDADLEAVFNGQDAVISAVGATAFGEQKKIVDAAIRSGVQRFIPSEF SSNSQNEAVLKLAPFFGQKKELIEYLKTKQPDGLSWTAIATSGLLDWGLGNGFLGFDV ANRTATIWDGGNQSFTLTNEKQLGEAVVSVLQQPQETSNKYLYIASVETTQNEIVAAL EEVTAAKWSMKATATEEQVGEGFKKLGAGDFSGAFHLVRATCFGNTPGLQANYAKDLT LANDVLGLKLESVRDTVKRVVAQ AOR_1_618184 MPRRVITSGRSCLECRRRKIKCDRSLPCAYCVRTHIHCTYPQPK TGQDADHSVDSNLVGRVESIECKFQSLERSLAQIKQLLHTNPPLSVNSRKTIMSKPVT KTVSFPLRPDPSPALENLHPSPPVIAFIWQTYLDVVDPVLKIFHVPTVQRQVMSVIRG RSNLEAPTECLIFAIYYSTVVTMSAVECRGEFDEDKAELVTRYRTGVEQALSRANFLR SLDLTVLQAFTLYLICGRKDENGPDVCTLIGVAIGTAMKIGLHCDGADLGLSPFEIEM RRRLWWQICILDVRTAEDHGSEPTILEAAFNAELPLNINDTSLQPDMSELPQVQPGKT EMTFTLVRFEVSHFVRRVTFSDRFCRTNSYPILSEAKKCEAIEQFKERVEKQYLSYCD KEVPLDFITATSTRLILVKLKLAVCKLRKDQAPGMLMQTIYRRTCEEVLQHAQTLRNY EKGKRWLWLFQTYVEWDSLAYLLLHICTAPPEELSDATWKIVDEIYHHWKCESGIYRD RRWRLIEELRFQALSARATKQKIPQLPRATQPGHHTTPEQYDLGPAARLHKDPSARAH RTTDTGIDQPSNAEAAAYVHPSQVAGFQTTESNPTVDQPFPMGTLISAATATSVTQPV DEAMSSAAELPSGGTGCEWSAALFEVFWDLTGSGQGASVSWL AOR_1_620184 MERTRVAKVEDVTLARRGEQVVGTLHLTPHHIIFSHIPSLPDSA QPSATPARPRELWITYPIISFCTFRPAPAASRQPSSIRLRCRDFAFVCFYFASETKGR DVYESIKQWTCKLGRIEKLYAFTYQPPPPERELNGWELYDPLKEWARQGVDRDNHGWR ISRINTDYTFSPTYPALLPVPSSISDNTLNYAGRYRSRARVPVLTYLHPVNNCSITRS SQPLVGVRGNRSIQDEKLLAAIFSTSRSKRPLANFCPAHLDRESSGSMQGDANDETVT DLTRAEDLEDELLTSFHGDSDGKHQVYGAQQHNLIVDARPTVNAFAMQAVGLGSENMD NYKFATKAYLGIDNIHVMRDSLNKVIDTLKDSDVTPLGPNRDQLARSGWLKHITGILD GAGLIARQVGLQHSHVLIHCSDGWDRTGQLSALSQICLDPYYRTMEGFMVLVEKDWLS FGHMFRHRSGHLNSEKWFQIENERIGGDSSRGFGEAGGAGKAIENAFLSAKGFFNRDN TSRDSLPESDGEMQSYDSDTPGPKKLSSAPRSVTPEKEMTKVKETSPVFHQFLDATYQ LLYQYPTRFEFNERFLRRLLYHLYSCQYGTFLYNSEKERVESKAKERTRSVWDYFLAR REQFLNAKYDSHIDDHKRGKERLIFPRLNEVRWWSEAFGRTDAEMDGIRSTGSPPAQR ENIDRERSPVLTGIETAQDVVTSSTGMKGAHNAASAGIAAVTSGISSLAFSKKENGQD PKSMGQLEVEMR AOR_1_622184 MAAVQGAISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPAKEFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKAGPQKTLPDSVTIIEPKE EQPVLQPMSQDYGAKAIAAQQAAEQQRLAEQQAAEGQEGAGAETFQQE AOR_1_624184 MPTISVDKAALFKELGREYTTEEFDELCFEFGIELDEDTTNSDR PIVDGVQEPPQLKIEIPANRYDMLCFEGIALMLNIFLGRKSLPNYRLVEPANGELQKI IVKEDTTKIRPLVSGAILRNIKFDQARYESFIALQDKLHQNLARQRTLVSIGTHDLDT IQGPFTYEALPPKDIKFVPLNQTQEMNGEELMAFYEKDKHLGRYLHIIRDSPVYPVIY DSKRTVCSLPPIINGDHSKITLDTKNVFIEITALDKTKVEIVNKMMVAMFSQYTSEPF TIEPVQIVSDHNGETRITPDMSSRTTQAEVSYLNQCCGLNLSAEEIAKILTKMAYTAR PSAESADLIDVDIPPTRADVLHQADIMEDVAIAYGFNNLPRSFPSKSGTIAQPLPINK LSDIVRTEAAMAGWSEVLPLILCSHDENFAWLNRKDDGNTAVKLANPKTLEFQVVRTS LLPGLLKTIRENKHHSVPMKIFEVSDVAFKDLSLERKSRNERHFAAAWYGKNSGFEVV HGLLDRVMAMLKSAFITGEEGLEKPGMSDSQYWIEELDEATYFPGHAASVHLRIGGKE HVIGAFGILHPTVLEKYELKYPVSTLELNIEAFL AOR_1_626184 MESQGENDELYPIAVLIDELKHDDVLIRLNAIHRVSTIALALGA ERTREELIPFLDDSVEDEDEVLTALSEELGNFVEYVGGPEYGHVLLSPLENLAAIEEP LVREKAVESLNKIGEQLSEKQIEEHFIPMVLRLSKADWFTSKVSATGLYCIPYKKSGQ ALQQSLRQYFGGLVHDDTPMVRRQAANNLAKFVKEMKTPVVIEEMIPLFQYLASDDQD SVRLLTVDILISIAEEIPKEQQPSHGVLLTSLRNLFEDKSWRVRYMVADRYEKIAKAV HEEVITRDMVPSFVKLLKDTEAEVRTAIAGQIPGFCSLIDRETLLNEIMTSVEDLVSD PSQHVRAALGTQISGLAPILGKEETIAHLLPMFLQMLKDEFPDVRLHIISKLELVNNV IGIELLSQSLLPAIVQLAEDKQWRVRLAIIEYIPLLASQLGVKFFDEQLSDLCMSWLG DTVFSIREAATQNLRKLTEVFGVDWAKGSIIPKVMAMGQHPNYLYRMTTCFAISTLAP VVSLDIIENSILPILDRLVTDEIPNIRFNVAKSYAVLIDTLRRLPAEGTLANVEGKTA TPSPRSQDLIQQRIIPSLEKLQGDDDVDVRYFATTAAGGNDEVMQTSP AOR_1_628184 MSLQWPWHFVSVSPTEKQHRRELLGLRGYYAQCSFLLFIILVRV YNSYFRARDKPNDSRTGRRRRSWWDLPPFANWTETRKQYTICITWLACLLGLSIWNSG NDYLHLTKALGHVALSQLPFQVLMAPAFYLNSKNPATPSMMSSLTSISQPALTPYHRL FGRIVMSPLLAVHAALYLNFFAQSSHPDFGSLLAKRIQDPDVQWGFGGLTFAFMILFF VRPLRTAFWVQLWPTSSVKARREMFYYGHVSLVVLLCIAAYFHVAQAQIFVIEALGAS ALNGVCGLLLG AOR_1_630184 MHRDSPPGGRMRRQRLVVSLATVVFVCISLILLRDTTVPRLSNG LNAPFEANPLPSSYPPSPSVAVPNPIEPSKGRQALHPQNVHPMSSLIKDAEQEFSHLQ SRQSKTLADAVKEYRRRYNMHPPPHFDKWFQFAQAKGVQLIDEYDTIYHSLLPFWSLE PKTIRERAREALGYDNSVIGVLIRDGKVTHTEGGREGYEWQQDATVDMMQSFIRYLPN MDLVFNTHDEPRVIVPSDDLQRLVNFAKDHVIPKAFHDQPLVNKWSDKPADLNKGDRV DEVRTTRFNRFAHQPTWTSSRASCPLDTPARSLNESEPDNLEAYAYGDLGFIYNTTAF SDICNTPSLRYKYGFFDRANAFDVVRDLFPVFSQSKISSFQDILYPSPWYWADKVPYE EGKDHSWDAKADKLYWRGSTTGGFARAGGWRRQHRQRFVSNINTQSTAKVLSKNGNDQ WELNEVNFDPYRDQFDVKFTYIGQCDPNDCAAQQEYFVVGEPAGQQDAWAFKYLVDID GNAFSGRYYAFLRSHSLVFKIAVFREWHDEWLKPWVHYVPLSLTGDEYVETTRYFISE EEGRTAAPRLAQQSRDWAQKSLRREDLEVWFFRLLLEYGRLVDDNRENLGFSL AOR_1_632184 MAKALSGILKHTFVFAYGLFTLILYGLTAIRKGFFFRGTTEKEH LELQLARDRFWNLSKTWSGLSHHYIILRNGFKFHYVSNDPPEGPAADKPLIIFIHGFP DSWAVWRHLLKSKALQEAATLVAVDLPGYGGTDSLEEYSSTNVLESLAGFIIAIRAKY GIDTDAGIRQRRTVIVSHDWGCVLSMRLAAEAPQLADRFILSNGPLVSMVASNIRRSL SSSLKMFKTALRSPIKSRSTLYKAIKSLKPVARQLLLSGYIFVMQLPMPFVVYLGTGG NYSFLKLAHKGSYGNSPFSLEDAAECMASSLGPSVEECRTQTYDGEGYPASVVKERAL GNFQHMARYYREKAATSRWQKSIETIADLHSISGGNELHRASSGAGLFDDGLTGVLKA HSTIIWGKADIALDPQICLNGFSDYLVRNSQVVELPRSGHFTPLERESCAALTRAVEW AAGGEKEDIGAAIQRDYPDAVVTVRK AOR_1_634184 MASEEGAVYCTLLLSDHYLPGAVVLAHSLRDNGTKARLVVLYTP DSLLPATIRELQSVYDELIPVHSTSNHTPANLWLMERPDLISTFTKIELWKQTQFERI VYIDCDVVAVRAPDELLSLDIDFAAAPDVGWPDIFNSGVMVLRPNLQDYFALKALAER GISFDGADQGLLNMHFRNWHRLSFTYNCTPSANYQYIPAYKHFQSTINLVHFIGAQKP WNMSRQVSPAESPYNQLLGRWWAIYDRHYHPVTTIPRNQWDDAPVTPQRNLQSQREDS EPVPLPVQSFYSTPQNLIPEVAYERPLEMHTEVPFEHPPVTQTLPKSDETSAYAPPQA QPYQEVKNAPGPVETPVEAVQPIQKEHHDNKRVQVPVLSVVPQYVRGEEHVSAYIKPH FDGAPISFHVEQPPTTQVNIPIASSALPDTVHSQVYQQPDEPMPEMGEHPQHPQHSHK PPPSPEPQTFEPPRTQWDPAREPPPLNTKPEGIALESKTYTMSEDNGLFKPPPFYPEA PKNMYYEVPSTKPEPEKLSQIFPWENQAPKPTRVFVNDDQGSVSLPSSMLSPVSPKEP PTSSVEYTASWTTEKRSESWDSYSRSNAWDDVPEIQRYIQSIAPPRRAKVQVIGGWGS SANQQTAGAESSMRLTDFPSEQERPSLPVTPAPIRRPPPSSNVLGERSTSGQLPIAEG VPNQEEWVGVTADVFLHLLRVAYLYWYFTEPVNTSRGTSAASF AOR_1_1312184 MPYLQPDPDPEPTSQPTNAFDQLVQDLSAALGPSSGLDSDDVDP LNIQRLMDQYTSNPEEWQPFALSDLSKSYTRNLIDEGNGKSNLLILVWSPGKGSAIHD HANAHCVMKVLKGSLQETLYSWPDQSKLEHGQISPPQIRRETTYGENQVTYMSDKLGL HKISNPDPNNVAVSLHLYTPPNAATYGFSVFDERTGKAFHIKQSNFYSIRGKRCSALR K AOR_1_638184 MSTTVEKIKQIEDEMARTQKNKATSYHIGQLKAKLAKLKRELLT PTGGGGGGGGAGFDVARTGVASVGFIGFPSVGKSTLMSRLTGQHSEAAAYEFTTLTTV PGQVLYNGAKIQILDLPGIIQGAKDGKGRGRQVIAVAKTCHLIFIVLDVNKPLVDKKV IENELEGFGIRINKQPPNIVFKKKDKGGIAITSTVPLTHIDHDEIKAVLSEYRISSAD ISIRCDATIDDIIDVLEAKSRAYIPVVYALNKIDSITIEELDLLYRIPNACPISSEHG WNVDELLEMMWDKLNLRRVYTKPKGKAPDYTAPVVLRANASTVEDFCNAIHRTIKDQF KQAIVYGRSVKHQPQRVGLTHELADEDIVTIVKR AOR_1_640184 MIFLASAAMDTESTHAQDNDYTQSPWLDLGGFSPSQQSPPLDYH GFGYGMLPLESAYGVSVPPPYASLPLTMPSNTWPSMMSTHPQHPFPEGSVPAVPIPPA VSPVTHNPPPPPPPPPPPRKSSTSNSTPRRTLTDDDRRRMCLYHEENKTAKQTDIGAI FGVERSTVSKVLRQKEKYLNPDDGTRSPIKRAKGRVPDIEKALSNWVRNYQRHGYPLS DEMIREKAIFFANTCGSPDGKEKVLSTSWMEKFKHKNNLMGLKSRKSSFSAKSDSESP RRLSINSAIASAIQSPSVLSPISPTGFATPSPLSPTQSQENFRPDNLRDLLGDYQNAR TTSTISIDTTSSVSAGVTSPTSTLVTDSPFTPASQSHNPSTDSNQNRPRSQTFPFIGV DPSVLSPDEQPDQLSPKTGLQQATLQESPFMDEYNPKAIPSLDTSSSTIKRNRSNPEI KAKSIYPPLFPKSTTVSPISSPGSPTQDEARRALELVMNYFEHQPTGLGAQDYLTIGG LMERLELAKSQQTTLPGGLTRIDEHDDGPHLHKKRSIRSLG AOR_1_642184 MSGEPSRPGLHPLSHLKAGPTTSRSKSTASANVRPSSRASHRPR LHKASSRDTQTDATGDKATTALIRRVLCSQAGNFGGASTSQSPEELLPPLTSSNEVDR QLYALIAIIIKEFVFSWYSKITSDQILVHEVIQVIAHCTRAVEQRLRDTDVSQLLLDE IPTLIEAHIISYRLAREQSRLSGLTPSTREIYHSLNPHPSLSPIPDPTDTHTVTQQAD NEARYRQLLVSGVLAVLLPTEDLKNACLRTLVCDILADLIIGNQVSGKMCEGWFLWES ATKLIDVVGSRQSHEIDAKTAVPHRQNQLQKFDLLSPQEDSQKHHSSSSVQLRIPDWV WKILQFVFLAYVTLRFIVTGILSVASTPVASSSSFTGHVNEASAPCNPPRKRPVLNYG LYGMLSQLLDIPRRMPWLGGLVALFQHLILAGPGRLGDTDSVLDRFLHETIQNHVLTP TLLPNLLRASRAALFPSNARSTQAASANQDGAPAPYLPAQPPASPTVTSPPSEAAGAS NASSSVLTTSISATAPSLSPEQRPTAAEIASIKRRCAVSILSLVPRPIARRFLGVPAK IVIGQTPPERQEHFKAPNEQNHQHSEPVCALSPDPLSDDDLEESLLLAAIENDILDLF ADEYCNKHLIYSIIETVLARLLPELSERSLTELMEDRGVSLDSD AOR_1_644184 MHSSSDIPIPLWLDCDPGHDDAFAILLAAHHPSLNLLGITTVHG NASLENTTNNATRILEAIGRPEIPVYPGHKKPFCRPAIHAPNIHGDSGIDGTELLPKA TKSPITDKNPILAMRDALLAQPKGTPWVIATGTLTNVALLFATFPEVAEHIQGLSIMG GGVGGGFTDAPMSRLVGEESRIGNITPLAEFNIYCDPEASQSIFSNPVLASKTTLITL DLTHQVLASHSVQSRVLHGGDDLSVPPTVLRQMLFDLLVFFASTYENVFGLTSGPPLH DPLAVAVILSTLNPEYAKRHPDQVLKFDDRNGERFDVDVVTDGLHGTDVELVGELGRS KVISGTTGVAIPRGVDLDAFWNMILDCLRRADECNAARKLA AOR_1_646184 MAKIKTIEYFRVLPRWLFVKVVDEDGNHGWGESTLEGHTEAVEG TLNALCKRFQGYEADDIEHIWQMTWRLGFYRGGPVFMSAISGIDIALWDLKGRKLGVP IHQLIGGKVRNKLSVYAWIGGDRPDDVEAAGKARLAQGFKAIKMNATEDVNWLDSPRA LDSSVERLKAVKALGLDAALDFHGRLHKPMAKQLAKALEPHKPLFLEEPLLSEHPEGI KQLSDQVSCPIALGERLYSRWDVKRFLEDASVDVLQPDISHCGGISELRRIASMAETY DVAIAPHCPLGPIALAACMQVDLATPNFVIQEMSLGIHYNTEAGDYDITSYVKDASVF DVKDGYVDALTAPGLGIEVDEETVRRVAQSTEPWQPKEFFGPDGGIREW AOR_1_648184 MPPANSPSQNTPHSPSASSLQQLSVISTPLPSLPASVSDDAHDD NVSFLRSRVRSPPDSSSSLSRQRRRRQQTLPELDPMDVDDPAALRMSVEINRRIPIVR REHDSSNSNNNSNSMPNYEGRISNPRSLYGWAPASDDDDEEDHDMTYGPLQDGNTISS WFGRLSDRNAPRRPMRRDPVAQDPHTFLEAPPESNTQRLSDHSPLSTTEALLQSVRRQ PRFSRTRTLHNYLLDRERASQDLEESRERSGTAATSRAYRFLPSNRGEPHRLLTHNEL RARINAHRQLHLDNPPSPRLKETIKYLDRLRYSSSFEESLTSAAAGGFVRLDFLPWDE DDFILDTASIAPPPTCSWLQPGMVFSGSQRAASSANSFSAPRVSSPPSSHDPLIVNGS EQSGSRIPVQTTSGRRYMANNIYNLGTGRDENWPVKVTIHNINPEEMTLSGTMEAYNI PDKTSPSHDAHIVTFLEGEIIDFNTHTLETKNFKADAEIDCTYWRELQPFKNLSDDAM TRSLVSRKWITEELSKGWILMRWKERCFITPTDSRQGLTISGFYYISLHRESGHIEGL YYDPGSSPYQQLSLKPESKRMVRPSYSFR AOR_1_650184 MSDGEETVSNPVVAADEVEVSADAGAGGQMSVLDALKGVLRISL IHDGLARGLREAAKALDRRQAHMCVLNEGCEEEAYKKLVIALCSEHKIPLIKVPDGKM LGEWVGLCQLDREGNARKVVNCSCVVVKDWGEESQERSVLLNYFQTEQ AOR_1_1314184 MSDVDAVSSLPAALDAGHSALAVKVNHRSPSGPRSPKRSSPFPV YRREDDRAKEVNPVAGAGEGPPSPKADSEAETIIQSGRESLSPEKRRKYIQHEPKRRD DDDHVDAVESDLPPNDLQVRKRKLTDSDSSDGRDRVPRLLSPKKRIGSPPSIVKVEKP EDVQSLSSRPELSTTSVPRTSRKRSFSETIDGEGDAGRPARLRDPAVSRERERKDLSS VTFPRPVSTDRSASPVRSVHKRTASGPQLGDMQRKKKAPTPLVTGYARQSSEDRQSVS SSTSGSPLPSAHLRKLGSVDGASASPARPTGHKKQRDQNGRTRLARACAAQELETAMA RHAERPEDLNVADNAGNTPLQIASLEGCAPIVKFLLDSGCEIDTKNIDKDTPLIDAVE NGHLDVVKLLLAAGANPRLVNAEGDEPYDLVPSDSEDYEEIRRVLAQAKANPRPSRRS EERAGSENKETSSRRVSAESARESPPVNRQRSPPFATTKRKTVRSEATRNDLLWTTAT PENLQAFAAKGDIVGVANILNVGQKADPESMIAAAKGGHDEVISLLLGMGDADPDPDP VQGGSQKPGYNTPMLAAIGRGNLAVIRILLNQPEFNPTRRLYRDRTYFELSRERRAEN WEDEYDLLRDAYDNHVRTKKSRKQDLHSPRRARDKDKENKRPGRRDSPSPGGRPRKTN GSPGYRDPASKDTALTKEKRRDNVAHLKEKSNPARPKPAHPTGTDPDSARPDPRPKQL LPPREGESSRGEEAPKRRRLIAGRPPQDRRRPSLPSSDSLSSRDESSKARIDHLEPSK SGPPPLKRGRSSASPERGRALEPERHSRDTVQKKRRVLSEDGTPNITNGGLKKDHTTG DAKPRRKDDEDHHAESRPDPLRQTDNPSHKAPERKPVKEEQERHDINGLNDIPMDDYT ERAEAEAEARRQKEARKARQERLAEEARLAAEAEKARLDKEEEERAAHAARLAQEKEK AAEEERKRKEAEQRRIKQAEDERQKRLEQERLRLAKVRREQEELEQRRRDALPSRLRI AANLVGSNDPQAKSHLWLKKFMPVVTAETRQLDPSCAPDVAVEKWVPNYLVAPLLATN DLQLAQYSSWEKRDATPTQRMNLWRVTRRMLVQADDADFMNSSFGQVMQKDSEARPKY FDMEHVFWVKLSDFMDLVPHIPHLHGLDLQFLKMHIDQEPTAPLAFSFPQANGHISGP SHVEDTAGLPNGVQGLTNGYGHRPSTYV AOR_1_652184 MDFLPSLVDWALATAHMDAVDVLRAFFLFASCTILSVSLLDSLR SRFVPYGARATVTAESDTTPSEPSSSSPLTHILDYLASLKVPHSYFTQFYVVSLLSSV FWALQLMCHGQAFQAIATRVHSEHLQRTMSINQIMLCWVLMLAQGVRRLHECFTFSKP SSSQMWFVHWLAGIAFYLAVSIALWIEGTETLLSHKLSLDDVTVNNAPSLRTFLCLPI FLFASGLQHDAHHYLFSLKKYTLPSHPLFRSIVCPHYTAECAIYLSLALLAAPRGEMI NKTVLSAAVFVTVNLGVTASETKRWYMQKFGESSVRERWNMIPWVY AOR_1_654184 MNVDLETVRIKSLPDDAFYIADFITEEEEEVLLQKIATAPLPRW THLSHRRLQTWPSALTKSNALISSPLPSWLVSPVIPRFESLGIFADAPHGAPNHVLVN EYRPGQGIMPHEDGAAYYPLVATVSLGAPIVLDLYQKGQGLDGEDRRPQQRILQERRS LLVTTKEIYTDYLHGIAETTRDEGLGPESICNWGLLREQVRYQCGWFERETRISLTYR DVLKVAKVGNTMKFLGGR AOR_1_656184 MAPKNKGGDKKGKGNDGGDKGGKGLKPATSINVRHILCEKHSKK EEALEKLRNGSKFDDVAREFSEDKARQGGSLGWKVRGSLDGTFEKAAYELEPSTTANP KYVEVKTGFGYHIIMVEGRK AOR_1_658184 MARQKQATPLQRATSSELMHMAPEGTDSQAKQQNGNAKKLAANG SASKGQVAHEAALETPGLAQLAICVLGIYASFLSWGVLQEAITTVSYHVRPPTAAEPE PPTERFTFSIVLNTIQSTFAAITGFLYLFFSTPAGQKIPSIFPTKKILFPLFLVSISS SLASPFGYASLAHIDYLTFILAKSCKLLPVMFLHLTIFRKRYPLYKYGVVLLVTLGVA TFTLHHPGTSKKVAASAAKNQSGSSMWGIFLLSINLLLDGLTNTTQDHVFSSPQIYTR FTGPQMMVAQNVLSTLLTSTYLLVMPHLSSTGILHAILPIPIPPSTETELTSAISFLS RHPEALKHVLGFAACGAVGQLFIFYTLSRFSSLLLVTVTVTRKMLTMLLSVFWFGHSL SAGQWLGVGLVFGGIGAEAVVQRQEKKAKERAKAAKSQ AOR_1_660184 MASTQSTPIATPELSALTNLISTSASSDPLSASTVQILHNLQHQ HLWTSLQVHDLKDSNLRASPLGAGLATTPSEEQTVPRYLISGIPPHRVYTHPDEQLYL LERGLREEDIELERIFVLPTVKNQPWSLRKMASVLDSLPDEADIQAEESSMNDSEETN DGAGGEKGVKLVEYYEYRKKARLTKEWGGKRLLLAMVDRGMGGDGTVVYYVVQEGAVK PRQN AOR_1_662184 MSNQQQSPVPQVGKLVSVVPVGLKEAALDSPTFRATTLHFADQI EYLERWLDGYARAASKLSMELASMENIVNTFLSYSTHPVAVSEAALDHDYTLLSMRRC GDSSKELWNGLVSTSKKIEMLVAEPIRIFIQEDLRNFKETRRVLDQTQKHYDYLLSRY SSQSKSKEPSSLREDAFQLHEARKAYLKASMDFSVLAPQVRNGLDRLLVGVSFDQWRE FKTFFQINGAGFAKWHHEMDRIKGWVLEMDASERSSKRELFSARKEIEEAAETAARPS RELDDYSVSTVPYLGSRPLSNLSMTKEARPEKQGWVYLRTLYGKPTRTAWVRRWIFLK NGIFGCLVQGPRTGGVEESERIGVLLCSVRAAFQEERRFCFEVKTKSNSIMLQAETQK ELMEWISAFEAAKRKALENPASTDLSVSGKVTVQDPAFAISQPPAPEFTADPADSLTP HSNDEQNSSDRNGMLPLPERDPASLRNSSDISRRLTGLDCETSPREHTSRIIQKLDLH RKTNNNIQPSTSIPGAGGGIVSLISASHNALVSGTALPSSMADSDPNRGRSMSNRFDP PTTLAPPTLATPPAPTSMSKVAVIVSNERGIGLGHADKTGGMPSGMMANLWGSSNWSF VNRLELERLGLPDAEQDAVSQQRPSSRMSDSSKHVMSTEANTPGGTAEKQQKIGPRHR QTVSLDGNASQVQRAAIGVTHEYPSYYPQQLKIQDAQFRLLFPNVKKEEPLVMVFRAT YTMNDQQEFPGRAYVTTRDLYFYSHYFGLVLTTSVSLESIKEVTAAAGRDSDFLFLHT VPRPGEDTPGRITVKTFLEPMKLLQRRLNFLIDDTTAVEPLGLEAIFNALNKMEADAA TRTPSVDSWEDVGVDEKFSGEDAAAQGPRKDIRPAIYIEKGLDMHSKKGSNGKDVMKF RLPTQPVQYVPQGNLHLAAEKVFDLSPKAIFHILFGDKSAVWQLLLHQRRARDIKQGP WSRNESNHLRRDIKYQIETTNMLGHKHGQTISDYQMIDVLNDHLCYVITDKRTPWHLP FKRSFRLVSKIVITFVAKGKSKLAIYTKVEWLWSPYGIQRVIDKQATGDLEQDALDLV DLVSEQVRRLGAQSRTKKAIAIFGHVGRQNTVSQLGESDFKIEIRNPRTQRTLAQLLF ETFVSFLESAVSSVMLWTFALFRWVWKTANANLIILALLISSMLINGFYTSRDAYDWW YERKAENFMARLGVHPDHVMSKAIYMRDIDEVIANSTLGHASDDVSDCFATFHQQTIR NVGTPLSISTSGPRDSATKSAARRLQQTRERLAMHRHDLVVGLRVVNSIEREILQNEW ERWLRQELKRCDQIEALLRQSSDTDQVDMQVDRTSQAAFADLSDDIREWYERYCFSCH QEQDLVEENHRAYGSS AOR_1_664184 MEPPAKKSRKLFDDDSSSDSGDESGGVPVNNGVTFKVNEEYARR FEHNKKREELQKLESKLGKGSAFGKRGDDESGDSDESTSEEEDDDGELATEALDAEIM ATLKAIRTKDPRVYDQSATFYSQADDDQPATSEKKQKTMTLKDYHRENLLSGANLAEE DISEAPKTYAQEQEDLKNAIVKEMHAAADNEDASADKESDDDEDFLVAKSAPEPVSAP KKAVKLDVENADKDPETFLSNFLSARAWIPAGRVDLQPLESDDEEDDARAEAFEEAYN FRFEDPSKINATLITHARDATNQQSVRRDEKSSRKKHREAERLKKEEEKKERETEKNR LRKLKMEELQEKVNQIKEVAGLRASEFTDEEWAKFLDDSWDDKKWEQEMQKRFGEDYY AGEGDGKKKPKKPTWDDDIDIKDLVPDFDDDDEKLNPQQSDIEMDDADDAEGDESASK NKKSKAQERRDQKREARKDRLRIEEAVDRNLDLDINLLPGAKKHQGHFRYRETSPNSF GLTARDILMAEDTQLNEYAGLKKLASFRDPEKKRRDQKKLGKKARLRQWRKDTFGNEE GPEFVFGGERTVDEKEGATDAGNVDIREGEPKRKKRKRSKKH AOR_1_666184 MSASQSDKSVFGMPGFVVDFMMGGVSAAVSKTAAAPIERIKLLI QNQDEMLRAGRLDRKYNGIMDCFRRTAASEGVASLWRGNTANVIRYFPTQALNFAFRD TYKSMFAYKKDRDGYAKWMMGNLASGGAAGATSLLFVYSLDYARTRLANDAKSAKGGG ERQFNGLVDVYKKTLASDGIAGLYRGFGPSVLGIVVYRGLYFGMYDSIKPVVLVGPLE GNFLASFLLGWTVTTGAGIASYPLDTVRRRMMMTSGEAVKYNSSFDAFRQIVAKEGVK SLFKGAGANILRGVAGAGVLSIYDQVQLILFGKKFK AOR_1_1316184 MATSPSTQEDNLQTSSSPTPSLDPTSKQDDLEPPTTSATATAPP YSPIYATAEPASMPSPPAPAPTDPPTAPIHPPPEPATTATTATTTTNTSPPPPQPGAR PQPPPPETQEPKEPSPSNPPPPEPADIPRPQPQAPPAASTQPNPTTITSPPTTTTTTS ITATTSTFPPTTASSPNPRSGYSYSYSPSSLTQRHPHPHTQAQTAAPNSTSTPYASLY QPPTSTVNNTSLYGNPSQLPLHYTSANTETGTGESGFLDNAKAWFWSAGNKLAEVEAE VWRRINEAHDK AOR_1_670184 MAAQTTTSSIAMALAGKTASVDIPKPRSAFTSGGRDSSRLGHTM LPTPPNSISPTLPPQAFKGQDVRHPASPPFATSHVDSDIDLGDADADSQTQHHQGVGD LDSAGAITPGMLAKYHLPEIMLQHGPLAIRHVMGYLTTSVPGFSRIPPAKARRLVVAA LEGRGSDEKSGGPANDVIFEKVGWGRWDARRRGEPSRDVQHQNLSPPSSFSNSFSQRG IQIPGKRGSLQPYGSSVTGDSAVFSFTEMDYAGHISEHEADKMSLDGNEQEYCSSSEA PEDEIRDEDWGEEDVTDEEDWAQIGAAALRARSLNGGGGFVNGHHPSPQLRGGGPASS SLAKSAPRKPPIQQLGFSLPDGMVGNTEERAAVEALLRLGSM AOR_1_672184 MSTIFSFSGVPTKEVITGWKPIEHKSDMGSVYSPVRETGRIFSY LCDQAERLNLPSEVVENKNAVLFDSSHDEVYYPIPFKETETLAALKGVEGSVAAAIAN LRYGPQKRGVKVNLERATAFGCQAYMAKVDGLSKLDPEVKKKLKDTDLLAAQSNGYRR MSANLYKTKNEGEFFHIHGSLEATTTLNMIGLDGHRPDLTDYEEIIKVIESHVQNYTA AELEEMNKERKQAGVTAFKYEDFIKTPHGELNVQQPPWKVSRLKGDLPPTPFPAGRAG SKKILEGVKVLELCRIIAGPTVARILTEYGADVLKITSPSLSDVPFFQVDGNMGKHAA DLDLKSEEGRRQFEELLADADVVVDGYRPGAIEKLGYGPEALSSLAEKRGKGIVYVNE NCFGYEGEWAGRAGWQQIADCVTGVAWAQGQFMGFSNPVVPPFPISDYGTGCMGAIAA LTGLYHRAKTGGSYHGKASLMHYDLLLFAVGKYSEEVQEKMRAAQPPEFFKLRHCDSV DRISSTVLKIMQARFPHLYVAADNTSGQEPLTEKWYSKAYGADIEVVRPICEIDGVEN KFERASRPNGTDRASWEDFKEVEEDHKKA AOR_1_674184 MSYNLTSNQALAPPNGDSMIAFMESFYATSDTESLHEKYVQSFT PDATLIMGSKVANGEKEILNLRHGLWTHVKSRQHFPTKVYFGGERELMLYGTVRYVLK ADPENEVEVPWAGRVVFDEKELKMRFYQVYLDPTAQSGKK AOR_1_676184 MGGVTVRDVDAQKFISAYSAFLKRQGKLPIPGWVDTVKTSCSNE LPPQNADWYYVRAAAVARHIYLRKTVGVGRLRKVHGSVKNRGSRPNHHVDASGSVDRK VIQSLEKIGVLEHDEEKGGRRITQSGQRDLDRIAKTTVDEEEEDDE AOR_1_678184 MGLTDSPTLPTTSRLGVSDISAVIRFWDSIYSVFTNPTALLSFT RLSQSLDRDGSLSSPKALLLSICFIVMAGCGFLSLILRRKCSGRTSGNKRCRRGARRK SSKTSAGTFTSSEDEGYDSSGSLRHGTDSAFSTEKTEPQRRELPDDAQTTDPGLLKKH STYISYTTSVATYPSIRTFYKPHPQKDKLPIKPSPIPLLVFVHGLGGSLAQFNHLLTS LSNVGPCFGIDLPGCGLSRFEPDSWDAYKVEALAELLATAIEGHRDKDAGQGVVLIAH SLGCSLSAMLASTTSPAGPNLKKHILGLIAVCPRASPPSPKEVTSFRRLLHIPGPIFD LWRYWDRRGGLKSTSVNRLVGADADPDTRGLQVRYNKQSKTPVWRRMAWGTLPTYDGV DGTPVGGIPGETTWAGVRTPTLLVAGESDTVTKPAELQRILKFFGGKSSKIEESSNGS NIVPDASKVNDQAPAPYSRLAHDEEFGVEPQVNEKEIENTSEKPLQAKRSVKTVILPA PASHALLYDRATYRTLAGIIQDFLQQHVDNRLSLGWQLQYLNTSGKWDVKNLAKWKKV TPVSERISDTFVALKMLREVDEEHNPVLFSQAYSDRIYAVIDISYENPVYNPASLEMG GIHYHKHPTVSKIPPTPDETRDFIALVDRLQNEITEKIAKSGNRQTPRPVVGVHCHYG YNRTGFLIVCYLIERCGYTVQEAIDEFERRRPPGIRHGHFIDTLFVRYCVGLKRAPTL AOR_1_680184 MDPSNPAVSATFGEAKYIEFPCLPEGTKHEDGTPALNRYSATIT RGHDFPGAKAMLYAAGVPDQNAMAKSPQVGVASVWWEGNPCNMHLLDMGKTVKKAVEG QGMIGWQYNTIGVSDAITMGSEGMRFSLQTREIIADSVETVTCAQYHDACIAIPGCDK NMPGVVMGMARHNRPSIMIYGGTIQIGYSNLLRKPINVSSCFEAAGAYSYDTLRQPDD GGDTSKTKDEIMDDLERHACPSAGACGGMFTANTMATAIESMGLSLPGSSSTPATSPS KMRECVKVAEAIKVCMEKNIRPRDLLTKRSFENALVMTMALGGSTNGVLHFLAMARTA GVELTLDDIQRVSNKIPFIADLSPSGKYYMADLYDIGGVPSVQKLLIAGGLLDGDIPT VTGKTLAENVASFPSLPDDQVIIRPLDNPIKATGHLQILRGNLAPGGAVAKITGKEGT KFIGKARVFDKEYQLNDALNQGKIPRDENLVIIVRYEGPKGGPGMPEQLKASAALMGA KLTNVALITDGRYSGASHGFIVGHIVPEAAVGGPIAVVRDGDVVTINAETNEISMDVS DEEIQQRLREWQPPAPHVTRGVLAKYARLVGDASHGAMTDLF AOR_1_682184 MSSTNFAAAQERVLERRRLREAEARARFAEQQRTSPVNHPAFQR LPYPLNRLPVSGLSFWNTIKGREGNRPAFRVGQVDAELLDEELLGLLKGQVGNALKYF GPQAREDWSHEIQFALRAILFKLSIWDHNASYGAALQNLKYVDTRSKGPIHSAPTKWQ KSLYGLLTVGGRYAWEKWESWLINQEGGYDEPSREVRILALQKVEGEEGEGWICLRCG EIVKKCKPWHGDVLEEARPQSSSGKIVGFAVDDDSGAPRDEPEKGVDGSVEKSGPLQD SISDEELQHSGQWSTIGRESD AOR_1_684184 MKSFIASLFAASLAYAQTATESEPSLSDIEKAAATTEPYSPVSN VTGLAFDRFFQVWLENIDYSDASADENYQWLAKQGITLTNFFATTHPSEPNYCASAGG DTFGMDNDNFNQIPANVSTIADLFDTKHISWGEYQEHLPYPGFQGYNYSNQETYANDY VRKHNPLVLFDSVTKNSTRLRQIKNFTNFEDDLTDKKLPQYAFITPNMTNDAHDTNIT FAAKWERSWVSQLLDNSYFMDSTLLLLTFDEDKTYPKGNKIMSILLGGAIPDDLKGTT DDTFYTHYSIIASMSANWGLPSLGRWDCGANILEIVANKTGYVNYDVDTTNLRLNETY PGPMSAGEYSKYSPVWPNPLTSGNCSAGHGILDIVKETYKGTTATYNYTSPFPYDSKS GYNVKVTATKKSASNNGSSSSSTPTPNVAVPFGTPAVGTISGVLMGLLFCLY AOR_1_686184 MSSTTADQQPIETRLFINGEFQPSTDGKTFKLINPYTHEPVTDV HEASEQDVDKAVAAAKAAFPAWRDLTPDDRGVYLRKLSALIRENIPELARLESIAMGK PVSLYIDGKLAADTFAYYAEAGWHAQGTSSVNTPSVLGLSLRQPYGVVGAIIPWNMPL ALLSIKVAPALAAGNTVVLKSSEKAPLTSAFAAKLIAEAGFPPGVVNIISGFGSPAGS AIASHMDVRCLSFTGSTATGQKIQIAAAKSNLKVVHLELGGKTPAIIFEDADIEKAAE KTQFSIHFTSGQTCFANSRIYVQESVADKFIAVFKEKFGAAARMGNPLEPTTNHGPQA DNIQYERVKSYLEIGEKDGKLTMGGDGGMGFIKPTVFENVPDDSRLMKEEVFGPVVAI NTFKTEEEAIERANASEFGLYASVFTKDMDRAVRLSKLLEAGTVGVNCTSPTIVKDMP MGGYKQSGLGREGLLSGLDSYLETKTVLISTSS AOR_1_688184 MADSDKLRMAARAEQDLNSYQMKQGLGPKSDSVLESNIDERADK KFREATGIKTGREAGATGSNRKPIPEDEGGTRDSRGRLAPASEYEGTGGPEDKVKLES ERRPGDQDTLNIQDLKTKGLSR AOR_1_690184 MAHVVELPGESNPLTPQNVLNALVLAASSTQQQVHTGTQQLQNW EKQENYYTSLQDVFLDHSVPNEVRYLAIIQLKNGIDKYWRKTATNAIKKEEKEQIKNR ALQAGVVEPAALLALHNALMIAKIMRYEFPQDWPDGISSLINFLRSSTQPGANPLQLP RTLTILLQIIKELSTARLQRTRTNLQSVAPEIFHLLGSIYVDKVNKWVSLLEQGVVDE GALLESLEQSLVSLKVVRRLVIAGYEHPNRHNEVKDFWLLTHSHFSRFLQFISGSISL PENVHKAVEKHVLQLSKLHVEMAKDRAASFALLPDSISLVRSYWSLVVKLGENYGQLG ATGEQEGKSLMEKAGLKALLLIRACSKMAFNPAQTFKYQTPEDKEEKKQSIELIKAEL FTHDFVVNVMELLVTQFFRFRSSDFQEWEEDPEEWERKEEDVSDAWEFSIRSCSEKLF LDLVIHFKDLLIPRLLNVFYNFASPENRDVLLKDSLYSAIGLASASLEQHLDFNKFLE TTLVPEVQIQEQGYNVLRRRIAILLGQWVPVKSSELNTEAIYQIFQHLLSKQDPLNDL VVRITAGRQLKNVLYPFEFSPTGFLPYAPSILHDLMSLVQEVELSETKMGLLDTVRVA VIKMEDHIAPFSDQILSLLPPLWESSGEEHLMKQAILTLLSSLINSLKQDSARYHSLI LPLIQNSVEPGSETLVYLLDEALELWGAILTQTPAPASPEILSLLPALLPIFEAATDS VPQALQIAESYIYLAPQEVLSDRVRLPFLASLEALLKSTTRQRLGVVPRLVELMVRGT EAVDGGSENSYSVITRSLLDSSFLSSLLEGLYSAYEASQTTGPNRKQTPVYGVVETDY FSVLARLALAYPKMFASAVSAATGTSEEHALTWLLTEWFLHYDNIGSATQKKLHALAL TQLLSLNGPDTQPPPYILSHLQSYLNTWADIITELAEGTETENNPRAGDHLVYWNNAE TGKWDENEPPENERKRHWENSDILHNIKIRDFIRDRLRSLIVGCGGEQRFQDEWLLNV DRDVVSAFVALGLF AOR_1_692184 MAPPRRNLLPKERFAFSFGSLKTQSYHDPIARTPGSHTIRTIAW NPTGQLIATGSADRTLRIWNPERAQVKYSTDLRGHTAGIEKVLFNPVRDSELASCSSD GTVRFWDVRSKTCVSRLDVGGEAFTLSWSADGSTMVVGRKDDTLIPISIESPSTPTVL ENGVINVPSTQSQKPIAGPTTYKVLDSHPQPIQTNATTFSHHISTPTSPDLHLFATTG EGTVKILSYPSFDVLHTLNAHTSACLSIALAPTGRYLAVGGSDALISLWDTSDWICRR TVSSNNGGAIRGVSWSFDGRFICGACDEVGCGGNGIEIFHAETGESVYTVPTGGGMNS GIPAVAWHPSRYWLAYSTTADGPGGGGASGLKIVGAAGGSL AOR_1_694184 MFDLEDDASRREKCYTAVAQLPSFIDPKQPPVKKSPFSAIRGHP FVHTVEVILPEATYKSIESSLNTKLPKLRYARVFMSLSSLLEGDFFNTYIKSGNILMI SEGRPGSDNVFTLRDGILKLELGKEIFERTGLTGKPVRSGGRKHAKERYLVEINLRLP SMLHGKKGFERLVWAFKNVLNHTVAWLFYDLASESSGVSSDDPSLKGNHPHIVDCEPV RTDYRDILVPPFKELDITGTAPEQELKENCDEISEWLGMVALGSPRVLASDDVDPYLC RYSVPHVDEAKPSDIVSLRWHGFLPLKWIMELFLTLLQETAPKGPEASAWFAFSASAL GREAVEGKDGYTVLTLPSVNETCDNVQKSSDPRSKIGRSCVCWEYVGVSIV AOR_1_696184 MNPINKDTNSYPISSNSRSKLNAFRYIRDDKSIEKSPSKRSPSK SPSKSSIKPAHANKENQSSWLNGVTHSDQADSTKREASVDKSDAKATKECPQTPGNRI PLADLISNTEDAISQAPAPEFTPEDYVIWQHVPVSSNPDTMSQTPATQARKRRHISSP TSSPLAGNSKGADQESLDMHTPLQGLQRTPQNDLATELWNNYVGKLTANGNGTLPPPQ FTHLLSSSPQTPAPARTGRDSSGLRRSNSCNAEWPSSKAKRRRVDGGGIGTGRGIFSR TRSNVVDSGGINSSKINFLVERIEKSLRDAPKSPKSHIVAADSSPVPRCKGMQRSRSA SPMQDRPGHHSSSKANRVNKASEVYPEPQDKEQASSSEFGDDDFDQGFFELAEASMDP FVDHGSLPGAMDTGGDTTFLSTNANEPHNAKQNPHAHPTTLNSEAGPNEKMDYSLNTA DFDDDDEFDDFSDNIDEILAECDAAPNKKLVRPIPKNNTASNLSGLESGTESSSTVMV KPVQGVNVPQGESSSDEFNDDEFDMEAIEQSMRQSGADGANYNFSRQAIKRYLIVDIA ESTYTTEKGRLQPEKVLSVQDERTSQKKAVVLRESWFDSPCYKDSYIHLIGDFDTAGH CIVNNSYNMIILHPDHLVSATIVADSIGCQRRAVLQDRIKNTGDIGKPQVFGNIFHEV FQEAMKANQWDISSLRSLVEMVIVKHIEELYLIHMSIPEVIDYVMGKIPALISWADTF LKEKPSAQSLVEDRNSSKLRLSINKLLEVEEHIWSPMYGLKGNIDATVQVACHDGEGN KNLVVPLELKTGNRDTNHAHRAQTALYTLLLSDRYDVEVTFGLLYYLETSKIFRIRGI RHELLQMIQARNRVAGYMCRRTQLPPMVKRQALCNKCFSKTPCFIYHKLVDDGDGESS GLGDEFVKAMDHLTPRDSDFFRKWDDLLTKEEQSMMRFKRELWTLLSSEREALGRCFS NIVIEPGSAFEDKDGVKINRYRYTFVKRQPTLSFSFSESQLTVGEPIVISDEKGHFAL ANGYVVQVSPQRISVAVDRRLHNARTKSKGFDAKMNQTFRGIMEIPGADAASSNPSDE PEEEVVYRLDKDEFSNGMAIVRANLVAMMERDLFQAKNLRRLIVEGSAPTFQPTLTAY KMSDTDRASLNVDQKRAIDKVMSAKDYALVLGMPGTGKTTTIAHIIRALVSQGKSVLL TSYTHTAVDNILLKIRDDNIRILRIGAAAKVHPEVQQFADLAAVPKNTIEELQGAYED SQVVATTCLGIGHSIFSQRIFDYCIVDEASQITLPVCLGPIRMARTFILVGDHYQLPP LVQNKEAQEGGLDVSLFKLLSDSHPASVVNLEHQYRMCKDIMLLSNTLIYSGQLKCGT QEVAARSLEVPNIGGLRHLHLDHFQPPGQRQLCLGTSQGRCWLRDLVDPSAKTRLVNT DTLATPALDVAQGSRIVNPTEATLCAQLVEAFISCGIPARSIGVITFYRSQLSLLKQN LRRHLPDLEMHTADKFQGRDKEVVVLSCVRSNSDNHVGDLLRDWRRVNVAFTRARTKL LVVGSKSTLRGGNELLGKYVKLVEEQGWVYNLPKGAVEDHVFDSDNIHTQPQPQEGST PSPKKSPTSQKKVRNPLSPAQARNAPKGLRKPAKKGVKLLSGNKVLGNRPVLQDVVND LIG AOR_1_698184 MSARTLSHSLRSRCLLRRPQNIQGFSTRTNLRAADHGDHYDPPT GWLFGVKPGQKYVKEGWENIWYYGFIGSLLVAGVAYVFKPDTSIQTWALEEARRRLEA EGILEDPEKAQRK AOR_1_700184 MVIAAVGQLCSTASMTANLAQCQILVRKAVAAGARALFLPEATD YIGSSPAETISLARSVHDSEFVLGLQKEAVQSNLHINVGIHEPSPDGRVKNTLIWINE KGIITQRYQKVHLFDVELKGGPVLKESASVEKGMEILPPFETPVGHVGLAICFDLRFP EISLALKRQNAQLITYPSAFTVPTGKAHWETLLRARAIETQSYVIAAAQAGPHNEKRR SYGHSMIVNPWGEIVAQLGDEYTEPQIAFADIDLDLLAKVRREIPLLRRTDIYPEV AOR_1_702184 MKSLRRDFSSDPHTANVTSKVFVRSTKSGKVQKIVRELYLRQDI PCSSKLCSTCPSVAPADANGNIAPFVLSEHPAGTTAFPRGHYLVPDTNALLNGMDLFE HTGAFYDVIILQTVLEELKNQSLPLYNRLLALIKTDEKRFYLFFNEFRMETHVRRGRN ESINDRNDRAVRSVAKWYTEHLRAAAKKGKKEKTVPTIVVITDDKDSLRKAKEENVTA LSLTDYVSGLEDSDRLLDMINESREQRETKGARGELFYPEYYTMSKIMTGLRAGTLHQ GVFNVSPYNYLEGSVNVAAFDKPLLILGRDNSNRAISGDVVVIEVLPKDQWKSPSTKI VDEEAVTKNDNPDAEDNEAVVTERERKALQEEVRQAHGKSTEGRPQPTAKVVGVIKRN WRQYVGHVDSGSTGSQASSGRRQQNVFVLPMDKRVPKIRVRTRQASELLGQRILVTID AWDRDSRYPTGHFIRSLGELETKGAETEALLLEYDVQYKPFPQAVLDCLPAEGHDWRV PASKEDIGWKGRRDLRDLLICSIDPPGCQDIDDALHARPLPNGNFEVGVHIADVSHFV KPNNAMDLEASLRGTTVYLVDKRIDMLPHLLGTDLCSLKPYVERYAFSVLWEMTPNAE VVSTDFTKSVIRSREAFSYEQAQMRIDDPSKKDELTESMRTLLRFSKILRQKRMDAGA LNLASPEVRIEADNDEVGDPLTDVKTKAMLATNSLVEEFMLHANITVAAKIYSTFSQT AMLRRHATPPPQNFEELINQLSKKRDMRLDVSSSRALADSLDQCVDSKNPFFNTLVRI LATRCMTSAEYFCAGAHAESEFRHYGLASPIYTHFTSPIRRYADLLVHRQLASAIGYE GEDGRAVIEGVMTRNRLEDICRNINHRHRNAQHAGRASIEYYVGQALKARGEKLSAKG VDGGIEEEGYVMRVFENGVVVFVPRFGIEGVVRLEDFVLKGDSALRSVEERRELVVRR ESEFDNEEYTLQVSDKGHSDQGMSVELFQRVKVNVSSVKEESGRGAGKRRVRVLILGT QN AOR_1_704184 MADNTPIDIVRSRDYDRELISQDPLNRKGHCQDQTELDGQQDAA QEVLEDKYQRDVPPDGGYGWVCVACVFWINAHTWGINSSYGVFLSYYLSHDVFPNTSA LSYAFTGGLSISCALLVAPLATHLIHLYGTRLVLNLGVFFETLSLIGSSFATQRWHIF LSQGVCFGWGMGFLFVGSVGIIPQWFQRRRSLAMGINAAGSGLGGLIWSLAVGSMIPR LGLSWAFRILGIIAFVVNLICANLIKDRNKDVGSRHKAFHFPLFKRPEFLLFLGWGVF SMLGYVALLFSVANFALSVGLSSHQGSIVSALLNLGQGLGRPFVGMFSDKLGRINIAT FLSFCCGLFCLVIWIFARSMGVVCFFAVLVGTVAGTYWATVTPVLAEIIGLRDLPSGL SITWIILVAPTTVSEAIALVLRDNDSNDSVYLRVQIFTGFMYIGASLCLWVVRGWKVE YINREAQLKSSTAVSANNDGVKVASSHGAGDEKGNQPAVEPPASDPVPEVKLWSPVAL LRGMVTLKRV AOR_1_706184 MYRPYLHHNKTKDGQRKVSPPGPSYMSDDQVANYLKDLRTNRPT RPGGSRPLPTKPADSNPREDLPPRAASAMSSYSHSRMPSTTTAGDREPPRATSSLSNH RPLSSRGSIGSPAGRPLVQEPRMVPVRKNISPTRVFSRPSPPSPNAAYRESYRRRFER EEAQSLRDALQEMDIQDDIRLHQAAQDEATELVWMHQNPGLPFKNPYAPYRNPDMDKA SQGSDKSSVLVGHTLASRSPRRDSYRPMSDYNSDSPRSHAKEQQPALEEPNSSPRKNG TLRKNLKVDFSLPQNGSPSKRSSSGYGLGLASTGNGSSKGVFRNPNDHIYEEPGESQH MENDERPDFSKSDSSALKVKPRNALPRGSRPLSGRFGSLSFVDKLSRFELHKHPPTQS RNPEYKANDPLPQANPNDEQAIPKKDGLEIRSDEIRAATSKKLKDRSTRLPMPTAVSD RAGRPIVSFDPTWKPTEAQSPGKGNDAGIRESVSPTPPPAPVAPTIEISEAPSIPVIN LPDDKPPTISEMTGSSQDRKKDDSSLPTSPDSSKRPNPFQRKSPSSALQNRWLSTYSR AGVPTAKCESCTLPISGKIVTAAGSRFHPECFICHHCQTPLECVAFYQEPDAKRQERL AAASEADEEARLLRFYCHLDFHELFSPRCKSCKTPIEGEVVVACGAEWHVGHFFCAEC GDPFDHETPFVEKDGFAWCLQCHSRRTAPRCSGCKKPVLDDVVITAVGGQWHDECFVC HECSAEFGPDGRYFVREGEPKRTAKGRIIGGPVQLPVCERCEGIRLKASP AOR_1_708184 MSTPPAKRQKRDQYRKRAAAAANEDTGKVKLPQKKFYRQRAHAN PFSDHQLDYPLSPAHMDWSSHYPAFVNPDPEQKNLAGARKLLKDVEVVDIGCGFGGLL VGLAPLLPETLMLGMEIRTQVIEYVENRIQALRTQQNQLKNSSTTASESPAPAIPAEP ATDGASPDAASTPETSNSPVPGGYQNISALRSNTMKFFPNFFGKQQLSKIFICFPDPH FKAKKHKARIISENLNAEYAYALKPGGLLYTITDVEEYHHWVLRHFREEGEHEASEGG VKDLFERVSEEELASDPCVEVMRESTEEGKKVTRNKGNKYVAVFRRKADPEWPA AOR_1_710184 MTGIDLRTKSKEEKEMSNSTPFQFPPTYSFPPFFTPQPNSTTRL SQLQKWSLLIQSWCRHHRTYRLSLIEAIESPLFHNSTLRKRIPLSEARNILDWMAESE EKGGGGRRAEWVDGTNKTIAWVWWRRPEEWAGILADWVENTGQKNVVLTVYELVEGEA TMSQEWHGMDVDVMMKSLNVLVKRGKAQVFGSEGQEGVKFF AOR_1_712184 MVGHEEELQLFDQCKICIICSKDLSLDTAHQLATTLEEQGGESV IYEPPAAFPPLHEFSHLVSTTIDFPTFEAANDALIPVVKPQWLHACLNKRKLANPRQY SPDPRLFLNDVVVTCGDIPEGDKDAIIGGVLAKGGLYSPRITQMVTHLVDLTTDSDKA RVALGKKLNVKIVLPHWFDDCLKLGRRIDERPYILPNPEILRAGPDAPIRSTENRDIV GASTAEPTTLPTPRPKLDVFEGKHVMLSTDLGIGSHLLDSITEIIEEGGGTITSDPSK AEVLICRYREGFAYRVASRLNKEVGNLSWLYHLITYNTWTSPLRRLLHYPVPRTAIPG FEGFKISLSNYVGEARSYLENLVAASGAECTKTLKQDNTHLVTAHGNSEKCSAAKEWG LHVVNHLWLEESYAKWKLQPVSDPRYTHFPRRTNLGEVVGQTRLDRGTLESLFFPSEH KPEAATSPRRVMQNRDQNATAGKATAKELEDDKDVSDAWNATPAGKSRKPSDSRKLQT PARTRLMSEGKENDTPSSTSSRKSKEAAAARLHDIAPDIALYEKERKRVGGVIYGGRK KTDEDRVTLNAKKRRSLEPEANSDEDEATEAKRQKKSKPPVTMHLLITGYQKWVGNMK KEDADKRQLRELGVQVVQDARKCSHLAAPSILRTPKFVNALAYSPMIISTDFITACLK KNELLDPAGYVLEDKAAEKRFGFSLEVALSNAKENKNRLLQGYQIYCVESIRGGFEAF KSIVDTNGGNFTLFRGRVSYQAQREESDDDSDKDDSWSRKEVYLLSSVVPEHQRLWPR FRQMVQGIGKTPRIVRVDWLLDIVMSQELRAADEYELSEDMSDKMEE AOR_1_714184 MSSPTHSGPFRIVDHLVECQHIREYPGATANEQEDELYLAVKQY IPLDNPNPQPGDVTILATHANGFPKELYEPLWEELHARSKANGFRIRSIWIADVAHQG QSYVTNEDVLGNDPSWFDHPRDLLHLVNVKRKEMPRPIVGIGHSFGGAHLAKLCLMHP RLIHTLVLLDPVIQRQTTQLDPLSADKRKLAMAKTTQLSTYRRDIWPSRKAAAEGFSR SPFYQAWDSRVLDRWIKYGLRELPTAIHQLPSEASKDGEQPVTLTTPLHQEVFTFSRP NYDGPPGKDVPVDRVTHPDLDPNHLGSFPFYRPEPSRIFAEIPHLRPSVMYIFGGKSD MCLPEMMADKMANTGVGLGGSGGAAAGRVRDVYLKEYGHLLAQEAPTECAEAASKWLG QELRRWREEDQSFREQWSRKSKVEKITIDPRWKEHVPAPVRNKRGPSKPKL AOR_1_716184 MPEWPAIPFFPYPPSKAGYWSPVTSTLNWCEEDYYATIYSAEIV NALTNLLFMWLGIKGLRSCRRNGHDSIFEVAYYGYLLVGMGSFLFHSTLKYPMQLVDE LSMIYTTCLMCYASFSYSRPTSVRVFLAIALTSLAVFITLYYHYLQDPVFHQNAYAIL TIVVVLRSMYTMEVTLRPKWRHTTEEDRLAREKQGLPIPSKEHQHYENVRDVKILKTM WFMVIYGLSMFLGGFFIWNLDNHFCTKIRGWRRVVGLPWGMLLEGHGWWHVMTGIGAY LYIIWGIWLRHCLNNRQEEYYLWWPHFWNFPEIIRIGSKDKGENGVAKKSN AOR_1_718184 MSNSAVYAVPQVKKTSATTTSKTTFHFAAGLCSGLSSAILLQPA DLLKTRVQQSQNAALLPTIKSIISSPNGIRGLWRGTLPSALRTGFGSALYFTSLNALR QGLAHTGVPVALSSASANGKGVASSSALPKLSNSANLATGAAARVAAGFVMMPVTVLK VRYESSYYAYGSLYSAGRDILRTEGVRGLFAGFGATAARDAPYAGLYVLFYEQLKRRF ASLADSGTGDQSLKSSSSSINFVSGALAAGLATAITNPFDAVKTRLQLMPNKYGNMMR AVKLMVHEDGVRSLLGGLGLRITRKALSSALAWTVYEELILRAEKHWATRD AOR_1_720184 MEFSLNPSTIPLPPQLLQLRRISIPTPNNHMLPKHPQTQTQAAQ QPFFSLGLLGFVDDGLAEGVGGVAFGWGGVTQDQRNNNILFTKMPRHQQHIVPWTDSE SDYSSESDYSMQNDRRRTTVRRRSFSRHRFGPDHGSTTYLSPVVQDVHLHRSASTGAR RRRSRERPPPPPAVIVDIKNDSRNKGSNRSANRARKDQHQETYIDPYESEDETLLRAH HRRPRASTASTPREASPRQHERDYELVIDQRLLEKNDHRQDLELLRQQQEIERLEREL ARHRLEARDARDSHDVRILKQEEDWYEDEISERLRRLERYEKSQRMEEEQRQAERRWK LHQFEEAQRHEEAQERLKEQIRQEKLKELQRKIDEEEERERIKKEIRDEEARALLARQ EKERKEAAMKAAAVEEWKLNEERRINAEREAKRRRDEEFRARLRLEFGYTEEEIEEIV TKKKKKEEKENGKEEEKEKKKDKEKEKEKKKKGKEKEKDDEEESEKEEKEEHRRTTWI KVHRRHLLPDTLMAYHLPWDWDDRDSNYIIIKQWISEDFQEELFDHTRRLREGKLITQ TSNTLTELKVNDRKKDKMYLVRKKSPSRRAWIFT AOR_1_722184 MASINISTIDFAKLDQFDAGEGYGDEVNKLLNAVCSPGFFYPDF KNAFGTKLVLREVKDAYAASDRYFDQSLETKMKDFRKGQPASSDRGYKFCETNESFEP GLQINIPGSDEWAFPKPPAPGCAIVYGGNFLKLMSNGVLQSPLHRVTQLEDGAGKRFF LSYFLRPEEGAKERLESHL AOR_1_724184 MGPLSYWEFRDTWSDSPWGVPVRNATSLDYYHTLRSLAMSLRLF EVPRTLLPKLALSLFICFCLLLTKRWRRRKIDTTTYAVKEAKRGKATSQRPTYRTGGS PVDYRSLYYKLQNIEDHQDILPGARELLLSLLSDAINSTAYDKDQGQGIFGIRSYTDE KLFSFLQTSHQGVEDSYEKYIQDRRDGSPRVLVSNRQHATEVLTRLAPLKLVDGAWLG HINHINTPFAHRHVTKQLWQILSEELGGGKLSLHHTYIYKELLKGLDVNLPEPYERSF GEAVPNTNDMRIFKAGVVALLISLFPQEFLPEIFGFNLHFEGLNFETMTLARELRELE IDAQYFLHHLSIDNAHSGHAMMAAFTVSQYLALIVRDEGPKAARIAWRRVQAGYALSK HLRDGIESVVAADEGEIDYHAENNNSPGPYDEKVVNLLIAKAAVAQKIHTACPARIGG KPLVDWLDTSHIVSDSDKLDFLRELGNAAPWVVKGNPDKSRLIREVRRGGKMFGAFTL KEVNLLERWISTLDPRATSNDAQAAYWRFTGRKRFLQHPAMAPSLDPFLNFDCSAYPA LPLGEDYIHDPLLLNLGKNWPKFISMWFAHTSLLESFVAVPSRVATELGAAVTCTLRA QYGLSPEEDGVLGMDELHHTVSAAPTPDLVDIGLEILSCKDVKRISISSEEW AOR_1_726184 MGLIFPETRTDWKFDLVGLLAIIGESIIEEVVQPLTASPTILLP RLLPAPHALIRPSRRTALPSTPVTVHGVYSGIQLQSIPYFPSMIHQLELTRPYEFQKM TIELCQDDEEVLRPGHDKIATVKKLAPLKLITICSSVWTAGVLAWAIVLRDGPAVVAI VLVSLASSFHSAASFWQSDAIVRPSSTRSPPGDLVLRTREGAFIVVHCKEAVARLLYT GEVKCAYVAGAKLYRILIYVGTLLLMLGIVLMSNCSWTMQVTLGASYLALNVIYMFCA LTPAVSRTWHWNMRLVRVVDKSTIITENYTEAIWLAIRATKEIDWVRKGGLIPQTMVW NVWLDEAKLNASNGNANWPATKRKDELLLEDALAKEASNQDDDMSQPKRRPTLL AOR_1_728184 MSLPNEALQKLLQELENRIVTSQQQIGIAKAQVTTKQKAIRLLD LTSSEMSSLPKDTPVYEGVGKMFVGVPMSTVDKRLVAEKAELKSDVSGLEKKLSSLEM THKNSMEHYERFVKSGGKA AOR_1_730184 MPPGNGSASMAIPVVDFSSWKNTQDEASRLRTAHELVKACQTVG FVYIINHSLPKSVLDEAFDWVKRLFNLPEEEKMRAPHPEGWAVHRGYSWPGLEKVSQT MSTGNDEEAKRKLREVPDVKEVYDIGSDENTEQPNQWIPDEALPGFRTFMIKFYWECW AVGEELLRALAIGLNLEDDSYLVDKHSGHGNQLRLLHYLPVPAEDLEKERTTRCPAHT DWSSLTMLFQDDCGGLEVEDVSRPGTFVPATPLKNAIVVNVGDLLQMWSNDQLRSTNH RVRMPPLADRYEGPDRMTRERFSIPYFISPDPTSVIECIPSCMSEDQPAKYKPITQAE YNKMRASMMY AOR_1_732184 MKVFGWISAAFFASTVLSIETLPFSPPQSAEANKRAYEVLRILK RADSCPAGYDPCSNMGRSDVCCRQGSVCSRDAADNIACCPTGAKCTGSLTGDSTSAST SFRFPGTATVSMTTTGPNDATITGSTMPGAYPFVYVPTTFPNAGVCSSYYSLCQSEYT GCLSSLGGGYAVTVAAEGGGVTRAGGGAAGAVSTCSSLSMNACHGLNLGYCDTYATGT GDMNRAPPGRTSSLEDLVFGVVIGVAGMFI AOR_1_734184 MHTSRILHSAISILTLLAQVQRSAASPLDFDEAGLEKRCANSCG YYGQLCCSSGQTCSTDSNGQAVCADSSGGSWQYFTTTFVTTETDVATVTSTWSSYVGQ TTTAGGGSGSCKAELGETICGNTCCGAAYVCSNNQCVMGSSSIWATATATPPVRGTSA STITATASATTTQGFVAPVGTDGAQLIGEKAPDNGGLSGGAIAGIVIGTIAGVFLLLL LCACLCCRGALEALFACLGLGGGRRRRKETTYVEDRYSHHTHGGRPEGRTWFGSRPSA PPPQTSEKKKWGGLATLGIMLGALALCLGLKRRRDHEDEKSDYTYPSSYYYSDYYTST SSASSDRRTRRTRDTRQSRRSRTRSRRS AOR_1_736184 MLQTQTQHVFSHQHQYPADPSWLQHQQQQQQQQQQQHHQAQHHP HQAQQQHSSLVAQQHAQVQAAAAAAAAAQQQHYNRIAMAGNPAAGNPAQGAGAGGLSG DGALPGAVSVMDGGISDENRKVFIWVAELLDPNRREAALMELSKKREQVPELALVIWH SFGVMTALLQEIISVYPLLNPSQLTAAASNRVCNALALLQCVASHNETRTLFLNAHIP LFLYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDSSDVINFLLTTEIIPLCLRIMETG SELSKTVAIFIVQKILLDDIGLAYICATYERFYAVGTVLSNMVTQLVEQQTVRLLKHV VRCFLRLSDNSRAREALRQCLPEPLRDATFSSVLRDDAATKRCLAQLLINLSDNVSDG APGVAM AOR_1_738184 MVSRKRTRSEVDAAPEQPPEESGLLHRLRNCWEFANLMQYIAIF GKPMKIDEEFGIEDLEKECLKPGSSEKLLEIGLCLLKWISSHRGLTFDNFDEYTRRQY NAKAPHLPNPFGHDEVPNKFSEFDVFLKLRVLHQLTIWTFWNPDRIRDKMPEQREADQ TQWRIEELGYDREGRYYYILDDNRLYRRTDPPPPPPPKPAKSKTKRKSARAVRASKRR KVSGAAAAEESSGEENNNINGEVTGDPYEGMKWECIAITLQDYHQFLDSIRKTKDPDE KILRDRIEEQVLPVIEKEEEAQERQKAKREKELMNLQLLAGAKRSSRLAGKAEKERQD REAAEAARKREEELAAALKEEERIKKMEKERRSRIMTREQRIKDRERKRILHESELER IAEEQKKLERGESRISERQLKAELEKQRKNLEDLSQEDEWIFDCSGCGMHGENLDDGE HSVACEKCNVWQHSKCLGISQQEAERDDFHLICQDCKRREEEAKRPKIPPLKFRVGSS PSSAAAEADQEGKGVETAHTSVPLTVPPNGSPSKLPTMQPSLPSHSKAQPAPMSPERR PQSAHTVSLGSPRALFSPSKGANGYSPSREAPPKLPSIQQATHLPPNGRVSFNGGSFH SFHSQRPSSSHSTQSPTFPSPIQNRPSMSPTQGNRDVGPLAGFPPAPPSDNSGPWTPY RQHQAPRRGSGHYASFSSIPGGHPSFAATPNASHSSPPQSSHGGVALSGISPTKQSPR PVTSGSMTGAPILPPIQKLEPSPKLMGRSSPDAPIPPPVKCMTPEQEERRQRENALML HAQSHAPNGQHSAMSSPSLNRIPPLGPSALSQRHESTVQSESGPKTEGQ AOR_1_740184 MTIIAGWEGGASYQTYLVPYGDLPPSSGRIDFGGGGWLAQEPQG LEFDFFVPSE AOR_1_744184 MTMDHTQQAVAFESSNPPRYLANNGYLQQPMAAHSIQVNTPPST SDECIVAERTSSAWPQRSANRKAKSGRGSGGRKPKTLHAGDGPSLPGPLSELTKHLTH VPIRDMESWVHRPIEVRRQEVTKKNGKVARPMNSFMLYRVRGCGPQLEDGATRDSQ AOR_1_742184 MSTSEMESGWGSRDSTPIGFMEHGMPAGYLSSSWQTTNPGATHH ELLQPQTSVPAPGAGADGQLDPQLLGFQGNPNNGGGGQPYGNTHYHPIWQDSHASNNY AAASSLPPATVPYVAGAAFTPGMQPMVDGREAWEPGHDGTMDTSGTEFEHWINPQPAA F AOR_1_746184 MYGELGNKLVQHAKRTQSLAHLPPYQTELVRSVAREVRDLDRDV NHLLTPFSGSFDPSQQPAIACALLVDHLCMRRNKRCLLAYHRVRTEKLEELCWTGIDV LEQQVPPAAEDGAQTVASSQSGNHSSLSPEEEEYFRQYSDLLAAYKGQWTDVDLTGAL EPPKDLFIDVRVLKDAGEIQTEYGVINLTKNSQLYVRQGDVERLIAQGFLERLS AOR_1_748184 MVTSILLKNGTLLLHDANDVVHASKDDLLIENSQITKIAQNIEP PSSDTTIIDCTDKIVSPGFIDTHHHVWQTCLKATHPNHTLFDYFPTGNFVSSFVSADE TFWGQLAGALECIDSGTTTVVDHAHINITPDHSKEAIRATISSGVRSIFGYCPTARVT QWSPKFQMAPDPLAPWVMETFDQLAAMNPLGPSGRVRLGFAFDMLYLPGEILKEIYGR VRRAGAQLITSHSVYGVAFGGPDAPSAANRLDSHGLLGPDILLSHNTNPKPEHTQLIR DKGVKISSTPITELQMGHGNPVCLYPEYQQISSLGIDCHSVCTSYIPTQMSTVLQWAR ARRHEEFEAHRTIHGARCINMENEIGSLAVGKKADIVIYDATSPGLLVAADRDPIAAI VLHSSIRDIDTVIVDGVIRKEGGKLKDVLVAPDIETKEETGGQRVGWGEVARRIRELG VLMDERKKAAVDDEVARAAILEAFHLNVSAWADAI AOR_1_750184 MGVFRPRGRSDSRVSGLPFRRSPFVDDEAPDAIEPPVEQANNDP ARYSSQGLREQTAELASYALSLNGSSLPEERNTLPPSLDIFHPIQDTDLSSSPADTVV TEALDTEPPTGPIASSSALTEMIRNPSDSLDGVNGRGSAPKWSGLGEGGASVGISGTA SGMHEAPSTEQTSLLPKSPHTKPFRSYGITEDVESQDTIRERKQNAFHKMLSSCMICF QGLLHPKSWNGRTVWEQGVIYPFSLLPSVFLGLLLNILDALSYGMILFPLGEPIFSDL GSDGISMFYVSTIIAQLVFSCGGSIFRGGVGSEMIEVVPFFHQMAFTILARVGQDNPR SVIATTILAFSASSVLTGLVFFLMGTCKLGSLIGFFPRHILIGCIGGVGFFLLMTGVE VSARLPGSLEITIPTLEKLSRPDTVPLWLVPLLLAIGLLVLKRFVRSNFLVGGYFIAV AVIFYIVKLSAKVPMDTLRKSGWVFEAPSSNNPWWHFYTLYDFAAVDWAAFLDTIPAM FALTFFGVLHVPINVPALGISTGEDNLNVDRELMAHGVTNALSGFSGSIQNYLVYTNS LLFIDSGGNSRLAGIMLAAATLGILLVGPVIVGFIPVMVVGALIFLLGIELMEEALVD TWGKLQRHEYMTVVIIVVTMGAWDFVVGIFVGIILACMSFVVQTSRKSAIRATFSGKI AGSTVRRPPIQQRFLREAGQQTLIIKLGGYLFFGTIVDVENTMRGLIEEEAFNKRPIR FIILDFSRVYGIDFSAAEAFTRINRILRKRNVLMTISGLNTGGDVGRSLQNVGLFESE NGVQIFEDFNSALEFCENDYLKVFYSHREALLKRKDTSSTFLEVPGSHTQHHLHESIV SSPRHRHLQQAATTTLREDETAVVPPTAWASMRQPLPLLLQTFQGLTSRNEDFWFRAC TYFVRDTYAAGTVLFQEGDVPNGFYLLESGMLRAEYEMPQGRYFELIVAGRPCGELPF FSETRRTATVKAEQDCVAWRLTTAKWRDLREREPEIARELLTVSLKLTTERMDSITSY VLTMAA AOR_1_1318184 MPPKAARKLKDSIEQEGRILLAISAIKKQEIRTIAEAARIYNIP RTTLRRRLNGHTFRAETRANGHKLTQNEENSLVHWILSLDQRGAPPRPAHRVQITIMQ YSIQPDDIYNFDETGFAMGLISTTKVVTRAELADRPFLLQPGNREWVTSIKYISSRGP LPPCLIFKGKVHIEGWYEMGLPSDWRIETSANGWTTDEIGLRWLQQLFIPFTAGRTVG RYRLLILDGHGSHLTPQFDDICSQNNIIPLCIPAHSSHLLQPLDIGCFGPLKRAYGQL VENKMRLDFNHINKLDFLEAFPQARAQIYTTSNICSGFSATGLIPFNPKRVLSQLNIQ LEATPPGSRPSSRSTNSVPKTPHNLKQLQKQETTLKKLLRARTKSPDSPTKIVIKQLF KGYERALNKATITKQEARELRAAHERILKKKKRSTRQLPIESGASVQEAQELIQGRNS TIEPITTASVDIGAPVESQRIRAPPRCSGCNILEHKITQYPNRQTI AOR_1_754184 MSDVQKPVEETPAPVPAAAPVTEVPAETPATETPATEAPKETPA EDTEATPAAAEEKKDEAPAEQPKEEAKEEVTPASEGVLGHKAPGLVKSLRFSKRFFYF SEDAVEAKQLSAFHQNEKAAVANPIAAWASQTGKGLLFFTKRAEDKNTPTGIFNLADV TDVTKEGSSEFLFKVNGHKHTFQAANATERDSWVAAIDAKAAEAKAEKEAITSSEGYK AELEKLTKPAVVEPVKKAAEKPAEAKEEAKEEAAPAEENKDNKAKSRSQSRKRASIFG TLRGKKEETEEKKEGDKTEEAKPAEAATEPAAEASAATETTEAPAAPAEPTEAKEDKE EKEEEKKEEKKEEKKAETKSKRTSLFGNFFQKVTSPSHEKSEKEATAPAETPAVASTA PQLDNPVEEASVKPIEPETVTAPAEAEAAKDAAPASPAAETPKDKRRTSFFGNFGKKK GDSDNEAEGESKPKGNKLGGLFRKPSKAVKSEPKEPAAETEAKAEGAPKEATVPESAE ESKPAEPAATTEEAKPVNVSSTSAPVQAAA AOR_1_756184 MSTPNIAQDLPDFFDLQADRFGDDLVPTTEPTMLSPHQMTAGVM AGPDSLADLPSGTISPKDLFMDASAPPSASFTDLSTPSFESPGYFSQDTSPMFATDME LGPGVEEWGSLFPSQDDFSLGLDTTALDIAAALSQPKVKADVTPASPMIRTTSSRATS PSARSVTKPSHVAGVNARQRKPLPPIKFDSQDPVAMKRARNTEAARKSRARKLERQEE MQRRIDELEKSLEEAERREQYWKALAQNKA AOR_1_758184 MIDFTRSVMQDSPTPETGATHFNTDSIQTLPWENVDSPGHLRNP IPPMNRSVPQDVPPQLSIESPCVDELEQRLQSNTKVAVLFSGGLDCTILARLSHELLP ADESIDLLNVAFENPRVAAAASKEAKTGSVYENCPDRITGRSAFAELQAVCPGRNWRF VAIDIPYVETVAHRDTVKRLMRPHNTEMDLSIACALYFASRGQGSAFDSHEGNAEPQR YTTPARVLLSGLGADELFAGYARHGMAYSRNGFEGLIDEIDLDVSRLGKRNLGRDNRV IAHWGREARFPYLDEDFVSWVVQAPVWEKCGFGLPEPESDDPTKATTGIDPEKRALRL VALKLGMSTVAREKKRAIQFGSRTAKMEKGRVKGTDALS AOR_1_760184 MSATEPSDSPAPNGQGEISSNSHDEGHRLEQKVTPGDSGPSRAS QDEPLADSKDTKDLKQDDMEADIQDTPQGAVPESPRSVTTPVVDPPSTTPTQQESLPE RMKGLSISTNALDRSPSPNTDRPPPPPEKDDAYLNQKPQSAPTSLLSTKTSSEWTEKE LPEVPERGKKVDNGGAGRDDVSQPEIQSIMEQFQDSAHGDSEELIMSPRLELAGQFFG GQGHFPPRQSSLDQTKAAGEGAQASNVKVGAPSTSPEKQPALSSTSVHRRSLVEEPAL TRRSSTSTLPPPPEPEPDQPFDFHRFLEQLRHRTADPVAKFLRSFLNEFGKRQWMVHE QVKIISDFLAFITNKMAMCEVWRDVSDGEFDNAKEGMEKLVMNRLYSQTFSPAIPSPP TIPRSTSRSKRREMERLHGPWRRGQHQEDIERDEVLAQKIRIYSWVREAHLDIPPVGS HGRRFLTLAQQELSKINSYRAPRDKVICILNCCKVIFGLLKNSKSSDTSADSFIPLLI YVVLHANPEHLVSNIQYILRFRNQDKLGGEAGYYLSSLSGAIQFIETLDRTSLTVSDE DFERNVEAAVSAIAQQNRESESIEPKSTQRSQSTPRSSVDVPRGRRETTQSNDEDNAP VAGLLRTIQKPLSTIGRIFSDEPDSPEERRTHSATTSPVGPSPRLNPNVYQPPRNSGE ERRSGERPRNASQPRRARTRDAQDAAVRQASAEDAEARRIQRVEHNNMVETLSNMFPN LDRDVIDDVVKMKEGRVGLAVDACLALSAE AOR_1_762184 MHNIAAAIFGAQKPSWAMKYPQVSERLWSKCKELERKPAGEAEW METLYAAINDLKQEVFEAVRNQVQNPRPTIPRKRSQLHQLLRGNATADGLYQSTDSSR AIEPAIFKLKDPWPDICVGLSDDSLADALRSTKDRYITQSLLLDMQDISTLISDPHVT PLGLRFPFLIVEAKAGATGWNLYQAQNQAAVGGSTALLILKGLSGLRDGQDLNKENHD DVEASNKSGQASPNIELNIVFSITTEGPVHESWIYFREPKEEDLHGLHRNLADNLER AOR_1_1320184 MSPQIILGHCFFSQEDVQLASLIPNINDIDLDTLESVLPVQTTD YTVRKLEDVTTAIRATNSSKFQAFLSRVASWDSQRSAHANLDLTAQKGRVYTLKKPTQ WFRRLCEREEVRRWLQEQIEYENEVHFVIGLYTLFDAAASDDLERSSNHSGTISAPIS AIAGLPPSHPANIGVSAGHEGARGTTHRCTAPGEQIFAIRLKKVKYRAWYPHDVNDAH LAKHSHWTMASDNRSAADEHSEMVAVFLDESTDENEEAMVPGFVEFHVLSES AOR_1_1322184 MNVGACDNSSLAFLLSRRGRESLFQYRESGDPAHLDESIDFARQ ALHASLPAERATYLNSLGCRLRERFEALGKIQDISEAIQVTEDASLAIHDPTEQMLVL TNLATYLGDRFESREDMGDLERAIMAGQDALRISSSDQGSQAICLTTLSILHGYRFSK LGALDDLETATRMGEQAVELISPNHPDRAMYLNDLSACYERRFERLGDPTDIDKAINC SSEAVGLSRDDFDRGLHLKNLSNALGSRFLIKGDAQDLSDAITYVSEAIQCTPENHQR YPFLLHDLSFHLEDRFRRYNNITDLEKAISLEEDAISRVDPEDPRLLMLWDELAVLLS LRCDLNDDIGLLDRAIELAEKALDSTTDDNRDRAQYLAHMAAFRASRFERTGSLNDLE QSILLGRQAVDIIPNDDPHRVDLLLDLSDYLHNRYIRLGSPEDNRQAVEIIQTAMQSQ TKYASLRPSQLCTLSHRFQDRYGSTKEKADIDQSIQLAQEALNRVPLDHPQRAYCLHS LSSALEKRFLVLGTMSDLEKSIEYSKKALESTSPDRSDRIDYLYALSIKLTSRYELLG GLIDLEEAIHLMQSCIESEPPNYLNRVSHLKTLSDQLGYLFERNMHTGTLDRAIDTAT EAMKLIPKDDMMGSSILNSLHLLFISRFKTTNNLSDLKSAIEAQREAIKRDSHYRGDR SILIHNLSVGLALYQHTGEPTDPTEAIKLSWEAVETASEYHPNRAMYLNTCGTFLKEN YELTRQIIPGDKKPKDLFLEAFRHESSPPLDRIKAGENAFDCYISNGEWTQANSVARD VVKLFPLLVPRWLSQDDQQHLLKNISHFTSRAASAVLQANGPPLDALKILETGRGVIA RCSIGLKTDISKLEKSHPSLHDEYTMLRRQLSLPSFSTFSSAAEPSQPTLICRRRKAP GLLPPHITTTGPERAEILRRIEHLEEKIRTFPGFEDFNQAPSHASYAEFAMFGPVVVF NVTEQRSDAIIVAENDVYGLHLEQLRFQDLKANVARVIGYKRLSKGVPTTRPQRNREL QDILHWLWATAVHPVLTRIGLYSDKPRSVLPRVWWVASGYMGLLPLHAAGDATRRTMD YVISSYIPTFQVLRFCRERRRMSFREHEPKMLLVSAPEKLDRQTLKTNVELESVREGL QGHQVSYTMLDRPSRDDVFRELPEHHLLHFSCHGYSNATDPSKSALELACTAEDGATS QLTVRDLSLIGHEKAQIAYLSACSTAENSSDELLDEVIHIASAFQLIGFPHVVGTLWE VSDRAAVEVSRLFYEQLGRQMCNGDESNIACCLHEALQTYRKSRKVSRTNDVLSWAPF IYMGA AOR_1_768184 MRSTQIALAGATGNLGIPILKALLDAEYHVTVLSRIGGNSSKLN PHPNQTIKEVDFTSVQSLIPALQDVDVVVSCLATSAIGSQNPLIDAAVAAGVKRFIPA EFGMDSLNPLSVQLPVCAPKAATQKYLLDKSNHHPEFTFTSIANGLFLDWCLETGIIL DLKQHTATLYNGGDVPFSATKLADVAKGVLGVIEHQVETANRVVYIHSALVTQNRLIQ YAKDKDGKAWETVVKDTEDVRKESLAELAKGDRADVDTAMLGFSIVGCGDPNYGCDFS GHLDNGLLGVKEMSEVELRMLVESYLDIDTARH AOR_1_770184 MPIPTYSEQDTSNTPSTGTAGQASLETVSSTNSGAGRALGTGSG GTVAAPGYSSASGDRPLSKEEADRLYEERMEEEYAKRDGGA AOR_1_1326184 MVDAAPAISFSASNAAQWNRDLMLAFLDALEKNPAADLMSMFSD SYIQGHRNAKYGQLSYAAKQETETKGPPEFRTRLDLAESATVIYPLSDKVTAVLGQYS GGWLDNKSIDAEQSLIASLKQLIWDSPKIWESSVRGVVVKCNEDIVAKVITGSKDYTE YTSMQYLEKQVPDISAPRPHGLIALGPFRIIFMSYIPGQTLAQAWPSLSHKEKISIQH QLDEIFCRLRTIRQDDGSPLGGVNGEGAKELRVDECTLFKNITTTKEFNSLQFSARHY GSTTYVKLLRSFLEYDNSTLIPGSVFTHGDVRTNNIMVKKEPSPSGEYIVTGIIGLED SGFYLHY AOR_1_772184 MQFKTLPFLILAATALAAPEAQPGDTDSAQSYIDQLESLATQTD MPEGMPTNMPSINTPPPSIMSVLMTAVPASLLQDMGNAASRSSFASEISAGHYPDWYK SLPGDVKTYISTAYQTDAQATGAQKTGDSTATSGPKATGTSGSDSKSSTSEAGAAPTG AVAVGLAGAAGILGLAIAL AOR_1_774184 MIHVLHLLPENGTIERFNFTTPSSATTFRRGGATEQAREQIGTV TLHVRDSETADSFLDNVETRIRKLRNDSLSSNPAQLQIGSAEVTRLVRDVLQPVALDA IHEREGRDRSMNVTQTYPVFVAYIRRSRAGRILTEPTSFPS AOR_1_776184 MALLFLKQKLFPGQDKRSAGKLGKPLLAPDDSTLNSDQDDIESQ RSYSTFRPSSPTSESGSTSSSRSRINPRIVSDAILGLSDGLTVPFALSAGLSAFGNTK VVVLGGLAELAAGAISMGLGGYVGAKSEAESYETTVRETKELIETSPSETSMIVHDIF STYALPEEAISQINTSLHASHGRLLDFLITFYHKESEPDCNQAWISAITLALGYFVGG FIPLIPYFIFSQVIVALYWSIGVMAITLLAFGYVKTCVVRGWQGRENIAAGIKGGVQM CFVGGVAAGAAIALLRMFGGGA AOR_1_778184 MDKYTKALELIDAAHAQDPKTITPPDSPTPIPYELHYANKMTKY LSLRCPSAPDTLRLAIRAQHLKRWEVPRSSYPMTKIGYHSWRSGLQKRQAEIAEGICL EAGYSAEEAGRVAALVRKEGLKSDSETQVLEDVACLVFLDDQFEEFEKGYEEEKVLGI LRKTWAKMSDEGRNLALKIDMSERAKDLVGKALKG AOR_1_780184 MGPRYRSVAVIGTGPSGVSAVKALNDEKIFDTIRVFERRDRVGG LWHYDPIPDPFPTSTSSSVKQSKIPLSFPTFTPPVPEDTTARTAIYDTLDSNVGAGAM AFTHSSFPEVNSALSVRQYGKSNPSRPFRVVSSYLEDLFKEYLHLVSFNTTVERVEKE DDKWTITLRKRGQFHNNQPADYWWQEHFDAVVIASGHYNVPWIPDIAGLDQAASTHPT KFEHSKAFRSANDYVDKKVIVVGGSISSADLVADLHPIVKGPLYLSLRGKNEALQAAW DLPNVEGKPTIERVQTTERGINVIFADGSVVENVDKLIFATGFKLAYPFLSPNPTTPN NRVAGFYQHVFKIGDPSLALVGQVRAAISFRVYEYQAVAVARYFAGRNANALPSPQEQ DLWEVERLKYKGPSSLFHEIKPDFKEYFDFLRDLAGPPAPGTTAYELPPWDDKWAELG FGVLGLKDQYWRSLKAAAEQVRAKL AOR_1_1328184 MRWLSTPLVAVSLSLGLSAISHASQIDRKGNICTVKANGNQTDD VPRLLEAFRKCGNGGTIVFREDQSYWIASRLNPILSDVTIEWRGKWTFSDDLDYWSNH SYPVAFQNHAAGFVITGRNITIDGYGTGGIDGNGNVWYTAEAGNTQPGRSMPFVFWNV SDVHVDNFYVKDPQLWALNIMNGTNIQFNNITCNATAVDAPYGENWAQNTDGFDTMDA RNIRLTNFVYQGGDDCIAIKPRSYDIDIHNVTCRGGNGIAIGSLGQYKEDSSVENVRI DKVKIIRYNDDMHGSAYIKTWTGVPTPQDSYESAGLPRGGGWGNVSNLIFSNFEVQGA NIGPTINQNQGDNGSYSGTSLMTVSDITFANCTGYVTNEGEVTSTVTCSENHPCYDIY YDNVVLYQGKNASEPGIGSCKWTIDNGVHGLEGC AOR_1_784184 MALGYRLPLLVSLLALFQFALAQKCWRNVTCTGPKDSAFPGLWE ENIYAPSSRTVSPKRKILSLARPNATVDYQKSKPYTLNGNGSAVVFDFGIEVGGIVTL DYTSKGGSGALGLAFSEAKNWIGEWSDSSNGAFGPDGALYANFTKDGSASYVMPDKSL RGGFRYLTVFLVTEDQVSVDVDAVSLEIGFQPTWSNLRAYQGYFHSNDDLLNRIWYSG AYTLQTNAVPVNTGRQVPMLKKGWANNATMGPGDTILVDGAKRDRAVWPGDMGVAVPA AFVSTGDLESVKNALQTMYDTQDKTTGAFDESGPPLSQKDSDTYHMWSMVGTYNYLLY TNDTSFLSKNWNKYQKAMDYIYNKVDNSTGLLNVTGTRDWARWQQGYNNSEAQMILYH TLTTGAQLASWAGSSSLTDKWNAQATDLVQSINEYCWDDEYGAFKDNATATALHPQDA NSLALLYGIVDPDRASRISKNLLQNWTPIGAETPELPNNISPFISSFEIQGHFKIGQT ARALELIRRSWGWYLNNPNGTESTVIEGYLVDGSFGYRSDRGYSHDPSYVSHAHGWSA GPTNALTTYVLGLSITSPRGLTWKIAPQFGDLKTVEGGFTTSLGKFQASWDKSPDGYT LQFSVPPGTKGNLTLPYVRSSEKPSITIDGNNINKGVYYVDDTATITVSGGGSHRVVV S AOR_1_786184 MRPPTSTMQGTPLRACTQCIRRQYLRPTGATPTPQRLLSTTRAL RSTPKNPLRNSTSSRAREAEIARSKNTMTLSAAGIVACAAAMYGVIKLDVFGLDQVSP KEEEHKVEVQKEGAMKLDGPAGFGGNASVIRVQGQDGAEEVSTGTSTIPTFPSVIRLP KAIDAGSLKAGDEVPESVEEEEYQLLGLGIRTVSFLKIQVYVVGMYVAKSDISELQQR LVRTAVNPPGAKEGVVDTPGATSATSLVSTERQGLKELLLDAERGDEVWDAVIRGDGL KTAFRIVPTRNTDFLHLRDGWVRGITGRAQKANAKALEGAQSEFQDESFGTALNDFKS LFGGGQRKNVPKGQTLLLVRGGRGELDALFHPDPAKPVRFLGRVSDERISRLVWLNYL AGKNVSSEGARQSVVDGVMGIVERPVGTVVQKIV AOR_1_788184 MSAAKIKAQGIINANAVVVFSKSYCPYCKSSKSLLSQLDAKYLT IELDEESDGSAIQDALVEISGQRTVPNIFIKQKHIGGNSDLQARKSELPALLKDAGAL AOR_1_790184 MAGAAAAAFSSDVWLSSGVDSNQHQWEFSVPLTPNSTVRRSKSR SSYESTNRSRRNSRGSRSSSLSKHAYAHELGYMNSRGRRDVSHGRRGSEAGSSRDVYG QDAASRSIGNVRNSEDTYNGSLRKSDLKEGAGPCPEDTDDTNWIHRDKLAKIESEELQ QILFQRRVGSGSIRSGRGRNHDMHHNEVTTPPIEQMEPWPNLEGHRDITSSPTGLDHD ARGNWDLRKPDEIAADDGASSIYRNPGLRKSSSRIPIPTTSTASMNRSRANTISDEET LSFGMPRRASEPITVDSTDASPPAAGSRPASRGVQAQINAAKKTPAKGAAGTGTRKTS APASTRRPPPRSRTTSNNNPQRQGKPGDRPKTAVNRPEGDPPWLATMYKPDPRLPPDQ QILPTHAKRMQQEEWEKEGKTPTTYDREFAPLAVGHDGPRPVENIEKVEEPEEPKEPK EKEEPTPSQPQPEPPSAPKTPDPITRPNTGTGYSPMPKLQEPSQAAPQAALTPKWSPP VVTAEPPPPKERGCGCCIVM AOR_1_792184 MTQLLSHDSQSFLGETCAFYLTYFKNKFPTSLNTIQDKFVATFS AETPLSLRSLGPPPTWITENTFAADKHTLAVTILACALAVAIMSWRSPFGNLFRRSPQ YSTVSNTPQVSDSDYSYIVDGAAGTYGNQDDNAPDTLLLKHKRNAYELNFPAYAINDG TLSVRELRRRAAEATGAPDPKRVKLLYKGKLLDDDELSCRDEGLKQQSEVLCVVSEVG ESTPSEGSDAEDKASDSAAPDDAPRPKRVRNRNKNKKNKNKKKNKDGADTLGPPADQK PSASPSRSTLPAPAPNLKAFSTPFEQAQALSAYFQRELLPLCNEYIANTPTDPKSREF EHAKLSETILAQVILRADGIEPDGNVDARNARKALVKEAQSTLTKLDQAKA AOR_1_794184 MISITSISVGSNIFFSFALLAISILVLLLLRRFLTLRATPAYLT IPVFLALALPASVVLLVPIDLASSSRDGGGPRAIWLPDRLILVSWRIAYWLIFVLTWA ILPLLGEYVDSGYREPKGRIEYSLRSNARYQLIVLCCAVVGLIYISIQNGFEFTSIKA LVMALAYVWGLVLAIYLMGHGLVSIPRTLFRNANVSGRLRRIQAYAPRLHDRLMDAIT DLESLESQVSQLQRRKTGSALEFKDWIEDLAETSNSSEQRTALLEPSDVSSTIPSVIT ERYMADLTRRLQRARHLKARFIDEWDRLVLTAADLQAIINSSASKKLEFGHAPHRATF FTRQKILNPYMRHHLYVHVIPSVRLLFGVIFAAASLCVIWSELIKSWAPRLSVVTLSI VSYHKDPAPVGFGRQVTASAWLLYMCWAALVGVNDAKVWGNRALVRRNTYGESACWYA GLVARLTVPIAYNFVTFLPMSARENTTFYRFLGRSIDLTPLGKGFDYFFPIFILAPVC ATLFNLYGRVKNICGFGLLEEEDEDLENNPSGYGLGGWREGRDLIERELTGLGSLSLS ARDRSPQPSSRVEEGTNAFSSSRTPRGEATRPSRPSRGAATASTVVTEEEEDDNFFQS FAHRVKNTFETAGTPQWLQGDSFRLPRWMGSDGNEGNNGLARWFGGRPAPGGVRL AOR_1_796184 MNPANFPNVGGGMPGGGNPHGQMQVPQQKPDNQLMMNYLAQALQ SQGTFTGWRADVSLKERAVNVYQMWTSLRLIQPHAALQSLAQAALSFEQKAFNNAKEK VDYDKECNDKLVHIRDTRARQAAVLQQNGGMMAQSGHAAGMAGVGQAPFPQQMGRPTQ ASPMPGQQQMAMGMNDPSRQAAIQHRQQQQQQQQSQAMLQQRAQPRPGNGIPLTDDLS TLSAQDYENVCRIANQILSKTSQEDVDKIKMNLQNMTPEQRQYLNRKNMDPITYFFRS QALTQLRRHKRARQEAQQRAQNAGVDPNSAMMGDPMMNAQQRQMFQNMMNLQRNSFSM GSQPNLDPSSFIGNVENIQGQQADGLRSQEAGQLVVPASSSQMNQQPFTTPQNMFQVG QGNQTNMNGAGISPQFFSQQQLQTSQNLQQDRTQQAPQFQPQSQAQTQAQARAQAAQK AQMAISQAGQANSQMQQQLTQQSPAMPMLNRPMAPGQMSPAQVTAQVRPPSRAPGQQP TGVQSLGGQTGMQGRPQIPPNLPPMVQEQLARMTQEQLTAFLMNQQRRPLNNQAMARA NANQQSMPMQQNLSQAGQGQPIVNGQAGNNQNMRASLNLQQQLAGMGGAQAPNQMLPG QQQMTAQQRQQQQQQQRQHDLYKMQLLRQQSGGLEMSPDQIKDMDRLPFPPSILSNNP NVPSPVPKHIKTWGQLKQWATANPQVLGGIDLQKLVTFQKFHLAQILAQNKEGGRNPD SGGQNPWMQMPFQGQQQQFMNQQQFQAGQQQAPINMPPMRQITVQDIQIARQRLGTQV QNYTDDQLRELLFRNRQKQLMQLAQNRAAQLAAQQGQQTQSSQQPPVTAPSATPQIKS EVQHQQPTTQLNQQMQVTKTQNATPGKGAKGPASKQPPKRKMPNEESADAQNTPAQKS AQPATSQGLSGSTPARPNMPFTREQLVNMTPQQRAQLEAHIRRQQSQNQTRAPISRTA AEEQWNNLPDKIKQWYTEILANAPSNDPISVQPEQKAQMAQQLRECTDMLGRMDTLVQ WFSKIPNQEKNVKSLLAMRIQLMRQFKSGPDWTINDHFTVSPEYLTMTTNYVKKLFQA MITRVSQHQNQPSGQRPSVSQGSTNVQSTAQNNMPALNASNLQQLQQQEEAIQRARRA SSQTAVSATSAVPPAPFGAPSPQGVPHVYGPGSMPPQELKLPPPKKRKQSHAGPTAGT AGTKSPATKQTTADAKMAATAMGFATQNALDKHVEDTHKVEESIEDPLQFALDSFRNL VEEEDKASPESQAPKKSTGSAMDTPQVPPKVGMSSPSMKQEAGTTPITAGATPVTRVY GQFGAKPTSPASNQTPRGPSSKVPLATAKPSGSKDGKKEAGKATEQMPPLEPTAEDPW TSSAVSLEAIQDTFMDFGDDSGFGFGPMDEFINADMFANTQSKDTPDSVETAIITETP KDNDLPKVGDLAGKGAEYLEDNWIPMDWINLPGRFEDGPLLNESWEDIDWDTLDRSNG SMNVDDSGIAICAM AOR_1_798184 MATKAMIPFLVTMMLVTGVCNTILNKYQDMQCVRNCDSSDPSDR QLFEQPVIQTIQMFIGEMGSWLVVLLSFIYQAYISPRFSNQSSPLLVGGYHPVAENDG IDDTVEDNAIGGDDLPNDPTKPAHAHDGRIRLHGRKIFLLAAPACCDIAGTTLMNVGL LFVAASIYQMTRGALVLFVGLFSVLFLHRKLYLYQWMALFVVVLGVALVGLAGALFGD SQNHDITREDAMAAVAHVSMQARALAKTPEAVQAIIGVLLIAAAQIFTASQFVLEEWI LEHYAMNPLQVVGWEGVFGFSVTVIGSIILYLSIGRTEAGRYGYFDVKEGWHQVFSNR AITISSVLIMISIGGFNFFGLSVTRSVSATSRSTIDTCRTLFIWLASLALGWESFKWL QVAGFALLVYGTFLFNDIVRPPLKACLPRGVRERELLLPEEPIEHI AOR_1_800184 MSSAVSQSNGSPLLRPRAILKKLDDPANLSLEKSSLDSSGRSTP VAEDAPPSIQSISHARKQARARNRLFYTIDYIPRVSHFDPRSDYHNFRGFFTLFWIGL FIMVATTALRNVKDTGSPLRVRVWSLLTANVWAMGFSDLAMVVSSAAVLPLHRLYRNS NGWLRWGRGGMIVQSIFEAAWLILWINWPFMLRWTWTAQVFFTLHTLTFLMKIHSYAF YNGHLSETERRLSALDNPGSVSIDAAVDRYPDPAPRRPPTQRRSSHSRSESIQELRED LATELTSPLGHVTYPQNLTLPNYVDFTFCPTLCYELEYPRSKERSWAEIGLKTCAVFG CIFLLTLTSEEFILPVLSEANTQLHLKQSAADKALILAETINMLMFPFMITFLLVFLV IFEYVLGAFAEITCFADRHFYSDWWNSCDWLEFSREWNIPVHHFLRRHVYFPSKTRFS QSVAMFITFLVSSIAHELVMSCITKKLRGYGFVAMMLQLPIVAVQQSKYFRGKTTLRN IFFWLSMIFGLACMCALYVLV AOR_1_802184 MTSLKQFIRNVRSAKTIADERAVIQKESAAIRASFREESHDSGI RRNNVAKLLYLFTLGERTHFGQIECLKLLASHRFADKRLGYLGTMLLLDENQEVLTLV TNSLKNDLNHSNQYIVGLALCALGNIASVEMSRDLFPEVESLLSTANPYIRRKAALCA MRICRKVPDLQEHFLEKAKTLLSDRNHGVLLCGLTLVIDMCEAEEAEEGQEGVIEMFR PLAGGLVRALKGLTTSGYAPEHDVSGITDPFLQVKILRLLRVLARGDAATSELINDIL AQVATNTDSTKNVGNAILYEAVLTILDIDADSGLRVLGVNILGKFLTNKDNNIRYVAL NTLNKVVAIEPNAVQRHRNTILECLRDPDISIRRRALDLSFMLINESNVRVIIRELLA FLEVADNEFKPAMTTQIGIAADRFAPNKRWHVDTILRVLKLAGAYVKEQILSSFVRLI ATTPELQTYSVQKLYMSLKEDISQEGLTLAATWVIGEYGDNLLRGGQYEEEELVREVK ESDLVDLFNNILNSTYATQTVVEYITTASMKLTVRMSEPAQVERLRRFLNSRTADLSV EIQQRAVEYTNLFGYDQIRRGVLERMPPPEIREEQRVLGAPTKKRQSKILKDKTKKAA KPAEQDMLLDLMGGDAPATSPTGNGSQNTADLLADILGGDSGLSSPAPQPAQKPAQKP AQSNTAAIMDLFSSNGNTPSPRPAEPASSSMDLLGGLGSAAPAASTPPPSAPAAPAHT AFNKNDLSLTLQVQRGSSGNAQIQARFRNSSNFTSFSGVGLQAAVPKSQRLQLSAINK PDLEAGEEGIQLLKVASLNGALPPKLRLRLRITYSKDGSDPVTDQVDWTEP AOR_1_804184 MSSTPEFDPYAVLGVQKDATLADLKTARRELAFKHHPDKVGNNS SEMFQNVQKAFEILSDPTERQKYDQKVRINELRREMAARNATAGGSTSYAQRSSTVRE YRDGRIYEERTPADVYDDVRFTKESRSTPRKNDEFGMRQRTRASEEKKKTKSVPVSSP HPSKDTARSSSKTTHTDRAKYRTKERRREAYEKMYASYAGSEDDASDSSASSVWVRVK RPSTRSREPSSRKTKQAESSRRSERRYEEDYSDEWDKHEKQYSTAENYIRRSKGTLPV EREPRQRSSRSPNQRRGYESADPESSSSRRAGRSKRSTESVRPDTSRHGSYEDLESYD RPSRSYEAKVPPMPTSATASGMKVPSSVRPSLQPSRSATASYSRSKREGSSRSDPVLL GMVYSDPPSRTAKVRGVDKSDSGYSSPGTPEMAAGENPPKMSARYKVFNDKLVNEPET ILVEPGMPSQPHPSPRHSRTYLSPQERLPRAPPKPVRSSTYAYTPEPSKRYEQVRPET SRQSSSRQLFGEVDYSSRLKEKEFKYAREIGPDKYSRHHYDSYHPPPVGRRQSTYT AOR_1_806184 MRRKTRFVCVSDTHGYTPAEAGFKLPAGDVLIHAGDLTNQGSIT ELRKTINWVAAADFEVKIVICGNHDITLDPNFYAKHGPKFHNQRLEDPQKCIEVVTAS SSIVFLRHQSALVRLTRPNGPNTIFKVFGSPFSQSPGTWAWGYESVDAAALWSRIPLD TDLVVTHTPPHSHCDRRATGGSVGCEALRQALARVRPSLAICGHVHESRGYERVRWPL SPLTGSEQVIRGALPEPGSKKQSLIDLTGKKAQRLENDDCFFDDEKNNQGALFPPAQN TLILSPDECPSDPLNDRSRRRETCIVNAAIMATSWPHRGGKRFNHPIVVDLELPVWRE AOR_1_808184 MPRWNRKKKSQAKNEIPSSSNPAVVPSDNLTAVASLRAVSSNPS DAPKIAELDPIELRKLAKQHAEFGPLGDPSHLYKSEHPGGEIPDPVLDEPPYFIALTT YISFLVLIFLGHFHDYFAKWFRSHTYRHLRPQNGYASLYSDFESFYTRRLKQRINDCF ERPTTGVPGRYITLLDQTSEDNLHFQLTGTTTDTLNLSSYNYLGFAQSEGPCSDFAEE TIRRDGISMAGSCSEAGTSKLHLEVENQIARFVGKESAIVYSMGFVTNATIFPALVER GCLILSDELNHASIRFGARLSGAAIQVFAHNNMADLEKRLREAISQGQPRTHRPWKKI LVTVEGLFSMEGTMCNLPRILELKKRYKFHLFVDEAHSIGAVGPHGRGVCDYFKVDPA EVDILMGTFTKSFGANGGYIAADKAIIDKVRFTNAGQVFGEAPAPSVLAQIYSSLRLI ADEDPLHPGQGIERVQRLAFNSRYLRLGLKRLGFIVYGHDDSPIVPLMLYNPAKMPAF SHEMLRRKISVVVVTYPATPLELSRARFCVSAAHTKDDLDRILRVCDEIGDALELKYS TGVAGGLRDPPSDKDLKGGKKTIEPPRWNIEEVIERGTRDAKLPLY AOR_1_810184 MSSTEPVVVIDGKGHLLGRLASTVAKQLLNGQKIVVVRCEALNI SGEFFRAKLKYHAYLRKMTRFNPTRGGPFHFRAPSRIFYKAVRGMIPHKTARGAAAME RLKVFEGVPPPYDKKKRVVVPQALRVLRLRPGRKYCTVGRLSHEVGWKYQDVVARLEE RRKVKSSAYYERKKAARRQLVQAQKSAGVSDQTKSQLAQYGY AOR_1_812184 MSGAVSRLSGLLGHFVPGAEQRVNFHTLSPTSFLPRAAAIEPEA VAIHHVTANNQVLRRTYAETADRARGLAYYLKKHGFKRVGVLCPNTPAFLESIFGIAA AGAVNVAVNYRLKEDDIAYIFTHSDVEAIIVDQEFLSLLQSYRASRPSIPIIVDMDTD ATEGELSGPFDEVVLEGLTYDLDTGAKGWPGLEAQAASEDDVIALAYTSGTTARPKGV EYTHRGCYLAAMGNVIESGLNSHRGRCRYLWTLPMFHACGWTFPWAVTAVRGTHYCLR KIDYPQIWKLLKQEHITHFNAAPTVNTLLCNSKEAEPLPEPVHVTVAASPPTPHLFEQ MTNLNLHPVHVYGMTETYGPITKGYYLPAWDNLPSSERYKKMARQGHGFVTSLPVRVI KTDVAEGTVIDVARDGKEIGEIVFVGNICARGYYKDPDATRKLFAGGVLHSGDLAVWH ADGSIQIQDRAKDIIISGGENISSVALESMLVTHPDILEAGVVAVPDSHWGERPKAFV TVKPGKFLTGSEVIEWARNASDISKFMIPREVEVVAELPKTSTGKVRKNILRDWAKGA NRS AOR_1_814184 MPRSRQPARFSSEAPSESSSSTSPDRNVDDDTDFFTAQANDSQS SVGGIATSRDVHAQNEHYVLPPIGRLPPELLIAIFAKLSSPADINWVNMKSITMTVGK EDSFFSYSDLIKRLNLSALMEEVSDGTVVPFAQCNRIERLTLTNCSKLTDKGVSDLVE GNRHLQALDVSDLRSLTDHTLYTVARNCPRLQGLNITNCVKVSDDSLIVVSENCRHIK RLKLNGVIQVTDRAITSFARNCPAILEIDLHDCKSVTNRSVTSLMATLSNLRELRLAH CTEINDLAFLELPKQLSMDSLRILDLTACENIRDDAVERIISSAPRLRNLVLAKCRFI TDRAVWAICKLGKNLHYIHLGHCSNITDAAVIQLVKSCNRIRYIDLACCVRLTDRSVQ ELATLPKLRRIGLVKCTLITDRSISALARPKASPHSSISSLERVHLSYCVNLTMPGIH ALLNNCPRLTHLSLTGVQEFLRDELTKFCREAPPEFTHQQRQVFCVFSGDGVKQLRDH LNRTVPPAREMNEATMYDDDEELDEDEGQVTGLMHATAINDDDDDYIDIGHPQG AOR_1_816184 MASLRLPRANPLAFTRWPVTVITAIVYLALLIPLLVVHHVVPSA PSSPPSGLNISEAWADLQVLTNGFHPYNSRRNDVIHSWLLRRINEILDSTPLEQEYRA LDEEKPDVFVFDDVYSNLTTYGGTLKDADLGVYFEGTNVIVYIRGWEDDTEHWWEAPN GVPTSRGGVLVNSHYDSVSTGFGATDDGVGVVTCLQLVKYFTTPGHAPRRGLVVLFNN GEEDFLNGARVYSQHPISKLPHTFLNLEGAGAGGRATLFRSSDFEVTGPYMRSPHPFG SVLSANGFDTGLIASQTDYVIFQGNMGLRGLDVAFMEPRARYHTNQDDTRHTSKDSVW HMLSAAVATTEGLVSDSTDRFDGAPNTDGGVPSGSGSQAVWFDLFGSTFVLFQLHTLF ALLVTLLIVGPLTLLFTSIALTKADKMYLFRSSAKSEDRLDVVPLQGLRGFFRFPFLF GIPTVVTVGLAYLVTKVNPYIIHSSAYAVWSMMVAAWVFLAWFVSRVADFARPSAFHR IYTLTWMYVLSWVSAVIATVYANQRGLAGGYFIFFFHAGIFLAKWISYLELFALPSKT EYANQLRSASGRASGHGSRRGTTSGEDDGEEAEEEPTESTSLLGSGQRTTFANYVRVG GDNHAVAEEEVIDPNVYGREQAWSYALPKWTWVLQLLLTAPITLIMVGPLALLTISAI SQTGQDGGHPLFAYVAIAIFTTIMLTPLLPFIHRYTYHVPLFLLAVFLGTLIYNLVAF PFSDSNRLKLYYVQEVDLDTGVNSATFAGLSPFVKDVSQELPSAAGQTVSCEWHTKRR NLLSCSWEGIAPQPVEGDHPMKDWVSFNISKSTDKPQARFEVSGLNTRACRILFDTPV KNFHVAGSAYDPRFPYDAAGVNEIRLWSRTWENQWTVDVDWDEGALKGNVVCLWSDHN QPGVLPALDEAIQFLPVWAAVTKGSDGLVEGRRAFEIGNDD AOR_1_818184 MVSGHGASPGNGITGGYTGNDIGFKIAIATLAAVTWYNAIELII LVFVTFSQYHGLYFWSLFIASSVGLVPYQVGFLLKFFNLTDQTWLSVTFITIGWWAMV TGQSLVLYSRLHLVLGNARILRRVLAMIIVDAIILHIPTTVLTYGSNLAGGRAAYING YNIMEKIQMTGFCIQEFIISGLYIWETVRMLRLDPDRGKRKIMYQLVAINLVSILMDV GLLVVEYKDMYIMETMIKGVVYSIKLKLEFAVLGKLVHLVRSHVWKTESVARPTSDFP DFVDASRVTSDLTHAMPAARHRSHPWMDTDDVSIAMFEHAGLSRDHETGHSESGGLYL PTSTEEPPVENVDRKSGVTP AOR_1_1330184 MPKGASVKLTPSVLDTEEILLAGHEHKNQYFSSPSFCYGTMIPT PQMMAW AOR_1_822184 MTGIDVLGLKDPNPSVSYRASYAHPYASSISSSASSSSSSVFSL DGVSSHGSISSASTNPVDVIWENDGEYQTAGRGLGPSRAPLRGNAVKAADAAVPPELR KHPRRTNSGIQSNGVSCARPPPCLLRQSERKVNFVDNLVDTASQIVETIWPLSAVALR SDSTTGCKGVLPLRTFIQETLRRSRTSYSTLQVALYYLIKIKPHVPSHDLAHDQTRSK PVCRAMQCGRRMFLAALILASKYLQDRNYSARAWSKISGLNTLEINQNELMFLQAVDW KLHIPEATFQRWTDIVLKYTPGAGIIPGEGQTWRTVIPRLTPELEEIDFEPMTPTSME GSDSGSMAGSPSPRGTSMRGSFLPSFASEPSTLPSEAPVLKRTPPTLEQASRADSFNV SLPSLPRLPTLPTPQLTPQSSMASTPAASAGSFSSHRRYFCAAMSQAQNMCMARSTLD QRPSLSFCPKTGSFDSYPTMVRRSSLARSTSSASSPDSMVSDVSTLSANSSRSSSMSS TTSGPVLPRLAIQATLRCTNNSMKESRKAVAIASPIDESSYNDIYQSPESFTGSTGHV SELSKFSLGIPVDMTSAHEAAQSLCALSGSAPRAPQTNQQSGNQRQCRKRGRAGSDDF ALQNHVRHLMQSNTITEGTVLPDGNMKGLFMNAGPQRTQSLTAAQLHALNYNVPLSGP AGMKRACCGSEARKIALNPSVRADYLG AOR_1_824184 MKGLDRGLALVSTLALLFVQAVAVPLSAAENASPDQTVLGDTYN FPSTPENNADTFEKDPEAEAAPSWFTSTLMARRLLALSTTGVASTIFSHTPKDVHVPA AVAGLSISQKEYISDCDEALPPSSGNGGNGDPTFLALEVETTFRNTAEGSNISITLDW WDHLNETEPLWPGFPLSPAGLPRVTLFGYVESFPSPVPEDTEAALRSCYLKAHPDARV WLPERPGSPHRSHWVRMVVTQVYWIGGFGGFQRIGWMNVTEWKGIRREESLPGIGDGR GWEDVRLPGEKGY AOR_1_826184 MSGVSKACCSIPPIVPKGYQAKGEYKTINGLKTYVTGPENASKA ILVIYDIFGFFDQTIQGADILATANEQKYRVFMPDFFEGEPADITWFPPQNDDHKQKL GNFFQTKAAPPSTLSKIPDVVSEANKLAPNGEFGSWSILGYCWGGKITALASGKDNKI FKAAVQCHPAMLAPEDAKSVSIPMAVLASKDENPKDVQDFGSNLKKENYVETFSTQIH GWMAARSNLEDAEVRKEYERGYKTVLEFLHKHA AOR_1_828184 MSFFFGRRHSVSGAPAEDDGVPRNPNLRLRRRASVPNAPSQTRG THDRNVFQDLFRRHRDDSESEMSTVERDHGSTHANQSKQSTATAAKSHTGSQSSPHAH EPSAKGHNQEGVNNATPSSEYALSKKEIETLFSGAPFFLLERGKYDHHYPQVIFPFDD HDPTIQNLWDRKPLPHPSYTLCTLHAHLPVPDRWVISKDEPIHLNSWKKTGGAKRATF DIGIFEVPNMLSMNGKDPGAVGFRHFLELPVADAVRYPEEPKGKLNYIQLSSIPASEV YELMEHYHDVYALSGGAPYDRKQLLCDGPSAWKKIGVRDINLQCLVERLRTLTNLRRE LLHGKKATTILDTESTRDLYSGLFTKFLYPPSKPLLADSSLAHSLKSQIKALTMTLAA RNAWVDFSLPEWRLYAGQLLWEAGPHPDGDLLDPSTCSKPWMHPTLERRWLLIQMLLA AELLLRLDATVRVGILDNSKELQITVQDIDDFEKLRSGKVDWDLVVVRRFMDTFDISY RADEPDHPGFDPVEHTGNSRGEKNHEKNSRSFFENLLHRSLSGSAAESTESAWNCSLV PTYLDQQLQGLLVFGQEIGWPGLDGLRAGLESAIGSGQMSEAVTNAYNKPVHNMLPAE AGAPLSKEEMHTRSPSRRWIALHCSQDQKASVQLGGWITRSWLSGFVFPGEQISHLLM ATILENDSDALAKLGPIANLYGGFAYNGMTWWSKECVVGRVLASLEDTKECLGWIRSA VVPKDAWTVQPLDNSWFEVSVQPPLSMPGKPRIRQGNKLAYESTPLGRGERVSGAFSL PLDSPLEKEPKNVVFETLTFSGKDGQSLENTPHPIVDRALMTFSIASGTDSPWKVSFQ LRYNVRFITSHECRPPGNFISYHCACPTGSGTSTPSSSSSPRHHHRLPGHPLHSGYKY KHISLDSLPEHCVPDDAFLEGSHHNNHSNHSNHHKKHEVLIVDARGGHDRETFARAWC ASLGYHALIGRVGRTCLACCIREARAISVKVVLRVDGGVNRSPYPSSQTLPGLKEI AOR_1_830184 MPAVVGTTMTSTPTSQTAIMESSTAADKKRNKLGYHRTSVACVH CRRRKIRCLVAADDAQGRCENCIRLKKECQFFPVDQQPPIEKKARPGSNTASTDPSTA SSSPPAVSGGEQTEAFFPYQPIPLSSAPDVAAFNAGAFAGNSMTSFAPAPPLDPSVPW DEFTTISADGQILTNMSAGKPQMVNMPSNVWSPGPNPMATMPMAQGLPTPTVPSQPQT LSPAPTYAIQPDGSVWQVPPTRPMTYPPPPDMAAAPYPNPGQFQQPPPDMNPDMASPV QPFPGNHVNPQSPLPTDLQGTPVAVTYAGTPAAMGFPAWQDVNNMSPMNVVQYQMYAG DAAQQASFGSPPPMGHPGQRQSPR AOR_1_830184 MTSFAPDRNVGAPEFATAPPLDPSVPWDEFTTISADGQILTNMS AGKPQMVNMPSNVWSPGPNPMATMPMAQGLPTPTVPSQPQTLSPAPTYAIQPDGSVWQ VPPTRPMTYPPPPDMAAAPYPNPGQFQQPPPDMNPDMASPVQPFPGNHVNPQSPLPTD LQGTPVAVTYAGTPAAMGFPAWQDVNNMSPMNVVQYQMYAGDAAQQASFGSPPPMGHP GQRQSPR AOR_1_832184 MSGLTLGRGPGGVRPTQTATFTTHHPSADADRSSNNLPPTSSQL SDDFSFGSPLSPADSQAHDGLLQDSLFPEWGSGAPRPGIDSPDEMQRQDPLATQIWKL YSRTKAQLPNQERMENLTWRMMAMSLKRKERERAQQSMFPARRGSAGPSGIAQLRISD PPVATGNPQSTDLTADPMNLDDFIVPFESPSDHPSPSAVKISDSTASAAIPIKSRKDQ LRDSTPVPASFHHPAQDQRKNSEFGYVPRRVRKTSIDERQFFSLQVPTRKRPAESSPQ VPPVSNSMLAHDPDLASGVPDYALDAPSSAFGFHQGNHHPVNHHNHTSPGAPFGLDTF GLGDDPILPSAGPYQSQFTFSPSESPMASGHPFANLYSHTPVASSLNSTDFFSPPPSG YQSTASTPQPTYDGDHSVYFDMPSGDARTQRRIPNYISHRSNLSASLQPRYMFNQNNH EQASSSTVHSPSYPIPQPQHVDPTQVLNATNYSTGNSHHTGAMFSFGADSDNEDDDGH QLSERAGLAMPTEYGDEDGFSSGMQWDGQFPGSFHSLPGFGPQHRKHVTIGSTDMMDT PEEWNHGGSLGRTHGSVASVSEVRNREQDPRRQKIARTTSTPNTAQLLRQSMHSNNNT SHTSPNTPPESALSSAVPSRPASPGGSKNGDQGSNGPTTCTNCFTQTTPLWRRNPEGQ PLCNACGLFLKLHGVVRPLSLKTDVIKKRNRSSANSLAVGTSRASKKTARKNSVQQAS VTTPTSSRAQNGTSFESPPAGFSAAAGRSNGVVPIAAAPPKAAPSAAASPSTGQTRNP IQAAPKRQRRLEKATEMETDEANKSAGGRSKVVPLAPAMPPAAANPANHSIAGGQGAS QEWEWLTMSL AOR_1_1332184 MWSVILKIVTGLLCVQKEGVSSVTAISVLSILITNVLRGRFDDG QFYLRHADDKGDHILIDDDYNITGIIDWEWAYAAPISEAFKSPIMLLPVADSYNGVNC IGEDELTFASILEEKGNKGLAAILAILASLVDNSQTLARLTRMLSTDLKEALRYESPA HMPGFPPSISPIHFGCIGGHGQSAIALILLKLGYVVQGSDIKESDNVVRLRAAGATVF IGHDKDQLGSAKLVVASTAATKNKTNVEVDAARDRRIPVIHRSEMLASLMRHHKSIAI SGSHGKSTTTSMVAGMLEAGGLSPTTISGAVVTQYGSNAHMGSGHWMVVEADESDGTM VRLPALISVVTNIDSDHITFYGTQEKTRATFAQFVRNVPFYGLAVLCIDDPGVRKILP EVQDRNIVTYGVSEDADVRAENVEYNPQDSTFVLSVRDRRDGTRRVVGSIVLNVLGLH NVQNALATTAIALELSIELESIRYALGSFQGTNRRYIHVGEANGIQIIDDFGTHPAEI KATQTMAKQAGARRVIAVYQPTVVVRNVEAWLEEYPAAFEESAHIIIGQADGVEVDPV PTGEARETLVQYLHSHGREDAISMPDPSALPELVSRLGQEGDFVVCMGFRSSTLWARA LAGQLKALGTPRMKGGQ AOR_1_836184 MGSSAKKKKEKQKDFTKAKLKVGKAKGKPENFTDTSFKSKGIVL NQQSLTLNAPTSNTQFTHHVSLLSSKSDSQRRDSLAHLTTSISSRPVNSPLPQPVSVI LPTLLPLILDANTGVRTQLLKLFRALPQSDIRDHVPQLLPYIRAGMTHLAADIRVSAV EVLSWLIDVAGTEVVSSAGGWIKTLNCFLSVLGWHTEESAKWSANRASFGKSGAKGQP MMKVLTVLAEFLNAGIGAPANEAQDVDMLGSGGVAGWEFPLCQTAVHMVPDTAAPYAY LNLFGQPRDEEGEMYETWEDRYRVFSNRFLRAIQRGLENARQEGGEMGRASSGASKVL KEAVAYGTGIAV AOR_1_838184 MGNKRHHVWKPVAELIRHLPALTDLFFRCGGQFPVCILDAMHRW APTSRLHLQTFNLQGLETPIEDSEEHKLVSSSHLHSIMLQYNEKGVYSYDNSPCYRMK TLQRLVKSAPNLKEVQITRREAPKNFTTVPIPSVLQKPKTEEQDIFLAPASLKLLRVI DLVPLAAMTLIEWGKHTNFSELETLELCSLAEPKALIAWSHQLEFPKLRVLYLQLKAP AFLEEDAPTTELYEAATQFLKTYWISDAFNAATWQFASSMMAVGLGWSDAVIIVAISF FIISFVIAGNGAVGAIYHVPFPVIARASWGFWGSYIAIVSRVILALFWFAIQNVNGAN AVRAMIGAIWPSFLTLENDIPEDQGITTNGMVSYLIFWLVQFPFLCIHPNKLRWLFMV KSVLVPIAWIAIFIWAFVAESGGGLLHQKATVSGSKYSWLFLANMTSVLGNYATLSVN QSDFSRYSRVTAKWQLLYIPMLPIIFTFLSFIGIVASSAGQARYGGSIPWDPIDLISN WSSRACRFFAAFSFALAALGVNISANSLSAANDLTALAPGYINLRRGQIICGLLSWGL VPWKILVSAGNFLNFMSAYAIFLGPIAGIMLFDFWVLKERKYDTLALYQRENRTYGYG GWGVNWRAVVAFVVGVAPNLPGLINSVNSEIDVGVGVHPYQFGWLLGFVATSLVYVVV SLVFPARESLVDVAVMPEEVYDAREREDGDGSLDSQEKVMREKVEA AOR_1_840184 MPSVHSTLYTAYTLLTQPARLVTWWLYYIPKSNRPNPAWSWKRA ATLQVVILLIRYRTAIRYRTPKALEPGPDGDRFIVLHPNLKTESALARAGTQQPQPSP HESSSSISTQAPILPLDADKTFPAAVQDGITAYIYTIETLQIPPSQIVLSGESAGGNL ILAMLRYITTENQAIPLPRCALLWSPWVDMTIKALLEMDQHRNYKSDYIEYDFGSWGA SSYIPPGWSDSNPYLSPLGSEYRLSVPLFIEVGTSEVLYDNIVLFAHNMREKGTEVEL REATNGVHATFGIADTLGMSEVAIDGHARGARFNARTGGD AOR_1_842184 MGDISTQPDPSDANGTPKKHILLNAFDMSTVGHLSPGQWKNPAD KSATKRSLTYWIELAKLLERGGINALFLADTYGGYDTYEGSLDECIRRAAQWPVTDPT IPISAMAAVTKNLAFGITASTSFEPPFLLAKRFSTLDHLTNGRIGWNIVTSWKKAAFK AIGLDTPIEHDERYRQADEYLRVVYKLWEGSWASDALSPDPETDTYVDPAKVRQINHK GKYFSLNTRHIVDPSPQRTPFLFQAGTSAAGSAFAATHAEAIFVSSHSPAVLRPKIEN IRKLAAEQGRDPRSIKFFATFTPIIGRTDDEAQAKYEELQKYASVVGGLVLFSGWTGI DISRIPLDQDITAADSLEAHKVTSMLDAFTTTSEDVPQWTPRVVAQKAAIGGLGPVGI GSPQRVADEMERWIREADLDGFNLGYVTTPGTFEEVVDLLIPELRRRGLYPASAEADG LTAREKVYGKGQRELRADHPGSQYKYEVYQEEAAVAETEVS AOR_1_1334184 MRRLPYSIAEPRSSPLLDPPDIAGGLSGNRYWAIGGDTGYSAGT LTSTLAHLPPLETPDLYHPLGFSRDTTANLAALAVPPPGMGTALDALTAPQPLNTRRP AAQSLPSFELPPPNFHIGGAAVKYHPHPAHPQPANNHSVNSLLTPPASTQSGETPVPT TATAVTTAAVSASPDPTSSYASAYWPGQSSYTTASAAPRQSWSAGVNPYPPRDTFSPS VNHLHRNSATSPPGTEAIPSQPYDMNHLPPFQQPLASSMPGPNSQHHAMTHAMLTAQN ALPNPPPAPPSLPSNDPYMTKSSSAPSYTGIQQMSNHTGAYAPYGQTSLAIHPPGRVA SNPPPHHLNYQRQPWPSYSLPAMNGPVMTNMHNPNGQMSLVGNLQPGLFTSGQLAMQQ MYGGHPSHAGHPPGPTNDRPFKCDQCPQSFNRNHDLKRHKRIHLSVKPFPCHHCDKSF SRKDALKRHILVKGCGKDVSDAIPKQESESVKQEDKDLDHGLSV AOR_1_846184 METVDVTVIGAGWSGLAALKTYHQVDPSASIVLFESAASVGGVW AKHRLYAGLKSNNMLGTYEFSDFPMDASFGVQLGQHIPGTVIQQYMERFVEHFQLSEF IRLNTRVRIAEHNSDGTWTLTIDDTDGEKTVVSKKLIVCTGITSQPYMPTITGQDTFD APLFHCRDLPQHQDAVLQPNKRITVLGGTKSAWDAVYAAATAGAHVDWIIRDNGHGPV WMAPAYVTPLKKWLEKLVTTRLLTWFSPCIWGDADGCSPIRNFLHGTWLGRKIVDTFW SILANDVITLNKYDSHPETKKLKPWFSPFWIASGLSILNYPTNFFDLVTEGKVQIHID HITHLTPKTIHLASGTQIQSDTLICATGWQATPNIDFRPSTLSQTLGFPWAEDPIPQS VIQQADAEILSRFPRLATPPPKPANYAPLAPDAPAAAKHPFRLARLMIPPALANTRSI AFMGLAMTINTTMLAQAQALWISAYFTENLTPAPREQCPPHLRKVLEQDNADVDADLV WETALHSQFGVHRYRGGFGKRNPDFVFDAVPYVDLLLRDLGLDYTRKGGLKWLEPYGV EDYRGLVEEWIDSKEKVGKKDN AOR_1_848184 MAGDDFTIEAFTLLAIAIVTIAIRITARWITAGPRNFQLDDFLM PLAGVVYGLESGAAYCVGAWWMGLANNSMTDEQRATLSPTSEEYQLRVGGSKTQVLGW SLYTTLLWLLKACMAIFYSRLTAGLINMHRRVQIAYVLIGATYIAVICSILFGCHPMH KNWQIYPDPGNYCQPAVSQIDVYVTVTLNVATDVYLISIPTPMLFKARLPWREKLELL VLFSGGIFVMAAGILRCVLIVTAGANGAQQAGSWACRETFVAVIIGNAPMIYALCRRI ARRAGWYISTKGVSANSYPLTDSGGLNSKSTMHSSNRKRKFKHPLSLPDTQYHDEQTI LPSQPTICEAGTGNWDEESRGSQEGIKIVRETIVRRE AOR_1_850184 MADKVDATVSHSDNPGEAQIKGVNNAALAVATIQQKPKLLSKSM LKLYWCIAVAMLNSCINGYDGSLMGSINSYEQYRSYFGFDPDEGTPSTGIVYAIYTIG NIVGSFTAGPFTDFKGRRVGMALGSIFIIIGTIVQATCHNLGGFMAGRFILGFGVATS ATAGPAYVSEMAHPAYRGAMTGLYNVLWFGGGIPGTFIPWRTADIEGTMSWRIPIWLQ MIFSGLVLLFCFTVPESPRWLISQDKHEAALKVLAEYHGEGDRNAPIVQLEYREMVED ISMTGSDKRWWDYRELFNSRETRYRSMLVIFMAFFGQWSGNGPVSYYYPQMLRGAGIE NNNTRLLLQGLQNVVQFIGAVFGALITDRVGRRPQLLLSTGIIVILFAIVLALNATNV VDGPDGEPIAKSGITARAQIAMIFIFGFVYSAGWTPNQAMYPVECLRYESRAKGMGMN NFFVNIASFYNTFVTGIAFSGAGWKYYFLFIFWDAFEFIIIYFLFVETSKRTLEELTA IFQAKSPVKASLKKDEVFVASDGAAALQKEV AOR_1_852184 MRTHDEFLADQDEPDQPTKRNKVTHPANRICYDWMVVSGNCHYA RDRAVFTTYRSVDLRLKNNIFNPTDELHVAGFGTVHLTVCRSPQDPTSHVIVLEDVLH IPEAVCNGFNPLLFGSSMSCNADYWEGADRSGQPVWFSLPFAGHTRLVLAGDPKGESE LIEGRYYTLSLYITPEEKRELAAGAVNGNGNGMAF AOR_1_854184 MSDSKSSSSSPRPLAPAPGQPPRRTSSSDDVVRGRKHKTTACRA CKLKKLKSLADQSANATADVASVEGIHRVSIALSMALNVWLMRWRICVESALRGSENT RIAQLLNLIRSNASFDEIAVFLEQQFSRAEIERSPELREFRSQLSRPSDEDDDDGNEG TAPRIPRRMLEVRRLADIPVYKVPAKPWTTVTDDDDLVSHLVSLWLTWTYPWFDWLDK DAFIRDMQAGNLNCRFCSPFLVNAILSEASYYSDYAEVFTVPGDMFSRGDHFYEEARR LLEAEEEEVPSSIPTIQGLLVLFIRLVLMGKDRVGWMYMDLAMRAAKEYASSHPPLPI DTESVRQIESVVNRTLWGAYNISSPPQCEIPAIINDIYQQLQGWYANLPECLRLENAN VPHILSLHLFYHTNVMQIFGFLQSNSGEAITPETAKNAKDLCLSTARRIAQILSIHRE KWGIDRLAPSTVQWASIGLFTLLEALDSLENRKAFTELCIFARALSRRFGLAKGILRM IQVTAQQTEVSLPPETDALFLDFETQTWRNRDSQSFSSFYPHFSTVIRQGKVRQSDVS MDRFLEKWDNLDIGDGPPRREEEE AOR_1_856184 MEEEKRPPLPTRPSQTPTEEKPPTKDPYLTDTSKHQDQEQDQEQ DNEETNTNPALPAYTPTVLIQNQTSHTAYRPSSILPKPVVIPQTSHSIHGTIYRPFAR AYPPTLERHGIPKADFLAFIDGLNAVWVANPYIQAISTTSKAAVFVPVLQVQIAALGL AAAAEYGSVKVSQRRTTEYMRVANEELFRPRGLRVQVLRTKVMMAEVGIPGEVLELGE CGGRDEEFGDLEGLGDGGKGKEKEKEKEKEKYDPQLRRVEALKDFVCPVVYEEAGEVG KENWIKRASDKQESWLAERQNSSIVGKREKAGKLMSEADEAERQISLKIEEVARAKVA AEERARERMDGPLGESLQGRGMIQDDLDKEMKKLDKQMEKLMREREKKVTKIMKKGKE HLQKVEKKESRIAQKVMWVVVTGDDGSGFQNHLCEESDA AOR_1_858184 MIQVPSATAERPSSPDAPTFDKDAALAVVSDEAQEIDPAVEKRV LRKIDLFFMPAMLIGYGFVYWDKAILGSASLFGMTTDLELLVIDHTTSPPSKDTSRLS WATSIFYFGMLAGLYPMTFILQRFKTQYVFGPIVMLWAITCAATAGVKTWQGLFVQRF FLGFVESVVPTGFMTIVSSYYTQKEQAMRQAWWFSGTGWFTIIGGALNYAFGQISSRA LKRWQYIYIFAGALTFLFGLWCCIMPNSPVSAWFLTPEERVVAVERLRKGQTGVRCQK IKWDHIKESFLDLKLYLVAIMMASAYTINGAISGFGPLIVSTFGFNTLDSILFQFPVG GVCVIFIPLCGYISSRIPNTRIPMLVACCLPVIAGCVIIWKSEWGYQPAAPVVGYALT GFFGPVVSLIITLGASNVAGATKKTMAATVFVAYTVGNIIGPQLVNSKTVGQHYPELW TGMVICYCITIAAAVALYLVLWRENRRRDQMDLDESQRDKLAFHDLTDKQNPFFRYVL AOR_1_860184 MSEHRKAIIIGGGPAGLSTALRLHQTTNVKCTIYELRPEPTTLG GAIGIMPNGLQLFSRLGVYDALHARGSSQSNLVIHSAQGGIVGEQKDMVSYARAQTGF GYLRIKRTDLVDVLLDAVYKAQIPIYFKKRLTTIDDNGDSGVTMTFSDGSTDTADILI GCDGIHSCVRRLYVDPNQRPEYSGFSGLFSLVPTSRLSSSAAAQVSGIGATLTEQGMF MVMLATAAGDEVSWGLSQEVPLPDSGDSRDGWEVYRQKELNGFKSNMHQILEKVHGDW GDLLKQLVENTDVMKFYPVYRLPLGGAWYRGRCVLLGDAAHAMQPHAGQGVSMALEDT FLLARLLEDHSRPLTEVYKKYEEIRRPRVNEIYKLASRNAGVRKTTGPWGLWSKEVVV GMAFWASWALGLEKWGWRQKHMAYDIDMEEI AOR_1_862184 MDHPKRVILRLQEADLDEADLYEPVRLYLEKNGRSIEELDTDRH FVHIQPPNPDIPQVDPKLHVVIDLEAEKYTGKLGPDFPYEVYRVRRMDGKLVMFGFKD GVWYQNFVRKLRSIIDDVYPWGKTKRDRRFSGSTGAQAVGS AOR_1_864184 MTFHPDTLPNLKGKVFIVTGGNSGIGYYTVTHLAEHGAHVYLCA RSLEKGTAAIANIKEMHPSANINLLQMDFMDLTSVVAAAKHFLTLETALHGLVNNAGI MATPFEITKDGHEAQWQTNYLAHWVLTEHFLPLMLLTAKGLYPGSVRIVNLTSSGHLG APKGGINFKDLSLKDSGPWARYGQSKLANILHAKALHKAYGPGSPSARNGEGEIWVSS VHPGLVETNLATSVEDSGSGMTCVFSVLRMFGLMWSADKGSWTSLFCVASQDMKAEQS GTYLEIFRRFGEPRWQSDMANDWKLVKRLEEWTREVVRKEGVFAGSMLAWTPQLLEKL NATPTEAQAAFKVYTRLAISIVDHPHPMEPSTTALAAMATLSHMAGNSDNYPYKLPLI RFRCFSMARAMQIHRLDTPKSREQRELKGYNPIELEVQRRIWWNMLASDWLNSFSGGP QEGAYFIQPKHMMVNYPTNTDDEFITPTGILQDRPLSQPSSLSAFIYRVKLATLCREV VDAMPSIWLEAQEPDYETILALDRKFQNFLIYTRASAGSTDPTTLKYAQKVLELRRLM DDAGARIGLKPGRFWTTNQHAFLAALILATDVSFNPDAPDAEARKGKVLAAYETLEKS KEESSILVETIQKNMQTLMSTLHRQRPRRLDLQSDITGIRKDTLVSPSEAPQNNDNSQ GLYNTSMSSHAISTVQWPATEHPILVDDAAPYRNVPPEPGMQEEDWDQLWSDFLAVAP ELDIPQWNSLLDDMDFNFGEST AOR_1_866184 MISMYQPANSTTPRIQLTSMAQLAEAISREDDWTGTKDAAARRR AQTRLNTRAYRKRKALAKNAEAFSAETGTLIKSRAPVECWDIEQQSISVVPASRIKQL YNARNPLLPDKPGKDQFNMVFPLCPDHLITLLQFNALRALAVNRNLISNILVTPLDCN KEVIHITPYPSKPDLLPSTLLPTTLQQTVPHGDWIDLFPCPEGRDRLILATGTFDEDV LWADCIGGLYEGFPDDEIERRGIIAWSPPWDITGWEMSEGFLKKWGWLFEGLPGVLEA TNRWRMEKGEEPFVHDDCTTYVTA AOR_1_868184 MDTALKDTGANGCCPVYRINSYHIQLDQEAQDAMIGRLGHDAMR VEIEVSAFGPEGAREAGLVQLEGFGWDVVIDGLVKFNVFISRIGVG AOR_1_1336184 MQHSVTTPDMPSDVDRSLEAKEKPQEEEQPEAEGEKTDDDDERE PMYDNTDYDHVRFDPIWYGDYPGDEMPLEIAEYLGENLEYAHVHQMVGSSRTIFHMCG RPDVVRMIDDPAYVIDDEIVAVPIGCFPVSFLLSRYQDEGIFPWDHVPGLESGAVKKC SIPASVTETVAAQELKALYPFSRPVTSGETIKVVRVQHNRNFNKFEKDVTARFADGLL QRKDTLFRGLTLLALEKCLAFFLPVIRSTNADNEFGPGIYTTGDLATAKDYAGRAGAI MVFSTPDERPLNCWEPTGDEWRRLTARWLGLSLSDTDLSPAYYEADVIKGAMSADQSK GQRQNRFLTPGNIKQQAFVSYRGCESLRRELKAIIFIESSK AOR_1_872184 MVYCGRPSTSCNNCRAKKRRCDKAVPGCGQCRRMGQQCPGYRDP TSLIFRDESSQVGDKVRARITKRDASRGHTPGSVGPSQGAGLQVELEQGLLNEDPVFT DHSQSIVGNDALQVNNTSPDFNNASSNALERGTSSSRCELGSENVGNDEQDRAEGKGP DNQKAIPPPFALPLDMIGLNYFLAHYVVRQSPPSPGFLDYVPSILTLDDENEILQGAI LAVGFAGLAHRTCQVDLRCRSRAMYTRTIKRMNKALTDPQTARRHSTIVTVLVLSLYE FGESSLNGWDQHIQGATSLLRLRGKAQFTTPIGLQIFKDIFSHLLMNCLRMGSAIPSP LRMIRAEAAKAISASDPYWVASSGLVEIMDLYSQILPGGFDFIHSSEAVSNGTGATER QLPIDELERYLSQALDIDHRLESRFSECSPEWQFTVMQLTSPDPTRVHGDAYHSYHDA GIVGVWNDMRTCRVLANHAICHLLLRGAKSDPSWFFSKNYTDQLQETSRTMRQIRDDI LASVPQQMSYFPFPAQAQLEPVNLEQYFNQMTVPGTKWSDDSWATFNSSPGFEHSTYT GAGVGAYFASWMLLLAGCMHTNSEDTRQWIVAQLRHISLQSGLAQADFFADCVQSSKV RPPLST AOR_1_874184 MPGNSYYKTYSERDYIYQEEDYPSPQIPTNFGPLPLPIVVPQQR PGSRERGFIAAYAPSLEACGIDRMAFLRFLDECNTAVQGNKFLAGVQVVSFGVGFTPE MIVMGVATAVQAGAYFANKGHVRHKTNAVLDRYNQELFGPRGLFCMIMSYEPEAYDEL KSQSSQSLSSQLSRFGSLASGSWVRNPVSGKSQGAHNLPTAVAPLMFIDDRRQHKELL SDGCSLESTEKVKSSKRAKRAFDTFNDYLDRRARAQYTAENSGDILNVPLTRGFKNRY LDPNHPATNGGLIGLLSGGVLTPDPEARRRKALRRVEEEEKQVLGQYYQQMDGIRNQN QSRWEIERQIRQCDEQFAPRFEEFRERRREAQGKQRNIRKRENWQQRRQD AOR_1_876184 MTLAIACFPKAVPTFDNVLNDNAPYPYTLKSFRDYVSQSHCSEP LEFLEDLKRYKQKYHSALGLNSSRRPSRPSLTPQLLLTWRKIISVYILPGSSRELNLS TEERDSLLQYNDTSFPPSPCLIEEAVTRISQSFESSIFLPYLTSRALLLHTISSEDTY LDEDRVGMIYDKVAISTTESDMTDSSSEITSTTAHMDEKEGLSGFFSDCGQMVQTLNV VLMTFYFSVHI AOR_1_1338184 MHTVAEDVRVELQELVLSKVTFYVNPNWDLLERPIDDLGQYYNL CPFIDLYTRNQEHATSKENISIAMAALPAQDVQDLLNGKRQQERTGMTETGDILESIT GTLGAFNFAVPKNLDEETARARIGQCVRSTIKSLQRLRLEHGGDTSIHPLKLYSLIAG TIHGTLSDSQPKTDDIYKERRIKIVIDLLLSALAKLKNHEIRADREHIARFSKVQCLK SWSRGTGRATFSAHSVMNLASARECTVPTDIAYSLMGILGVRFPAFPAEGLTKALARS MDEVVIASNDVSVFNWSGKHNGSPIRGRSLYASNISGFRVENTELQENFDADQELMRY FQPEQSKDILWVLYDHVKLLKEDDFRTLRNSFDLKPIYDKMKAAKIDAKEEELEDGHQ QPLIPGDSRGQQAPDEKRQEMIENNDPPEVQGTEKKQRKQEKDAQNREKEGAEKRRLD RSPDFDKEVKNAIEQALSKRSESNICQDPYDKVHTGGRSEDKVTVNETEPLESLDRRI ISPNPIVLNSSGIKGVFDIQRIVVRMLDPDSLRQKIKTAISVNEKIDGWCTISTGLAL TMVAFSCERHVLVQQLDLVEVVEETVLKDPHAKLDGISEQLQRSEYRQNAETNTESYT TSEEDIRQGLKKPERSSTERSDLTTNPKTMKIQYGNSPEQRKLFRMLGFVTESNIQIV AGEWVLARFSSAPGAKWFLCRLELGAGTEFYARRIPTDEIDFTEAFPERGLVEYWHAF LLEQKSIMCDVLSFYLLSKKAGKYTDWLGESIINSLSGDVTSDQNEDESCDDDVDLAR NPRSRDYGHLSNVRKMIKMIGWSAKGVLSETWASHLNRYLRDNALRKVPVHLRAAVEA LSDRRVLLPAMFHTGKEIHFF AOR_1_882184 MTIFMAQTVCKIHITTTRYTRCPTSCTKVRRILVTMGCPIFAAT GQPCRDPKEVYLGQMIARGQCPNHKDEGFAEYDTR AOR_1_884184 MTKAESKMKKYALIGTGGRAMFFYTAIVRDFGSTAQLVAFCDTN NTRLAYANSRIEALGHSAVPTYLAQDFDRMIDETRPDEIIVTTIDRTHHKYIIRALER GCNVVTEKPMTIDAPRCRQIIDAVDRTQGQVRVTFNYRYAPHNTKIAELLNSGAIGDV NSVHFEWMLNTSHGADYFRRWHRDKRNSGGLLVHKSTHHFDLVNFWLRTKPETVVAMG DLRFYGKENAERRGETKFYSRARGSDVAKEDPFALDLESNEQLKALYADAEWEDGYYR DQSVFGDGINIEDTMGVMVRYQTGAVLTYSLTAYAPWEGFRVNFNGSKGRLEVEVVEQ SYVNSGGEQALEGALESRTILLRPLLGKPVEIEVPTGEGGHGGGDPQLLQDLFGTRSS DPLNRAASHLDGAWSIATGIAANESMRTGQVVRVNEVLQL AOR_1_886184 MAGPAETPHDDITTVEDPQGPRNQGKEKAARFLAEVDEGERTFT PEEEKGVLKRIDRRLLPLLLGAYFFQQLDKSTLSYVSIFGLVEDTNLHGQQYSWLGSI LYLAQLVMQPVAALLLVKLPTGKLIGTAIFLWGSSLAIMAACTDFPSLLGLRFTLGAF EAMIAPSCVAVTQMWWRRGEQTLRTAYWNGMNGVTFVVGSLFTYGLGHIHSNTLYSYQ IIFMFCGLLTVAFSLVVLIFMPDSPMEAKCLNHREKIIAVERLRANQMGVVSREWRWD HVWETLYDMKTWCWFFLIVAISIPSGGISTFGNLIIKSFGYGSFETILFNIPFGVIQV IAIVGGGWLATRFQRKGLVIVGFAIVSAIGTLLMIVVPREQKGVLLFGYYLVSFLAGI TPLVYAWEAQNTAGDTKRKCTSAVVLIGMCAGNVIGPQLYSTSQAPLYRPGLISNLIL FIIVGVFAILTNLYLIYLNRKHAQRRLDLGKSAQVVDESMLRKEKVGKAVELEDVNAV PQQNQAEDKGFSDTTDLKNEDFIYVY AOR_1_888184 MSDSTAPSLPPPRRVVTGHNPNGQATVAFDSHLTPQPVGGNNLT ILWSTSEHPANVNGSEDAALSPRSWPPTGTGVSAYDIPPKAEGVFHRSITLDYVIVGK GSVVLSLDDGSQVTLNEGDMVVQRATMHSWSNTTDQWARIYGMMIPAQAPVVNGKELK EDWPF AOR_1_890184 MASTNVNIIFGAMTVGQAGLDGCRQTTPEEVKDVLDVFQRHGHS DIDTSRAYGNGTSESLLGESRWQERNLRIHTKVYPTANYGAIPDAYTLGAEDLRRALN RSLEALKTDSVDLWYLHAPDRNTPLEVSMQEVDKLHREGKFKRLGISNFMSWEVAQMC EICGRHGWIRPSVYQGIYNPLHRAIEAELLPCLRHYNIALYGFQPLAGGLLTGRYNLE TTSFDAGARYDPNNKQSSTMNDRYWNKTTFAALEIIQAAAQKHGLTVAECAYRWLVHH SVLDKKHGDALIIGASSVKQLEGNLADSEKGPLPEDVVQAMEQAWQLIQEPIRKYWH AOR_1_1340184 MHVVASTTAFLGVVSTVAGVHHVNRDTSQQILKPPVPEPIVVTE LPLPPVADSKEGSCTPEISPHRTGCLLKSSQIQSGNFLPDNNHVLVSLNFSGAPAAPD PASIYNGTHLTLIKADGTNFPSGDPWKCITCGVPEENKVGSTELSPYPQAFLDGKRAL IGTNIVDCGSALLSSSDCTPDKVHIYPIRWNVKADGSGSGGNIRELRLHPDNVHLGFN SFTFSNGQLGQFGYFSRLQFNPAPKTGEPRSARYDLVNVTRLYNPDSPQPISAKGNEL LFNRSAIAVGELRGFTGRGKEVTYIGNPVESCNIDVFAADLTTGKVRRITDHPEYVDP MDVSPDDKWQVILDTRGTGRQMFMAGMRGIPPIIDLIATTVASSTRNNGPRRFFRPWL LDHDGDRGDYYGQQINGDGDGSPGSINDPNWNAGADPKWSHDGTRIAYFENLVVSPSC GGQNPLPCPNSTEPGGRVTRLMLAHLTSREPLDLEPVAPVSDEVPWGVPYVPESALPD RPFPAEGNYTLKGEVSGSASVSIIHDKTIPAAIKTIAVTYRNYSDDGLHVIAGSERFT NTVASMTINKVDWFSDLTSTGQVTGSKKTSPGGFHLEIDAMTNIFMANGTLTTTIDGK VWKQPANGTWSLVMFYQSQ AOR_1_894184 MGVIWDLLERAACLAVTAVALLEGRAGATFQGTPSVLTEQGLVK GFVENGTNVFLGIPFAQSTAGENRWKAPQSLGKSATAEFDATSYGPSCAQAMSGSAIV AQGEDCLNLNIWTPQNGSNLPVFVYIYGGAMVTGGNSNAQWQGYNFARKDVIYVNVNY RESIYASPYAPELEGQSQNFGILDVEMALQWVYDNIRGFGGDKTRIVLGGHSSGAVHV DHYLWNHPETFLAGAIEMSANAESGPAIAPAGVALTQVAQDIEAAGVTLSCDSSNPTL DCLREVDVYSIQTSYFNSTSNTWFSPIVDNITRFSDYKSRFAAGNYPKSLPLIVGNSD QEGKLFGMVYSSENTNFSQWINTFDADMAHVPDDELLAAYNASDYETVSLMSGASYGD ARFFCPTDYLIDVRANEQPTWVYRWFGDYDNVIGIPGIGASHGSEVPFFHGGNECFSK LTDVTEAEQALADYMNNWFVAWIKNPSAGPGWDQAKPTNGPLAKLGVPGNELAIEIGS TGDYNARCQSVFNPNIPKYPVVQNPVTLAESS AOR_1_896184 MAHPGVGQVVGWYICTVVACAFFVTRLVVRWRRFSKFYVDDYLV AAACLCLIGDLIIQHFMFNWGMTDMANASKSDMVNMMKMIIPGSTLYVTSLWLIKAGM VCFYKRLADRTHYQRIYNVVLALLAATWLTIFLNIIFKCFPVDRIWDMDNPDRACSNK QTRVNYWITILFNIFSDVIIICLPISQVLRIRMPFKQKLGVMSIFLLGILVVITSIIR AIFAWQNKQMITCTVSMIETAIAIIANSLPVLRTLFFGSKSRSGTYYNNSSRAYELSH SNGPAKQGPLNKVTASVASNVVTDGHGTPTGLSRHDSEDELVKDAACPPVDDHAGISV TTEYHVFHGRYSSPSVNGR AOR_1_898184 MHFTFSICSSLLLVTGTIKSAFAHVAQPPPGERICTETTVAILG GGMAGITAAQALSNASIHDFLILEYRNTIGGRVWSTDFGQDKNGKPYVIEFGANWLHG VGTSRAENPLVTLANKHGLKNTPDNSSSVLTYDETGYNDYQDLLNTFSDVKDVAYRDA GQMLLDNIQDNNARTGFAMAGWNPPQNDMKAQAVEWWNWDCECAASPDASSFIFGVAA ENLTFNQFGENNHMVVDPRGYSTIIEREASTFLHKEVQDRRLWLNTQVTGIEYSKKGV KITNSDGSCVSAAYAICTFSLGVLQNDVVQFHPALPKWKQTAIQKFSMGTYTKIFLQF DEAFWPTDTQFFLYASPTTRGYYPIFQSLSKDGFMPESNILFVTVVEEQAYRVERQSN EQTKDEVLAVLREMFPDKQIPEPTAFIYPRWNNEPWAYGSYSNWPVGTTLEMHQNLRA NVDRLWFAGEATSAPYFGFLHGAWFEGLEAGEQIAAMLQDKCSNTHDEAICGDRTHYD VLHGTTPIDAYTIINGWSVNSTDL AOR_1_900184 MEESKVEYVPEDDAQLARMGHKPELHRNFSTLSMLGLAFAVLNS WTALSASLSISLTSGGSTSVIWGLVTAGTCNLCIAASLAEFLSAFPTAGGQYHWVAVV SWPQWVPILSWITGWVNVAGWVALVATNSLLSSQLIAGVVSAVYPDFEWQRWQQFLIY VGITLGAFVINAFMNSVLPLIYRGAFTWSIGGFVLVSITVLACASPDYNSAYFVFCDF VNQTGWPDGVAWLLGLLQGGLGVTAFDAVVHMIEEIPNPSVKGPKVMLTCVGIGTFTG SVFLIVLLFVAGDITDVVSSKAGPLLQILLHATQNTAGAICLLMLPLVCLVFATLSVM TTSSRMIFAFARDGGLPASRFFAHVHQRLGLPLNALALTTLVVIIFGLIFLGSSSAFN AIVSSSVVALDLSYAMPIAVNCLRGRKTLPDRKFQIPNAIGWVIDIISLSYIVLTTVL FLFPPSRPVTGSSMNYCIVAFGIIVLVSVVQWIVDGRRNFTGPRVET AOR_1_902184 MDTSTTPSAPQRPHFKRPVYIPPVKGNIGFGVYNYYNAPTPAYD PEEAERLHRNKALMDAYGDKETLQDIERAFALYEIQ AOR_1_902184 MDTSTTPSAPQRPHFKRPVYIPPVKGNIGKWYLPTMGMIALGFG VYNYYNAPTPAYDPEEAERLHRNKALMDAYGDKETLQDIERAFALYEIQ AOR_1_904184 MEIVLSSPPIYLYSPLPEGYIRLLRLLPHQDKHAPIQCQLFDYP LHSYVVGTHRYEALSYVWGSPEKPYSIFLDDGCLSVTTNLYAALLHLRDRFIERVIWI DAVCINQTDLDERGSQVQFMAEIFAKASCVIVWLEEEATSIHDDKTSDEGGRALEVIQ KAAEGYCRTGMDEEKAVLALLERSWFRRVWVLQEIAAARHILIACHSAEIDGHAFASG LTALKTLIVDANMRDQIGMALLIAGTRFMLY AOR_1_906184 MGDTGTYVPNFDGENRVDPSVVARCRVVSTESHGISFWGHTGRI NVELEDGTPFSFFMKVVSNERGKNMVHGEYESMKAIHTLLPDFAPKPIAWGTYQDVPD MHYLLCDYREMTDDMPDPHKFAARLATLHESSKSPTGKFGFHMTTYSGNLPQMTEWED SWETFFAKSLKKALDLEIKAKGPDPELDHLLPLLFDKVIPHLLRPLESDGRSVKPSLV HGDLWYANSGIDVETGDSLVFDACSFYAHNEYEFGQWRPICNRFGDEYLAAYHSYVQV SAPEEDYDGRLDLYKLRFNTHVSALFTENHTLREQ AOR_1_908184 MTSPDCHPNIAVGDHKGYMECALEKARLSPPSPTKFCVGAVLVD ADRNEILSTGYSMELPGDRPGDPGNTHAEQCCFIKVADQHNVPEAELVEVLPRNTVLY TTMEPCSRRLSGNRTCVQRILGLASSLKVVYVGITEPETFIADNTGRKILEDAGVQVI CVEGMEDRILEVSTAGHNK AOR_1_910184 MFLKTLFSPRPRSYTLLQPVHHSPTEFAMRTSKTDKVVTTATDG DGPAGNLDGDVRRLAEMGYTQDMQRNFSVISLLGVAFSLANSWFGISASLITGIKSGG TVLTIYGIPWIAFVSTCVGVTLSELASAMPNAGGQYFWASELSPKRYAAFASYLTGWL AWAGAIFTCASVALSLGSAGVGMWQLSHPDFVPKPWHSVVAYEVINLFAFLFNCIGKA LPTVATATLYISLISFAVILITVPATAPSHANARFVFANFVNSTGWPSDGLAFLVGLI NPNWVFACLDSATHLAEEVSRPERSIPIAILATVAIGFTTSWFYCIAMFFSVTNLDPI LSTPTGVPILALFQQALQNKAGAIALESLILVTGIGCLIACHTWQSRLCWSFARDRGL PFSPFLAKIHPTLDVPFNAHSVSCFIVGLLGLLYLGSSTAFNSMVSACIVLLYSSYVV PVIALLYKGRENISHGPFWLGRVGWVCNWVVLGWTVFCLVVYSFPSVYPVTTGNMNYV CVVYAVVGCIIAVDWVVRGKRRFRGQHTRHQVEEHVGHYAD AOR_1_912184 MKFLAISSLVAAVSALPSVPAPKAQNDPNAFGVVAARSASPIHF LTLNAANSHFYLGGKAATYCPENIEKLGACPPGKETALLGDKYLDVAVPGGQSIYVDP QGALSFTTPHSGYTPPGSSTEGFAYKPGKNGTLGSWTYKNGFMACPTTNSTIVPGNPK WQVFAASNNATVPTGNVRDCLGFSAVAAPYTGPAAAWEYI AOR_1_914184 MPPPPFRDAPSSAKSSQRYTPLHESIPEELNDKQYSSDADSLPL SDPSDGEDDSEIRLRRVDRNGTRSNQATAYVPVVRKSGDVEAYFDSIAEAELELLSAS RQYDGVDDDDDDSDGYGVGVKRGQRQGLLKRTHDGRTGWRTVYYSKYWWRALIGVVVV LVLLVLVFLGLARSKQVGDELDYSMIPAESWFPSPRGGALKEWAADYQKAALLVGNMT LIEKVNITTGTGWQMGLCVGNTGPAESVHFPSLCLQDGPMGIRYADHISAFPPGLTTG ATWNRDLIRERGIAMGLEARLKGVNVLLGPSMGPLGMMPAGGRNWEAFGSDPVLQGVA AAETIKGIQSNGVMATAKHFVMNEQEHFRQPFEWGIPTALSSNVGDRALHEVFAWPFA ESIRADVASVMCAYQMVNNSHACENSKLLNGILKDELGFQGFVQSDWLAQRSGINSAL GGLDMSMPGDGLHWADGKSLWGSELTRAVLNTSIPMERLNDMVTRIVAAWYHLGQDQW ERPPPDGEGGPNFSSWTDDQTGWWQQASVEAGDQDGGWGIVNKYVDAGAGHGDIARKV AAEGIVLVKNNNNTLPLSRSPPSPYRIGIYGDDAGPALGPNACPDRGCSQGTLASGWG SGTVEFPFLVSPLEALQGAWETEVEITPYLQNMVMPVSVQDKDLCLVFANANSGEGYI HAGGIHGDRNDLFLQKGGDTLIQAVANNCAGPTVVVVHAVGPVVVESWIDLPGVDAVL FAHLPGQESGNALVDVLFGDVDASGRLPYTVGKSLEDYGPGAQVLYENNAPVPQVDFL DALYIDYRYFDKFNITPRYEFGFGLSYTSFELSKLYIKSMQWKSRLPKSRPQDQVSPP EYDTRPPVNENVLFPEGFHALSKYVYSYLPSLDGTAAANYTEYPDGYDLPRQPSEAGG DLGGNPSLYEEMAKVQVQVANTGARAGQTVVQAYVSFPSDVVEEGDLVEVPVDEKGET VTFVPSKEQVEFPDRVLRNFTKIALEPGEKKTVEMTLSRKDLSYWSARQQNWVMPDGD FQIWVGQSSRDLPLHGKY AOR_1_916184 MEARRTKWSRRSHRKSRNGCGNCKRRKIKCDEVHPACGQCTSHD INCDFTVQQIAPSETASTGSTGTPVSCSTLVARAAPSPYSSITFISSSKTDFKLPKRR YQRRPATARETANADLALAEVLPTPTNSQLQLNTTDLELLHHYLSVTVIALADDDEGL HLLQVALPQVGFRFQYILHLLLAFASYHMARSSSMSASHGRYLEGDCHYNTALSQVSS LIAELNETKGPRDGEYLAFSDSDRAEWLTLLRGIRSITEVSRDVFYIDPVSSPGSETR ERLLQDEPQLRHENTWPEWKGRLKECEQLIETEYTAGGGIQHAVYVHVLTCLTNAFHH VYGKIDNSRGERCAKTFQWLYQLPDEFVFDLQQRKWPALLLLSHFLVLLQQLNSYWFV KGWPEHVMGEIYRSFNEQQRVWLQWPANQIGWYPPSAVDDA AOR_1_918184 MLGLISLVLAASRLTTALDCKCSPSDPCWPADSEWASLNETISG HLIRTVPLGAVCYRTESVYNPEACTTVLANWSTSAFHSADPASIDDPMWANNSCNPIY SNGTSLTGNIHAGEKGCSIGNYPPFVVNATEPGHVQAALKFARRWNLRLNIKNTGHGS ERSTGHGSLSIWTHNLKQIQFHENFQPQSCKTGTRVNETQMATTLGAGVQDGELFKAM SKHKAIAVGGTNADVGVVGWATGGGHGLATGKYGMGADNIIEAVVVTPTGEVVAANAC QNRDLFWAIRGGGGGTFGVILSVTLKAYPMPSVTLVNLSMSAKNGTHPSSWYRFVARA HAHLDLLQEAGVHGYYTMGGGSLTLQGALLIYDAQNGTVENLLAPMRRFFDASNATAT SSLTPLVTLPWYELVEMMPTTESVGTKQSVRASRFIPRKVVKDDIELFAETLEAITSH PGPLNDGVSPPSISGTMTGSRTPVDNALNPAWRDSVVHIITSQSWDESLPPAVADRVV HNMTYQKGYALRQLAPDTGAYFNEANANESTWQWSFFGDHYPRLQSIKQKYDPEGLLW CRQCVGSERWTEQEDGKLCRAF AOR_1_920184 MSQIDVQLKDVAILGAIPNDARKILTKEACAFLAILHRTFNPTR KALLQRRIDRQAELDKGHLLDFLPETKHIRENDAWKGAPPAPGLVDRRVEITGPTDRK MVVNALNADVWTYMADFEDSSAPTWENMINGQVNLYDAIRRQIDFKQGNKEYKLRTDR TLPTLIARARGWHLDEKHFTVNGEPISGSLFDFGLYFFHNAKELVARGFGPYFYLPKM ESHLEARLWNDVFNLAQDYIGMPRGTIRGTVLIETISAAFEMDEIIYELREHSSGLNC GRWDYIFSFIKKFRKHPNFVLPDRSDVTMTVPFMDAYVRLLIKTCHRRGVHAMGGMAA QIPIKDNQAANDKAMESVRADKLREVRAGHDGTWVAHPALAAIASEVFNKHMPTPNQL FIRREDTHVTANDLLNTNVPGKITEEGIRKNLNIGLSYMEGWLRGVGCIPINYLMEDA ATAEVSRSQLWQWTYHGVTTAEGKKVDKAYALRLLKEQADSLAEKGPKGNKYQLAARY FAGQVTGEDYADFLTSLLYNEISSAGQVSKL AOR_1_922184 MGSVSSPEVTLDNVAEILQRDTRVKLAGVDVDGMLRGKLVSKKK FLSIVSEGFGFCSVIFGWDMHDQTYFKELAISNKENGYRDIVAIPDLSSFRRIPWENN VPFFLVSFHDPDTREPVCACPRGLVRTALGKAEAAGYRAMAGAEYEFYQFRVPESHPS PERSASSTATFLRENPVEALPSLTEGMFGYSLTRPIHNQDYYYGIFDACEQFKCDIEG WHTESGPGVFEAALQFGEAKEMADKAGLFKYVVKSIGTKHGITPTFMAKPRQGLPGNS GHMHISLVTSDGKNAFLRDTPDPSPPYPDVAYLSDLGRYFLAGVLTGLPDIMPMFAPT VNSYKRLVENFWAPVTVSWGLEHRAASIRLITPPTGSPKATRLEVRVPGADANPHYVL AAIVALGWRGVEKKLEIPVPPLSKGEEMGGGSDQGVRLAKSLKEAVAAFTRKGSVARE VFGDAFVDHFGGTREHEVRLWEEAVTDWEVRRYIETV AOR_1_924184 MATPTGRLQDKNAIITGAAGGIGLETSILFAREGANVLMADISA PALEKALAKVKEVVPNAPRVETFKCDVSKESEVQAMVESQDSWGGTDVIFNNAGIMHA DDADAVDTPEKIWDLTQNINVKGVWFGCKHAVLSLRRHKKTKGSIINTASVVALVGSA TPQLAYTASKGAVLALTRELAIVHAREGFRFNALCPAPLNTPLLQDWLGDDKPKRFRR EVHFPTGRFGEAIEQAHAVVFLASDESSFVNGHDFVVDGGMSKAYVTPEGPATPAPKN LGH AOR_1_926184 MSAYGGLGPMTNAVLWVEVVVFAVFAGLRLYTRKHLLNAVGLDD YLVVLALILHILYTIFVSIATHYGLGRLFADVGDPVIYSKATMYEVFSQVAGIMVIGC FPVQKTWNPTLEGTCWLDFSKVGYTVGSWFVAADFSFAILPWFIIWDLNMKQKEKITV ACGLSLGIFAGVCGIVRTVALSGLNASEYIYDTVPMLIWSATESCVTIMCSSIPVLRP LYVRVRYGTKDEGSSGNTSYKLPLYGSGRKYGRLSKSGLDPSAVETIGGSLRAPAGDY HKSNISNEHILPGAAGIERTDEISVSYEAVEKKV AOR_1_928184 MSSGVSFKTSNGVTIPGVGFGTFANEGSKGETYKAVRHALKVGY RHLECAWFYANEDEVGQAIQDFLKENPSVKREDLFVTTKVWNHLHRYDDVLWSLEDSL KNLQLSYVDLFLVHWPIAAEKDEKHQPKLGPDGKYIILEDLTKNPEETWRAMEKIYED GKARAIGVSNWRIEGLEYLNKIAKIKPQVNQIEIHPFLPNTELVNYCQKNGIVVEAYS PLGSQNQVPTTGEKVSENPTLNEIAKQKGCTLAQILIAWGLKRGYVVLPKSSNPARIE SNFQSVELSDEEFKAINDVAEGRHFRFVNMKDTFGYDNWPEEA AOR_1_1346184 MHIFVYPVVITMRSSNVYEERSLGIYAGETTPKSNHQQEKPPKT VPSSRLYFVKQQLHVQLAYDIWWLALAVIIISIVKAGSFTRDPVVYSVFNIIFETISA YGYVGITTGLPDQAYSFSGGWYSLSKVVLRAAMLRGRHRSLPVAIDKAIVLPGDYLER VEEEDAYIRMERSSEQGHGNV AOR_1_930184 MYSDEDGITSKESQSLGIAVADGNYPREYLPFDFCLTQLHWSTW SIILWGLLSLHGLYLQLKRDPVEIYNAGLRGSALSAVIVLAETFMTWPSKETRAVSGP PRALRLYLATFLIVIQILFPRRPAVFTAEGKAVDLENSKSAFQKYSMQWCTTALDIAG NHVPLDQLPALNFRSRSKSQPLITLDSSKTSLWNHILAERYFEIAKHWALMLARSIFT FGPSYCVMKLLKSFENDASAKQDAWLWLIGIGLFSLCQTILNYHLLWIQWSEMAIPVR AQLIMALFQKALRVKDSKTSSKKDSQSKPDAVNLISSDTLSFSKFMAVNHYIPSSFIR FFFAVLFLIRLLGWQSTLAAMIATVMSVPVHMSVIKHERAARKKLAMTRDKKTKAITE AMHSLRQIKFSASETQWEERIDSFRLEELRHLRLCFNASNIRSVWSVASPFIIAATSV CTYAYIKGSMSPSTIFPMVELLPHLQGTLGFLPVVFHDYFSARSNARRMEDFLRRPEQ ERILKPSPSGCVSFWKASVAWPSYEIRSEANEVKKDTSSHEFALRDIDLEFPVGELSV IHGKTGSGKSLLLAAIIGEVDLLAGHIEAPSIADGQPVAFVSQTPWLLNATIKENILF GSPLDEERYKEVLKACALYPDLAALPDGDETQVGLRGVKLSGGQRARVAFGRALYSSA QTMVLDDIFSALDAHVSREIFNALTGKLAKGRTRILATHRLSLCLSEARYMVHVENNT IVYAGISDLNAPQLELAQPGVDIELVTPVNEKPDKDSGGRKRAKARTARADLKVYSSY FTAAGGLGFTLIYVLGLVTKQLLSALTTWALGRINTSRPKDTSDPLKETSWGSASQSN DLQRYLYLYLLGSLVTLGLEILYNLHVSSGSLRASNVLFREMTLRVFRMPLLWLDTTP IGEILRRFTVDVRNVDDHVLSTMSDFADTFMKLIIVVCVGLYTSKYTSLLTMALLYWC WQVSQRYIKARTTVKGADSEPTADILEHFTSCAAGVSTIRSFGATDKLADRMHYHVDQ LSTARRHFYMFNRWLGLQMSLVGILFTTGTGIVLLSSGSVIDASVVGFSLTFSMGFSQ AIFKAINTFGMLETYMNAAGGVVAYSELNTENQGGNEVPDDWPSQGAVEMKDLNVAYS PDLPLALKGASFTVQAGKRVGIVGRTGAGKSSLTLSLLRLIEPQTGSILIDGIDISTI RLNSLRSRIAFIPQDPVLFSGTVRSNLDYFQQIPDKDLEDALRRVKLLAEKSSGSDGL FTLDSPISTGGSNMSQGQRQLLCLARILVRNPKIIILDEATSAVDNRTDLWIQDTIRT QFKGTLIVVAHRLRTIASFDKVVVMKDGHVAEVGVPAELLETKGLFYDLVQKSDDREL LASIIIAGERKLV AOR_1_932184 MTCSRMRDEPPAYEETTGSSSTAIPGDNKTQLQDEDTRSKYGLI SFSGYDRVRLMRFPESIVALVSETLQRHWPKGIQKVKAYYESTEFKLRGNPFEHGDDD EKVALRNAILGLLDALAREGWGVHPAAGGLGRIGNYKSLGQKDSLIFKRQAPQQLSWM CISFDSDDLMHLINAPPELALSLVAVFGDRIKNCNQDLVTGAFEVKFQSKLWAKYSEE GAVLSRVAILDVLQCLEGQGFTLCSSLDIDYGNGGEVYKSSGETWFYYR AOR_1_934184 MPHSEPTTMATAVSKTPSTVPPTPEEISSLFNTIFTAETSQQSL DASYALTNLLIQSVGCLGFQKYDVLSQVKKAASDKKNGARRESAMLIIGALFERFPRE HPLSEVIFLLQDGGVLDIALDALADKGAVVRDAAQYAIDALFAGLKPESMANGLLPAL SAYLSRGTGKWQGFVGAYSLIEKMAVKAQMGNGTMEEEREKDILREAMGKTLKDLIPL VESGMHDLKAEVAKRACKAMNAVTTLLSNDDVAPRIPLLIKTMEQPSEKTLQDAIHAL SQTTFVAIVTSPVLALLTPLLERSLNAPTTPQETLRRTVVVVENLTKLVHDPSEARTF LPKLIPGVQAVKDRASLPEVRELATRALSVMEKAMSDSNVHAGSVTKTTPDEVLAVLD AQIQANGGLAVPEAHTLFELGKTYIAEMVREDVNCRMHDRIPICVAPYLRGLLPEDKH DAVASALQAHFIEEDHRKFGKPEPDDPNEVEIVNANFSLAYGGMLLLNHTNLRLLKGH RYGLCGRNGAGKSTLMRSIANEKLEGFPPQDVVRTCFVEHNQGEDADLSIIEYVSKDP KIAEAGQEHISQVLLEFGFTDGPEGRQSQRVGSLSGGWKMKLALARAMLMKADVLLLD EPTNHLDVANVKWLQEYLKKHTEITSLIVSHDSGFLDEVCTDIYHYENKKLVCYKGNL AAFVKQKPEAKSYYTLSASAVQFKFPPPGILSGIKSQTRAILRMTNCSYTYPGASKPS LTDASLTLTLSSRVAIIGGNGAGKSTFIKMLTGETIPQTGKVEKHPNLRIGYIKQHAL EHVEMHLEKTPSQYLQWRYANGDDREVYLKQTRILTEEDKAQLEKPVDLKDGRGPRRI EALMGRQKWKKSFQYEVKWIGLLPKHNTMISRETLLELGFFKLVQEFDDHEASREGLG FRNLEPPEISKHFEDVGLDPEIANHNQISGLSGGQKVKVVLAGAMWNNPHLLVLDEPT NFLDRDSLGGLAVAIRDFKGGVVMISHNEEFVGALCPEQLHVADGRIVARTNTGVSLD RFEDSAANSPQTGTAVNSTATSAAASAAASAAASAVNSGAEEQGELKFKARKKKKMTR AQLKERETRRRLRHLEWLNSPKGTPRPPDTDDEA AOR_1_936184 MAMPMRTTRHATKLAQSSRLLAQYTSRRSYATAEPDLKSALKAV IPAKRELFQQVKQQGDDVIGEVKVANVIGGMRGLKSMLWEGSVLDPDEGIRFHGKTIK DCQKELPKGTSGTEMLPEAMFWLLLTGQVPSTSQVRAFSRELAEKSHLPQHILDLIKS FPRNMHPMTQLSIAVAALNTESQFAKAYEKGLNKADYWEPTFDDSISLLAKIPRVAAL VFRPEEIDAVGTQALDVSQDWSYNFAELLGKGGQQNQDFHDLLRLYLALHGDHEGGNV SAHATHLVGSALSDPFLSYSAGLLGLAGPLHGLAAQEVLRWILSMQEKIGTKFTDEDV RTYLWDTLKSGRVVPGYGHGVLRKPDPRFEALMDFAATRPDVLANPVFQLVKKNSEIA PGVLTEHGKTKNPHPNVDAASGVLFYHYGFQQPLYYTVTFGVSRALGPLVQLIWDRAL GLPIERPKSINLLGLKK AOR_1_936184 MAMPMRTTRHATKLAQYTSRRSYATAEPDLKSALKAVIPAKREL FQQVKQQGDDVIGEVKVANVIGGMRGLKSMLWEGSVLDPDEGIRFHGKTIKDCQKELP KGTSGTEMLPEAMFWLLLTGQVPSTSQVRAFSRELAEKSHLPQHILDLIKSFPRNMHP MTQLSIAVAALNTESQFAKAYEKGLNKADYWEPTFDDSISLLAKIPRVAALVFRPEEI DAVGTQALDVSQDWSYNFAELLGKGGQQNQDFHDLLRLYLALHGDHEGGNVSAHATHL VGSALSDPFLSYSAGLLGLAGPLHGLAAQEVLRWILSMQEKIGTKFTDEDVRTYLWDT LKSGRVVPGYGHGVLRKPDPRFEALMDFAATRPDVLANPVFQLVKKNSEIAPGVLTEH GKTKNPHPNVDAASGVLFYHYGFQQPLYYTVTFGVSRALGPLVQLIWDRALGLPIERP KSINLLGLKK AOR_1_1348184 MSSQSSSSKKTPVKNTPPAETDSESETTVKEQLKQMKNMITQLV NNAKEKNQEIENLKVQLGEAERIRSEQQDHIAQLDAQVGASAPKDAIGKVKLPKAEPF DGTRSKLQAFLTQMNMHIHANRKNLIDEADKVIFISTHLRGAAWNWFEPYIREYYEVV PDNWSNTTRELFTDSGNLRKHLERTFGDVDAEAVAERKLKQLYQRGSASTYAAEFQQI ISRMDWNEKVYVSTFISGLKGHVKDEFARIDRPATLNEAIDFAVKVDNRYHERLMEKR DNEAWRKGSHRPKGQYKSNDQRERTGAKHNDPYGLKPMELDATEGQSQSRGISQKERE RRKREKLCYNCGKAGHMSKDCRQKRNSHQSNRKPQQMNATEDEAEPPRKARFAQLNAN AEADKPHDQARGAYCTTGIKSPIMDEIGEEIEAIQLNANMDLSARETERYRENEPNDD DWITLDWLTTHTNQTIWPRIDQDWSNLQEATEQWYGQLNEHEIDELADHANDETDRLG QVNSESQYEAIMEPIRRRVRYALTHRVDGPDNTDQYNEPVSSIDQSNRVLVEIDPLNE EYGTQWIGHDPNMEGLLEVPETPENPQDEDENAHRRVMALIDTLQEVVSPRRRAPASR PYQTQQIEVEPPRRQETLTNWTNNVIDEIIRNPRRFSRPLRMLLEQCPHWNHECWDSN IENWDEHCQQCNKHPIVCEICGADRFEYYGELELINPDRAKRGHEGTHHWLRECECCH YATEPMHNRYPWVVCFDDSCTHHRIWKQIARFWPQNDANRGTLAATRQGRHITTTIAI NGKPARAMIDSGATNNFMSPRYRENMKIEGRQKENVEPLLGLDGQKLGTGQVSVETVP VTMAVGQHVESIAFDVTPLGNKYDVVLGISWLEDHNPTIDWKQRTLHLNNCHCPKGPC MGYGTRTLTSKCTGSGRIERRDQNTAKGNSAKNMIMAATRYSEKEWLAELMGWAPTNE QERLEVMTLESGSEEEWHSSPETNQTSSESDSDSWTLLDSQELAANSAEQPSLPKEYQ GFRELFEQPRTNKLPEHGPHDHTIPIQEGKEVTCKRIYPMSERESQALKEYIKDRLEK KQIRLSKSPAGHGVLFVPKKGGELRLCIDYRPLNDITVKDRHPLPLITEIQDKIRGAK WFTKLDITDAYHRLRIAEGEEWKTAFRTKYGHYEYLVMPFGLTNAPASFQRFINEALG EILDVFVIAYLDDILIFSHSLEEHVQHVQTVLEKLQRAEVRLKLKKCEFHVQETEFLG HWISTEGIQAEEGKVKAIREWPEPTNLKELQQFIGLLNYYRKFIDRYAHRLAPLFDLL KKSKQWEWTNEHQSAFDKAKEAITTAPILAQHDPAKQTIIETDASDYAIGARMVQAGP DGKLRPIAFESRKLVQAELNYDIHDKELLAIVSAFKKWRVYLEGAQHQIIVKSDHKNL TYFTTTKELTRRQARWAETLSQYDFRIEHCKGSENGQADALSRRPDHEIKGKTIETAI LKQHEDGSIGYNKQTLAAVTVEIKDPTRHLIAKANKKDEALTQKLEASDDLFTKDEDG IVYYRNLIWVPQKLRNMIIQEHHDNPTRGHFGVEKTSEQIARNYYFPNMAKQVRKYID KCETCIRDKPARHKPYGLMQSPDAPSRPWEWITIDFVGPLPESEGWDMITVITDRLTK YIHLVPSKSTLDAVHLAHLLVNHVFVHHGMPKKITSDRDKLFTSKFWQSLTDLMGIDQ KLTTAYHPQGNGQTERTNQTIEQYLRHYVNYQQDDWANLLPTAQFAYNNAEHSTIGTT PFYANHGYHAKVAGEPRNKQPVAEEAIETVEGLKSLHNQLSLDIKFFNHRAAMYYNRH HEKGPTFKKGEKVFLLRRNIKTKRPSSKLDHQKIGPFRVEEQIGNVNYRLKLPDSMKK IHPVFHISLLEPAPENAKIAENIELDEEGTEYESMGNRTTW AOR_1_940184 MSEPSFSDAEWKRLVKAQNTIEEEEEVILAKLLRLRKQKRLLQK RAGDFIARDIKEIEELEELERQEQKEREAQEKLHHQETAVSCSGASASSGDVQLAAVS GLDPSLTQLMDDPSFWVNFDPSAGGTVEPAGGSPSNSR AOR_1_1350184 MVSTRSSSALPPVDPQGADGTATQSSATQGVSQREFPAAQNART SDVPMEPIVEEDESETPERGPTSERQSEEPMLSLSAIARVAEVLKTIMQTSSADNGFG GLKLAAPTPFEGKTIREVQNYIADMEDHFAASASAQRNDKDKVLYATSYLGEKAKQAW RLKRESLGEPSWEEMKDFLYTFVDPEADRHRNVAYRLINEKQNGRNMREWCARSLEVW QAFPNNSLTAQTWIQTLDQEVQREITRAARQPQTLGEAHDMAIQYWSMLHRERSLRDS EGSGRKRADGGNQGPAGFNRGRKRPRENETPATRPRGSRSSPGQPPFKARRWNDGTQA AHERKRDWQKKEGRCFRCNEMGHRIWECPKAADVLRHPKEPTAFPILGTVELQLQESP SISADIKPIGGWDHTVQTVKALLDSGADDNFISYRYLLQHGITVSKDHLQPPVRVRYA DGTPAPCYGKLIVKSQVTDWENQTRGYEMEYYITDLQDPDYQIVLGRKWMRHADPDVV FSAGTWRYRKEAPKISIENPKRFAKTMRHTLTMMVMYRPDTDDGPPELPPRYRKWAHV FSEKEAAELPDEKAAHPIPLVEGGVPPYGPLYNLSQHELQVLREYLDKMLERGWIRHS TSAAGAPVLFVRKPDGSLRLCVDYRGLNAVTVKNRYPLPRIDELMDRLVEAKYFTKLD LRDAYHRIRIQKGDEWKTAFRTRYGHFEYTVMPFGLCNAPATFQAYINEAMKGILDDY CVVYLDDILIYSQTEEEHVRHVGEVLSRLERANLYAKLSKCNFHQEEVTFLGFIVGRD GIRVDPERVRTVGEWPALESFHDIQVFLGFTGYFRRFVHQYARITKPLSDMLKGMQKG RKTGPFILTTEAREAFDELKAAFSGPPILRHFDPALRIKLITDASGFAIAGILLQPVD GVEEPRRRSDWQPVAFYSRKLTEIEGRYPVHDQELLAIVECFKVWRHYLEGAPHAIRV QSDHESLQYFYSKKTVKLDKRQARWAELLAAYDFQIEYRPGHLNPADAPSRRKDYEDV HVQRNVGLLPTLQRKLRAVPDDRNDVGAPSVAESEISIVSSRGPELLALRTMAREVAR EEVVCEATSHPLRDAILQAQQGDAFVGQIRGKLLPGEGKKESGHKSDVAETSGDLTGR WRVDGGLLYRGETIYVPPCSALRQEILRVHHDDPFAGHFGREKTLELIRRKFYWDGLR TDIENYVRDCPVCQKMKVPRKLPQGELASLPVPEGPWQDLTMDFVVGLPPSGRKGRVY DAILVVVDRYTKAARYLPTTGTVTAEELANMFLDEIVCKTGSPRSLVTDRGSLFTSAY WMQFCQGLRIKGRLSTAFHPQTDGQTERQNQTLEAYLRMYTNYQQSDWADWLPTAEFA YNNAKNASTGYSPFMAWQGMEPAVPGLEAIGPTTSNASVELRLKGLADIRTKLEENLK KATERQAEGYNKRHKATQLRVGQEVLLSTKNIKSWRPNKKLDLKYDGPFMITEAVGKQ AYRLRLPKAYGHIHPVFHVSLLKPYHRRAGVEAGEAQPIVIDDQEEWEVEEVLAHRVY YRKLQYLVKWKGWPSYENSWEPEENLKNAAEAVAAYRKASEVPEAPRRSRRRA AOR_1_1352184 MGKRKNAVRGVAKASQEAKRARLALQNPPPVPPLAPPPPPPAPL GPPLSEDTLPRPPYGDLDDLLPPAPGGDSPLPPWGSPSPPPRSPSPPPAPPSSPPVPC RRCAKSLFVGTSSGVEGPLVCVSRGAGRPCVRCARLKKPCLALPGPARAEVARLQALP PSQEAVKATDDLTKHIEEATNRLGKLNKVHPCLAALRDINRNLFRLVNVQRELGGMEP LPEGDLVDWESLW AOR_1_950184 MLFFTVFTFFLTLLSTVLSQPIDDILPRSLGAVKIVNSLPAPIY AWSVADKEGSMHTLSAGGGTYEEVWRVNPKDSRISIKVSTKPDLKANDVIQFDPHPKT APPSDAPPAMSTVLKFILGQKQLIAAF AOR_1_952184 MSANAGKFDVPAMILAPTVSEMQKHAGTRPRRKNYRHCKEYEQL RQDMEKAWRTYLPTVDLQEALRTVRESRQVAPVNTSQDTKLSTEVEHHTEQLPTNFTE HSNAEDYEFDESQDFDNSIDGMGFLTADPHKAGYTGPQSGIAALKFLQSLPLYLPLNS VNTPSSLDEDDFPAARSQSMATVSRYIDDYFALYHPAYPILHEGTFRARISGALAKPR DGSWPLLYNTVLAIGAFVGDSNATKCDIPFYKEARRHLTMDVLEKGSLSYVQAIVIMA NYLQKRNKPNAGFILIGIGFSMALAIGLHREFGMPSTSPFTMEIRRRVWWTLFVFVSG AQLTLGRPAVSLVGVNIRLPANLDDQDIAVDMEHLPECKPGPTITSALIAQVKLAKIA NAVQVELLTHHVPRYERAVKLEESIGNWWKDLPPYFNQEVNLEPHLELPKRVLLWRSF HLRIVLNRPFLFEAIATRSAISTSEGPINSCLSAADECVTSICGFLNHTDSRKRGLAC PTHTMAPSWKGHLQQAVDCLESLGSSHDMAFRARNVLQKLLEQGHAVDLTQNISPNPT AVTRPSRLWAPPPGAQNQAIYNPLSMGLEDSFTWYPQGMSNAELLDAAGGFMIQNFFE GSEGHSGTSPWMAM AOR_1_954184 MWSLRQTKLIRPPAGTDPSAPRQRDPWYVYKLAAFVSLGALLFG YDQGVMGVIVADQRFKDLMRPKNSWVTGAIVSMYDVGCFIGAMSTGSLSDRYGRERML AIASVVFVIGAVLQAASLHGPEAAVVELEQIQETMRLEKHTKASWTGMFKIPILRLTL LGCGIQGFQQVTGTNSILYYTPTLFEKGGITDPRTANLATGGVGIALFVSAWIPIFFF DRLGRKVWLQIGTVGMMLAMVGIAVLQWHAGESPGSKGNYAIVVFPYLFYIFFNISWG VAAWTYPSEIFPLSMRAKGNALATSANWTMCYIVAQASPPVADAIGWGLYVVYAAICV IAFIFVRFALVETRNRSLEDMNRLFGLQGYFAEGEAATAEEIFVAKNASAEHIEESTN FAGPS AOR_1_956184 MSLKGKIALVTGGARGIGAGIVRALSEQGAKVAFNYVSPSSRAA AGSLVESLQNDGHEAFGIQADLADIQAPATLVSAVLSAFQTTQIDILVNNAGAGDNRP LEEVTLESYTKLMDINVRAVVFMTQAVLPYIPRGGRIINLSSISARGGYPTQSVYAAT KAAVEGLTRVWATELGHKYGVTVNAVNPGPVDTDMYQAAGPVHLARMEEQNKKVPAGQ RCGTTQDIADIITFLAEERSRWVTGDVICANGGMLYT AOR_1_958184 MDILDRKPYNHAIHTSPANDLRLVKSDIPEIQPHECLVHVRATG ICGSDVHFWKHGHIGDMVVTGDNGLGHESAGVVLKVGKDVTRFKPGDRVAMECGVPCS KPTCYFCRTGQYNACPDVVFFSTPPHHGTLRRYHVHPEAWLHHIPDNISFEEGALLEP LTVALAGIDRSGLRLADPLVICGAGPIGLVTLLAANAAGAEPIVITDLDEGRLAKAKE IVPRVRPVKVTREDTPKALAGRIVETLGQEAKLVIECTGVESSIHAGIYSTRFGGSVF VIGVGKDFQTIPFMHLSAKEIDLRWQYRYHDIYPKAIGLVAAGIIDLKPLVSHRFALE DGIKAFETASNPASKAIKVQILDD AOR_1_960184 MAALPSVAAIVNGANLDPKNIPQVETLFEQFTNLSPTERDNERG SFMEKVNLSEDKLTADIGVGLRWLDVYKALDQYDLAVSNYEVVLANAEIVNANVKENS DLFWALKGAGGNFDSGKGIVTKIEMKTVPAKVWSEAKLYAPSVTSELLQALMKHHEAI ESDEKSSLLLTCNNQGSALVSFYCDAVDKLPAVFEPFEHIPATSQSLPRGVYSTYELL GVIEYLAVPGHVWFVFVWLVFPEENANYQSHNFRTMSSQPSLEVYETAERVRVEQSDL LSDVEGLRINNVIQPMSSIGIKQSRKVGGNPLGLEEVGQQWFLVMADWNNPADGDRVR QAMRHIVDAAEATAKANGTYIPCQYCNYASPDQDPLASYGAENMERLKDIASKYDPDG VFQMLQSGGWLLSRVGSTE AOR_1_1354184 MGWVYNLEKPDPHSDFGQVIAICLVFTIAAFLAIILRFYIRIHT KRSLWLDDYAALYSAIMVAGYCASSILQTRWGLGLHAEYFPAANVKEFSKVQYVGGPF YAMALLGFKVSLLASYLRIGGFVKVYRTIIIVAIVAVVVNQVIFTFLFIFPCRPIAMQ WDSDLSGKCIDTLKSYYALAGTSLGFDLLIIAPPSPGPLEPATRKKVALCCVFAIGFF VTIIQIIRIFTIARLKTYTDSKPVILWSIIEISLAVIIACVPTYGPYFRVFVSNISSY RRRPTGQDYPLTSGNRQTRASSRKFGLSSLGRDDARFDRTPVPRPYDNSTPHTTTISS SKVTGDNDSEELILGNANQGMFAAVGEQERGIQKVMEVTVERH AOR_1_962184 MFGQVTGLSLLTGLLATASVKADSNCRCFPGDACWPAQDVWAKF NESVDGRLVATVPLGTPCHDPNYNAAECQKLSEQWTDPALHYETSSSIMAPWFTNGTC DPFHPESKPCTIGNYVVYAVDVAKPEHVSTALKFAKEHNIRVVPRNTGHDYNGKSTGA GALAIWMHHIKDIEIKDYKDTHYQGKAIKMGAGVQGGEAYEAGYNAGLQVVGGECPTV GIAGGYTQGGGHSALSSRYGLGADQALEWEVIDGEGNFITATRDNEYSDLYWALSGGG GGSYGITWSLTAKAHTGTPVSGYNLSFTNDGMSQDTFYEAVSLWQTVLPSVVDAGAMA VWMFTNTSFMITPLTGPNIPVADLEALVKPFTDGLTKLGITYTTYSKQFDSYLEEFNA MQGAIEVATAQYGGWLIPRSVVENNNDGLTAAYRHITEDGATFIGVGLNVSKALVGDV DNAVLPAWRETLIHSTITTPWKWNARSEMLAEQDKMTNDYISALTKVAPNSGAYLNEA DFRQPNFQKYFYGDNYATLRKIKAKYDPDNLFYATTAVGSDEWTVREDGRLCSV AOR_1_1356184 MTKYRIGVDVGGTNTDAAIIDILGIDSPSRGVCASTKTPTTSDV TSGIYTAIQKVLEQSRVDRQDVVSVAIGTTHFVNAVVQADSSRLSKVAVVRLCGPFTR QVPPFTEFPSDLKGIMGGPVFYLDGGLEIDGREIAPLNVEQIKATVKNIQDAGIKMVA LLGVFSPLDHNGIHEETCKKLMLDLDPSLSIVCSHSIGRIGFLERENATILNASILAF ARKTVRAFCSAMAKLQLRFPLYLTQNDGTLTDAATAAEMPIKTFASGPTNSMTGAAFL ANLDRGGGSKQFDRQVLVVDIGGTTTDVCALLPSGFPRQASNFVEVGGVRTAFSMPEV LSVGLGGGSRVVVNEQTGVVSVGPESVGHYLTSRAMAFGGDVMTATDIVAASAKADIG DRKMVEQIPAQVVTKAREQIRKILERAIDGVKISDQPVVLLLVGGGSVIHMDALNGVT ECIMPPHHDSANAVGAAIAKVAGEIDVIELLEGRDEKQIFEAAKQRAIDAAVARGAER DGVKIATIEKIPLAYATNKATRLVIKAIGNLAPLDIDNQASESNTFQDNINDTLEGNE KEPSKSNKRRETPHFAAKPSLQIDLDTYQPDVRNNTWYISPVDLEFMAAGTGVLGTGG GGPSRIQYLHCLQFLQAPGYAGNMRVVKPASLRDSDVCVMELALAIESCAQVTGKKDF HAIVTDEIGGGNGLSAFPSSVMYDIPVVDGDLMGRAYPTLEHCTPYVYGLSSTPCAVA DGRGNVSIILHAESNRRAETMARSQCVDLGNRVALSTAPLTGAQTKKYIIPNTISQAW YIGRAVYRARRSKTDMIQAIFDTSPGKLLYTGKIVDVKRDVSRGYTMGYCLLAPLSSD ERESTIPSGCADGLVENRYLVIPFQNEFLYAAYTDPEAPEEIAKQEVICTVPDLISIL GQDGEAIGSQELRYGLKVNLIAMAAHPLWTTEEGLSIGGPKGFGLDMEWTKLGEYWEP RSVIEEFNRCE AOR_1_964184 MTQSPSKPDYDILIVGAGFSGIFLLYQLRKLGYRCKIYETAPDL GGVWYWNTYPGARVDTESFVYQLSIPEAWKDWDWKERFPGRDELQAYFHHLDKVLDIK KDVEFETSVVGAQFDRDSALWKVKTDNGKTTTSRFFLPCVGTVTKQYVPDFPGLQSFQ GEIYHSSAWPREGVDVQGKKLAVIGTGPSGVQIIQEWAKEADTLTVFQRTPNLALPMG QEIYTVEDQARIRSRYPQVFEDRAKTFSGNLEDFLPTKLFDVPTAERAALFEANWKKG GFSFILDNYSDILLDEKANRELYNFWAKKTRERIVDPRKKDLLAPLEPFHALGAKRSS LEQDYFEQFNRPNVDIVNLREVKIAEVKPTGIATSDGNFYPVDAIAIATGFDAVTGPI TNMGLINTDGTSLAEEWKDGVHNYLGMASHGYPNMFWIYGVHGPTGLSNGPVAIELQG QWVIDAIQKIDKSGLSYVEPTVEAEQKWKELVTQITDMTLLPAVDSWYMGANIPGKKR EHLNFPGGLALYEQQCRQALEGWEGFQTV AOR_1_1358184 GLRSNRGTFNTGYNVPAKEYKIVEVAGVISLSSTLMISTAAAVA GGATLAAYLNAKFHIAKDVSSLLNVRRSEREYARAVSQKQGNIWFFFKETADRYPDMV CIWTRERSYTYREILAFSNQYAHFFLSKGVKKGDLVAFYLQNRAEFIFAWLGLWSIGC APAAINYNLSGDALLHCLKISGAKLVLVDDDDECRARIDERKSTIEGDLGMELITVDP TFTTTVLSRFPTDVPENGRLALNMDGEYPAILLYTSGTTGMPKGCAFTMSRLYTTVLL RSNGMVTDKPGPDGDRWYSCMPLYHGTSAIAMINCVVSGRGIGLGKKFSVRQFWSDIR DSESTFFVYVGEAARYLLAAPPSPDDRNHRVRCMYGNGLRPDVWDKFRERFGVPEVGE FFNSTEGIFALFNYNTGPFTTGSVGHHGLIMRLLLNNVFIPVAIDPNTGDVLRDPKTG FVVRAPYETGGEIIVNVPNKEAFQGYWKNEDATSKKFLRDVFRKGDIYYRSGDALRRQ SDGRWYFLDRLGDTFRWKSENVATAEVAEVLGQYPGILEANVYGVTVPHHEGRAGCAA LQISPEAKANFNFQELAKFARSRLPKYAVPVFLRIVESSTHIHNHKQNKVPLRDEGVD PDRTGTKVTEGKEDQFYWLPPGEPSYKKFGRGDWEVLQSGKARL AOR_1_968184 MSNPEKFPLSDTDEKPDTLHREYTLGQDGVKSPQHQGDYSGARK KTDPVEIRLVRKLDTWIMPTLWLMYWLNYLDRNAIALARLNDLEEDLNLSSSEYQTCV SILFVGYLLGQVPSNMLITRVRPSWYMSGCMALWAVVSALTALAKDFKGLLLVRFFLG VTEAPYYPGALYMLSIFYTRKEIATRISILYTGNILATAFAGLIAAGIFHGMDNLAGI SGWQWLFILQGAVTFLIAVLSIFTLPDDPLVTRWLTEEERTLAHERIVADTVGARHQT STFSGLKEAARDPKIWLFAFMQHMHLAANGFKNFFPTAVETLGFSTTITLVLTCPPYL IAGLISVVWSWSSGRFNERTWHITFAKAIAIFGFILGCATLNTGARYFAMVVFAIGTY AVNSIVLGWVSSTCGQTKEKKASSLAIVNTIANASFVWTPDIRKRLC AOR_1_970184 MEDGEHFCNICGGPLSTNFIQHSSYDPCLTTAKDTEWLNFTRLV RANIHDGEDSDEEGAYYISMIGTYEYEPSRLFRTNLNGLENELEFAAWDDGFIVHNAC FMMLHQMGMIDKHMTTMGREIFLRMEMRMCISNGTVINWGDRYYGGAGPFQGHRWTAL DGYEWLVTNPDYEPDFSNLLEEARRDGPEEIDAKLWDEAKDYEYVDRFWRFPVELRHK ILHLLPSESLFDVLRASPAFCMASTALPNKYWYSVIEDRMPWMEHTTLIKILTETEDP IDYKSLAARLIEVTTTSDDRNGPWDEYLGLQNRRRIMMCIDRILDDIEDSVASQDNYE GVSTQILGLSSFRAVTFLCDASIAKKNTDIYIRPVIDNPPSVTNVKVYFGAHGGMVGI EFLLEGDKSGRLVGHQTNWLQIVSFRKDVVINGFVISLGPMLHILCARTTETLVGLSA QYTEDYISQFGIIVADLATGSSGFVWDMEGDLLATTRWTGNWPSPDNEPARLMPSLKQ LNIRRTQTAVQFLDFRSRVIDCITAFFPFGKGKAIGGLLFKFSDGTRQLVGKAKNDRS ISRFACNVITFNPGNEQRIAGVAIYCTDKRLGSPEPCGVNSIVFITEPRWKNMVLGYR RFQSPGGYELKGPYEYYDKERITVGMQFVIEQGVITQLGLMH AOR_1_1360184 MILITVPSFQPSTQPIGNKLLELSTTPNMSEKSILIVGAGIFGV STAYYLACSSSNPSRITLLDRGAPPSTSAASTDINKIIRADYSNPLYMTLGFEAIKAW KSLPFFNDAGVYHQSGWIAMDEKDSDLPHRIRKNFSESGRDDVIVDMTEEEVKSRWGG LLQRTDCSPFGSYYFNPSAGWADAGKALAIMANEAVKMGVKYEIGEARRVVCGEGGMH AIEIDTGAAFKADKILLATGAWTSQLMSSVEDELELSDEERVENQASAAGVCVAHFQL SEAEKEAYSQLPVFVYGGQGEVIPPTDSGILKFTFATSVKNIISTASGHEISVPVPDQ TMAPPGLQEDSMNLIRPRLPQVLDGGRKPDYYRLCWDSITPDQQPIITRHPNPSLANL YFAVGGSFHFYKFLPTIGKYVANVLNGVSNGLQKDQAWAWKPAHESKGGVHEKLVPTR VFRDFCMT AOR_1_974184 MDVSSPKSLRDSLRQALHRRELKSARRRLTVLPQTSVDFSSNDF LSLSTSPAYRARLLDHLQQAPPLHPFASGGSRLLDGNSTYAEELESFIADFHGAPSGL LFNSGFDANVGVLSCIPQPGDLIIHDELIHASAREGMRLSRAGKRVQFAHSSPESLEV VLQSELDADPKIQEGSRNVFIVVESVYSMDGDIAPIREFIQVVDRLLPRGNGYFIVDE AHATGTFGPRGAGIVQELGVEERIFIRVHTFGKALASHGAIVLCCPDTRDYLINYARS LIYTTALGFPFLASIRTAYELLSEGITEPFQHKLQQLISYLRTRLEDLGTWDPAVFEV DHFPRSPIFSLRSPLPRQLAATCQQEGYTVRAIMAPTVPAGKERVRVCLHTGNTMEEI DGFVDTIQYWLNRMTEKKAARL AOR_1_976184 MSPVGAVLWRSLRAHQVYGANTDVGKTIVSTVLCNAVQRLKSQD QAAFLKPVSTGPLDDADDRHIQRHAAGTLTKCLYQFDEPVSPHIAAQQKKFTIPRDDD IVASVHKTLSDWAGSGVNFALVETAGGVHSPGPNGNSQADLYRPLRLPIVLVADSRLG GISSSISAYESLLLRGYDVHSVLLFRDEYYKNHEYLLNYFQKKCIPLVPLPSPPPKPS VQDADSLARDEEAMTTYYGRVAQETDVASLLQQLSSKNAERIDRLESMASRAHDTIWY PFTQHHGMEAKDITPIDSAYDDYFQTFVGSDESQHENKLRATFDGSASWWTQGLGHGN PSLALSAAYAAGRYGHVMFPGNIHEPALSLAELLIESIGNPRLRKVFYTDNGSTGMEV AVKMGLRAACDRYGWDASQEQISILGLKGSYHGDTIGVMDCSEPSTFNKKVEWYRGRG YWFDFPQVKMSQGVWKVEIPENLRESLGSGLEFSSLDEIFDMEERLQSAAGKRYQDYI RNTIQDLVHQHRMKFGSLIMEPIILGAGGMLFCDPLFQRCLVEVVRGHPELFDTSAAK SAKDHPVATSWSGLPVIFDEVFTGLYRLGRKSSASFLQVDSDVSVNAKLLTGGLLPLC TTMASNEIFQAFSSPEKSDALLHGHSYTAHAVGCQVAVDSLQTMMQMENSGSWDGYRQ DWKPTRSDSPATLSARDSPDVWSVWSHGLVSDLSHAPSVDGVFAIGTVLSISLKDVQG GGYTSTAARGLQQRLSTSDGEFNVHSRVLGNVLYLMSSVTSQPEALRSIEGLLREALL AOR_1_978184 MSLLPRSVPRIILRRSYGTVQSSPSAASIASRIPVALQEATAAT VPRTTWTREEVQQIYETPLNQLTYAAAAVHRRFHDPSAIQMCTLMNIKTGGCSEDCSY CAQSSRHNTGLKATKMSPVDDVLKAARIAKANGSTRFCMGAAWRDMRGRKTSLKNVKQ MVSGVREMGMEVCVTLGMIDENQAKELKDAGLTAYNHNLDTSREFYPTIITTRSYDER LKTLSHVRDAGINVCSGGILGLGEADSDRIGLIHTVSSLPSHPESFPVNALVPIPGTP LGERKMISFDKLLRTIATARIVLPATIVRLAAGRISLSEEQQVQCFMAGANAVFTGEK MLTTDCNGWDEDREMFEKWGFYPMKSFEKDADASVSKESAPLENVSAAPAAASS AOR_1_980184 MTNEKKQVDTAQPLSQPAHALSYNAVLQELKVNSEEGLTTADAK NRLSQYGPNQLEGGEGVSLVKIIIRQIANAMMLVLIIAMAVSFGIQSWIEGGVISAVI ALNIVVGVYQDYAAEKTMDSLRSLSSPTGVATRDGKTSTIPATEIVPGDMIELKVGDT VPADLRLVDAMNFETDEALLTGESLPVQKEVDSVFDPDTGPGDRLNIAYSSSTVTRGR ARGVVVGTGMRTEIGAIAAALRAGDSKRRPVKRGPQGETKKRWYVEAWTLTCTDAVGR FLGINVGTPLQRKLSKLALLLFAIAVVFAIVVMGANEMRNDKEVIIYAVATGLAMIPA CLVVVLTITMAVGTKQMVQRNVIVRKLDSLEALGAVTNICSDKTGTLTQGRMVAKRAW LPSLGTYSVGSSNDPLNPQEGDLSLLPQPPVELQRDTQGEPRDPQDLLKENQVLEDYL NVAAMANLAHVHKSETDEWQARGEPTDIAIQVFASRFNWGRDRWTKGEKPVWTQKAEY PFDSTVKKMSVIFAQGDREMIFTKGAVERVVDSCTSVTWTAGSSPVPLDGSMKEEILQ NMEALAKEGLRVLCLACRENKTPTNGEEVPPREEVEKDLTFCGLIGLYDPPRPETAGA IEECYRAGISVHMVTGDHPGTARAIAAQVGIIPANMDSVAKDVADAMVMTASQFDKLT EDEIDNLPTLPLVIARCAPHTKVRMIDALHRRGRYAAMTGDGVNDSPSLKRADVGIAM GQAGSDVAKDASELVLMDDNFASIINGIEEGRRIFDNIQKFVLHLLAENVGLALTLLI GLVFKDVNGQSVFPIAPVEILWIIMITSGLPDMGLGMEIAAPDIMNRPPQSKQGIFTW EVIVDTLVYGVWMAALCLASFSLVMYGWGDGNLGSGCNTGYNGNDHYNGNCDTVFRAR ATTFVCMTWFALFLAWEQIDMRRSFFRMQPDSKRYFTQWMFDVWRNKFLFTGIMIGFI TTFPILYIPGLNHVVFKHTGISWEWGVVFVEAILFFMGVETWKWCKRIFFRRQAYRHK DEENKTLPNDFSRYTTMSRSDTQTASDLKVEKTMV AOR_1_982184 MAGDPPGSEIAGVVVGAVLLFGAISLVPISICLSTLVVPSSSQD TLPSSPEPACILPHSRSTTALHSHDTLTPDADPPLLESDRMRYRYRDGDRSVLVLNQC NHAFHASCLASWFAYGQYKCPICQTVYSPTDPG AOR_1_1362184 MHVQEYQYRLIESVQTALEKYDSELKSINHQIWSNPELGYEEYK AHDHICTLFENLKSEGYEVRRSAYGLETALEVSYTHGTGGRVVAFNAEYDALPGIGHA CGHNLIATSSIAAFIATCEALKARYPDGPGYTVRLLGTPAEESGGGKVRLLENGAYKD VDACLMVHPMPMAPDDPELLSVATVLPGGFLANDKVTVTFTGKPAHAAAAPWEGVNAL DAVVAAYVNISLLRQQILPSQRIHGVISHGGDRPNVIPMSASVDYYIRSPSLKTLKPL TEKVIKCFEAAATATGCKVEFDWGISYADLKTNTPICENYVTAMRAMGHHTIFDNSGK KGVLGGASTDMGNVTYAVPGFHGMFTIPAEGVNHTPQFTNGAGSPEGYKRSLACAAGM AVVACQILVDDKVAEQVKKDFERDDLSIW AOR_1_986184 MPPRKQWIDKKNATTYQLFHRSQNDPLIHDASADDRVLAPVSGP AAGSFTLEARGKKLSDLASEFGGESVRKNEGEAANYGIFYDDSKYDYMQHLRELNTGG GDSYFVEAKSKDKGKAKGMKLEDALRQVTLDDARSEYGPGSVYGSDMRSTASSYVRQP TYQDQQNVPDAIAGFQPDMDPRLREVLEALEDEEYVDADDEEDVFGQLTTQAEEMDQG DWEDTLFDEEDDDGWESDATEKAPVQPSTSDYKPPQRDEFAQNKSQDAEPGELPAHDA PAPDMDPDDQGWMREFAKFKKEGKVKAAPAAPPSIVPSEQRSTLASTIFTVGGTPIRR KKRKGALTNPSAYSMTSSALARTEGHRLLDDRFDRVEALYALDEGDEYDDSMSMVSGM TGMTGMTGFSTASSQAPSLIDANGAAVAPRHDFNNVMDDFLAGWDGNTSAQAKRKGAK AKRGKNGNEAIGIKMLDEIRQGLGPAKMPGRASGRA AOR_1_988184 MVLAKSKNSVGLGNSLMKDRFGKGKASNMKKASHNQAVARKDMN GETYITNAKEDAAWVKMRSITEQAALDEFLSTAELAGTDFTAEKMNNVKIIHADQKNP YLLSASEEKSAVKKHQKNRGRLTVPRRPKWDSTTTRQQLDVMERESFLSWRRGLAELQ ENHDLLMTPFERNLEVWRQLWRVIERSDLVVQIVDARNPLHFRSEDLESYVKEIDPKK ENLLLVNKADMLTEKQREAWADYFDRNNISFRFFSAQLAKEKIDAQLAEQGDSEDEEV AEKLAETTIEEQSTEAPQEEHDGGLKLPGSSRSRRTEILDVDELEELFLSNTPDTLPE NDDPENPRKQKTVIGLVGYPNVGKSSTINALLGAKKVSVSATPGKTKHFQTLYLSPEI MLCDCPGLVFPNFATTKADLVVNGVLPIDQQREFTGPATIIAQRIPKHFLENVYGVTI HTRPIEEGGTGIPTGSELLRAYARARGFSTQGLGQPDESRAARYVLKDYVNGKLLFCH PPPVPEGQTPIDPNEFNVELYDIAHLPARRQEQLLKAMQAEQLAEDIDSDILSMSRQP VQGSRSRNLDTGFFGDASKGSAGRLTLPFNAQYTEQGQQMRQQLTGRKERLMVALERG IDVTEVRGGSSKKHFKGNKKQAKKKRAVTADDDY AOR_1_990184 MSASTRIPPIAQPFVSERAKKTLDQVEQFVEKECIPAEKVFQAQ LGEGDQRWATYPAVMESLKTKARQQGLWNMFLPKNHFSQGAGFSNLEYGLMAEYLGKS KLASEATNNAAPDTGNMEVLAKYGNEAQKQQWLAPLLDGKIRSAFLMTEPEVASSDAT NIQLNIRREGNQYVLNGSKWWSSGAGDPRCSIYLVMGKSDPTNKDPYRQQSVILVPAD TPGITVHRMLSVYGYDDAPHGHGHISFKDVRVPVSNIVLGEGRGFEIIQGRLGPGRIH HAMRTIGAAEKAIEWLIARINDERKQTFGKSLSSHGVILEWLAKSRIEVDAARLIVLN AAIKIDQGDAKSALKEIAQAKVLVPQTALTVIDRAVQAYGAAGVCQDTPLANLWAMIR TLRIADGPDEVHLQQLGKRENRARKDAVIEKLNWQQAEAERLLAASGLKLKSHL AOR_1_992184 MESPSHPLADRSTNTHLVNTEKANELKAAPAKIESMEYHRQVLQ GKLESGDKQQASYVSPSDDIMSPCSKKLSDLKGKRFKNAGKPQSLFAKLGKKNFEQSA ANHSANSEAEMQK AOR_1_994184 MVIPAWAAAAWTSLTTALILPGIPGAAAENKQALCLARHWSEVE AEFIQWPICVESRWERTAPRITQDTTRSPDQTVSVTVSEGAPSTTAIPAPGGQPDHEL DTDSPLDNSNFLSFEDWKKQNLAKVGQSAENVRGNRHAAGKEDRRRPTGINNALDSLG EDTEIDLDFGGFGAEASDAAKPTSWGSSIPTAGITGTAAGASAGDMEAAVSADLRKGA SRGKDAGTTCKERFNYASFDCAATVLKTNPECKGSSSVLVENKDSYMLNECRAKNKFL ILELCDDILVDTVVLANYEFFSSIFHTFRVSVADRYPAKTDQWRELGVYEARNTREIQ AFAVENPLIWARYVKIEFLTHYGNEFYCPLSLVRIHGTTMLEEYKHDGETNRGDEEAA AEALEPSPHPVDVEVKDVAQQPLTTVALPDEPTNGPTATIEAQGSCSHHGMEVVRLLQ KGVPPPVDTCDISTAPTGAENEAASQSSESRPKANEETTPSGEASAPVSQVDPSDKGS VGGQKVTGPTGASPDSASSTTLGTETVRQDAAHESEIKSVSSPKEESSIPSESVRPSG TQPPSSNPTTQESFFKSVNKRLQMLESNSTLSLLYIEEQSRILRDAFSKVEKRQLAKT STFLENLNVTVLNELRQFREQYDQVWKSVALEFEHQRIQYHQEIHSISAQLGVLADEL VFQKRVSVIQSIMILFCFALVLFSRVPLGTYIDIPRVQNMMNRSYSLRSSSPIFFGSP SASPSSTRPASSYRATGRHRRNMSEDSQEEPLSPTIAYSPPTPTSDPSSPDEADKRPA PSLATVDMPHLAPPHFRSHSSPPVLNPADEESQGEESPVSYESRGSSYYDSPGSTESS EPILASDGSMRQEG AOR_1_996184 MSYLPNSFVEGQLLDGRFRTVAPLNHGSFGMVFLAYDMKTGQDV AIKCMVKGAMPDDRFDELFCHRRLGYHPNIVNLIHSFETETHMYLVLEYCANGDLYEA IRVNRGPLETEHVRDFMLQLVSAVEFMHAKGLYHRDIKPENIFLMQDGCMKLGDLGLA TGEAWCYEACVGSDRYMAPEQYDPATTGYSPAKADIWAVGICLLNVLFARNPFATPTE SDILFADYVRDRQSLFDIFPNMSQDTFEILRFALAIDPSKRSLSGIRDAILRTVTFTI DDEGLDDFCTDDREMVPASANREPLRTPSIQSPFVNQGDSFPWAKALQCTPPQDIRKL SAIPDDTYDEDLFPESETAGTSWFSVHQGTPSMASVMESALGDSYRSTAFTKIEPRYP PLSDPVPITGSLPSQPPKPLPSLSMVFGKKKEEQISKSWSDLWDEDEELESEETTLQQ RREQNSRSWSHDSNTPELAGPSNVLQDVTTSMLNIQLQDEAVPAAEARAIPGKPVHNE KTTSKSAPTSPQNTSKKSNLDKWAALGDRRRNYKPAEVSLKQKKFLNNMAWRKDWGLG SSGFDYGSWAKKEPASPERRRRPFLEKGWRRDAVESKPAYIKPANGYDGSIDEDLDLV GGWHDLHL AOR_1_998184 MPHSESSPRIHVLGLGSIGTFTAHGLSEIPSKPSVTLLLHRESL LGGYQENGNQILLTTREGSQIGHSGYDLEVYRERGWYPVPSSPSSEALTSNISHLIIS VKATQTVAALKPLKHRLDARSTILFLQNGSGMIDEVNETLFPNPQTRPNYIIGVISHG VTLNRPLNVTHTGFAAMSFGLVPRSQEAPHSQESSCSYLLDHLPLSPRLNATSYPYTE VLQIQLEKLAVNAFCNPLCALNDAKNGFLFTIPDTRREILTEISNVVLALPELRGVAG VKERFAVDRLEATVNAILIKTAETTCSMVWDLRAGRETEVRFINGYWVSYRNIVVGPN TAITMGIVVTLVYENYA AOR_1_1000184 MSHGDLPGQREDINSLTASDTGDDVNLALDPSLFDFSFPYIPPS SELCPVNSLETDQQLGQHSAPQPNFLDYGLGRENQLVSPEDVFIDRSAWLPTGSDLHQ LDSLLPEVSNTMADGTSATEPDVSAQEPEPTKPGFSQGVSEQTNTPSSDRTGLPRRRS RYLIRQQHEQSGPIFIPNTNAMDPMERWRESPPEDEPASISAILDALRKTPTQRSTQR SSRPDTRNTGHNAFRHYRRAPSATSGESSGSSNASFGSALSHSPSDDPSSRISKGRAS KNTVRARGKPDKPRRFCCTFCCDRFRSRYDWARHEKSLHLNLEAWYCAPHGTTVFSRV TGRKHCAFCNALDPSVAHLDQHNHNGCHGDSDKRRSFRRKDHLVQHLRLVHNVDTLPL IDDWKISHSAVPSRCGFCEHSMDTWEQRVDHLAEHFRKNATMKDWKGDHGFPPSIAAQ VTNSLPPYLIAEESQSQIPFSATNTHVQDHFAQISSRAHYLIEEQKANPDKVAEDAQA EAVAKQNISMSELSSFTQVLTLHLSRYAQEKIKQGVMPTDDMFQQEARRVLYDSEDSW NQTIADNPEWLSAFRHLHCADRNNIETERGGVDRHLEDTPQTGMGMNQSDHL AOR_1_1002184 MLFSQDRDLDVPGTELLVDTQHDLDVAHDGSDIILLPHPTACEG DPLNWSRWKKYWHLLLISIYACVFSFGENNTGDAYTTIVEMTGSTMTIMNGGGALNYL LLGLVNIFWVPTAMKIGRRFCFLATLLLCIGSSLWMGAFHTAGEWFGSNILNGLGTSA YEAVIQLVVFDLFFDHQRGSMLGVYIFAQQLGSIIGLVAGGYISDGPGWRWAQWVVSI AEGVLIVAFFFTFEETLFPRFLFTSSQTLSTNKATTLAQSDAALEDEIATMKDKGPVI ADTVSVEEGTAMNTPAPSQFPKRTFREKLRLWVYYPQDHTSYWTYFKRPFFLLKFPNI VIAGVIFAFGCTSGIVTNNTISETLSAPPYNFTDGQTGLVYISALVGSVIGYFTSVFG DKIVIYLARRNDGIKEPEMRLWALVPCFFYAGLGYEIYGWGAETGSHWITIAVGIGSM IAQQVAATSTATAYAMECFPGVGGEIVVILAISSSFINFTISETTQPFLNAVGMGYLF LFYGICVVLSLVAGMAVYIWGKKWRRRCAPRYYQFLAERGGNI AOR_1_1004184 MAENDEKAIQTTDRDIQPNLTEDSLEDDNEPMNFRPWQQLPVFF AMGLGIFILGLDNTIVGTATPTLTNEFHSLTDIGWYGSAYRLTTCSTQFLFGKLYEQF RVKWVLVMAVAILEIGSIVSASASSSAAFIVGRAIAGCGSSGILNGVLIAISHTVPLR WRPICNSTVGGLECIAMIVAPVIGGALTTYVIWRWCFWLNLPVGGFTMIVIIFLFKNP ESQKVTDEPFFTKIKQLNIMSLLIFTGSVVCLLLALQWGGTTYSWSSGRVIAPLVVAA VSFAGFIAFEVLQKDAATIPRSVILNRTTGLCLVYAFCSSAAFNVIDYFLPIWFQAIK GATAAKSGQMLLPSIIGLSVAAISSGFIVSAIGYYTPLMLLGSTMMAIGFGFLTSFTP RTTDSAWIGWQVMFSIGIGLAFPQPWSATQTALDAKDIPVGMAAVGFSISIGAAISIS VSQNIFTNLLREGLSSVPGLDVGNVIEQGATGFLNNVPASEKERVIDIYNSAVTRTFW AGVAAACVGLVAALCMKWNSVKGAKKERTVEE AOR_1_1006184 MTQWIALLLVAICGYLALRLRSSSLSKIPAAHWSARFSPIWILW KRYTGKELHTLVDAHQKHGSIVLVGPQDLSVSCYQDGIRRVYDSGYPKPAPFYSMFNY YRQQNAFTSLDRSEHGLRRRRTAALYSKSALMQSQHLRDITRSITYDRLLPKLNSVAQ GNGRIDGLDLSYCICVDYLSSFIFGYSNGTNYLSQPKSAIDVWRFHYENLMCQESFFV QETPSLYKLLRYISIDLLPRKYTESADFLGRWMSDMASKADRATDRKRSTGLPLALED EPVVYDMAKEAVRKDSPHLSEGDQRKQVASEMFDHICKFTLITND AOR_1_1008184 MRSRETVTNSSKRPRAVELDSLPYLRAVIDECLRMRPTSTPLPR ITPSNRKVSVAGIDGIPPGTRINTFQWFVHRDPQKWDNAHDWNPDRWLTRGNTDNKNE REDVLWAFASGPRMCLGNNWTYYAMQHILATICSSFNFTALPREENQCWPGSPEDELP IRVCLRN AOR_1_1010184 MIPEFPNIVDIWLHDIPIRLPRINAISKFMLKEVLVSVESAANR ISQGHGETNRLAEDVKTATSQQLVVPLDTRPEEFSKILTRPSLRAEAIGLVLSMAGNA AICLLESDIVFSVSDMHVLDRKAFAKDMLFSQRRSHYHC AOR_1_1364184 MFAIDAHRDFKEPSDTPWFLREIQTRLFACMYQDDKVISNILGK LPRVPRHYCNRKLPLDISDESLLTPGLTPEGYSRQESSPSDWFRARYIFATLREEILS IRLGPMNACNEALIRRISTRIQKAWEGLPSRLCYDPNCTNFSMPYHYLARLLLYLEYL DLNLCTQQVLFDILGKDDDTELLKAAMMLMATTANSMRRFSRKFGASKDTATILWNYS LPSAIVLANALRKCAELGKDMSTPLSWADLYRQLNVLAADLETSSDPTDSNYSLYNER RHSFSKQLDHALNARLERPPLKTRPGASISSNLPMDHMDIFTDIGGDEFTDTLIDIGP MDVVFDDWDLLPHRPFNDPEGCRLGKPLGGIVDARQEELKPTLSESYF AOR_1_1014184 MSKRSYQESFSPGGSQPPEHIDNSHNGLLPINDLLSPTQDQPQS AGSSKKPRNFIATVACETCRLKKTRCDESRPKCGLCKSLGLECVYNERKSSKRDHSLS LIMSTLHRMETKLENLPVSICNDLQSRQRPIPRTVDSPSDTSTPGRSSQGINIRTSVT NPLAQGLTPDAIEHDDFEFDENQKVTNSNGLVSISFSQHGVILWPGARDILPKRLLEA HERLGKNYVIDIEAKRPQLPMYICPYPPQAGDDWLEALPLAMIKGLSDAFFATFNPFT PIMDKNFYFSFTIWAAIESGFGYTMESCLVLNILALGCHAVQAHQEGNYPLPGTRSNR FEPPDWMAVIHEEPLGLRFFNEARRRIGFLMCDNDLQSCQFYMLSSVYYSQILRPMDA WAMIHRAATCCLQMLTNHDVNFDEWEGDMKSRVYWNCLMNETILVQELHLPPSGLARL EEFVPIPKFITFETVGLVPPRSSSQEVDDSFFQYHFLAQVAHRIILTRIRHSLYFYLQ KTHTADSGTFPLPAINSELHHQLEQWRLNLPPAIQFQDTHATTPANPNNPTTTTPITS PSTPISPLPLDPNRPLSPATAVSEAMLRGRFKIAKFHIGRPYLYKALRIPASLSEDDL GQVRSGLQNAMDWPVVGGVFQNMKSCIPIKFAFCSQFFGQILLFYCISHSPDARLRST LPPDWERWSQEMLQFLEDCAPFSPAVAKDLELLRLL AOR_1_1016184 MALDASNGYVAPSNLTETPDSALFDVRRVQLQFPLAADFVAAQV ANNVLILALATNRILRIDLEAPEDIDDIDLPKKSSEIGVIRRMFLDPSASHLIITTTL GENYYLHTQSRQPKPLSRLKGVSIESIAWNPSLPTASTRDILLGATDGYIYEAYIEPS TEFYRREERYVTAVYKVPEASPVTGLWAELVQTQSEQRRVLIATHGKLTYFLGRTGRH GREGGGSIYTDLFQRETPLVHEAQKASNAAPSTLAISPSVADGNPAKEFAWLSSQGVY HGQLPYSSDKVNQPFESANMLPRSFFPATESARGGKRLIQNPITAMTLSQWHILTLVE GRVIAVNRMNEEIVYDQAVLEPGQSTLGLLADSTQNTYWLFTNQEIFEIAVEDEDRDI WKVFLQKQMFDEALHYARSSAQKDAVSTASGDFLASKGRFQEAAKVWGKSSKGFEEVC LTLINGGEHDALRKYLLGQLSTYKKSSSMQRIMVASWLVEVFMTKLNSLDDNIATSAE VAEGTSTEDIKGQLSTVRSEFQEFVTKYKSDLDKKTVYGIISSHGREEELLYFATAVS DHNYVLSYWIQREKWPEALNVLQRQSHPDVFYKYSSVLMTHAATGLVDILMRQTNLDP EKLIPALLNYNKMTNVPLSQNQAVRYLNFIIVNHPKPLPAVHNTLISIHASSLSSSEA GLLTYLQSQASTPPPYDADFALRLCIQHQRFQSCIHIYSAMGQYLQAVELALQHEDIE LAAIIADRPEGNDKLRKKLWLLVAEKKIQQPGTGIKDAIEFLRRCELLRIEDLIPFFP DFVVIDDFKDEICSALEDYSRHIDALRQEMDNSAHTARQIRSEIAALDMRYAIVEPGE KCWLCSLPVLSRQFFVFPCQHAFHSDCLGKEVLEGAGGKKKYIRDLQAQLNKSDISSS RREEIVKELDGLIAEACILCGDHALKHIDKPFITASDAVDDWAL AOR_1_1018184 MSGIHRFLTRRERNSRDGKKDESSNILSRPLFRGFFSSTQAPVD ADEQQKKIKLLERRIAQLGITNLKEEHFGYALQSSHAQGDVDRAFDLLLLLEDSIEGI IRGYTPSTKLLGAENRQGVTCYLDALLFAMFARLDCFEAILYKSFNDEPRRKLVILLR LWVNMLRSGKLITTDIVRALFLVTLRWEETLTECLKTKHLQDALAECGWEDAARLRQQ DTSEAFTFITGTLELPLLTLKMDIYHTGKEDASDDHKFVNERLLEVAIPEPHDGSTVT LEDCLESYFNNRIEVKRHLERRNTVGSTKSVDSLSKGSTTHVETVEISPSPSTSPTAL SPPRLDEVTPITSVTESSGSNTPKPRRNSIVQERFIPGPEGDGNVTSQRRGSYRKEVM MPAWQFFSLIPWYTDNTPTNDAQVAAHFSSKRPLLGMCLKRYFMSPNGKATRLNTFID IPTEIGLPHFIQDDNLDEEGPIYGNFKLSLQAMICHRGNSVDSGHYIAIVRGTSAGAP PTSSHSSETAFSDTPQYWMRFDDLAAERVTLVDIERALKHESPYLLFYQILPVNEDAA AVNLPDTAPSSEMSDDVQELDTSAIAQKLSTSGSDLPESCRAEGLRSNRPSFEITAPD DTEFLTLEPNHRKHSVAFSDAVESNASGGLQREELLFVLSAYLKKPEKHAGQPRSRDK VNSDGFSTEDDEFAVENMPPGEGAMSTSSESNEKSPTRGKESTKNKGKSKEKSREKIG RKLERECSIM AOR_1_1020184 MAASESSRYLARSLPRAFVPTARPQGFCLRRNVSDQASSKAAPA DLNELESASSLTSTTLSEATAKSFDPIARTKARKKQLPRSRYQFRSPKYDRGPLHPHQ PPPPSDPSSRLYVPGPFSLPRVEQTYQSTVASDILTLCYVHNPPGFKPPPKAPRLRSW DDSSPYHKNRPLRGPRGGDVLRLLRKPINFNNVPQLERITIHSYVKQAAQENSSWLHV AGMAVQAISNARVETFKSKTSVATWSIAPGRDTVAVKAELRGEDMLHFFGKLVDVVMP RIKDWEGVKGSSGDSSGNITFGLEPENVALFPEIEVNYDMYPPKMIPGCHITIHTSAK TDKDARLLLSAMGIPFYGKMVD AOR_1_1022184 MSTSQTPTTSYHSGPSQKAIFTTHQDGMSFTSPTESEFSEAQDG LEAVRSWDEKQVITWLHSIKCGQYESLFKANNFNGNNLIECDQKILQEMGIKKVGDRV RIFVAIKQLRNKSVANRKQKNIVGYCGSRYCVLGASTDPMQDQLAALESAYTPASSQS SRPSMGRQPTLNNRRFSHTADNAHGYKPPSRPGSPLRAQRYVASPMESARKEQGQGYF SHNSSGGSGATRNPSTPNEGQRSHLRQNSSIDGLTMGSLPPNSPVIRIIYTGGQTKVL NIKHCKTADEIILCVLKKLQLPEHQYRNYCFYVLDGLEPDPSNCRRLADHELMEICES NHKSERGRLILRKIHAGEPDTDELRRASQLAIDESQATHINALNSSNPRTQFKIQQLT GEPWHNIKQPISPMSARHQNSSEHDLQVLNTERHAVSKLRSFFGARPPSEMIIHEITS YFPSHHREDIEKTMRMSIRRSQRLSRAASRLSVVSNTSYASSLRDAPPIPSIADTWLN TGAQPTRASRPLSVSKFSLPQATYRDSIASSSLQPLQEESPIEPNRKSYVSFDSGSDD PSTSRQSLVDENASVAATDGGSLNERLSVLVAEDGEEEDDGLNDFLAGNNFAPKNWMK GSLIGEGSFGSVFLALHAITGELMAVKQVEIPSATKGTEFDKRKNSMVTALKHEIELL QGLHHKNIVQYLGTAADDQYLNIFLEYVPGGSIATMLKQYNTFQEPLIKNFVRQILAG LSYLHSRDIIHRDIKGANILVDNKGGIKISDFGISKRVEASTVLGSRASGTGGGHLHR PSLQGSVYWMAPEVVRQTAHTKKADIWSLGCLVVEMFIGAHPFPDCSQLQAIFAIGSN KARPPAPEHASKDAVAFLDMTFQVDYEQRPSADELSKCQFLATPIA AOR_1_1024184 MEDERTSPGKVRKKSTPANRKSLSCEYCSRSFARLEHLQRHLRT HTKEKPFSCDICSKSFARSDLLVRHERLVHPAEAAANREHRNNSNHDVPQTPSSIIQP THQESRMLELADAIPVQTQPVPQPPPEVQVQAPPIVETTHFNPSWGYDLNLLSHAASH VALEGQQESLESMRKPSQNVGPPQPLSQVPERAITDNYGVEPSFLDLTDLGDPVQDFT VFLESVGLSSDWDSGVFSSVEEPMLTANIPIDSKPPIRESARLGADMMSDPRGPADEP PSFSNFGSRLPSLQPEPQDVDDRLVFGDEGPRPAWDISNTDRQIFLSKLEEFAYILPK GFIPPSRHALSRFFAGYINGLNEHLPFLHVPTLSVAKCSPELTLALAAAGSHYRFENN RGIELFHASKAILLQRLQRRDSKQVQCPSWNFVSPPSGFHDSRGSTTPSNNANSPFQQ HHIPHPVESPSGYAPQDSDAHMEVIRTFLLLTVFASWERHPELLREILSLQSTLARLV REHGLTEPTPSADPNNWEEWVRREGNRRTKFIVYCFFNLHSIMYNIPPLILNAELKLN MPCSHDVWKANNATQWRRVMRSRHGPEVSFQEAFAKLFVKPNLSNSSTPISPLGNYIL IHALIQQIFFARQLCLSAPMMQGTSLRQEDLSTLDNSLSAWKALWKRTPESSIDPQNP AGPIAFTSTALLGLAYIRLHVDLGPCRRLITQDPVRIARALGESPPVARSPRLIMALL HSAHALSIPVRLGIDFVARTHSFFWSIQHSLCSLECAFLLSRWLLSIPVTQAEQRLSE HERKLLLWIKSMMDETDMAVDPPGAPDVDFLANPYKAKQLSIAIVRVWARTFKGNTSW AIVDLVGSSLEAYADLLETQL AOR_1_1026184 MSKRSRSISRSPSVGEPPETSSRPSSPAFSITSVERPKKHLSDT ANSGTEVMHCSLPPHRETLSFPSYDDYEVHYKQTHVNRCTACGKNFPTDRFLNLHIEE NHDPLIAAKKDRGEKTYGCFIEDCERKCSTPQKRRMHLIDKHMFPKTYNFYIVNDGID KQTSMLRPMNSHRRRISATPTSPQEGRLRHRQSSLSHANPVSGQDVAPQLQMGESEIA QLERSMSALRFVPASVTRGHARRSN AOR_1_1028184 MASAFQNTWQIALDIEGELLKSLAEKEPTFAEISHYLSELRAAC QNAILQDFDAARSIDVETRLWDAHLKINNRFRKLLSRFREQNDKKKKPVERRKLEKHY LEFIKTSQRFYRGYIQQLSSHFGGIPELENVARKFNFDNLSVEDSMVQPVGDLRKRIL QSCHATLIRLGDLSRYRETELVGKDRNWGPAIGYYDLATVIYPASGASHNQLAVIALA DGNHLRATYHLYRALAAQEPHPSAKGNLEIEFRKVRNLWAKRELIRPEDAGTDFPEHD ELENEVLNQLAVDLKERSLEGTLQKFCLVNISAEDFSRTRANEESVSNAGLFFRRINV KTFFTLLQILLAEVERFAVEESNNREGKNGPDKITAVARRVLPALRNYSSWLLTVSHL LVAHKEEKDTPLSVQIIEFWKIYANTLTLLASTFDVVLLPEIDYLLEEDEETLCFVPL NKEATSRRYLDTSGRQKPRMNDLGVERNHPNMEMLYRIREFVIDGLDLVVSNKIPIAL VDDGDKKTFIYKEEGLPSQFFASPSGHHHTISSASIEREDIQQTTQDPSYAADSKSVF GGSQSASMSASMHRIVEGVERLVESDCYENTPALPEHLVFPQNNQQPNPSHIFNPSTD SIFREESIPARQTPIAPPGLGHSMANAAALARVPSSQSYAPRPSLPGIPSIWNVGLSP EVGDATSPRTPPGLGQHPIQHSGHFMPGSISSPSHHLPQDSAVNDLMLRQSLMHQSQL QNPLNGSSSISSWIPSSNPTSHHRLSGLGWDSRGPLDAASSPPPLSAPSQTIPSGLAN ASWANDAFLANTLSSGAGYPSSGSGGSRKSATQYGAIGQTPPCGHGG AOR_1_1030184 MAATQGYPFLCLENPLLDIQVVGDAALLQKYGLKDNDAILAEDK HMGLYEELLQNDDAKLIAGGAAQNTARGAQYILPDNSVLYIGCVGRDKYADILKDTCT KAGVHTEYRVDDAQPTGKCGVIITGHNRSMCTHLAAANEYKLEHLKQPQIWSLVEKAQ VYYVGGYHLTVCVPAIQALGEEAAAKNKIFMLSLSAPFIPEFFKDQLDSVLPYTDYTF CNETEARAYSKSHQWDTDDVVEIAKKLAQLPKKNNSRPRVAIVTQGTLPTVAATVKPN GEVEVKEFSVVEIPKDSINDTNGAGDAFAGGFCAGVVQGKSLEESMDMGQWLASLSIQ ELGPSFPFPKKAYTPTNRS AOR_1_1032184 MTDPALGIAETIQTASINPEPSPSHDINPPTASSEKQPVAEDAP SEAGSISSDIVDPHRMIRPAPRRHHLPPMPDLRFEQSYLASIRGAETWGRVAWITVRD QVLLPLVQGTLWTLALSGWRFWNRNASLSGQTLGSRIRRWWYEVNNWKLPPLPSTKDR KLAAQAEDFLQFYKTQFSNAGAD AOR_1_1034184 MSNMSLSDSDLSSLSSAPPSDDESVPMAVDEPVGITKYFKKESE TPPPKRAPSPPHEYVLADNPDIAFVVMFRARFHDVFPRSAPHYGPQDIEKGVAESPPG EHIERLLCALLGLVLNRKKDVDRLHYTRPLEEAIQTHASQWPKAWQGKNPLHGGRTFT EMAPEERLQLLKSLILWSLSSSEAVQAKIKESYKQARHEDDLNQPLSVQPWGRDGLKR RYWLIEGLDDTHFRLYRESNPALKNVTWWSVAGTIPELKAVADKLDEEKSMHSKKLSE KIKNSVPRFEGSEEKRKRRDYRIARKAQFSRPEPGFSLYEGRTRGKKLKYTYSDDEDI FSDGLPSTRRSTRNNSGISSPAEPVGPRFTASGRQIRSRAGGMYGESLLSGQRDELAG AEESGRPQRTRSTRANGYTGNNVDDEMDEYDEGHSSGKEWQGGEEEEDNDFEGDDEEE LSGDESIVNGENPSLVVQLRYGKGKVPSSPNGPSDMLSEGNVGSKPEIATEPAPPEFI PSSDAAKPTVSTAGEDGPLGQKIELQNETNSQSEGGAEAVRVAMSTGSHSYHPAPALG SNEGVQSI AOR_1_1036184 MGRVIRNQRKGRGSIFTANTRLNKAPAQFRTLDYAERHGYTRGV VKEIIHDPGRGAPLAKVQFRHPYKFKHVTETFIANEGMYTGQFIYAGKNAALTVGNVL PLSSVPEGTVVTNVEEKAGDRGALGRTSGNYVTVIGHNPEDGKTRIKLPSGAKKVVKS TSRGMVGIVAGGGRTDKPLLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAAQGQKAGLIAARRTGLLRGTQKTKD AOR_1_1036184 MGRVIRNQRKGRGSIFTANTRLNKAPAQFRTLDYAERHGYTRGV VKEIIHDPGRGAPLAKVQFRHPYKFKHVTETFIANEGMYTGQFIYAGKNAALTVGNVL PLSSVPEGTVVTNVEEKAGDRGALGRTSGNYVTVIGHNPEDGKTRIKLPSGAKKVVKS TSRGMVGIVAGGGRTDKPLLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAAQGQKAGLIAARRTGLLRGTQKTKD AOR_1_1038184 MDQENLPLKLPRLPRCASPTLSATARWQAVVHRDATAVSFVYAV LTTKIYCRPSCPARLARRANVQFYDTPSQAEKAGFRPCKRCKPQTLLAVNPQAQMIQR ACKTIQAEIATGSKPTLRELANQACLTPSHFHRVFKKLVGVTPGQYAVAILKGDPRGP LDDCSRNLNITELKPWGTGSNNHKPFLSSGLEGAHRFNSGDTMHWNDFDTLIAAEAEI ESEFDAQFMEDFILLPMEDAAGVAEHHGKDIGDVLVQHKQTSRLDGAIHPAGVETVMP C AOR_1_1040184 MNVNRKFDRFKQWAGERMGGEIKTNLSDDFKAMETEMSVRNEGL DRLHKSMVAYVKAVSKRSEGDDKEKTLPIGHLGTSMVSHGEDYDAHSDYGRCLTKFGR TEERIARLQESYIAEANSSWLESLDRSLAQMKQYQNARRKLDSRRLAFDTSLSKMQKA KKEDFRAEEELRTQKAKYEEANDDVYRRMQDIKEGEVESIADLEAFLEAQLNYHEKCR EVLLQLKNDWPSRQSQTQSSSGRRPGRARASTAHSYQERYEPLHEELSNSAELRPIIR TSRSPSDVGDSREVYVPEPVPQRPFLGRTSTFESPAQLRQEQTYSSSPRPSRAPSENF ITGRNSVLARMAADPSEDASPRSGTSPDRLYQGRSDSPVSPFGGVTRRSSSTTLNGAA IQKKAPPPPPPSRAKKPPPPPPPMKKPILNAGEA AOR_1_1042184 MASLQSAPNMATGNMSLPPNLTQQHIQEVFQKYKQMQEQGVRHD DPEFLKAQHLLSAVQRQQFFQKQRQVAQQQLQAQQRQQMNGAGPDSAATNGLNRRTNS VSSGTGAIQDGTSTQAGSQQQALGQSASQKGAPVASGSFSAEQLATLRNQIVAFKWLS KNLSIPPRVQEQLFASKKQQTPAPSDSVTAAENILESVSQNKTEQPAITTETSPQSKD FYETFQSPYDSVPKTISFSDHASRAYRTRIPALMPPGIDLEQVREERELVLYNKINAR KAELAELPANIGVWDTSRSDTATGDDSLKLKALIEYKMLNLLPKQRMFRKQIQNEMFH FDNLGMTANRSNHRRMKKQSLREARITEKLEKQQRDARETREKRKQYDQLQAILNHGA ELQNAANQQRTRMQKLGRMMLQHHQHMEREEQKRVERTAKQRLQALKANDEETYLKLL GQAKDSRISHLLKQTDNFLKQLAASVREQQRSLAERYGEDDQFYDEEEEEEEDVGSGT DDETGGRRKIDYYAVAHRIKEAVLEQPTILVGGTLKEYQMKGLQWMISLYNNNLNGIL ADEMGLGKTIQTISLITYIIEKKKNNGPFLVIVPLSTLTNWNLEFEKWAPSVARVVYK GPPNARKQQQQQIRWGNFQVLLTTYEYIIKDRPILSKVKWNHMIVDEGHRMKNTQSKL SSTLSQYYTSRYRLILTGTPLQNNLPELWALLNFVLPNIFKSVKSFDEWFNTPFANTG GQDRMELSEEEQLLVIRRLHKVLRPFLLRRLKKDVEKDLPDKQERVIKCRFSALQAKL YKQLVTHNKMAVSDGKGGKTGMRGLSNMLMQLRKLCNHPFVFEPVEDQMNPGRGTNDL LWRTSGKFELLDRILPKFRATGHRVLMFFQMTQIMNIMEDFLRLRGMKYLRLDGSTKS DDRSDLLKLFNAENSEYFCFLLSTRAGGLGLNLQSADTVIIFDSDWNPHQDLQAQDRA HRIGQKNEVRILRLISSNSVEEKILERAQFKLDMDGKVIQAGKFDNKSTNEEREALLR TLLETAEAADQINEQEEMDDDDLNDIMARSDEELLVFQRLDKERPTRDPYGPGHPLPR LMCEEELPDIYVSEENPVTEEVEVEMAGRGARERKVTRYDDGLTEEQWLMAVDADDDT IEDAIARKEARVERRRVNKERRQRKVVGDSSPEPSRESSETPQPKKRGRRGPAPKRKA EELVEETPQPKRKRGRQAKPVETLSPEDRAILQRILNSTYQALMDMEQELPADSSDSE DGPVTRSIIEPFMKPPPKSQYPDYYMIIQSPIAMEKIRKKINRDEYQNLKDFRNDIHL LCQNARTYNEDGSILFQDANDIEAKCLSELKRETEGYPQFANYDDQGAPVGQDQSLAA TPSVETPSTVTTPGQPKLKLTFNSGNRDSTGAANGTPQTGITEE AOR_1_1044184 MHDRKTKASRSRTGCQTCKIRHVKCGEEKPECFQCKNSGRKCDG YKTSSQYQLPTKVVHRHSRPAWSMVSPDHRLILRPGTREERQYVDLFCSQTSRALSGF FSPQLWNFQLPQLSQSEPTIRHAIAALSAAHERAILNPSAENAGVREQFVLQQYNKSI QYLMGHLAVPKSQSVDLLLITCGLFVCLEIVRGNNKQALNHLAAGATILHKRGHLANT TSQSMDIDRELSHLFFRLNMQLSLFGRPLAPLTIGHKGALPPTEGKISFSTIEEARHC LDGLMNKALRFVRLSLQVSTPGHATRLQQRQKQQDIKEEFDAWTMALNKMMARRGNSK TLDKRGPLTLRLHHQVSLIWLRACFATDQMVFDNFRSDFETIVRLAEEVIRLGPDQEK PSPANGFSLESGITAPLWFTAVKCRDPIIRRKAIRILSDYRRREGMWDMGLFFKVAEL VLESEEAELSSLPIEKRIPEDRQRIYDPILPEEIVAKRSESYLTTGD AOR_1_1046184 MATFQDRAQHAIAQLDKELSKYPVLNNLERQTSVPKVYVILGLV GVYTFLVFFNIAGEFLVNLAGFIIPGYYSLNALFTAGKADDTQWLTYWVVYAFFAVVE SAVYAPYWFPFYYIFKFGLVLWMALPQTNGAQVVFHSFIQPVFGRFFAGGNTSANLRA QADAATKAQ AOR_1_1048184 MEPRKTPPEYFLEIFADTTTVRDVLKGVLNLIFFHRYFPSIRPT TFDVLDFTLPAINDEDLETLIESRISALVRQHSSSAASAHEGGGGVRGRIAVEFYEKK RRRSGIWFGGLAGKGEEEVCWEVWNLDVTIATPRTESERAKVRKAMENMLQKAALKIL AVVNREKDHIPPITTSDSNPFPYRIVLNPRSDGWQNRFGLY AOR_1_1050184 MIGATRRWFRRNRKGLAIGAGMIGAGYLAGQYVLSKISEARERM SSDRIARENLRRRFEQNQTDCTYTVLALLPTAAEDILDALPVEELTKELQRKRAESLP EDDRRSLSSFQSDGFVRTSQPGEPFVEGDGEARPKRNKTQLWNEVKITFYTLSLLTIF TRIQLNLLGRRNYLSSVISMATPPANESTIRLEDHDDDDLTQTLGNDFETNRRYLAFS WWLLHRGWKQLMNEVQTAVTEVFGPLNPREDISLARLSELFLEVRKRVEGYTEEERKH RKWLSYLLPPREEEDKVLEESGVLGVTELANSQTAATLRHLLDETADLIESPTFTRVL MLLNNECFQTLIHQCTADAFKSTSQTPRSVPQSFTSVATVVPGADSSEPKAKLANILA VLARQAHVIGNGTNPPNLYLTAMDQGVRELEAFAAVVYSSNFDFELIGSGTKMESPGE ETPGSDTVLVEKEDYDGYDRNQAQQPSTAGPGADSAFEKAWGKAMEEQPSTAT AOR_1_1052184 MGFDEQLTPQDDKIEGHVDSQSTDLPQLRNTTERKLMAKIDWHI MPCLCVMYLLAFLDRVNISNAAVLGLQEDLNIVDGTNYNTALTIFFVPYIIFEIPSNI LLKKLRPHVWLTGCMFLFGLVTICQGLVSNWGGLMTTRWFLGMFETGMFPGCFYLLGM WYKRSEAQKRFSFFFSSTTLAGAFGGLLASGLGKMDGTRGYRGWRWVFIIEGLITCVV SLAWFFIIPDFPEDVKWLTDEERQFIRAKLARDSGSAGHDAKIGWRDVLEVFKDYKIF IGGLMYFGQVVTAYGYAYFAPTIIKSYGYDAIKTQLYSIPPWAAAWGFSMLVAILSDR TRHRFAFTIGPMLIAMAGFGILLNVHGQARRNIQYGALFMVTCGCYSAMPVIVCWFAM NLAGHRRRSVGTAWQVGFGNIGGIISTYAFLKKDAPEYRPGYIISVSFLSFSAACCIG YFAAVWYDNRRRDQAIADGMAIPSDEEQELRGDMALNYRYSY AOR_1_1052184 MGFDEQLTPQDDKIEGHVDSQSTDLPQLRNTTERKLMAKIDWHI MPCLCVMYLLAFLDRVNISNAAVLGLQEDLNIVDGTNYNTALTIFFVPYIIFEIPSNI LLKKLRPHVWLTGCMFLFGLVTICQGLVSNWGGLMTTRWFLGMFETGMFPGCFYLLGM WYKRSEAQKRFSFFFSSTTLAGAFGGLLASGLGKMDGTRGYRGWRWVFIIEGLITCVV SLAWFFIIPDFPEDVKWLTDEERQFIRAKLARDSGSAGHDAKIGWRDVLEVFKDYKIF IGGLMYFGQVVTAYGYAYFAPTIIKSYGYDAIKTQLYSIPPWAAAWGFSMLVAILSDR TRHRFAFTIGPMLIAMAGFGILLNVHGQARRNIQYGALFMVTCGCYSAMPVIVCWFAM NLAGHRRRSVGTAWQVGFGNIGGIISTYAFLKKDAPEYRPGYIISVSFLSFSAACCIG YFAAVWYDNRRRDQAIADGMAIPSDEEQELRGDMALNYRYSY AOR_1_1366184 MPSRQPRRTQEARPAAALRLSFNHLLILAEVLEPSTKMRAKWRK KRVRRLKRKRRKMRARSK AOR_1_1056184 MPSDKVEKKRKRASNGHERPSKKPALEFQDLPPLAASVVNDDSE LAPVIITTPGVNVPQNLHLKPYLKDRADGSLSGRSTRNKGIVSSELLLQTSEHPKMDF VGREAEDDADSQLKHYIAVVDPEKKSWQFVEVRKVTLRGAVRRTKAAADEEEEVESED EEMKTMRAQRTELTNTFGTKQSRKAAQSMAENAQLSNAPAGAASAAESAILSSMPLDS ATDIATKTAAVQAQVQANKPLPQANLAASHPSDVYPIDVLVPGGLSTLQQLPGTNEWQ ETVNSGEAVATTSRYVSRRVEAVVNSTNATQLQVLRFIFLLLELARALRSGKDSKSSG PGSKRLPPRDELRRILSSPTGAKTDSAETLPDPVIDAIRRKFAPQGTHITKNDITFLH TTICALSLHIPPQPAKDGGSSSLGGNAPNELATDPSDLRDDLRLDNTVITQYFRELGC RVDKPRETEFAKWGIKGGKAEANARRVARLRVPVEFPKVSRGGKR AOR_1_1058184 MESLLQQSRAMCPFLKRTSPSSLRTLATATRPSTSSGGGTMSNL QVIARRCPVMSKALAVQSARMAGTKRFTSCAAGITGLGNKHCRAPTGKRTLHSTSGNG ANVSAEIYKNTQRDPAGFSKIKTPANATAAAATSGPRPEAPVAKPFNYNSFYNTELEK KHKDKSYRYFNNINRLAQEFPRAHTTSAEERVTVWCSNDYLGMGRNPEVLATMHKTLD TYGAGAGGTRNISGHNQHAVSLENTLAKLHGKEAALVFSSCFVANDATLATLGSKLPD CVILSDSLNHASMIQGIRHSGAKKMVFKHNDLVDLEAKLAALPLHVPKIIAFESVYSM CGSIAPIEKICDLADKYGAITFLDEVHAVGMYGPHGAGVAEHLDYDIYASQDTVNPRS TKGTVMDRIDIITGTLGKAYGCVGGYIAGSAAMVDTIRSLAPGFIFTTSLPPATMAGA DTAIQYQARHQGDRVLQQLHTRAVKAAFKELDIPVIPNPSHIIPLLVGDAEVAKKASD KLLEEHGIYVQAINYPTVPRGEERLRITPTPGHIKEHRDHLVQAVQTVWNELGIKRTS DWEAQGGFVGVGVDGAEAENQPIWNDVQLGLKENEAIEAAVEREFAEAPMRTATRPAA AAASSIPVGVAA AOR_1_1060184 MTGAPPYNPQSPTQQHRYPGYSPPNKSRPFYPNNDQYQQHPPQT PPAFPPQTTMSRSPHYSHASPLPATLPPLNGSAPPPHPPDPSSQFQAHSAAGTPQFPL PRPYSGPVLPGNGASPYGPSTPSHPHPTGRPEGHPQLSPKKDTESSYLGGPGVAGYPS SIMREPKPASPPKEAKPARAADPMSFASILSGPAEERSPPKRQSPPPETTPAPVTSTP REATQLSPPPPPHVPPSHQKVKEQEQVLPRLEKKPSSEKRRRNAEQDNKAGEPSNGIL SNGIPEPTKTTTQSWSFLSPRKVLSERESETINKLMVEIDNAEKSDVEAPGFEEEYEQ YKLQCKRRALHTLKEEGIKRKRRRNTFLVNLGKSLEKQASAGMDRFRIANEASVISEV QAKEIQDEKERKKDMQRKRRRENTVRLEMQKKLEAERKANKAQDSAEKAKFLREAERA QRKIKTTKRALEGVTAPEEIGEVTPLAPNLEGGTTSSFHIGRSSPSRRKSGRGGPVTR PKKSKEQKQAEKDAAEAAYAAMENDEPLPLAPKEDPRKESLKKEAKGSRSKESSPAPL SAFETKGYNQIYEQIWRDIARKDIPKVYRIKALSLSTRQENLRKTAQLASKQSRKWQE RTNKSMKDTQARAKRTMREMMSFWKRNEREERDLRRLAERQEIESAKKAEAEREANRQ RRKLNFLISQTELYSHFIGRKIKGAEGDSGDTAVEGSDETVQPGKDEEHAMEDAGAKV TNFEDLDFDAEDETALRQAAMANAQNAVKEAQDRARAFNDGQDHMAALDEGELNFQNP TSLGDIEISQPTMLTAKLKEYQLKGLNWLVNLYEQGINGILADEMGLGKTIQSISVMA YLAEVHNIWGPFLVIAPASTLHNWQQEITKFVPDIKVLPYWGSAKDRKILRKFWDRKH ITYTKESEFHVLVTSYQLVVLDAQYFQKVKWQYMILDEAQAIKSSQSSRWKNLLGFSC RNRLLLTGTPIQNNMQELWALLHFIMPTLFDSHDEFSEWFSKDIESHAQSNTKLNEDQ LKRLHMILKPFMLRRVKKHVQQELGDKVEKDVFCDLTYRQRAYYTNLRNRVSIMDLIE KAAVGDEADSTTLMNLVMQFRKVCNHPDLFERAETKSPFSVAHFAETASFVREGQNVD VGYSTRNLIEYPLPRLLCGSDGRVDVAGPGNLHAGFRGKYLAHLMNIFAPENIKHSAE HDGTFSFLRFVDTSINEAYEQSHQGIFERAVRRRGKPNRLSRLNVVYDDDKATMASAL PHTMFNIVQRNDQHAINDVTTEGYMRELTTVAQSAFERKGLGIIEPCVSPAASAPPIT VSSSSRAPLSEMNDSLFNVSVRHALFSTPSKQLEQQILEKKLDPIPYSLPPMLPQPIS IKGRYTHIEVPSMRRFVTDSGKLAKLDELLRELKAGGHRVLLYFQMTRMIDLMEEYLT YRNYKYCRLDGSTKLEDRRDTVADFQQRPEIFVFLLSTRAGGLGINLTAADTVIFYDS DWNPTIDSQAMDRAHRLGQTRQVTVYRLITRGTIEERIRKRALQKEEVQRVVISGGAA GGVDFNTRNRESRTKDIAMWLADDEQAELIEQKEKEALDRGEVFGASKGGKKAAQKRK RDITLDDMYHEGEGNFDDASAKPSGAATPVSTAENLGTPSSTPVPKRGRGRGTGKGTS KRAKTTKERLRLIDGDGGLGPS AOR_1_1062184 MSIPEMQWAQVAEQKGGPLIYKQIPVPKPGPDEILVKVRYSGVC HTDLHALKGDWPLPVKMPLVGGHEGAGVVVARGDLVTEFEIGDHAGLKWLNGSCLACE FCKQADEPLCPNASLSGYTVDGTFQQYAIGKATHASKLPKNVPLDAVAPVLCAGITVY KGLKESGVRPGQTVAIVGAGGGLGSLALQYAKAMGIRVVAIDGGEEKQAMCEQLGAEA YVDFTKTQDLVADVKAATPEGLGAHAVILLAVAEKPFQQAAEYVRSRGTVVAIGLPAG AFLRAPVFNTVVRMINIKGSYVGNRQDGVEAVDFFARGLIKAPFKTAPLQDLPKIFEL MEQGKIAGRYVLEIPE AOR_1_1064184 MSLEFVPIISFPLISSRYSVLSTQAYWSHDPKAGEESQAMDTKA KAASAAEKNDIPVMSGDMQLLGWLAASVFIFIVGLAMADLASAMPTAGGLYFWTHYFS GDRWKNPLSFIVGYSNTIGLLGGVCSVDYGFATMLLSVVSLAHDGNWTASRPVVYGTY VACVVVHGVIATFFGRIMPKIQSACIVSNVGLVLATVLALPIGKAIRGGHINSGAYIF GHLENLTTWPQGWAFMMAWLSPIWTIGAFDSCVHMSEEATHAARAVPLGIIWSAGLCG ALGFISLAVIASVIDVNLDGVLSTNLGQLMAQIYYDCLGKSGALGFMIVVAIVQFCMG LSLVIAASRQSWAFSRDGALPFSSFFRKVSKKIRYQPVRMIWGVVVSAVIVGLLSIIN SAASNALFSLAVAGNDLAWMMPILCRLVWGQDRFHPGEFYTGRFSKPIAVTAIVYLVF AIILCMFPTTGPGPTPQDMNYTVVINGALWGGALLYYGLYARKIYKGPQATVGSSSSP SEANLGGL AOR_1_1066184 MASQLMGDALHQLIRRAADSESDPDEDAPEAGTKEFFSSWALFI LIMLLMFALFTSYILQQKKIQAVHETVLSIFAGMFVGLIIRLSPESPIQDSVTFDYQF FFNLLLPPIILASGYELHQANFFRNIGTILTFAFAGTFISAIVLGLVLFVWTRIPLDG LNISFVEAISVGATLSATDPVTILAIFNLYKVEPKLYTVIFGESILNDAIAIVLFETA QKYADSDAGSLTVLNLFEAIGLFLLVFFGSMLVGMIVGIMTALGLKHTHVRRVPKIES CLIVLIAYASYFFSNGVRLSGIVSLLFCGITLKHYAYYNMSRRTQLTTKYLFQVMAQL SENFIFIYLGLDLLVQRNLQFKPLFIMVAVFGICLARYLAVFPLSKAINWFIRYRARR RGMEVADELPFAYQAMLFWAGLRGAVGVALAAGLTGVNAPALRATVLVVVVLTVIIFG GTTARMLEILGIRTGVVEELESDDEFDIEVTNGGTYYKRSDTALGYTPRRMDSTIPLD GVQRRGLDRNDSYSSGNNRRPSPPPSSSGKGRRHSRLYSNAYSQRDTQTTRDRSSTAT LLGGGPGSHSDSAGSEDEFGLRSHGKGRAADVDQVDAFDIDVDEAPSDDDLPPSAPTA SRLRRSPSQPPQYSGSSQASPSANESPSRRETARSASQAIRDLFSGGSSGDHGAWFRQ LDEDYIKPRLLLDQSNHKGPGAV AOR_1_1068184 MTGADERVADEMSPLVGPDNDNDVDLITAQEMQNDFGAEDPGHS SETKSTWYLFLLTLSIGGLQIVWSVELSNGSPFLLSLGMSKALLAFVWIAGPLTGTLV QPYIGICSDNCRSSWGKRKPFMVVGGLATVVALLALAWVRELVGGFLGIFGADQASTG TKTAIIVFATILMYCLDFAINTVQAGIRCFIVDNAPAHQQESANAWASRLTGVGNILG YIFGYIDLPRYLPFLGNTQFKVLCALASLSLVITLLISCLYIQERDPRLEPSASTGNP GIVAFFRQVFKSIRYLPPQIAKVCEVQLAAWVGWFPFLFYATTYIGQLYVNPIFDEHP NLPDNELDKAWEEATRIGTFALLVYAIISFVTNITLPIFVVPTYRSVVSPEETDTPSD ERRPFLGARRMSCSSLPVGTASEPPPALPDKQNVEATVGSTWLSKLQIPGFTLRRAWL LSHVLFALCMFSTFFIYTYQAATVVIGIVGISWALTLWAPFALISAEVARIDAERRVR RHRSGMAEHHSADNSTQPNLATNVGDLEDGPRKPTDEEENLAQAGIILGLHNVAVSSP QILSSLICSAIFKVFQKPRGEPWDDSVGWVLRFGGCAAVLAAWLTSRLAEGRRLK AOR_1_1070184 MFPQHGAPMAPPQKPETFMLSNEAQQSLPHDAQVALQQVDNLKY FLLSAPVDWQPDQLIRRFLLPTGDYISCVLWNNLFHISGTDIVRCLAFRFQAFGRPVK NSKKFEEGIFSDLRNLKAGTDATLEEPKSPFLDFLYKNNCIRTQKKQKVFYWYSVPHD RLFLDALERDLKREKMGQEATTVAVSEPALSFEFDSSQSLYEQLTKAQQANSSSFTAH ASTTYGQSASPVVRTVDAMPPPQMAPQMAPPTISLLPDESGSPAIYNPIPMPNTLAQS VVKRELDYGSIQYDRNGMPIARVHQRHASMPTFVEYSPAPSFVSSQYEDYSNRGLSFE PVTPPQHSVPLGTEPAYIANEDTGLYTAIPEISAAAFNPMLQLPPSNLASAHFPAPAR TFHSNVYSVLEGSPTYKQRRRRSSIPPGVNNPIATPTHTQAPGPSQPIAYAAHRPSDL RRSVSSSVAPVAETEEPRHESSRRIMNGYPTGALPQKNLLHEMSRNGTPLSSLEENPE QAAMPLANPPDELTALPNGDVLETGAQHSAMNKAERFVPGPVRRARSATMMELGPYPQ KSHSCPIPSCGRLFKRLEHLKRHVRTHTQERPYPCPYCNKAFSRSDNLAQHRRIHEAQ QDGQQPLHVQDEDLENEDNELGSQDEGSSPSESIPSTVVNVSTVTSMPSTMTLPSAMP TMMAPHMVAPQLLQQQM AOR_1_1072184 MMIGKRKRDTHVVSRSTTSEEDEATTTTTNDSSSHDIFRKFFEA QFQPLEVPETHITCAQGSDDEHGTNESEESEPESEWNGVSEDGHEENKVEVVEHHDLS AVAKESMDKRARKAFMTAKPPSFSVKPAAIKSSPSKDEDDGDDVANLKNDLALQRLLK ESHLLESSSDLAPTGKNRLKALDLRMQSLGAKASLYHQNMPSSHRRGIKAKAEKKDDK RRREAKENGIILEKPVPKARPSNGRRERGVGGPSIGKFAGGTLNLSKRDLSAIQGPRR SGKSKTRGRR AOR_1_1076184 MTKFRPCIDLHSGQVKQIVGGTLSNIPADLKTNYVSKLPASHYA ELYQRHDLRGGHVVMLGSGNDAAAKEALSSWPSGLQVAGGITDKNAQYWIDQGAEKVI ITSFLFPEGKFSLERLKAVLSALGGDRSKLVLDLSCRKKDNTWFVAMNRWQTITEMEI NQESISLLEPYCSEFLIHAADVEGLQQGIDGELVSKLSEWCSIPVTYAGGARSLEDLE KVHSSSRGKVDLTIGSALDIFGGSGVTFDECIQWNKTH AOR_1_1074184 MKPVVSVLNAWSCAIISLFAIVILSVLGSLYKKEHHGFTGSEGE PEDGAAVAASIFTAVFVYAAFFVFCSFQAYLHVRNRRGGAISLN AOR_1_1078184 MATATMTMPPPSKSKTNAKLPPENERYMRACSDIANVLIREYEA ETDPKKPKKDLNLNRLRNQIAKKHSLAHLPPLTAIIAAVPEHYKKYILPKLIAKPIRT SSGIAVVAVMSKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRAR YDPFEQARGRVDQIKSLGHSVDKVEYIIMGGTFMSLPEDYRESFIAQLHNALSGYQTD NVDEAVQAGEMSNVKCVGITIETRPDYCLDTHLSSMLRYGCTRLEIGVQSLYEDVARD TNRGHTVAAVAETFKLAKDAGFKVVSHMMPDLPNVGMERDLFQFQEYFENPAFRTDGL KIYPTLVIRGTGLYELWRTGRYKNYTPNALVDLVARILALVPPWTRIYRVQRDIPMPL VTSGVENGNLRELALARMKDFGTTCRDVRTREVGINEVKNKIRPSQVELIRRDYTANG GWETFLAYEDPKQDILIGLLRLRKCSPTHTFRPEFTGQQTSIVRELHVYGSAVPLHGR DPRKFQHRGFGTLLMEEAERIAREEHGSQKISVISGVGVRSYYARLGYTLDGPYMSKM LDPIEDEEL AOR_1_1080184 MQAFRRSTASALRNAAAVQQRGYANAPAYAETINNLRINADTKV IFQGFTGKQGTFHAEQAIAYGTKVVGGTNPKKAGSTHLDRPVFANVRDAVKETGATAS AIFVPPPLAAKGIEEAIEAEVPLAVCITEGIPQHDMVRITDILKTQNKTRLVGPNCPG IIAPGQCKIGIMPGFIHKRGRVGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPFS GTNFIDCLKIFLEDEETDGIIMIGEIGGSAEEDAAEFLKANNKHNKPAVGFIAGISAP PGRRMGHAGAIVSGGKGGADSKISALEAAGVIVERSPASLGKALLNEFVKRDLV AOR_1_1368184 MATARSDTDKAPIVSFVEEDERQPSDSQLEDQVTQSKSHHIFSR RKKLQMVCIVSMAAIFSPLSSNIYFPALGEVSRSLNVSMSLATLTVTVYMIVQGISPT FWGSISDATGRRPVFIGTFIVYMIANVALAVSTKYGELMAFRALQAAGSAATISIGAG VIGDITTSAERGSLVGIFGGVRFRSIFWFLTICAAVSLLSILIFLPETLRHIAGNGTV RLRGIHKPFLYVVIGQKGAITGADPGQKKPELTWRAILAPLTFLAEKDIFVTLLFGSI VYAVWSMVTSSTTDLFQDVYHLTSLEVGLTFLGNGFGCISGSYLVGYLMDYNHKLTER EYCDKYGYPSEENENSEAGAKKKKGSNSSLANDNELRKLLRQYEGYSLKQMAAEVLKH EGAGGKAEKVKQVFAMIWLKENCRKSSGSVRRDRVYCCYAEKCGTERVSVLNPASFGK LVRIIFPNVQTRRLGVRGESKYHYVDLTVIEEKQQKPLPLNPQIPANTTGSAASTEHK VGEAMQKR AOR_1_1370184 MLQLPTTEDSSIDNDTLQLPDINDYVPVNTDSKVAAALAALYRS HCISVIDSFRYCKERNLRHYFSAFHGTLTVPVQKLLTHPNLAPWIKECDWLMYQKMIA FVAPLTTQVVPKLVLDTFSSISQRLTTHIADTFKAQPMHVSLARLIPAHIFCNLLRHM LDVNQSANAAAAWLCHPDNRNQMWFDFKTLVDPKEMISKANIPSCAEQATEQILKHDV RALLTPITDLNPAAAHPFYTKPDSEGSIQSHKYPVQSSTGDDYNFPDKWISFILNLPF AFPNHRTKCIIEKIDALWDCILRRLTLGGAQSFSAWWMTKVFFHEMMLWQAEKGGFMR YTPSTLQSASARADQQGPSNIPMRQPSYPDSVKNGSFTAPNTQNTVDSRSGLDTPASV ESIHPARSSLERSQVDAEPQSNNAPNEKPADISENIASFHASNNDDSAIDLDDDSMLM TVGKYGDMMASDPADAEGDVVVI AOR_1_1084184 MRFTFWLATSQMVLLCTKSQSGNYNYPPASLRVPRGTRAGDRPH YPTGNSLQHLRDLLHSERNHSTARALETLNEEIEEYRSGRVRDRPNFEETGAMVDRQI QQHIPRPGMQRLHALNVAATDTDSSTSTADSSISSSGHSTRPRGSGRTGRQRRNQGPT SNQLRDESAPHTATAIGIPGEADGDRWRIKRRKLESDDNREGLQSFRYGQYGQVVSGA LKMELASCDGGTYETDGESTWPENVLRNDSSVYCTKSDRCNLILKHRGETPFCLKKIV IKAPKSGYDAPIQEGMVFVSMTSDELLARTAQYQIQYTSSRRSRRNRRTEMQPSQEYL NAYRHPLQSLTGRDSYSESDTDISDPTGLNAGTIPDPVSGFRVITDYDERSENSDHGD RRYGSDLPSLADMEDDFLCSESDDSDSDEDTSELSTYNRRHRELLRRVTSMRRRYVME RNGQPRRRPVPSIIQPIPQSSFSGPHTGSDAQNPNLELLKPHARFFIERTKSMVSITF DPPPSGRYILIKLWSPHDGGNIDIQSIIAHGYAGPRYFPAGGFR AOR_1_1086184 MEPQSNTAQSISADEIALYDRQIRLWGVKAQEKLRSANILLITF KALANEVAKNLVLAGIGTLTIVDHETVKEEDLGAQFFVTEEHKGQNRAQAAASSIHAM NPRVQLRIDTDDIHTKQPDFFAQFDVIIATELDFAMYTTINAACRIANRPFYAAGLHG FYGFVFADLISHDFVIERSKSNVPSATQETPTRSIVNITTKKENEKVIEMVTKRETYS PLILANTSPLPEDFTRLPRKRRQVTPLLTCLRALWEFQKLSGGCMPTFSRQDLELFTK LARDGHQELKLDISTLDSEFLRTFLQNLGSELSPVAAVVGGKLAQDVINVLSVREQPI QNLLLFDGEKSIAPIYPLHPFFPPEVENAMPVIHPAANSIPLNGDLTLQQPIIPPSGA SV AOR_1_1088184 MMLSKSYARGLLAVLLLVSPALGHGGHENVPEGAAISGEPIDST LWVHMILMGLAFGIIFPLGMVLGVVRSRWHVPLQIVGTIVAIVAYFLGHAHKGRQFSK NIHASFANILMLMMIVQVVIGFYLKLHLSKGIHGRIRRVIVVMHGVIGKAMPVVSWAQ MLFGGITAMGFCHDDHLGQCLAHFIMGSAFIAYGIMLTILLLVGQFWLRRSGRSQEFF DSLIIAAWGCVNTFTEHRWGSDWSHNDLQHTTMGIVWWCAGLLGMWLSRNRNGRPKRN LIPAIVILLTGYAMSAHPQFLEISTMIHTIFGYTLMAAGLTRIIEISFVLKDRSTLSL DGSEPNSFQYLPPFLLYASGFLFMGATEEQMQLLHDAGITHVSYVLILYSIAFVLFLF VNILLHIYAVHVWPDSGKKLPARSSSEEEEAQGATHANGSFLNGHVRSNSETQHIHDA EAYELEGLISDEEDDSKAAADSHTMGSQRVTDEESSPLVRKETTRG AOR_1_1090184 MMCGDKIDATKNGNESHPTHEQQTCREKRLTSLHASVAVLEAEV VRMEAQLAETKVRLKNDPSATVQRHIRLLHEYNKIKDIGQGLMGLIADARGVRQIEVQ KEYGVGDRD AOR_1_1092184 MTSVEKAGGSSPATAIENEKSISNSASGSTIAPPAGKEQGTRPS SSHKSDRVGDNNDDDDALYSHLPEHEKQILKKQLDADERKVPFVALFRYASRMDILIM FISAICAIAAGAALPLFTILFGSLASAMSNRVADLISYDEFYHQLTKNVLYFVYLGIA EFVTVYISTVGFIYTGEHISQKIREHYLESILRQNMAYFDKLGAGEVTTRITADTNLI QDGISEKVGLTLTAISTFVTAFIVAYVKYWKLALICSSTIVALVLVMGGGSRFIVKYS KRSLDSYGAGGTVAEEVISSIRNATAFGTQDKLAKQYEVHLAEAEKWGVKNQLILGFM IGGMFGIMFSNYGLGFWMGSRFLVNGEVNVGQVLTVLMAILIGSFSLGNVSPNAQAFT NAVAAAAKIYTTIDRPSPLDPYSDEGETPSHVEGNIEFRDVKHIYPSRPEVTIMDGVS LKIPAGKTTALVGPSGSGKSTVVGLVERFYFPVGGQVFLDGYDIQNLNLRWLRQQISL VSQEPILFGTTIYKNIQYGLIGTKFEHESDEKIRELIENAARMANAHDFVSALPEGYE TNVGQRGFLLSGGQKQRIAIARAIVSDPKILLLDEATSALDTKSEGVVQAALDKAAEG RTTIVIAHRLSTIKTAHNIVVLVDGRIVEQGTHDELVDRKGTYNSLVEAQRIKEEKDA EALDDEVEDEDEFPKEQMSRIKTADSGAASVVDVGDEKVYSGIGRSATHKSVSSAILA KKNQEKTHKYSLWSLIKFIASFNRPELSYMLIGLVFSVLAGGGQPTQAVLYAKAISSL SLGAAGPSTYGKLRHDANFWSLMFFVVGIAQFINLSINGAAFAVSSERLIRRARSKAF RTILRQDITFFDREENSTGALTSFLSTETKHLSGVSGVTLGTILMTSTTLGAAIVISL AIGWKLALVCISVVPVLLACGFYRFYMLAQFQHRSKIAYEGSASYACEATSAIRTVAS LTRERDVWTVYHSQLESQGKKSLISVLKSSLLYASSQALVFFCVALGFWYGGTLLGKH EYSVFRFFVCFSEILFGAQSAGTVFSFSPDMGKAKNAAAEFKRLFDREPTIDTWSEDG KKLESVEGSIEFRDVHFRYPTRPEQPVLRGLNLTVKPGQYVALVGPSGCGKSTTIALL ERFYDTLSGSVLVDGQDITQLNVNSYRSFLSLVSQEPTLYQGTIKENILLGVDQDHTP EEAVIKACKDANIYDFIMSLPEGFNTVVGNKGGMLSGGQKQRVAIARALLRDPKVLLL DEATSALDSESEKVVQAALDAAAKGRTTIAVAHRLSTIQKADVIYVFDQGKIVESGTH QELVRNKGRYYELVNLQSLGKDH AOR_1_1372184 MPPKAARKLKDSIEQEGRILLAISAIKKQEIRTIAEAARIYNIP RTTLRRRLNGHTFRAETRANGHKLTQNEENSLVHWILSLDQRGAPPRPAHRVQITIMQ YSIQPDDIYNFDETGFAMGLISTTKVVTRAELADRPFLLQPGNREWVTSIKYISSRGP LPPCLIFKGKVHIEGWYEMGLPSDWRIETSANGWTTDEIGLRWLQQLFIPFTAGRTVG RYRLLILDGHGSHLTPQFDDICSQNNIIPLCIPAHSSHLLQPLDIGCFGPLKRAYGQL VENKMRLDFNHINKLDFLEAFPQARAQIYTTSNICSGFSATGLIPFNPKRVLSQLNIQ LEATPPGSRPSSRSTNSVPKTPHNLKQLQKQETTLKKLLRARTKSPDSPTKIVIKQLF KGYERALNKATITKQEARELRAAHERILKKKKRSTRQLPIESGASVQEAQELIQGRNS TIEPITTASVDIGAPVESQRIRAPPRCSGCNILEHKITQYPNRQTI AOR_1_1096184 MPSHKSFRTKQKLAKAQRQNRPIPQWIRLRTGNTIRYNAKRRHW RKTRLGI AOR_1_1098184 MATPTLTFTSSDGVDIPVERDVAERSQLIKNMLEDLGETGEPIP IPNVNEAVLKKVIEWCTHHKNDPPSTGDDDDSRRKTTDIDEWDQKFMQVDQEMLFEII LAANYLDIKGLLDVGCKTVANMIKGKSPEEIRKTFNIQNDFTPEEEDQIRRENEWAED R AOR_1_1098184 MATPTLTFTSSDGVDIPVERDVAERSQLIKNMLEDLGETGEPIP IPNVNEAVLKKVIEWCTHHKNDPPSTGDDDDSRRKTTDIDEWDQKFMQVDQEMLFEII LAANYLDIKGLLDVGCKTVANMIKGKSPEEIRKTFNIQNDFTPEEEDQIRRENEWAED R AOR_1_1098184 MATPTLTFTSSDGVDIPVERDVAERSQLIKNMLEDLGETGEPIP IPNVNEAVLKKVIEWCTHHKNDPPSTGDDDDSRRKTTDIDEWDQKFMQVDQEMLFEII LAANYLDIKGLLDVGCKTVANMIKGKSPEEIRKTFNIQNDFTPEEEDQIRRENEWAEE AOR_1_1100184 MWRRTYLLLLVIRVYFALSPSYLHPDENFQGPEVFAGRVLSYPS KLPWEFTADKPIRSVFPLWPIYDVPISLLKWFYAETGAPTPPPPQVIYYVLRGVMFLL GFVLEDWAVYELVPFARHRRATVVLVASSYVTWTYQTHTFSNSLETLLVAWGLVLIRR IVVNKRRSSVFSCAVLAFIAVAGVFNRITFPAFLAIPGLQLLPHFRRKPVSLFSFVGF GIFFFGIAVLVDTAFYRPSATLWDALHSPIITPINNLLYNSDSSNLALHGLHPHYQHF LVNLPQLLGPAYAMMAISLWGLPVIPTWLKNARAVSALSATVILSIFPHQEPRFLIPC VPLLLSCFRVSKSRLFLAVWMIFNAALGFLMGIYHQGGVVPAQLAMPSIISASSVESN DALPGEIPVVSATVFWWKTYSPPLWLLGTNDNSSLNIETRDLMGVPGPNLIEELEKLL PPCNVAGSKQAGSVFVVAPKSAAFLDRYTFLPSSSSVSSALELHELWSYRKHINLDDL DFGTEGVYPTLRRVIGRRGLAVWRAKRAGCN AOR_1_1102184 MKLTFKDLKQQKFVIDAEPSETVGQVKEKISKEKGWEVPQLKLI YSGKILQDDKAIESYNIEEKGFIVCMVSKPKASSSTATPSQAPSTPSRAATSTPAAPP APAPSTNASATAPPATPSPAAATQPSDAAFNDPSALLSGSQGEAVISHMESMGFPRDD INRAMRAAFFNPTRAIEYLLNGIPENIQQEQEQQQQQQQAATATAASPQPPAASAGGN APATTGGEEPVNLFEAAAQAGTQEGPHGARSGSAAGEGLPNLDFLRNNPHFQQLRQLV QQQPQMLEPILQQVAAGNPQIAQLIGQNEEQFLQLLSEEGDGALPPGTHQIHVTEEER DAIERLCRLGFSRDMVIEAYFACDKNEELAANFLFENTDDPEDQ AOR_1_1104184 MNFNVARPSLPCVLGGASFALTTVVTILDGLCLTSFKNFSFNVR SIESAVTALSGANCIVLIVTILFWLTDINNENIRQLSAYRRRVYYTLIVYSAMTTGVT AGGIAWGTTQAIIEQQKMSLGPRQRLLLVTRIVIWAISVLTQGLFGGLLLMTLAEQSS RSRWSTSLSHDLDALHGHLAEDNGLESTKQPQLVVDSPKKSTDRKRSCDSSIAIQKST SCATRYSGRTLYQQDSKHSSVDLNPPIAYPECAVMRNTFNGYLYGHNSYAVGGDGDAG SRKMQGNKQEFGCSLDTLRRQTSLRRSSDTSRSLQPEVPSKGGPPKLTLSDESNIHPL FRSNSPTPPPTAMPGTTVVASPAAGQIISMKTLHRMKSTNSLRSYTPRSRSPLFERTG QAGEEIHSKSGLSDGRCELNNDQTFTIPNFVMAADLRRSITQYEKRYDLIESPNES AOR_1_1106184 MAGIKRKLDSTKGSAAGPTGSATGVGAPPKTPNSTVGDTAHIRV TRSLRASQDARANQTDNNKNSSNNTMHNKSSNNRPLSRIITLSTRAARANSAARNALN NAASNGTNSPSNAARETRASRTRAAAPAAVAPPAAAPSQFDGTTLNVPETPRSKRVRR GPVVEETPRSTRQSARLRSHVNISVNENASAEAAITKHFEASPSKGVTPSSRTRNRSR QAADAAPDTTQSSVNSNVTTDMRHPSPEDIIPETVEAFKQTEDDQDPHVSMESSQTVG ELPTDSTHQEYNHETDDMNIQEVEGMPSPAKTSCSSGSRKRRSSEPENKGTTEFTSIL DLPHQKLKLEDGELDHTAEQPLQGSAVIKNVSRSPDDEAEESKVGDESRQITEDIEES TPEHTTEPIIGKAVRGGRSRGRGRGGRSRAAARSGSSKRGRGAARAARGGRTGRQYDR SSDVEHDRSPSPSAATQKLRDRQRELDKAFRKVAAAQRLALAVLASQSQKKLSRDKNA HKIVPEYEEINSLLKTRLDKRLEVFRHEYELRVEQENRLFAANREAIEERFRASARYI KEEHFFASQGEYMAFVEGRRAAEDDEHTETDGSETEPERVIPPAKEVVRGFNSSFVRN PAGAASYDRARYGWDDFVQRAKLGDDIDPQMKEMREAGPFAGFSAREIIDLLLEATGI VEVRQKASVETQRKPAFPDTRPTALFALADVAAAELPRPTISQTTPRLSAHRALLPQP SQVAHGPTDPRSFVLPPPTPQRQQPRRLLPAGQQIPPISEQLGLPDPFASRGGPPQLP PPPGSNFQRPPLPNYLAGHHPQSLYYPAPPPPTAPPPPGPRPPY AOR_1_1108184 MAVRAQFENSNEVGVFARLTNSYAVVAIGASENFYSVFEAELQD VIPICHATIAGTRIVGRLTAGNRKGLLVPTTTTDQELQHLRNTLPDSVKIQRIEERLS ALGNVICCNDHVALIHPDLERETEEIIADVLGVEVFRQTIADNVLTGSYMALSNQGGI VHPKTSIRDQDELSSLLQVPLVAGSVNRGSAVVGAGMVVNDWLAVTGLDTTATELSVI ESVFRLGEMGPRGVGMGSTNKESIVESFY AOR_1_1110184 MSPHDYADRRSESVTDAVTAMNLEPDRATDTPALNGGSTSLKDD TNGVSRSPSAQNMDVAVKSRSSSQTPVKKEEETSNTADMEEKVGGDITVKQEPGQPPK LTRSSSQKVVARPPQLFSHLRDSTAEARVSFELMDSCTYANKYMGYTEHAMECDCAEE WEPALSKNLACGEDSDCINRATKIECVGDCGCGPDCQNQRFQRKEYAQVAVIKTEKKG FGLRAEADLRPHQFIYEYVGEVINEGQFRRRMRQYDEEGIKHFYFMSLSKGEFVDATK RGNLGRFCNHSCNPNCYVDKWVVGEKLRMGIFAERDIQAGEELVFNYNVDRYGADPQP CYCGEPNCTGFIGGRTQTERATKLSNATIEALGIEDADGWDTAVAKRPRKKKMGEDDE EYVDSVQPKSLEENGVTKVMAALMQCQEKWIAVKLLGRIQRCDDERVRNRVVKMHGYQ ILNSQLTMWKDDFNVVLQILDILDKFPRLTRNKIIDSKIEVTIQPLTSCGDERVEKRA ATLLQVWSTLEPPPPPKESRDKALQDIIDGIMNAKENTPKEKSGTPGTPQVSKPIPVK KEGQEKWRGYSEDKQKKVYENTLFPHIKYVVDKFKHKLPKEDLKRYAKDVAKKLVNSD FKNNRVEDPTKISEKQQKKVKKYCKEFFDKAVLKHRAYEQRKYEKQAKGMDSKVETPQ APSDDEALDVKMSDDEEDKADEKDTPMTAEETQGGTKRKREGGIAEDSNLGEYISSSK RQRSSTPPPLPPISPGDDPQNMDNAKKILRDDIDSRSENNEFTPPPPPPPPPDDEMPS ESPETDHAIDQSPSRAEYITDMNKLKSSQPEIEGKHFGLVFKEFDPADHLC AOR_1_1112184 MSNLSERPWTEEEKYTLLTEILKKAGVPSSHLVKMIRDFNITPS WADIPLPPGRSLNSCQVAFYSMCPQHVQLPLNPSFAPFPPPRHESSAPLPSALDNSQT RKHLLFPSDKPILAPRAIQPRPTTSTASFSSESGASALLSPGSESMPPRGEPPRKRGR PSKAESERRKAAAEARGETYPPPRRTGSNKMKAPSTPTSPSSIEPLAASFISHASGSR RQSILPSDLHYVPPPGRPPRLSGPNENEGIRDTPRRDIGPPMRDLPRPTERRQTLPSP QTLHSGPRETVPRMEPGERSLEAPPPDRISFGDSSRRSLLHRCPDEPPISGLEVPLHT SVEKQAE AOR_1_1114184 MANEYAYPSMQDANQFSGGRPGLRHPRPVRYASTPSYESPRRHY RRNPIARRPVKETLNARSEYTTSQDDGTAEHRINQYVIKQEIGRGSFGAVHLATDQFG NEYAVKEFSKARLRKRAQSHLLRRPRGPKRPSDGFNSPLHRRSLEDPDQKRNALYLIK EEIAIMKKLHHHNLVSLIEVLDDPTEDSLYMVMEMCKKGVVMKVGLEERADPYDDELC RCWFRDLVLGIEYLHAQGIVHRDIKPDNCLITSDDVLKVVDFGVSEMFEKDSDMFTAK SAGSPAFLPPELCVVKHGDVSGKAADIWSMGVTLYCLRYGRLPFEKQSIFELYEAIKT DPVACEGETDENFKDLMSRILEKDPTRRIQMSALREHPWVTRNGTDPLLPESENTAEI VGLPTEEEMNSAITKTIGHVLAVMKAVKNFKRLIDPAKADPPMQSILGQEYETHFVQP PLEMEPEESFAAGTVSNSNKSQSLNTYNRNAWQRDDVLKGYHLHAEEPRLQQLLDSTD SSISGKQDPVLYDSSNQSANVRSEGIDSSHIPSSKIPGASFDDSQTITTPGSSSPQVP LSRTSSVLTKRSIEGTRGHARDPLEEEFPFLFIGPSTYTGSPPTNTGDSGTDYIFDEP DSMLSAEPSDIDPCPVVSESPGAAEFDIYETAYRQEIERIRTRSLPRQGTTPKVYLTR RVEGKDEVMKLVEEKIPGTVPEIGKRLVKPSGPSLSSAASAIRAQLELQRQQERQDTA ARTQHQE AOR_1_1116184 MVVYSFYIFDRHAECIYKRRWVPRPPSIIGKSSRPTSETSATPN AMPPVLGQPSRTTDDDAKLIFGTVFSLRNMVRKLGGEDDSFVTYRTSQYKLHYYETPT NIKFVMLTDVKSPSMRIALQQIYINLYVEYVVKNPLSPVEHPGGVGVNNELFEESLEQ FVTRVLS AOR_1_1118184 MSNPYEREAEDRYESQNDPSPVSGIVRDNSYAHETRSELRNQIP VQRDEDDVEDPIQPPFSNSDKQLAQDEQEAIDRSNILRGDRLRHAKPRTQDGYSEGPG EDDLPEDVVYGQSGRSATGRII AOR_1_1120184 MTPQSASPVPSAVSSAAASQISYDPRDGEPSVTRALAGMNLSAA NGDILPTPPPPAKTARPTMANRISRMFSNTGKTTSKEPDAHRDFSDSSTDSVKPSSNG SGRQSKPTSRPSSRAPSRQTSTKEDNERKPKSSSGKDQKDSSAAAHKRFEALPDHAHC HHLKSTRRQEKLTDLLRDMLGGGRKKDDHVDDQQLSLMSTWIDQFKNERDKLAADKKG GPNATASLVDKYGKCQEIVGRGAFGIVRISHKVDPKDSRVEQLYAVKEFRRRPQETTK KYQKRLTSEFCISSSLRHPNVIHTLDLLQDAKGDYCEVMEYCAGGDLYTLVLAAGKLE VAEADCFFKQLMRGVEYMHEMGVAHRDLKPENLLLTTHGALKITDFGNGECFRMAWEK EAHMTAGLCGSAPYIAPEEYIEREFDPRAVDLWATGVIYMAMRTGRHLWRVARKDEDE FYQRYLEGRKHEDGYAPIETLHRARCRNVIYSILDPNPSRRINASQVLKSEWVREIKL CKAGEEGF AOR_1_1122184 MSWAGFKKNVNRATTQVMMKTGHVERTNDRDYEIEERRYRTMEA AANRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDAGTRDGVSRSYKQAVEDLDAET IKALDGPYRTTVLEPISRFCAYFPDINECIKKRNHKLLDYDSMRAKVKKLVEKPDKDA TKLPRAERETEIAKQAYEQLNEQLFTELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDAETRDQYARGDLDNRVEEVLQEIRDLSIAGTV AOR_1_1124184 MATHISLVKTPHRFLFIASIGNPRPYRQTRHSAGHILLDALIPL LPNRFPLISTKHQPSTGPLFYRTWYSPSYMNESGPKLVRQLKNWISTTQTEVLEKVIM QGNVALSETTEVADPENHEWQHRGTDPQSLKILAPTLVILHDELEAPLGKVRVKRGGP ETASLRGHRGLTSIMESLRGKGLHPPRTRPGETRSHEGLSVLRIGVGIGRPSTRNRGD VADYVLTEMNAIELAAVRAAAGPVLDILADEIYRDGSAL AOR_1_1126184 MCLFTFVGNPTSVILAKETPQIRHFKIGPLTGRFNSELYLHPNA IRIASDIGMFDHGLGNLDLPNVPFQLGETFDLIHIRGLVGIRRAFDNWEFIYEQAFEQ LSLRGPFTDTDPEGGTVTYPNSDAYVGIFSSVLHSIAEEAGYLHDLSHLQPGVLRAAG FVDIHIL AOR_1_1128184 MAPLVPSAKLALSCPLFAADFDPRNHGFLIVAGGGGEGRSGVGN KIALLDTSKRNEISEVVDIELSRDEDSVTSLATARASDDYIIAFAGINSSVAEQKRGN NQHLRSFKIDYPPRKRSFTDNSTEEIATREAHRETNNQVPQKTTALSRTSLFRTKGTE KAGGPDTYQRVLRLSPWKDAESPRIAAVATGLAPSGEIVLFHVTPAPSTSDILGRILL GSDEEAEDVDITDLDDDKFQVAYTNGTDVFTCQVSASTRSNASPDVHCIYSTPLSEAA TGPRPKFRALRFLSPTMLLLLRNVPDRKGCELVLLNLPQRLSPGSKSSATIIRRKKLR KTIKIGLGLDVCNLGSNLENQEQTIIAVSGSDQSIEVLTLEYDPRRGGYGKLRAYTTL HDVHPFSMTKICFSSFIPPPKPVRPETPPQYVKLASVSMGNTVVVHTLPLAPSPPSSR SPRYVLVMPGDSDAWANFTSGLTALLSIFIVCFLLQAFTEIRGVMPPYLGATEWLPPD IRAAVARPYHQPVPHPLAVPSVTMSMHSTLSSPVPTLHHRSLRDLLHAREAADAIESI LDTDLAADDPSTSAAPLSHTSIVVRRNRDTDEILIESTNRAYHNAPDGVLRRWEDLTE RDQIMWKQQLTDAGHWRQDEGEAILQGVLFDDHST AOR_1_1130184 MTQLASSFTRLFPLPRRQFQFPRWNPAIGTRFFSLSPVTFRAAQ VSKKKNTAMATVNTKTGQVVDRTVLESMLRRRLFYTPSFEIYGGVSGLYDYGPPGCAL INNIVDLWRKHFVLEEDMLEVDCTMLTPHEILKTSGHVEKFADWMCKDPKTGEIFRAD HLVEEVLEARLKGDKEARGQKVVVDEEKEAKKKKKAKETKAVRLDDAVVKEYEETLAQ IDNYDGPELEQIITKYDIRNPTTDGNLLPPVAFNLMFQTSIGPSSNMPGYLRPETAQG QFLNFQKLLDFNQQSMPFASASIGKSFRNEISPRAGLLRVREFLMAEIEHYVDPEGGK KHSRFEEMKDIELSLLSRDVQLSGSTQTTKMTIGKAIESGLVDNETLGYFLARIQLFL LKLGVDPAKLRFRQHMANEMAHYAADCWDAELQTSYGWIECVGCADRSAYDLTVHKNK TGAPLVVREPRAEPLKIEEWQVDLDKKKFGPRFKKDGKTVAAAVEALSQELREKLALD LEQQGKIEVDVEGVSSGKVELDKELIKIEKRTRVENVREYTPNVIEPSFGIGRILYST LEHVYWSREGDEARGVLSFPPAIAPTKVLIVPLSNHASFRPLSHRLMMKMRRMGISNR VDDSSASIGKRYARNDELGTPFGITVDFQSVKDNTFTLRDRDSTKQVRASEDEILQAL KSLVEGDETWEDIRKRLPEFTGQEVD AOR_1_1132184 MVSRKSQAKPPPSANLDVKPANKLRRQLLHIKRKRLKDSTRRAE RYRIKKEEAKNPKLKQERLKRNVPLTIDRKRVWDDANSDVEDGLGLSVDVERIKKQKQ EEQEGWNKPLEHPDDQDDSDDQDEVDSMLASSDDDDDDDDDEGDNDNNNAERSRRSRR SSLPSATERATSPTQSTKSTNLSLAPEALATKFPSLFPSETPPTPKILITTSLNSTLH NEAKALTDLFPNSQYIRRTAHRYSHKFSLKEIATFAANRNYTAVLVLQEDSKRPSGLD VIHLPKGPMFHFTISNWVDGKRIPGHGVGTEHWPELILNNFRTPLGIVTGAIFRSLFP PQPDIEGRQVVTLHNQRDYIFVRRHRYIFREKRETEKSVIDADGKEMKGAEGIRAGLQ ELGPRFTLKLRRIDKGIQRASGQEWEWKAGMEKQRTKFQL AOR_1_1134184 MYSPDQFMNPGPAPRPPAERPKLNLPANSSNTVASFSQMSLDSP GTPGSANLSLFPNTSTPSLTQTKTNQSGQGGVAVIKEGYVRCKEDKFLATWNQRYLIL REFRLDFLKNETGRVVLSIPLQTVTAVSRSEDTRMAFEVIRLANPKDATSKAALITRD VPTKSITCEVKSDDEIYDWIDKIYERCPGMGGVSNPTNFSHRVHVGFDPRTGAFVGLP PEWEKLLTASAITKEDYKKNPQAVIEVLEFYSDIKMREQNPQYYAGLASPQSSQQPKP YNSNTVGSSIAPPRPPPPAPSQRLDSGHSNRSAGSSPSPVHSKSDPDRALEQQQQLER MKEMADQERRRMEEGARRTRQREEEQNRLDQEAYNASLPKTRVPLAKQELGGYGADSS MNERYKPSRPAPQAPSSARQDSARQLTAQRPAPAPPSSNQGQRPGEYGSANGPGIART PGSDQSSPNSRYPAHDPRAQSSAARSQNNGTKQQAQGPPPSKLPAPVQPVKPLNIANK QATNKNVPDGVRQAEAALTKKAEPRQREVRMSAMSENEVMDRLRSVVSKDNPNESYSK QRKIGQGASGSVYVARVKEHAVSPVARELYRQYGPRTQVAIKQMDLRSQPRKELIVNE IIVMKDSQHANIVNFLDSFLQEQSNELWVVMEFMEGGALTDVIDNNPVIQEDQIATIC SETCKGLAHLHSQNIIHRDIKSDNVLLDRAGHVKITDFGFCAKLTESKSKRATMVGTP YWMAPEVVKQKEYGPKVDCWSLGIMAIEMIESEPPYLNEEPLKALYLIATNGTPRLKK PEKLSKELKSFLSQCLCVDVRSRATAEELLAHEFLKSGCSLPSLAELLRWKKNNGQ AOR_1_1136184 MQATILRTQSFRSRISIHKYTPWRRPFSSSLCRREIRNIETLPQ RLLPKYEESWEGDLLSLQWPAPPRNVFVVKKDCVPTVTESLIEFANHVTSTYPSIALI LEPKTAEEVHSKFSFPIYSAPLSRLASALHSKADLTVTLGGDGTILHASSLFATCYNV PPVLSFSMGTLGFLSEWKFEEYKRAFREVYMSGAGVGDRAPMLEASRCTVTDYQADSE AGPTGWSSVRGKSMGSSRGARILMRNRLKVGLFTTDGRPVHQDRSSTSIQSTLSSQGV YVLNEVLLHRGKEPHLAVVDVYVGGRFLTEAVADGIIISTPTGSTAYSLSSGGSIVHP LVPSVLLTPICARSLSFRPLVLPSSTPITLKLSEKNRGRELEMSIDGVNMGQGMAVGM EVRVWNEEMRHGKNEWQGGVPCVMRRIMGGEAHDGWVGGLNGLLKFNYPFGEEP AOR_1_1138184 MTGDPSSEPHQQKESSTRQRIGSTDQTSRADDDMEPRLKHGIPM QVFRSLLLATWFNCCCVVILVTQVLGAPLYLISKDYYYAYMAYTKQSFGLVITALTQW GCPTFVRVSGDKSVQGQIHLTEDGRLKTEFPERLVLIANHQVYTDWIYLWWVAYTNIM HGRIFIILKESLKYIPIVGQGMTFYGFIFMARKWLSDKPRLQHRLEKLKTRHSGSKSE SSEYDPMWLLIFPEGTNLSINTKRRSDEYGQKQGFPPLKHEVLPRSTGLFFCLQHMRG TVDWVYDCTVAYEGPPKGSYPDKYFTLRSTYLQGRPPTSVNMYWRRFAVSDIPLNDQK EFDAWLRARWTEKDQLLDEYFETGRFPSDLAGFVDNVDVSESLKAAAAAGYVEALLFC AKYQNSWVFDKQGLAFYMSEH AOR_1_1140184 MASLRSEHTNGVNGETHPTVKSLANLRFSDIPSAIDIPASTLDS EVEVSLEGLPDDPTELCTLLENEKAAKNFWVIIALAYAKQKQIDHAIDILNKGLTSVA HGATKEKLGLLGWVCWLLMLKSRQAPRVAPEGELYAEAKTKDHYLQLATSTLNEASRL NPAFPPLFLARGVLSLLRASLHPPRPVRPGTVDTSERVESLRQALKCFEESSKAFGGR NVMAILGRARTQYMLGRYAEALEGYQKVLMKMPGLTDPDPRIGIGCCLWHLGFKDQAK AAWERALALNPDSKVANILLAVYYLYDSSRHATTDPAFGSLYKVAMTQYTQKAFKLDK EYPMTGALFGGYFLLRKSYSTVETLARRAIEHTDVMQIASDGWFLLGRKAHYEGDLTR AAEFYNRSDQARGGGDKGYLPARFGTVQMQVSNKDYDGAKFRLEKIIQQTKNPECMIL LGALYAEEVFTSERIGSKEDKSAEAKKAISLLESVRALWKDEGKKLSPEESVLVYLAR LYERTAPEKSMQCLSQLEELQLAAIVEDEHREGLENEEQLKAALRVNLPPQLLSNMGC FLYQAEKVDQARTMFEMALNACVRSQEKESEHDTDALVTTISYNLGRTYEASDMPEEA KKVYEGLLERHGDYTEASARLTYIALRQSPTDEGPKRMAKLYEADSTNLEVRALFGWY LSKSKKRVANLAEDQEQRHYKHTLQYFDKHDRYSLTGMGNVHLMTARDMRRENDQEKE KRRKMYERAVEFFDKALQLDPQNAYAAQGIAIALVDAKKDYSTAVHIFSKIRDTLRDS SVYLNLGHVYAELRQYTRSIEHYEAALSKDRARDAQILACLGRVWLLKGKQEMSLSAM KTALDYARRAHSVAPSQVHLEFNVAFVQNQIASLVYSLPETQKTVQDVQDASDGLREA VESFGRIAQTKNPPYPAGALEQRANMGKTIIKQLERALQSQREYEEKNAAKLQQAREA REAEIRRREEEVRKAQEAERERKQRVAEERQRMVEEAQRLAEQRAEEERAREEAELTT ESETGAKVKRKKKSSSTKRKKKRAEDDFISDGESPTRAGSSEPESEGEAAPKKRRRLE RRSGGKTQSKYKSSELVVDSDEEDGTENATTPVAESDHDQEMADTGADGDGENMIQRR RAKVNRRIADDDEEEEEEENQPGTMAVLENGDDGADDLFNDNAGGDNDAAMEEDDGRS RSNTTTSTTSSRRSPASSMTSMDAASLPSSQDERTYSAGVRSERQESMTKSLFSRGSR ILRRQGSKFNIVATLDEEDEMEREKSRFEVSDLFSRHHRSRQSDAHEQLKNLISDPFD FHHLTHTSPSHFQEIDGARENDLVTEFSAIRASQRPVTGLKGIRAEDLHFRDFSSENL ANCGTGIARDHTVPAPISPPRSPGTSSSAASPKQQDDKLRRESRVCENFSRPYPRVGA TTPPGLAASPEISEPAPRAIDEILGLSSPSTYPEHVYSNDDDEAQERRLLHMNVESIF SPTIGQAIIPNAQKDTIGLRTSSMSSTNMSSDLDVLPEEEEATHLRETLASSIEETDS RSQSQLSSPETELQSTSVVRPKSDLSVYVTEELSKKVSEALGSPTLPQHHQPEAPQHG QRLGGTVKRTASVRRRATYETIYESWDADIDYCYEHAAESNCNFDWARNSFDEPQQDA IDVRITSSQVDPVNGANKMHLLPAAHLSTSTVPRLDLEPSPPPSFPSAQESLTPSTAS CEIEEGGSQRNSDYFQPVSSSMFPSTLGKHLTHDTLYEEYLAGDAESDRHFSFCSQGV IQPMEQPVSPRSSFSPISKCNSEESLILSRAASIVRKHRSSVSTTSVPELVHSLANSR ELSTVDQATSGDHSLPSGPGQPEPSYHRQTKSLARDIETQVAFRADGSLILESAGLMP NSGPAIHDRARSSSEVEATKAELPIRGTHRRKGRSSYSLFPSPATSTNVL AOR_1_1142184 MSSTSQALAKRHTETSLMPPPPPPKRIKRPATVLNEDVYTNTLS DIIARDYFPGLLEVQVKQEYLEAIDSRDKEWIATSKKRLTDLMLTPERARNRPGSSGP TMTTNPTEDRHAGDTPSGWGGDTPMSIVSMASSSPAQHLKDNNAPSLSNLGLLEFQAK YTSEDNESFNKLLDKQNTKRREKYAWIWSGNKIPSARQIAHYQREVKQIEEQGLNPYQ DKQLATKKDLDSRPAKPDSWKARSENSLMFMPSSVEDTLETLQQKAEASSRAGPKRVV CQNTRLPDEGFQAAQDGGALPPLPSISAIKDAIAGRPCPTNSEAGYSGSETPRVNGYA FVDEDEPDYPINIVSKDSHTDFLEDLRLLGTGDKTPNPFQIKENRRREDLHHRIVDRV ARKKRAEKVAQETKSPVTMTPRFASSPRLDFGLRTPGRATVGGGGSTKLLTPAAQKLL HRMGNTPRPTESSSSNLKNVWTPTPRRAK AOR_1_1144184 MRPELARLGHAADLTSLAPEIHSNLTINDGDSHFSFPLGSYNGL VIEDDVDDAGDLRGLDIVRRAPTGVSSLGNNQYQKGEVKLGETQWWYFSKNSVKDKNT NKTSEGPTSDQSKRSETVFISLTACSKPSSNRAGSDSARDLPHLEVYVSTSELLQKPG PEQERSNQTKYMVEEGYMGATVEVEGNVYIGVTAHNSTKYSGSYSYELAASVDAFFHS FAHDPAFLYFVDSDTHSALFTTGNLTEAELGSQGYNNWMGIAPPYTMFANNINDTAIS GLHHSSCALDRLAQIGKGDGNINASMTSRGPGNKPKEQLYITGLNRSSMYEGILALDG NSTGSRNGVIGGGGKIWKPITFSTKADGNCALLYNLSFCNEVAYAVPSNPTFNLDGLR SFYDDNAAALYKNFSYSLEQIQCNATNETRFSLAVGCDDCARAYKQWLCAVTIPRCED FSRTSRFLQVRNAGQKFINGTSLSDNHTLQLNTSTNRSRNSLIDKEIRPGPYKEILPC EDTCYNLVKSCPAALDFSCPQGRWLTSTYGKRGPDDLVTCNWVGAAYFMGGGDKINPL RSGLFALVTVWLSYWALGGS AOR_1_1146184 MSESPKSEKYMFLSRFSTPVPELDDHRFQLDPPRIEATPDMTLS RQNTTPQNLNMETPQRSDLLQVQQVQDALREAGPFSRDFEQAIADDDRSVKDVNGLGR RFSLDPTGNIRQGRAFSRTHQDIANMSRDSSVSARSTSPPNSVEAFADPRRRERANTL ESHAAPDLEAILQRTVSGGTHPRRPTFSNASAIRPQPGDIQLDSPEDTCAPPFEQLGR IPVIDYEELEEFVALNQKTKPITTRRKHSLSSQSKKSRVFYDLRPNAQKSEAHEAKRS SSVERSSDDIIGLDLKDSEKVFADAVNEKQLVENLRNENEPTRFGFFSSESQSTVHAA ELGDLVFPGDTFRDLFQLGPEGGVWWLDVLNPTEAEVGALSRAFSIHPLTTEDILTQE AREKVELFKQYYFVCFRTFYQIDKTSERFMEPVNFYMIVFRDGVLSFSFTENPHASNV RRRIGKLRDYVSLSSDWICYAMIDDIVDSFGPVIREIEVESEAIEDLVFIARMDDFES FLPRIGGLRKKVMSLMRLLGGKADVIRGFSKRCNEQYSVTPRGDIGLYLGDIQDHVVT MMSNLAHFEKMLSRSHTNYLAQLNVTNLVLGNHVNKILSKVTLIATMLVPMNLICGLF GMNVTVPGQGQEGLAWFFGIVGVIAAVVIISGIAARCYKLV AOR_1_1148184 MASSFVIKTPCSSANIGPGFDVIGLALSLHLELHVTIDSTKASQ SPLNCVVTYDDQSNSAEEISLDPEVNLITRVALYVLRCHDQRAFPAETHVHIVNPIPL GRGLGSSGTAVVAGVMLGNEVGRLGLSKERLLDYCLMIERHPDNVAASLFGGFVGTYL NELKPEDIARIEIPLSEVLPAPAGGIDTGIRPPEPPLGIGHYRKFQWAKEIKAIAIIP DFVVPTANARGVLPTAYSRADVVFNLQRAALLPAALGTSPPDPDMIYLAMQDKVHQPY RKTLIPGLTEILQSMNPSTQPGLLGICLSGAGPTILALATDRFTEIAERIIANFASNN ITCQWKLLEPAQDGATVHY AOR_1_1152184 MDDVLASVQNICEGQIDFHGQRMAEILSTALLIISGVVAFLAGY IYRDIHLTLWVGLAGTLVTGLAAIPPWPMYNKKPEKWLVPLAGSVGGVRVTVDGSKVA AOR_1_1150184 MADVEMKEASAGASAKGKGASKASEGASDGKKKFEVKKWNAVAL WAWDIVVDNCAICRNHIMDLCIECQANQGSSTTEECTVAWGICNHAFHFHCISRWLKT RQVCPLDNRDWEFQKYGR AOR_1_1154184 MSNLSPTPPTPKGPRNNRRNPKRNATPTTQRATLLTTPPSSPPR NMSPGGTATDSSANLSKKKSGRSNKKPRDLSKASPTQRNGHRRTYSHSNNITTPQLKD SPHYAGPTFHASPAPSSLPIPSFFSKSVPDPDLAPAIEADGDKYDVGPGYEATPSKLR PRAQFQTEEPQSTPLDFLFKAAVEARNSQPQYSPEASIKIRSPQTDSKTLPQRKPNGS TEGSLPLGVVYPVPHNSQIGPSFATPYKDRMNALRSASSPSHSVVELDEDQRRAKTEA LKDLLLNPRPQRPSYVSKSCSQTNGVNEQPTPIGNVPHFATVLRTASGPSATLYNNVL PGQNQSTVGNGWQSPFSNSYTINPQPSQGQPSTSNKGALSSIPGNTANVSGEKCSSPV YNQTKFDINSMQGPNHPPVHQSPTSRVSNTSTKALDTKKMEDDLRRILKLDVNPGLPS NSIQSSFA AOR_1_1156184 MANPITSAWRSFWHTMTSYDRHASHDSPYRTGKHVPLSQSRHEP LTSIATSAIESRPDLTETYEDDQPKGGTASNSWTGSPTGIGSPTRPYSPGMRSLSSQK RRSTDPGVDGAAEIQMQSFHDGAPPPPPVTHSWRKIERWLENNYEELYDNLCEGCTQN DINELEHDLDCSLPLEVRESLMAHDGQERPGLPTGVVFGCMLLDCEEIVQEWKNWRTV NEEFLSSSGIINTSPKAAAGSSSAAPPAPTTGSNPLWRQDLLERQDSQPSGAVQKAYA HPAWIPMARDWGGNCIAIDLAPGPAGKWGQVIIFGRDYDCKYVVARSWASFLAVMADD LCSGKVSVDEETNELKLLEFKAQNVEPPYMEILRWRTDQKYGRRPPRRKGPNGLGLNT GSKSGKESPYGSPTPSEERGRSPHRFPNRGSTQSPKTQFGISSPLARVTEEAPSPVHT NADGGLPENVVKSDDTKETQSNDLMEVSTHVGKEKEGVLDKGAEQRPLETEMMKMQES THGSPGTALDAETLGEMKNVAI AOR_1_1158184 MIRNIEPKHSLRDQDDGTDDDDLLKALENEDDSAYRAQRIEQLN AELASAQNNRSVAPGQTTITQDSIYPTLENDQILLSFTTDTHRCVIHFAHPDFSRCGT MDEHMRALATRHYDVRFARVDVRDIPFVVEKLKIRVLPCVIGFKDGIAAERVVGFEGL ALGGRDGTDSFSTATLEKRLLWKGVLVQAKIKDGNDDSDMSDADDEDEDDGRRRIHGG GAIRGGGARYNRDDHDDDWD AOR_1_1160184 MVESKKTDDYAIEMDKIDQGSKNFEAAAPPQPRSVPSSSLSGNP VLPVLAYCGSSILMTVMNKYVLSGLDFNLNFFLLCVQSIVCIIAIQTCKFCGLITYRD FSADEAKKWFPISLLLIGMIYTGSKALQFLSIPVYTIFKNLTIILIAYGEVLWFGGSV TGLTLFSFGLMVLSSIIAAWADIKHAVESSGDTSAQVSTLNAGYIWMLINCLCTSSYV LGMRKRIKLTNFKDFDTMFYNNLLSIPVLVVLTGLMEDWSSANIDRNFPQADRSSIMF AMILSGLSSVFISYTSAWCVRVTSSTTYSMVGALNKLPIALSGLIFFDAPVTFPSVSA IAVGFVSGIVYAIAKIKQNAKPKTGVLPTSNPLVSASSQSMRDSLRS AOR_1_1162184 MHTFSKLSSTISSASSSPKQNVGKKNPLHQRPIIPFGRNDRILL VGEGDFSFTRSLVDQHCCKNVLATCYDSREVLYSKYPQAEPNVCEILNGAPKRKDHSP DSSITTELKSQNQSQNQNQECYRGDDHEGHREGEKKGLYEDKPRQRRRPNVLFSVDAR KLGQASGGGKDVRFGLPRRERKRPAWQEAKKSSGTSILPSTRGGPWDIICFNFPHVGG LSTNVNRQVRANQELLVSFFKACVPLLSSRPEMNNYVNEDGWDFSTESEFDFNEEDNA DMLGSRNDMAQQSRRHRNEPGQIIVTLFEGEPYTLWNIKDLARHAGLRVVTSFRFPWA SYRGYSHARTLGEIEAKNGGRGGWRGEDREARMYVFEPKEERILPTMDFQSSKRDKTS RNKRSRASSEDSD AOR_1_1164184 MAAELTSTKLNAENHLLLDQPLLRLPHELARKNFKSVQRIVERE REYILPSLKATASISPCNGQTPDQTLAALDAMISRMQGLKRKMENLHQEERKIHDQSR KRIEHLENLHQIHSLADVKYDQWSRVRLDRLVTDYMLRSGYTESARQLAHEKDIEDLA DLNVFIQCQRVAESLRRGESKDALQWCSENKAALKKSQHNLEFELRLQQYIEMVRTGD KGKLVEAMIHAKRFLSPYIDSQSTEIHRAAGLLAFPRDTMAEPYKSMYAPDRWSYLSD LFVRTHHELLSLPSRPLLHIALSAGLSALKTPSCHSAYTSSSSNSLSTTTSVCPICST ELHELARNMPYAHHTKSYVESDPIVLPNGRIYGQQRLLEMSKKVGCVEVGKVKDPTTG EVFEENELKKVYIM AOR_1_1166184 MAVALAKEEMDYTIKPESGASNISTSDWPLLLKNYDKLLVRTGH FTPIPAGCSPLKRDLKSYINSGVINLDKPSNPSSHEVVAWMKRILRAEKTGHSGTLDP KVTGCLIVCIDRATRLVKSQQGAGKEYVCVIRLHDKIPGGEAQFRRALETLTGALFQR PPLISAVKRQLRIRTIHESKLYEFDNDRHLGVFWVSCEAGTYIRTLCVHLGLLLGVGA HMQELRRVRSGAMDENSGLVTLHDVLDAQWMYDNQRDESYLRKVIKPLETLLTSYKRI VVKDSAVNAVCYGAKLMIPGLLRFEAGIDVNEEVVLMTTKGEAIAIAIAQMSTVELST CDHGVVAKVKRCIMERDLYPRRWGLGPVALEKKKLKSAGKLDKYGRANEATPAKWKND YKDYSAPEEASEQLAVEPTPKSEVAVPTPELDEAPSSPQNEMGVDESKEDEKKKRKRH EGETPEERAERKRKKKEKKEKKERRKSKQEKEDSDDSD AOR_1_1168184 MDRFWSAPPVTRTLTALTFVQSALVYGGLLSGRYVIFRPGLVFK LLPEAWRLLSSFLLTGPRLDFILDLYFMFKYGSALETASPRFSLPGDFFTYVFFVATV ITLTAGCLLDDVIFTHALIMAFVYTFAQDNKGRKTSFFVVQLPVEFLPWAMLTWTLVL GGWHAAFSESMGIVAAHMYDFFSRIYPTFGGGRNYIVTPTVVRRIFSAHTSPSQHRAY GTAYRPITEEQNPSQGRGSFQSPWSSRGPGRRLGGG AOR_1_1374184 MKRNVLLALGGRRSAIALRTYSSLCDRLHRELTSRILPLHFDYL HTQPSHLLSLTLADLLPGDLISSRIHTALPSVTHASRMPAGHHLAYFPPQVTLSQLLP DGTDILHSPGGPFERRLWAGGSVRFPVTGGLILNGARAVCIETIRDVIVKGRQGAEKV IVKIERRMGVVREGEEEGSIRERIWKETEDENGHATIIENRNLAFMRKKTQDELNFDR MDFDNCQRVIKPPPSPEYRLKIKPTRSLLFRFSALTFNAHSIHLDEAYTQNVEGFRNL LVHGPSDHTIREFDYRNVAPLYVDEPLTICGKPKSGKENVWDVWIEGKNGGLAVRGTA FTSPV AOR_1_1172184 MEHITVTFLGPAASFSHQAAVEFFGKSAELLPCVSFTDAFTAVQ QGEADYAIIPCENSTNGPVVQTLDLLADPNGFYEDVEVCGVHYLTVHHCLLARRGVFS SQQDYGSVAKLYTHPQAWGQCERFLGKYFRSIERQDVSSTSKAGEIVSKAKTEQSAAI ASRFAAELHGLDVLEENIEDKTDNTTRFLVLRNKNSGRTAPRPFGDLDNRRVQGASAA TARKTLISFMVRQYAPGALAEALLIFKRHGMNLTSINSRPSQKKNWQYVFLVECQTAD NPGDKGVGIEILSHLQSVTETCRHLGTWSE AOR_1_1174184 MSAAQANEAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPKD QVSRAAKMLAEEFGTASNIKSRVNRLSVLSAITSTQQRLKLYNKVPPNGLVVYCGEII TSEGKERKINIDFEPFKPINTSLYLCDNKFHTEALSELLDSDQKFGFIVMDGNGALFG TLSGNTREVLQKLSVDLPKKHGRGGQSALRFARLREEKRHNYVRKIAELAVQNYITND KINVAGLILAGSADFKNDLNQSDMFDQRLQSKVIKVVDVSYGGENGFNQAIELASETL SNVKFVQEKKLIGKYFEEISQDTGKVCYGIDDTLKALELGAAETLIVYENLDVTRWVL KNSTGSEVVIHTTKAQEENRDLFVEKETGTEMEVVDQSSFLEWLAESYKDFGATLEFV SDKSSEGNQFVKGFGGIGAILRYKVNFEQLADFEDEDEFYDD AOR_1_1176184 MVSGYQVQIRQIYHQISILRPASFSARFRQAGGVNSIDSFARSW QRAAGFPEIIPRRPSFVTVEPDEDCIVNDTAESRDRRSLGGDTDITRPLLAADTEEEG CDLDGRSPPKGIASIFGSSLDRSTGTSYGTISSRVSEATRRHAVQLHREQQARLDAPA IEDTESLLVKQVHHEDGTRESIVVGQSTVPQTIFNSVNVLIGIGLLSLPLAMKQAGWL LGLTFLSFAAVVTSYTARILARCLDVDRSLVTYADLAYISFGNHARLVTSLLFCLELI GACVALVVLFADSLQALIPGLSSLQWKLICGFMLIPLNFVPLRLLSVTSILGIISCTS IVMLICLDGLLKPNGLGSLHEPATTSLFPNNWRTVPLSFGLIMSPWGGHGVFPNIYRD MRHPSKYGKSLWATYLFTYSLDCAMAIVGWVMFGEEVRDEITANILLTNEYPRGISIC IIIFIAIIPITKVPLNCRPLVATVEVLCGLGPHVGLAPENPKSTQATIRQSLQAIIRL LVVAVIVLMAILCPSFDRIMALMGSALCFTICIILPLAFYLKIFGQEISRKEWFLDWL LLLISTVLAIVGTAWAFLPENMISV AOR_1_1178184 MASRRSRTKKATLLSHTRPPTVRAKHATLSSKATRNLIRGHHRL LKNRAQALKANDDLLVERIDARIRENGGLEGYQLASRLGQSLERGGDSSKVLVDWLSP QLSRLQDTKSKLRVLEVGALSTKNACSMNNFLDVTRIDLNSQEPGILRQDFMEMSLPR GAADQFHIISLSLVLNYVPDAIGRGEMLKRCVAFLRKSPLSGSPFHISPRLFLVLPVA CVKNSRYLTECRLRDIMSSMGFVLEKSKETSRLIFQLWEHSHDFQPTSFKKEVLRTGK MKNNFAIVVKQ AOR_1_1180184 MAPKADNKQGKTKPSDRAGAAAKAVLKGVGAHKARKVRTSTTFH RPKTLELSRSPKYPRKSIPHAPRLDSHKVILYPLNTESAMKKIEENNTLVFIVDVKAN KRQIKLALKKLYDVDTVKVNTLVRPDGSKKAFARLTPDVDALDIAATKLAIV AOR_1_1182184 MDQQLDVSKLSDADKKELNQILTNEAQKSNIQQTVHHLADVCWK KCITGKISSGRLDQSEETCAQNCVERWMDTNLAVLKHLEALRSQ AOR_1_1184184 MPPIRTSRNRKPPPAGFDDIEDTLLEFSNKMKDAENAPHDGKKK HEMLWSIFQITHQRSRYIYDLYYEKEAISKQLYDWLLKNNYADANLIAKWKKQGYEKL CCLRCIQTKETNFNATCICRVPKAQLKEDQMIQCVSCGCRGCASSD AOR_1_1186184 MSSTRPMTTASAVVNSSSPTEHGIAKSRQLEPSPLPLQPMENVT DTGCILNLPPARTHKPSPTKTRRGSGVSLSGGGKLGFVSITAPVPPSFPTDSPTKKTP SNPYAYPVTSTMSMPQSALFTIFPSVNPDRLSRQSQPIDEPPSDNFADFPEPSFHSRA QSKRTLMDAAPLKERPAKKPKREDTNSMRLPEPHEMPPIEDDGVKPPYSYATLIGMSI LRAPNRRLTLAQIYRWISDTFSYYKNSDPGWQNSIRHNLSLNKAFIKQERPKDDPGKG NYWAIEPGMEAQFLKDKPFRRATMSSIPLPAAPQRELTHSQSSATATWTVPPSTYPPV VPKSSKNVDLSSDATLPASDPALQDDTGDEGVNGPTTQTLPPRSSPPQTIHSSPPIVP PRFIRQGTPPTPSQGVTATGVVSRSRKRKSTGMNDSGYFSSLESSAMRPNKAGHILTS DLDIEPPRIKRGRAEEEIARIRSSSHDISPGHSGTLKDAGLIVGSSPLRSEYVSMLPP PITPVIKFKKPAKPPPSVSPNTNLRNHRKKIQQMVNSPIKHLGLTDEDLPWSPAFNIQ DETFTPNENLHTTFDVFADTTTDNISTPAYGSPGKRSAKRSRSDASGSNSGVLADITT MSVNGRIGLPSFSSSSKTKGLLFPDSPSKVPDSGRFIDATHDDFFSFHLFDEGPGEVD GVDLLQGFQKIGSGSKEEPSKPRQHVPRPQLNHRSNMSLF AOR_1_1188184 MESRLPSKLEPLSTSNSDLVGPSNGNWVVQKFGGTSVGKFALNI IDQVVLPSLLDHSVAIVCSARSSSTKAEGTTNRLLRAARDAENAESKNYVSLVEAVRL EHIEVVESQINSKGLRLQLVTEINDECEKVLKVLEAAQTLGEISVRCVDKVMSTGEKL SCRLMAAFLQDRGVDSEYVDLAEIVDFTISSQGLDQEFYNNLASTLGKKIRACEGRVP VVTGFFGTIPGGLLDQIGRGYTDLCAALVAVGIRAKELQVWKEVDGIFTADPRKVPTA RILPAITPAEAAELTFYGSEVIHPFTMEQVIRARIPIRIKNVMNPKGNGTIIFPDSSY KLEKAAIGHDPRLFRTRSPSLVQTPKRPTAVTIKHKILVINVHSNKRSLSHGFFAGIF SVLDRWRLSIDLISTSEVHVSMALHSESPLLNGVGRDEYQIIDEDLKGALRDLQKYGT VDIIPEMAILSLVGKQMKNMIGVAGRMFTTLGENNVNIEMISQGASEINISCVIEERD ADRALNIIHTSMFTFLD AOR_1_1190184 MDPARAEVPTCPFCTFPEPDGNSNMDHFEVYHPEIDRRLGVSSG KKAIHLNNLKISSDCRQHLSASEENEHLGKYTHCPRGCGEIVMDAELPTHLELHQAEE ITHESTTSSPPEFSGSFTAQKQSRPGDCEDLDNAQYSHGLFVKSQLKKEKPTQEAVRE TRPRSPSNITLAVKKLGRTELGPYAHEKQMPSWLRKLLEKRVKITESNIIAPDGTLRR HRSTENETTDVVPVLVRLCEQDKSVQRAFFCSPKVHQISKMPKEGGFCGYRNIQMLIS YIKECRIPGHECFSETSPTILQIQEMIESAWDMGFNSIGRIETGGIRGTRKYIGTPEA QALFLSLGIQCEASRIGVTKDMQAHDVLFMYIANYFRQACSLDTNDKVFLTNLPPIYF QHQGHSLTIIGFEIRDNGSANLLVLDPMFKPSSAVKRLRGTRAVSADPARILKGYRRG AAYLKKYKVFEILKLSTSQDLVQDLTCTKP AOR_1_1194184 MRTAPNVIITGTPGVGKTVHCEQLAQDTGLRHLSINQVAKDRDC FETYDEELKTWIVDEDKLLDAVEDEMLQGGLLIDWHACDLFPKSWIDLVVVLRCPSTS LLYDRLSSRGYKDAKLQENLDAEIFGVLLEEACEAFDEEVVVELMSEKDDDVEGNCER ISSWVSSWKINQNLNAN AOR_1_1192184 MTTLKKLNFITSNRNKLAEVRAILGNAIEDKSADAICTFAFSHG PGSEPILFQGRTKGVIVRPRGPSNFGWDPIFEYEGKTYAEMDKEEKNQISHRYKALEK LQRWLVQEKS AOR_1_1192184 MTTLKKLNFITSNRNKLAEVRAILGNAIEVDNQGLDIPEIQGTI EEIAREKCRRAAEVIKGPVLTEDTALEFYALKGLPGPYIKPFLDVLGHEGLNKILDSF EDKSADAICTFAFSHGPGSEPILFQGRTKGVIVRPRGPSNFGWDPIFEYEGKTYAEMD KEEKNQISHRYKALEKLQRWLVQEKS AOR_1_1196184 MAHNYEVGTRAWQPDPTEGWVASEVKEKLVDGDKVQLVFILENG ETKSLETTQAELQVDNNPKLPPLMNPAMLEASEDLTNLSHLNEPAVLQAIKLRYAQKE IYTYSGIVLIATNPFARVDSLYVPQMVQVYAGKHRASQAPHLFAIAEEAFADMLRDAK NQTIVVSGESGAGKTVSAKYIMRYFATRESSDQPGKYTTSRADAISETEEQILATNPV MEAFGNAKTTRNDNSSRFGKYIEIMFDDRTNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLVAGATDAEKQELGLASVEDFDYLNQGGTPTIDGVDDKAEFNATRKSLSTIGVS EDTQAEIFRILAALLHLGNVRITATRTDSSLPPSEPSLVRACSMLGIDVNEFAKWIVK KQLITRGEKITSNLTQQQATVVRDSVAKFIYSSLFDWLVEKINRGLASGEVLNKFKSF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVREQIDWTFIDFS DNQPCIDLIEAKLGILSLLDEESRLPMGSDEQFVTKLHHNFAADKQKFYKKPRFGKSA FTICHYAVDVTYESDGFIEKNRDTVPDEHMEVLRNSSNQFVKDILDTAAAVREKDSAS ISSKPVAAPGRKIGVAVNRKPTLGGIFKSSLIELMNTINSTDVHYIRCIKPNEAKEAW KFEGPMVLSQLRACGVLETVRISTAGYPTRWTYEEFAIRYYMLCHSSQWTSEIREMCH AILQKALVDGSSQKQDKYQLGLTKIFFRAGMLAFLENLRTSRLNECAIMIQKNLRCKY YRRRYLEARASILTTQALIRGFLARQHAAEVRKVKAATSIQRVWRGHKERKKYNIIRA NFILFQSVAKGFLCRQNIMDTIHGNAAKVIQRAFRSWRQLRAWRQYRRKVVIVQNLWR GKQARIQYKKLREDARDLKQISYKLENKVVELTQYLESLKRENKSLNLQLENYETQLK SWRSRHNALENRSRELQAEANQAGINAARLSAMEEEMSRLQQNHSEAQATIKRLQEEE KASRESIRSANEELQRLKQMNTESDDEKASLRQQIADLEEQLELAKRTLPSGGLNGDQ SNGSAIPPPASGLINLVSSKKTKPKRRSAGAERIDTDRFSGAYNPRPVSMAVPSSLLR QNLSGSTFSPGLDSVEVELENLLSEEDELNEEVTMGLIRNLKIPLPSSTPPPTEKEVL FPAYLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGDDAINPGAFWLSNVHE MLSFVFLAEDWYEAQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLFKMIVP AIIESQSLPGFVTSESNRFLGKLLPSNNNPAYSMDNLLSLLNNVYKAMKAFYLEDSIV TQTVTELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQLE HLMQATKLLQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAV ASRVTEKSDVLLLTPVDMEDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSAQ AMAQQERLEMAEMA AOR_1_1198184 MSEPIRNKKADFPVAPTPQNTPANNAPISSHAQQPGVSSIKEES LDHATAASLFARNPGLVSMIQGKLGSLVGRSSGYIESLPAPVRRRVAGLKGIQKEHAK LEAQFQEEVLELEKKYFAKFTPLYQRRATIVNGAAEPTDSEVDAGKGEEEDVDVKSED ESKKSEDKVSSTAGIPEFWLSAMKNQISLAEMVTERDEEALRHLTDIRMEYLDRPGFR LIFEFSENSFFTNKTISKTYYYKEENGYGGDFIYDHAEGTKIDWKDDKDLTVRVESKK QRNKNTKQTRVVKITVPTESFFNFFSPPQPPTDDDDTVATDIEERLELDYQLGEDIKE KLIPRAIDWFTGEALQFEELGDDMDPDEFDDEDEDEEEDEDDDDDDRKSDGDVDDDSD EEDGTSKPKKEAAECKQS AOR_1_1200184 MYDTVTDSKLSPTETQVPEISRSMISHRRDSMRSSYSVVSDVEM ARHEVFDGPISESIPSSVVSFSHRRNRKDSVVSFTYFRDEEDFVEWPDEDAVDAESEA DNLVVDPDSHSFDEENDPTISFLRYINCRYLRFFYHPLEDKFCLISGWKDPLWTNAKV MRSGLDADDRDSREQIFGKNLVDIQQKPLFQLLIDEAFHPFYIFQLASLILWSLDEYY YYAICIFIISVFSIGATIIETKSTMSRLREISLFECDIRVLRNGFWRSVPSRELVPGD VFEFSDPSLSQVPCDCILLSGDCIVNESMLTGESVPVSKTPLTDDALKYLNLNTPSVH PNIAKHFLFGGTKVIRARRPHNVDDDDAIALAIVVRTGFLTTKGALVRSMLFPKPSGF KFYRDSLGYISVMAMVAILGFVASFFNFVRLGLSWHLIIVRALDLITIIVPPALPATL TIGTNFAISRLKNQKIFCISPQRVNVGGKLDVICFDKTGTLTEDGLDVLGVRTVNREM GLSDLYSDVTLGSPAASTCDTSYDRKKRDVLTYIMATCHSLRVVDGELLGDPLDVKMF QFTGWSYQEGGSHGPEQPGSKFETIMPSIAKPPAISENLRRGNFTAPLELGILRNFEF VSELRRASVIVRQFGDNGASIFVKGAPESVRAICLPDSLPQDFEDLLNQYTHKGYRVI ACAARYEQKLSWMKVQKMTRGDAESDLEFIGFIIFENKLKPTSTETIAELNQAGIRTV MCTGDNILTAISVARECGMVSKSEQCFIPHIVEGRPHDLVASLCWENVDNPALKLDPN TLMPSVASSDLDLSIPVNVFNIHNFSLAVSGEVFRWVLDFGDETILQRMLVRTKVFAR MSPDEKHELVEKLQSLDYCCGFCGDGANDCGALKAADVGISLSDAEASVAAPFTSRQF DVSCVPTLIREGRAALVTSFCCFKYMSLYSAIQFSTVSFLYTSASNLGDFQFLFIDLV LILPIAIFMGWTGPYPVLSRKRPTADLVSRKVLTPLLGQIVICILVQLVAYKAVQSQP WFEPPEIDLDNSNIENSENTTLFLVSCFQYTLASVVLSVGPPFREPMRSNRAFISVVI IDLIISCYMLFRPSRWVVQIMQLTFLSGNFAGSLLALAVSSFIFSCIAERALFPGLAR ALGRAYVLLRPGHHKKRRQYKVLLEEMQK AOR_1_1202184 MAANHPIHIHPARPLYRFTATALGASMWFFLMYRAKKDGPALLG WKHPWEH AOR_1_1204184 MVVASLERDNNGSSRFRGCTGIREYEFLGKLGEGTFGEVYKARS KRDGSTVALKKILMHNEKDGFPITALREIKLLKMLSHSNILQLREMAVERSKGEGRKK PSMYMVTPYMEHDLSGLLENPAVQFSEPQIKCYMLQLLEGLKYLHGNRILHRDMKAAN LLISNRGILQIADFGLARPYDEPPPQPGKGGGEAKRDYTTLVVTRWYRPPELLLQLRR YTTAIDMWGVGCVFGEMFKGKPILAGTSDLNQAQLIFNLVGTPSEENMPGWSSLPGCE GVKSFGSKPGNLSEVFKEQNPAAISLLGELLKLDWRKRINAIDALKHPYFSNHPLPAH PGELPCFEDSHEFDRRRFRGQRAVMPPAPAGGSVGMGPNGGWSSNSGTRTGADSRNNR IPGAARFGKSNSHGSQGNAPRRSFDNRGNEPHQSRAKQDDSHRALSSWQKEGGLPPKP PLPSHQGWVPSYTGRVGRDRTHLGRFGGRPDSNVDSYVPTYNGVGEQPRDAYVNNRRD YSRENPSRRRSRSPSFREGSRPADRDLYRR AOR_1_1206184 MSAIPQEINSHQASGLSPNASFQSAPSSHGNSVFTNRTIPLPNA KHLKPFATEDIKVLLLENVNQTGRDILTKQGYQVEFLKSSLPEDQLIEKIRDVHVIGI RSKTKLSARILKEARNLIVVGCFCIGTNQVDLQYAAEHGIAVFNSPFSNSRSVAELVI AEIIALARQLGDRSNEMHNGTWNKVSNKCWEIRGKTLGIIGYGHIGSQLSVLAEAMGM SVLYYDVVNLMALGTARQVPTLESLLSQSDFVTCHVPELPETKNMIGQRQFEQMRDGS YLINASRGSVVDIPALIHAMRSGKVAGAALDVYPNEPAGNGDYFNSDLNNWTADLRSL KNVILTPHIGGSTEEAQRAIGVEVGDALVRYVNEGTTLGAVNLPEVALRSLTMDEPEH ARVIYIHQNIPGVLRKVNEILGDHNVDKQMTDSRGDVAYLMADISNVDNTTIKDLYER LESLSSRIMTRILY AOR_1_1208184 MLDIADFVSDRGGNPNKVKESQRKRFAPESVVDEILTLYEEARR ARYEVMQIGSQLNGLQKEIGKKKKNKEDASSLLEEKAALEQRKKDAEDLALQKEKQRD SKLRTIGNYVHDSVPVSNNEDDNVVVKTWVPENVTVEKRDCLSHHEVLTRLDGYDPER GVKIVGHRGYCLTGYGLFLNLALINYGLEFLWGKGYKPNQPPQFMLKDMMAKTAQLEQ FDEELYKVTESEDKSTDKYLIATSEQPLSALHDGEWLQDKDLPIKYAGYSTCYRKEAG AHGKDAWGIFRVHQFEKIEQFVLTKPEQSWEAFEEMMATSEEFYKSLGLPYQIVTIVS GALNNAASKKYDLEAWFPFQGEYKELVSCSNCTDYQARALEIRYGTKKATDVKKSYVH ALNATLCATERTLCCILENYQKEDGFIVPEPLRKYIPGAPEFLPYTKELPKDSTSQKA KGKQSSKAASGAEEATRKIQDLRV AOR_1_1210184 MPTAPKQRKIAIVGSRSVGKSSLTVRFVEHHFVESYYPTIENTF SRIIKYNGQDFATEIVDTAGQDEYSILNSKHFIGIHGYIIVYSVASRQSFDMVRVIRD KILNHLGADYVPLVLVGNKSDLKSEQRQVSLDEGRGLGEDFHCAFTEASARLGYNVEK AFDLMIGEIEKSQNPSQPTGGNKCVVM AOR_1_1212184 MREKVKRLVTYGKPSSRKSHNLKNRVKEASTAPIISSEAEGSNT CPCSSTLTRSPSSDSKQLCGVDSLDHIPDTHISSSQNRHGKAYDGTGTKRRKLHQEKA QQTQEPSASAGSHVGSIFAHESSTMFGETGSSNCVRANEALHMAKARGQSDACSLLTE AQADSDKHEQTPTEMTYMLQSSRDKMFSLETDRLSTSYPSGMNATRHQTDQPIPRKRL VDSLNTTEEVSVDRLSDRECQPDCQTSSSHIRKGTDGQRTAYMHRSKGSGTDNIQQST DSRPLTSGRPRVTYAHQRSFLNDAYVLGHTGEPGLLVSPTCRNLRQPQPSLGLLSQTY LHVEDEENLDNRPVRSIHELRQAGDNARFWETVDSIFEDIEDPHNSASDVCNGFVQLC TKLMEPRFLDRFSEAGFDERLVKCMTGGGFDIVSITLALCAYRLICLSSSFSSILPTP MWSKLLDKAPTLLDVQDDILVTAKQRSIGLSKAVQASLKSLLPRLSLAIYGEQPISTI SPRLQILVNLQSSLTVYQEKGTSVNIPASLLTLIIELLSPAACENAKFPLPFERYQTL VLALSILENYTILTGPLDPDCCNSLRSLTQCHKFLYPNQSDQSRRILILYIRVLLNLT NKDSSLCEECSRTEIVGGLVKVIISEFCAVPEENTGKEYSSLDAVILALGALINLAEK SESSRAIFLESTNNSESFLDLLLQQFSTSISSAPQAHSVPEVQHNVAIGYLSILLVTL CLNHMALAQVKVSLDGKGLAAALSTAQEFIRYYQKVEKDSRLFETRHGDGAELTPRLE RIICQIREEERQ AOR_1_1214184 MTMADERLEAIPSPAQGVGPIYRPDGEKPTATVSKDIPYENVHV LPQTPQLIALLTMIRDKRTGRADFIFYSNRIIRLLVEEGLNHLPVVEQAVTTPVGRTY LGVKFEGKICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETCKPKLFYEKLPAD ISSRWVLLLDPMFATGGSATLAVEILKAKGVPEDRILFLNLIASPSGVADFAERFPKL RVVTAFIDQGLDEKKYIIPGLGDFGDRYYTL AOR_1_1216184 MGKRKKSSRQPQGPKKREPLPTTFACLFCNHENSIVVKLDKKLG LGNLSCKVCGQRFQTGINYLSAAVDVYSDWVDACDAVAKDTANRYEDTNAGGLRSNEY TVSSSGQDAEYDDADRAGAYVDDD AOR_1_1218184 MAVGKNKRLSKGKKGIKKRTVDPFSRKDEYSVKAPSTFQIRDVG KTLVNRTSGLKNANDSLKGRIFEVSLADLQNDEDHAFRKVKLRVDEIQGKNCLTNFHG LDFTTDKLRSLVRKWQSLIEANVTVKTTDDYLLRLFAIAFTKRRPNQIKKTTYARSSQ IRAIRKKMTEIMQREAASCTLSQLTTKLIPEVIGREIEKATQGIYPLQNVHIRKVKLL KAPKFDLGALLNLHGESTTDDKGHKVEREFKEQVLESV AOR_1_1218184 MAVGKNKRLSKGKKGIKKRTVDPFSRKDEYSVKAPSTFQIRDVG KTLVNRTSGLKNANDSLKGRIFEVSLADLQNDEDHAFRKVKLRVDEIQGKNCLTNFHG LDFTTDKLRSLVRKWQSLIEANVTVKTTDDYLLRLFAIAFTKRRPNQIKKTTYARSSQ IRAIRKKMTEIMQREAASCTLSQLTTKLIPEVIGREIEKATQGIYPLQNVSPYPQGQA S AOR_1_2908174 MGWAWFFRVWAVALLANNITCQQMPPCAAACMDAGVGGSSCSPF DTQCICANTALQQNISGCIVMTCSVKEALTWRNASNTMCGIEPRDISQITTTVTSVFM ALAIAFTLMRCSESRDHYGPEDIFAVIALDGYGKDIWTLPFDSITRILKFTWLLQLLY IPALAATKMAFLCLYLRIFPSTGIRRVTWVLVTINVLYLLTYGFGTAFNCLPVSYIWT KWHGETEGSCLNFNAFGIANATTNIALDLAVIGLPLHKIAGLSVSLSKKIMLLAMFAL GFFVTIVSILRFRVVITYATTTNATCISLYPHQPNSLHLTFYPADDTVATSYWSIIEC FSGIVCINLPSARRFYRKVTHFCFGTSQTGQEEGYQNVTLGCSSASKKRKLPIELSIL KTTETTVRHDQMDVEMESLVVVSRSR AOR_1_2174 MDLLRLRDRSLLGQGALVAFVTYWAVWIIYTRWFHPLAKFPGPF WASVTRAWTLLHVLHGDAEKRQMKLHAKYGSVVRIAPNELVTSEPEAIQTLYGARSFT AKTDFYFAFRPPWARFPDHFSSEGGKQHGERRRIVSHVYTMTSILQSEKYIEKCIAVW LEKLGQMADRKESFDLWIWTRMYAYDVIGELYFSKMFGFLQAGHDHLGYIDATEDLVP IQFLAANMPTYVRGLFMLTGILFPKVRRALRALGDLTDATNAMLKDRLAAIQSDSEDK PQRHDILGKLLEISQKRGKELDFVLDDIKMESFGAFFAGSETTALTLSGILYHILRNR SVYEKLTAEIDTAVQCNQLSTPHISYNDAIKLPYLTACIREGIRMHPITGVSFPRHAP SSGCTIGGYYIPPNARIGVNPGVMHFNKTVFGEDADQFRPDRWIDGDVSKMDRYIMQF GMGARTCLGKNISMCEIYKAIPELLKFYTFELAGDEDMQTTSYWLYKPVAIDVNVRRR AOR_1_2910174 MAIAAAVSSNDVLKGVPENVLRELQKMKSMFTINRETLRTVTDK FVTELENGLSSHENEIPMNITWATGRPTGQEQGTFITIDLGGTNLRVCKVELTKQLGG YKITQRKFKLPVQHRQRSVDDLWALVADKLKESLENNLPVEIVALVNHTTGTLVATAY QYAQVKVSSIFITGCNPAYIEDCGLVTKIASYDLPAGKEMAIHKGYGAFNNSHSVLPR NVFDEAIESTSRPGQQTYEKMVAALYFGELVRLIILHLHHTTGLFTGCDLSRLDRIHS MESTFLSAMEGGPLGSLGEMQALFRERFNIEPKTKELKICRLVAEIACTRAARLYACG ITAICKKKGIEPYHVAVDASTLFGERAAAALREI AOR_1_4174 MSRQLCITSVDGHTGFLIAELILTDNKFKKAIGTVTGLTLHPDA PFCKELSKLGAKIVPHRPGRLRDMVSSLQEVGADTMCLIPPAHTEKFDITAELIEETK KANVPNVCFLSSAGCDLAERDKQPRLREFIDLEARFMASKGDPSTSTGHSPVIIRAGF YAENLLLYSRQAQEEGILPLPTGKDHKFAPIALGDVAQVAAHVLTGKGKHGFSDRHRG QLMVLTGPLLTTGDELASAASQALGENLKFEDISEAEAKKVLHAQSESDESEVQYLLE YYSLVREGKTNYISTTAFHDVTGGHPQEPPDFFKTYAQEFHAKRGHKKRKLSADK AOR_1_6174 MPREATDIEEGTQVYRPEGFHPVYIGDVFKDRYKVLNKIGYGVY STVWLVRDLEPAHADSYEGTNSPIFEREILTHLRDGDRDQIGYDYVCHLLDDFEHRGP NGTHVCLVFELMGETLRSFGAWFAESRLPNSVMRRFTIQLLLVLDFAHEHNVIHTDNI FVKFRDHSLIESGYLTDVAIPQQDRFEEQYSVVPSTPLRQYYFNDADSRRVDEFDIAL GDWGVSSWANRHLSETIQPVALRSPEVLIQAPWDASTDFWNLGAVVLEIFQAVRMFSG SVPPDGHYELKEHLAEIVDLFGPFPNELLAKWDQNLVRDVFGDDGRIKDAPPMNRPGL ASEAFMPGLDQELRDMFASFLHAMMKINPADRVSAEDLLRHPWLDAL AOR_1_2912174 MFFLPFLECPVEEKRTTGSIQRPFQWTDVVDGALLPVSLSLVES HTDPELPLEKEPTFHFSGERFISNDLLVKPPDSQTMSLFRHYRQDLDELRIVSSRTVT VAEDPLLANAWLLPLWNVDLKGDVRGKRCLLVLQLKGPTAADQLAHAVFGGMIEVWGI RSETVEVKEIILDASASLVIYLFSDGLPYHVYGHDTGS AOR_1_10174 MASINRIQPYTEISREILGREGYGVASYTWGYIARWDKPASDTP EGVVWTVPTTSKCPLSRARQVMEEIGTRYIWWDWMCVPQGLKHQLDPELFKAKGEEIG KQLHIYKNAAKSIVWLHSTSWEDDSALKELLLLEMSRPGFRDPTLVQDYTGKAGRWLE AAQADERWLKSGWTLQEGVLLGSTCLLNRDGMTLSDSKFYNSSETIIRDLSIPVSVLA HNLATAFFIQSEGHDSDTTRPRAGQFGHLPPKAPESVI AOR_1_2914174 MSDQATASDLAPGSEIKQELDNVEFEPLDKYVDSILRNAQVKFR DHAEEVPEGVPGLIYGDSESDEEPVMARGPMAKTALSAVAGPGSLRETTIGGAVVKNL TLLVPKDATGGYGDLPVLPITGVGQEKAELVAVVGKVPEEGAAPNIPILLLPDEEDDE GESQRASASATLSQLAAASVLVNLGKTAAKRRTQAPVKEEPKAKPSDGKASGKEETKD EETGEEETKEEEGKGEEGKVAKSELNSYVLSGDIEKLFGIKGLTGKLYQFKGTAATSD KPKTHPYTRLGPETKEDKKKSETKKEGKKEGTEEGEDQSNENDEEEAGPKARDAGKDP LKAQADSNDSAPKEEKAGKEDEAGSKGDKKDKEEKKDKGKKEPAREKVKINPKSLKLL GKPLGKILPFLESEELKSLPIENLEFTYCEEESGHFFPPGLRLEVDVPLSGSLQWATD ALQKMFGEERTPKKIHLSADLGKTRDWSKRPKVEDFALRGYFDGFGNQEWDILKFKTL GLELTATKAASKKPKDNDKGKDGKETKKKNDKGDDESEEQEQQSRDATEGSPTDEPKT TKGDKPGSEVTIRQVSSAQAEASATDGQPRRPQQDDADVEHEEGDSSKKGEEKKSPSK ADKKDDKKDDKKDGKDKKDKVKKSYNYGFGFFGTVSFIKIPHANSPLDLHIRIGRDFE VEKEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEEGKEKKKETKEDEGEEKNKGKE NDSEKKVEALEKKDEPSSDTNKASKDTAELAKDGEKSSEKSSEAGDKPKKAEGKKHSD GKHKRMWKLAIYCDEWKDIYGVKNVSLKKAELKSSYEQGDFKKTLEFNLSADIKLGGG SFKVKGKISKADSFLDAELGDVSLSDFKKIQAQMQGQHVPEEKKKEEKQKTAEEIKKE AEKKDEEKKDEKEEAQGHELIFKKIHVRISRQTVEKEQTWKGSLLFDGHVTFNGKSSA RARLELTRDGLTISGGLADYQIPDTKVTIEQAQMKIYIGFKRSKKDQKIEESKTNDNK SITDASSEKGKSGQPDQVETTAVVKAGVDSKPEKSDTKDVEKPGEKEKKTKRESEFAI LGVVKIHEVPVSVGFYMARKNDKEKRDWLAFGSVGNFTLSQLVPNLKGTDFDLQLDNI ALIASSEDREVTEEEEDKKDDKKDDKKDDKKDDKKDDKKDDKKNEKKNEKKNEKKEDI EKKKKEDKTEEGTKIELEKIFDIDDAYSKLMDKKKEGKKEDKKKGKKEDKKEKKDDKK KEKKEADYDDAHAGVLKKVESYKYPIRKGVQVCATIRKFDTLDLLNNNKPMDGLVLII AFTPNGLEITINLPKTLQVRVRPDVILGDFGASILPAKGELELSATLTLLFDDQRPIR VTGTITGSATEAKAAIYMNPNDKWINPFQLNEKVVVSKLGLGAGITYATVCAMGPDEL SLTGSVAVGSTLTADLVLSLGVKKEQVIYFHISELNIPKLVKLAGEMTDIVALQSVNG GEDFLVFRDITFYMSTGAKVHGVYYDRGIHVKGMVEFFGKKGDFDGQIRDDGVMINGG VDNFNIGGLEVRAARAGQERATMGIELTGDRQKVLIDGMIRFHALELSIFIDADVQER RLDADITLKFTESIMLHLKANARVPDSKSLEGVVMNFEAEIRPDVLGAIFDAINQTIG DIGKLATETIENAERKLQEQMDEKQSELEKMANELKAMKEKVDEEVRKREAKIDQDNL ERKRLEDELEKLEKAVTDAEAEKDQNKTKLNKLKNQKAAKELEFDKKIREKEREYERK EKEERDRQEEWKAKRRQLENQKEASFGDALRSKEAADADWASWVAQEDHLWREIQKSE KNAADAWAKGFWYWGETTKWNIIANKQKAELEWVHAQKAIATEARHVGEAIFCNPLWI EIEKELNKAGEEIQKHADALAYFVNGEHYKALEALTRDKKRELDRQIASIQKLEEESK NIETKLKLARQELKKNKGRITEKETKLQKEVERLQGELKTRPFEDAYKAKLQDHESIA AQIQWIQKKLDEIKTGIDQATQAAQESIRVLKQAIPAVERIVVTASTDVFVKRKPLTF KIEARWMGKLIHAEVQWAPGQDVNALYEQIGLKVIKAADEKA AOR_1_12174 MARLAHSVSELRGCLTYESRHRAPYPLQGTETSTNIVDKQQRIE ISPDNGSKLSSANLPISSTAVSMLASDVEYSAYPFLDFRSMSCLDKWDISYLASKGCF TLPRRRVLDEFVKKYFLHIHPGTPVLNEAEFWQLYSQQGDGGTSSGRSISVLVLQAIL FRTCPYVSMEALRECGFNDRPTAGDTFFNRAKLILDLKAEDQPLERAQGALLLSYQAS PDDPQIGSLLLANAIQNALILGKPTKPSISVERSTIKRLWWSVLLRDRWISLALRRRS QLTPKDFDPENNPLEEADFGKEIQESKVYDVHTKRILFTALKQQCRLAIIITDMVSLI FSSLRQWETETRYALSTAPPEVHHSVMSFIKMTYVYYHTAQIHLAHYEALLLEANLMF VGHSYTVQLRETGSCLHKAVNELHDVLQYFSDTKDIEAIPLCM AOR_1_14174 MIPKPYNGMGTREILHLVTKLSEGLGTRGCRPAQTPGAQDPYQR GAAFANAALKAFEDKHATGWFDLFLHYPRIYLLISTSTDYSLSSGRLPHENALPEVLQ SVASGFLGFQLPWVTKVSLGETERKPQEPNVRLLENNEVGVIGPRAQLSSTIETGRQM HARQQVPEPLSPPYNEARNSRGVNGHREEYAVRFEFLQSMPSIDPTFCLPVLKDVVYH VPWQGPLNGSEMAFPVGTEIMGMQEQTSFRDSQGVPYGWKI AOR_1_16174 MRHLRYVPLLAFIIAMGAESIAWTGQRANTRDFREQRSIIKDPS ILLEKTDSLNSLAFDLPLASDQILSILAARVLVGLINSQLPTILANHSQSDNTTAGYP TIRNDTRHDISSIRTNIRSPGVTYPMKLLSEANLGITTTITTDGAKATENAPSSFSPH RTPREMEAMVGHVLMALRTLGAAMLL AOR_1_2916174 MKTKSDAYIDQEDDYSSVDVEKGYSMPEISDLAMLELQMVARES ITFVGGPAAILLQIAHPLVGAGVADHSTFKTRAISRAEYTQMYIYCMIFGTTSEKAAM RAYVDKAHSRVGGQHNRQSYNAKDPELQVWVAATIYATMVNMYELIYGPLNSTRAERV YQAFSIMGTSLQVSPEMWPKNLTEFQLYWDDMVNKRLCVTPDARAVLHDIFHPAKGLP LWARPLAVIAMPFVKRLTIEQLPPRVRDQFFLKSTKSSRLISGLFITGMSGVYPFMPL FVRQFTKTYMMGQMRRRIKKRGGQLIKP AOR_1_20174 MAIGESERPFESSSVWRESMDSDSPGKEKQRDGEGAGPRGSTAL GARSKDLRYNKPKGFWPRIFKHFKRYWLCYGLLGFIFLAIFLPVFFLVIIPAIAQRLV NDASIPIHSAAIMQPTPDGLTFSLSASLSVPLGLSVRIDAFNLSLFNRDVKPMKPYVT VPLEGLRLKGKSDITITNQTTKIQDQDQFTTFLSNAVYSERFKLSAYGKATAHLGKIK VPLKLDKDIELNGLNMLKGFSIDNAGVVLPPEADGSNLLGQATLPNYSVVTFALGNVT LDLKIDDIILGNGTINNVLLKPGNNSVPLRAVVDIPNAIKNIAPILAAETNALSQGNV MISASGQSTIYEGEHIPYFEKVLNNLTISANVPILKGSAAVSAANILPANAAQASAAA GDGNNSATQEPAVMSAANILPDNAAQQSTAAGEDSNSATQEPAVVSAANILPSSAAQE PAATGDGSNSAQSTVAESDQSTEGLNIPVPTLPLSLGDILPTVSLSATLNVPTTSTVS EKTTVTEKSAGSTPTATTSSSGVDLEDLLSGLLGGSGSGGSSALSEIEDGIKNVLSLF TPSFITDATTLVSQASGFFDNETMQDTKAMISTLASIKPLLTSSINNNNNSSGISSSG LEGITSLFGNGTFLNDIDNILKDIAALPAIIGEIVNILTSDEVQQFLQELPEIMNKVL PILNPDLIKALESLITDNLTPELVNDLSSTLSALRPLLHDIQPILSNQTMEPLLNLVT TIISPTFLNEIEEVLTALPPIIKDILPLLGSDIITPLVNLIKEVLTPDFIKQISSLLS DLPDLFNNLVPLIKPVEELLTEIITPDFINQIGSLIKALPPIIQELLPLLDPLVNLLK EVLTTEFVNDIKSLIQAVPGLLDTVLPLVPKIEELLEKVLTPELINALESVLNAVPDL INSVLPLVPDLVNLIKKVLTPELLQTLESLLDAVPGLLNSLLPLVPKIEDLLQKILTP ELISALESVLDAVPGLINSLLPLVPDLVNLIQKVLTPELLQTLESLLDAVPGLLNSLL PLLPKVEELIKKILTPELISALESVLDAVPGLINSVLPLVPDLVNLITKILTPELISA LGSILDAVPGLINSLLPLLPDLVNLVTKVLTPELLQVIESVLNAVPGLVNTLLPLLPT LEDVLQQVLTPELISAVQSLLSKVPGMINTLLPLIPSLEDLIVNLLTPDFISQIQKVL AAVPGLLNALLPLLPPIEELIPKILTPDFISAIESVLAAVPSIIQDVLPLLQGDIIKS LVQIVTDIVTPEFISDISSIASLIPPLVHDLVPIFNKDLISAIENLLAAIITPEFIKD LTSLVNAVIPVVGDIIPIIGSDAVENLIAGLPDIINGILPILNVDIILALEKALTGLV TKQFVTDVGSVLAAIPPLLHSLVPIFGTELLGPIENVISTLLTQEFLGDVGGLVDTVP PLLNDTMLLFHQDIIVPGENVLKDLITPDLLNNVGSVLNEVPAIVQSLISVVGSDAVS SLLDAVPKLLNSLLPLLNGDTISQLVNDLTGILTTDFLNDIGTVISAIPGLVNAILPI FTGDTLTTLVQNIIPLIKAVVALLPLLTNILGSI AOR_1_22174 MAKTEVPRATTPDSEESKRDDTPEPWQSSISEKPTLNMVPDDEL LDSLQSTENVQKIVREGVLLATGAAAILLQVAMPGVAKGVDNHSSFAYRLLHRLRTTL TFVYCMAFGTKDEKRAIISMVNRAHAEVKGPDYSADDPHLQMWVAATLYASGIFMYEE VYGTMEPRNADMIYREYSVLARSLRVPQEIWPKDRKAFWRYWDKTVANLEVTDHARNI AQDLLYNKELFLPLRMGLPFVRLMTAQMLPQRLRVEYGMSDGRLRRSIYKSVILGAKI AYPIIPRFIRTVPMKYYMRDMRRRLRRMA AOR_1_2918174 MGQVKQDFLNISHAKEEVDLEAADYPGRLGWFEQSYAWPRLRNH LEGVLCWTLSWIVFLAVVYLYMVS AOR_1_26174 MYQTKGPRPAEKRIRRAAAACYRCHARKVRCDASILGYPCTNCV LDGRTDCTLRPNATTRFKNLKQSQRRNTVQGLVKPNEEEHTTLNSSGEPCETTPQSAM LPRASGFPELLESPRQTETIYEYLNALSLLPMSDVHILVTGGCLDMPPKSAMDVFLMK YFLLVHPSVPILNEVEFWNTYLQSEDTNYAPKISLFVFQAMLLSSCAFVPVEVIQQCG FNDACEARRTFYHRAKMLYDTNFESDPLARAQGALLLTFHTTAEDPQATMTWNMCAIH NATATGLGLHPSLQDPNRCAKKRLWWSIFVRDRFLWLGRHRRPQFTSANFSLNIDYLQ EEEMTNEIIMSPFYEPNVKRLLLKVFQAQCRLAVILTDVITISFSASDGDAPRLSLQE LDIYLTRIKQLRAGLAQWEETVYSPLHHTGIAEPGTVGIIINLTALHYQTARMVLGNY ETLLVESHLDMIQDRSASILLPVAKELKDAVFQLTQKLGYFSSRNLTEHIPLSV AOR_1_2920174 MVLAATDVKLSMSYSDMIDRKRTLDKCSEVIDQSRRVYDVTELF SQGTNQILHLAYAITKNLLLESNVPETGASLLEDSKCLEGSELEMKGRDKLLSPAFKR LRIRGWAEAFLKYPRAYLLISTCIDSSLATGRLPRNELLPPIIRDTAYVILGLPKLPW TIRLATGATSVPTEESVQKDQRRLRGRPESVSLNDTWYLSLLQNVDADPSLFDACALD GGSRNGNVETTIKYLTDDNHATVTS AOR_1_2922174 MEPLNNIQACRFLSMGYDGTFVKAHHQQFGFTPVDRVVYVDTIR VRAIGCSVFHEIPSSPQVKYPLNSKSATTTATPSSRVSTYFSSVGWVDTPVYHLDALS EGIQIQGPAMVIDKTQTIVMSPDSKATIAQDLLILDVDSPSPKSTSPEGIDPVQLSIF RHRFMGVAEQMGRVLQNVSTSANIKERLDFTCAIFTPEGDLVANAPHVPAMIGSMAFA VRSQIAEWQGRLQDGDVLLSNTPAYGGVHLPDLTVITPVFGSAGKDIVFWAASRGHHA DVGGILPGSMPPMSKLLSEEGAIFNSHLLVRAGHFDEEELRRVLCVEPARFPGSSGSR RFQDNVTDLKAQVAANHCGARLMRRLIEEYSFPVVQVYMGAIQDSAELAVRNLLKRLE HERSGEDISAVDYMDDGTPNQLKVTINPTDGSAIFDFTGTGPEVYGNWNAPIAICNSA VIFALRCMVNSDIPLNHGSAVCAGNVLTSQRIVDVIFKSFKVCAASQGCMNNLTFGND GENGFGYYETIAGGSGAGPSWAGTGGVHTNMTNTRITDPESLERRYPVALRRFSLRRG SGGAGMYPGGDGVIRDIELRLPMSVSILSERRSFAPYGMAGGEDGQRGKNTWITKAGR CINVGGKGSIRVQPGDRFVIETPGGGGYGPPGELVWSERDESIVMPTFIPVANGSVAA NRTLAEQV AOR_1_30174 MAPSHSFMLFLSVICTHLCSLVVAVPWVVTDYYEQAVVTEAYYY GSEVITTIQEVSPTATSLPEAVSTITSIGTGYYGGDATVIQKLYPTGVGESLDDYDRY PYYRDNSHYTIFKVYLTYSAPTGCATQWTQTTAVPVSPPVVVQNLLPRTATETSISVD SSQPFQPTTYTYDVVYVDPTQVPSSSLDSLSYYNRPTSLYTGAQCYYTSTEGSYSRSS YGGYYGYDDDYNWFLDDYYMGISPLALTLILTIGWIGLFLILGFIEAFVRFRRLMTGW QTRRGLPVCWSLTVIPISLLLLCFFRKGYRARSQADAEILKKRWDAMGFWTKLRLFFV WGLRFKYPPMLGPAPARVKTSKQPGKNPGPRLLTPSPSQSVAPDSRQGSTAGRSAGDL EMAEASPEALQHPSQAAVPPSTASGALPPHQDDHIGRAH AOR_1_32174 MSSTLVQSVEQRLNLRAYGGTIKDVKSLKPSTLNISLEELRSCF NEDGVLWVKGLISRDLINKCRRDYLEFVNQGSGMLKPGTDQEEGISSGSDWRNFILPG GTRLALGLEDEGQPIRFICQL AOR_1_34174 MDSTQQDEICSLSAQDREDTPMKWSWLRKHLILIQCSFLAVCPG FTSSILIPGTNAVALELGIPQQKATYFIAVHVLFLGLAPFFWIACMKAYGRRPILITS TLLSCFAALGGGFAKKYGGLMTARVFQSFGISAGFVLPGVIVVDIFSEEQRGRKNGIW AQMVSIGAPLGGVIGGPVVRYAGWQWTLWLTAIMNAVQSIAFILTCPETSYRHRTSGN AELRLQQVLEPFLILQAPHIVFVAFAYGVTFAIVSVGLATIVPIALEKIYGFGAVAQG LFFLGPLVGALIGEQLAGPGSDWVMKRERGNATVGDVGGTAQRLERRLIVGLPGFLIA VAGILIFGLTLQYRTHWMGPCMGFAVANFGLQMVTTPSKTYCVDCLPSQSGSVLQLIN TVRQIIAFTVPFWSPNLVEHLGYGLGYGIEAIILAAFSVGCVLVLCWGGLWRNKRSIK GLEDTS AOR_1_36174 MSLLKRSINILQVPTEPGLTTAELMLTNEDLRPVEPERRQWRWL NFVAFWIADSLNVNTWMITSSMIVDGLSWWQAWLCVWIGYTISGIFVIAMGRIATIYH IPFAVANRASFGIWGSFWPILNRAAMAVIWYGVQTYLGGQCVTLMIQAIWPSYVNIPN NIPASSGVTTMEFTSFFLFWLGSLPALWFPIYKIRHLFTAKAYFSPACAIAFFVWAIV RAHGLGPIIHQPNTAQGSTLAWAFVKSIMNCIANFAALIINNPDFSRYAAKPNDAVWP QLITIPVGFAVTSFIGIMVTSSSSVIFGQAVWNPLTLLGMFLEDASSAERFGVFVIAA GFALAQLGTNIAANSVSAGTNLSALLPRFCTIRRGAYVCAAIGLAMCPWNLVASSNKF TVYLSSYSVFLSSIAGVMISDYYLVRRGYLELQALYSAERNGPYYGTWGVSWRGYTAY ICGILINIVGFAGAVGAKVPVAAEYIYNINYLSGFLVAAAIYWALAKAFPIPCTSETW NEVPYLGEAMHADGKVIAEATEVEDAKAKV AOR_1_38174 MEADLDLKMKEDPQLAETNVQDGQIDSSIGTALFVTIGNGLAAG GPASLLIAYVLYCGVLACINNCLSEMIVLHPVSGGFIRLAGKWVDDALGFMVGWNFFL YESLMIPFEITAINLILSYWRDDIPVAAVCAACIVLFAAINALAVAAYGEAEFWLSSG KVLLIFLLFFFTFVTMVGGNPAHDAYGFRYWSDPGPFAEHRTAGSLGRFEGFLAAVWS AAFCIVGPEYIAMAAAEAKRPRIFVKAAFKTIYWRFGLFFALGALEKGGGASPYVIAM SNLKVRILPDLVNALLITSVFSAGNTLTYCATRSLYGMALDGRAPKVLSKTKNGVPIY AFLIVICFPFLSFLQLSDNSSQVLTWLVNLVTAGALIDYLVICITYIQFHRACKAQGI DRKTFPYYGYFQPYCSYIGAVCMVLVLLFYGYTAFAPWSVEVFFQNYTMQLIAPILYF GWKLAHRTRILKPRDIDLVWDRPIVDSYEATFTSPAPGFWTEMIQMFGFKRQQAEQVD A AOR_1_40174 MGLARHKTAVSRIANSIRGFYERKEPFRINHGSTNSTRPIRRDR EVDIGDLRNVLHVDPTTRRALVEPNVPMDRLVEAIMKYGLVPPVVMEFPGITAGGGFA GTAGESSSFKYGFFDKTIHSVEMVLADGSVVKASESENADLFHGAAGAVGSLGVTTLI ELQLIEAKKFVKATYHPQRSIRDSVHSVHEHTLNDRNDYVDGIVYGPEHGVVVAGEMT DELPSAAQVQTFSHAWDPWYYLHVQEKTRATQGPVSDYIPLAEYLFRYDRAGFWVGRS AFQYFHFPFNRLTRWWLDDFLHTRMLYKALHASGESSRYMIQDLALPYSTAESFIDYT SEKLGIWPLWLCPLKQSPAPTFHPHETTVKSEGFTPGQMLNIGVWGFGPKDPDTFVAA NRDLERRLRELGGMKWFYAHTYYSQEEFWKIYDRDWYDGLRRKYNAETLPSVYDKVRI DVEADRKERSESWSRKLRDIWPLGGLWGIRKAIQSKDYFIHRNSEWKWK AOR_1_42174 MALEKDVVVVTGCGGMGIAIARRVGSGSLIILADYSQTMLERAV HALREEGHSVEGVQTDVADISAVKKLALHAAGLGSIRVVVHTAGVAMNQAPPSRIYHV NLLGTANLIEAFYPLATAGTSLVAISSAAGHRIQGSLSPGFERHLATAPLQTLLQHPD FPAGAFDSVAESTDQRSRTSAYAVSKRANILRVQASAPLWASKGARINSVSPGVVLSN MMKEELQGPAASMLRESIDRTPAGRMGTTADIANAVAFLCSSDAVFVTGSDLLVDGGL TGLNLWGNGGLDSSPKSNI AOR_1_44174 MKVIIVGGGIGGLATAIGLRRAGHRVKIFERSSFLHEIGAAINI CPNAARVLSHWGFNVELARVVTARQSIVAAGSSLKPLAEVDCSKCDEVYGAPWLLAHR VDLHSELQRLATAQEGPGIPADIVLNSKVVGYDASEGYVTLADGSVHGADLIVAADGV HTSAIHHVNGRATRPVATGSAVFRFLLSTEELPDDPSLEPHFGDGLMRIMAAEGVRRL VWYPCANNTVQNFVGIHPDQHTNGHEQESWDRSANVDDVLAQYHDFHPSILSIIRKAT NIKRWPLLYREPVPTWSRDRLVLIGDAAHPMLPHQGQGGAQAIEDAGALSVIFTQLPT EPTADEIRDRLAVFERVRIKRASAIQVTSNVGQDEAWKIREHAQKYMPEGVDVPTSPS EFMEHNFRYDVLQDSRQHLEAFLVKS AOR_1_46174 MWRCGYQDVVHLHEAVLAYLSSVEKAALQRLMIDVHDYGSFGSY WMDSLCGMEQLKEIVLVVLPGALSPYGVYDQLPVEDSEIVWLLKAAFVEGARTSPGWA MPRVKVISHEGAAMGDIVVTADDLEIN AOR_1_48174 MSFDPNNVDLDTADPAEIICYLNASENDYDGRLGARISAIFVIL IVSTAVTFFPVLAKRAPRLHIPLYVYLFARYFGAGVIVATAFIHLLDPAYDEIGPASC VGMTGHWADYSWCPAIVLASLVGIFLLDFGAERYVEVKYGICREDPEPIMTSATDNSL RTTAVANQAPVEKEAQLESQSVNDSLSERSFKQQIAAFLILEFGVIFHSVIIGLNLGV TGEEFSTLYPVLVFHQSFEGLGIGARMSAIPFRKGSWLPWILCTLYGLTTPISIAIGL GVRTTYNSGSYTANVVSGVLDAISAGILIYTGLVELLARDFLFDPHRTQDNKRLTFMV ISMLWGVGIMALLGKWA AOR_1_50174 MHNAFSAVLAGKAINLTLHSSVSSIIAITYRTQASKPSIHITKP LRETSPNFPTPTQCLPALATAAAVTALAAPAPPAAIKERHSMCYRAPVLFPARLLPCL AOR_1_52174 MHLRNIVIALAATAVASPVDLQDRQLTGGDELRDGPCKPITFIF ARASTEPGLLGISTGPAVCNRLKLARSGDVACQGVGPRYTADLPSNALPEGTSQAAIA EAQGLFEQAVSKCPDTQIVAGGYSQGTAVMNGAIKRLSADVQDKIKGVVLFGYTRNAQ ERGQIANFPKDKVKVYCAVGDLVCLGTLIVAPPHFSYLSDTGDASDFLLSQLG AOR_1_54174 MVSNIFTWFLYVANQVSDLSIQPIQPQARLRPGNQQVVAPPPST TEAFDTGPPIIQTPTTEPSITPSPTSPPPAEATDEFWDDIITGVYGIPPLLKVHDRAG NIKWSWGRDDVTQELPPYIRHCLYSDANDATEVKWIKNGTAIAAIYSDLVLMINHTPD NPETDKLITFAVCRQNEFLWNAHTLEPLPGDRVAVGTTGSNAWDGILVYNSSVDNPLV DEPLILQNITGLRAIHGMIWDEQEQMLWAAGTDAAADGSDPIPAYGTIQGYPYNATTG ELEDTDEFMYRLPEAWDQETEWGPGYPWWCGPHDLVPIPNDRKFLMSQDRGLHAFDLN TREFFLDSKGVIDTYMRGFEVTTNDRKGFNRAGEYLELPESDLKGFSMAPDGSFVYVQ SLWRLLRGNHTNLVVDGVRQQINLGDEIYRSRWFADIPGWPKPAA AOR_1_56174 MSKTKEDPNELAPVPSQTGEVRDMPTYVTDDVFGEVTENGPNYR NVGWIGTVALMMKSQIGLGVLSIPTAFDTLGIVPGIICLIAIAVITTWSDYMIGVFKL RHRSVYSIDDVGALIFGRVGREFLGAAFCLYWIFVSGSGMLGLSIGLNAVSTHGVCTA VFVAVAAILGFMFSSIRTLGHITWLAWVGLVCILTAILMVTIAVGIEERPASAPKDGV WVSDFKIANNPSFSEGITAVSSLVFAYSGTPGFFNIVSEMRDPRHYTRALLICQAGVT AVYTTIGCVVYYYCGSYVASPALGSAGPTMKKISYGFALPGLLVTTTLVTHIPAKYIF IRILRGSRHLTANTLTHWVTWLGCTFGITLIAYIIASAIPVFNSLVSLIGALLGTLMS FQPMGCMWLYDNWSKGKQERSLRWMLMVCWSVFVVVIGTFLTVAGTYGCIVSVIDTYN ASGGSAAWSCADNSNST AOR_1_58174 MVLIHSSKAAPLATLGTFTSRCGVGTPLNNVSKCRLRVRSVSTS VLAETKGAPNVTLPPLSALPTSMLLRSLLVAAITSKPYLLKPSLSILSRLSKSGNSLL LSVDRNIILHTILKWTFYKQFCAGENGRETQATVQMFKDLGFRGTLLTYAKETVFDHS TKSQQGLGVTTDQGKGQDGNGKRYCEHIEAWREGTVKTVDLLGEDDYLAMKLTGAGPA ATEAFAAGELPPVQMIEALEEICQKCKDKGARILIDAESQHFQKGIARVTIDLMRKYN RDGYALVYNTYQAYLKSTPTTLATHLAAAGEEGFTLGLKLVRGAYMATDDRSLIHDTK QNTDDAYNSIAQGALRQELGEFGATNGRAFPSVNLFLASHNKESVMAAHHLHQQRLAA KLPTVPVGFAQLHGMSDDVSFSLLQLKGPDGSPQVYKCSTWGGMGECLAYLLRRAIEN RDAVSRTQDEYRALKGEVGRRLKSLVTFST AOR_1_60174 MASSTLCILGCGNLGGAILKSLIDGPKDKHGSAPFTRFIACVRS QESEQRLTTQFSHQSQSLTVTRDNIKAVQESDVVVLGVDPAVVEKVLSTPSLTEALSN KLLISVAAGWTRQKLETTLYGSPTTTSNAEGRAWVVRTLPNIAASVSQGLTAIEISEP EVPEHYQKITDAVFDNLGKTVHIPPTLMDATTAVAGSTPAFFAVIVDAMIDAAVAVGV PRDLARTMTVQAMTGTAALLQSGMHPAVLRDQGTSPEGCTIGGLMVMEEAGVRGHVGR ALREAVTIARLMDGTRHLNDTRQ AOR_1_62174 MSYTASQTLQGDWGSSVAGRLAGDLTAAAVSASLIAPTVTIIDR ALVERAASDRPLLQSLRSYTVAALKRPGAFVFSRPFGLVWTLYGATYAVANGTETISK EICPARVDPITFATTFIVNVPLGVWKDIRFAQLFGTQIQSSAKEALRVSSRIVSKAAT ATFLLRDGVTIFGSFTLASWCSSVIPDSLASQPSTKTIITQIAVPVLSQVVATPLHLL GLDLYNRQSGVTWSDRIATIRKHLPSATVIRCVRIIPAFGFGCLTNIGLREFFHEQCD AOR_1_64174 MSSTKMLSMRLSNSARSSARSLRPFPSATLQIRTKATMPFALPA ARNEPNPLYTRGSQERAKVEEALKELRSQLPVQSEVFYNGVAQKVSQSIDQPMPSEHA TTFTNYPLASKEQVAAAIESALKAKKSWEETPFVDRAAIFMKAAELATGKYRYELIAA TMLGQGKNVWQGEIDAAAELADFFRQNCNFAAELMGKQPPRNTNGMWSRMEYRPLEGF VYAVSPFNFTALGGSLVSAPALMGNVVVWKPSPSSIYASTLVYKILLEAGLPPDVVQF VPGDAEEVTSVALSHRDFAGLNFIGSSDVFRNIYGKIGEGIANKTYREFPRVVGETSG KNFHLIHNTADIPSAVNHTIRGAFEYQGQRCSATSRVYLPESRAEEFLTALKAGVKNI TIGSPDKDFEAFMGPVIHRQSFNKIKSIIDASNKDPSLTLLAGGTYDDSVGFYVHPTV YQAHTLDHRLFDEEIFGPVLAIYVYPDAEWSSILKKADEQGGGFALTGAVFAKDRRVI REAEDALRYSAGNFYINCKTTAALIGEQSFGGARASGTNDKAGSSDMLRRFTSPRMIK EEFFPVEGYTYPSNH AOR_1_66174 MVSKSTPIAIVGGGAFGLSTALHLVRDGYNNISVFEQDDQIPPR PSAANDLNKIVRAEYEDPFYTDLTMQAIALWKTPLFAPHFHQTGFLHCVSGKAPERAV DTLKRFQAAADDHPELRKHVVPLANDEEIRQRFWQYDGQFPGWNGYLNTFDGYAHSGN ALKAVYQATQKAGVRFFLGEQHGAVAEVTYKNGRSTGLRTKNGQFHPAELVIVAAGAA AAKLVPAIGSQVVAKSWSVAHVHLTEEETSALRGIPITYARDLGFFFEPDPVTQLLKL CPMGGGYVNTDPATGVSHAPSVADSAFVPPDDEAKIRQLLAHTLPTLANRPLVRKSLC WFADTSDSDFIIDYVPHTSNSVVLLSGDSGHGFKMFPLFGSWVKALLEAKDQQQPVPR WRWKEPQQSGDGKWGDAVSWRIGSTREFKDIQPGKPKL AOR_1_68174 MISVKHRSPSSKPMEASHNKENRVKKRSSNACQRCRRQKIKCSG SQPCDTCSKRKSTCTFDDRDQKILVTRGYLEDLQRQLAQLKGGEDEVFSPQSMEQELR PTEGKASMPDATGRAIQTDPLLGGDDLAEGESEWSQNLEGASQLTNPLSSGPSTFMAA ASGRIFYLGTSSNWSFARKILSMTHEHLYNAPLPTGSLYFDGSAYDLGWDGTRTTVTN DIPMAPPLDFSIYLINAVKFHAGQLFHLFDEDTFMGGLYAFYENPEHQMAHSGLWYIH YLLILAFGKAFVVQRNQGSRPSGCEFFTKALQLLPDTTNLCRDPIVATEILCCIALYL QSLDCRNSAHNYIGQAARIAQAQGMHTDMSAEHLGDAIVQRCRRIWWTIYILDRQMTS LMGLPQSIRDDQLHHQLPYFPGSPQKAIALGMQIKVCQIMEEINSSVYGPDGRLNRNF LLRTKSALASAAELVTELRKCYDLRLDESSISGVSRLSAHLHLLYHQCIVLATRPVLF CFLKMRIQTADSSLESLNSSANVRKLLQVCIDSAQQILNILIVLQRQNLLDSFLPFDL EATYTAAVVLVTAPAADASLLDDWTPWFHTSVTVLDEMISRGNLIAGFRKSELQQLAG MLSHLADDGVIHGTDLARKGQLDRIISRLPSPSTPDRVFGISEIPNLHPGLTTAEIMA VAESIDTGDVDWIAHAVTENHIW AOR_1_2924174 MRYQRPGPEEGFEPETKSDTASVPPEGSEPVSLWDEAYVLLKKE KPDLMSDYESLLSRVAAEDANDGENTIPQHDVPARRQKLQQIAKLSFEKMQEGKLHAT FFGKKIALQEAIGTLGNGINWAQTYAKDALKDVPYAPAVMACTSLVLPLLTSPSRVDD ENIKGLLYVTSQIQYYIGMERLLLDNCGNPDVETQLKEQVKGLYKLVIDYQVQSVMRF DRNPAKNYFRSVTDYDAWSDQLNTIKEEEKTIKMRFQVALSGLGVQKLNDLELEAGKS RETLEVVANYTRKIEKHLSDAEYRRCLNTLKATDPQLDKQRIEKLKGGLLRDSYNWVI ENQEFRRWMDASSGELLWIKGDPGKGKTMLLCGIIDELSQVAAPGTNIAFFFCQASVE TLDNYPAVLRGLISMLVKQQPSLMSHLSEASFDGHNAWLALQNTLTNILKDPTLQSTY LLIDGLDECVRDRQNLLDLLVEHSSAHENIKWIVSSRNWPGIEEDLHLAKKIKLHLEL NEAVLSKAIQSFIEYRVQKLENRSNGSKGKTDIWISVKDHLVENANGTFLWVALVCEH LAKMFYWEVREKLRDFPPHLEKIYERMMSQIIDSDKSEICKAILGVTTTVLRPITLDE MMVYVDIPGDDARALEDLVGLCGSFLSVQESTIFLIHQSAKDFIMGTASSEIFPHGKD MVHYDLFSRSLHLLRKNLRRDIYNLREPGYPIQQIPIRNPDPLRTARYACVYWVDHIV SCGNIEDIKKAIAEKGLVDSFLQQSYLHWLEAMSILKSIPSGISSMQKLEKFIQKWMN AEPKSLVHRVRDAIRFVQYTKVAIESSPLQVYYSSLIYSPMQSITRECYDDRQSIRDW IRKEPVVESCWSLCLQTLEGHTDVICSLAWSRDGSRLASGAYSSARIWDLDTSECSVI LEDPKAFSFSMLDLWRGVIHIRWLDNNRVASMSRDAIKVWNPDTSQFVPIFEGNWYWV SSMAWSDDQSRLAFTTGGRILILNLDTKESRSMLDGHESEITSIAWSPCGSRLASGSK YGEAISVWNVRDMQCVFILEGRLYSICCLAWSPDGSRLAAGSLYPIVNVWDTQTRDCV LRKGHASRITSVAWSSDGSRLASGSTDETIRIWDVRTMDCVFILEGQFSVILCLAWSP DGSRLASASMDDNIKIWDTTSQFKSITRGHDEILESITWSHDGVQLVSLAEDRTVRVR NTTTGGQLSIFQGRPNIRHWHTDYIHKLVWSPDGNQLASGSGDGTVRVWNPITGDQLS IFRDHINDIRDIAWSPDGRQLASASADSTIRVWNPTTGNQLSISGDHIKRITYIAWSP DGSQLASVALNGTAQVWNPTTGDQLSISGDGIKRATDIAWSPDGSQLASVALNGTAQV WKPTTSDHLSISGYGIKRITDIAWSPDGSQLASVALNGTVWVWNLTTESQLSSFGDNH WNDADYSSKVAWSPDGSQLASLSHSKVVVLDPASGQCISKFPVPTGDLLRFDSIDPNY LHTTLGTFDMRDLKRASRGSNDSNHVPKPHGYGLSEDLSWITYDGTKVLWLPPDHRPQ NLSAVALSNLAVAIGCASGALEILEFSTLNPLSDL AOR_1_72174 MKASFISRLLSLTAFAISSNLSYGLPLSERDVRLFEERYINSLD YSLGNDTVKLVGYKNIKIPAEELDDLNDHYNNHPEVWKNLPNYFYDQGSGTLRAYFPV DGALVEHDGQYHEANSLGELELTKVNGDSSVLGRRQTESITGVEGNIIKDGIIYLEKA AKPHAQYGKVLVYDFGDKIVLDHDHGSHTKRDGKKSCMKNHGGPNCSDKFNIHNNKCK KRHDICMDYNGWFSNCKKNGSTWRNFPGSDCDKALGRGKCGNEVM AOR_1_74174 MAEQPQPTVKTRFLVISDTHGRDSIPSSNEPADVAIHCGDLTMG STLQEFQGAIKLLKQINAPLKLVIAGNHDFTLDPPAFQNKIREARRLQNIDPLLVEKE YGYCGQVRELFDHVDAKEKSGIRLLEEGTHYLNLQNGASLTVYASPYTPSFGEWGFQY SPHEGHEFAIGNVDLVMTHGPPRGVLDNTISGQRTGCEHLFGRVARSRPRMHCFGHIH GSWGAKLVAWRKTISEKPSHLTDIDSGQSTVIEKLSNIKASGPRAYYLTSHCADDANP LQHGRHTLFVNAAIEGSDGFPSHPAWLVDLDLQRAL AOR_1_76174 MGAAGGGFSRDAVKQIPAEAKRLYIWLSVIWASYCGGLHGFNTS NISGAMSLDPFVRDFHWTDLSDAEVSNNSGWAVSSMLLGQVVGILVSGALGERRGRKP VIMAAAIFYTIGALLMCGNVGSFAELLVGRILSGIGSGFGMTAGAVYISEVAPQELRG MMTTFYNVNIMGGVAGSYWINYASQGVISSQSSWQWRTTFVLQAIPSVILFIGYPFFP ESPRYLMMRGRVEAAHNSLSRLRGGLEESNDYFAREWMELQSKVDSTAEASTQSALKA TLSLLKACISHAPTRRLLTFVTLIQTFFIMSGGNSITYYAPTILKSIGLNSKQVLLFT AVYGLIKVVSVFLYAFFFTDRFGRRPLLLIGSAINTVCLLYLAVYLGVADLSTSVAPS PAAWVSIVAICLFAVGYAIGWAPAFSLTASEICPTHLRGTIVMITFTYQNLLNFGITR GFPNMIISMKSYGPFALFTAFTGCATVWVFFAFPECKGRSMESASALFSLPWYKVGFQ KVPTLDETSEIDDEEKGMSVHDEDVHAKEKDMRP AOR_1_78174 MQRFLSPVLRTGRPQPRLDRAPVRRLATQNNSIPLFGVNYGEQL SNLDTVRQSSRRPLTLTEKLLYSHLIPSDDKVWSLQEIDRGKTILELRPDRVACHDAT ATMALLQFISAGLPRVAVPTTVHGDHLIVSEKGAEPDMKRALTEHAEVYEFLSSASRK YGIGFWKPGSGIIHTVIFENHAVPGGLIIGTDSHTPNAGGLAMMGIGVGGSDAVDAMS GMPWELVTPQIVGVRLTGQLSGWASTKDIICKLAGILTVSGGKGRVIEFFGPGTETLG ATAMATICNMSAEIGSTSCIFPYTTAMGRYLTATRRAHVAQAAQGVQQALLQADEGSD KYYDQVIEIDLSTLEPHVNGPYTPDLAHPISELGKAVSQSEWPINLSHAMVGSCTNSS YEDLDKTRQLVAQARDAGLPRFKTPFLVAPGSEQIRATAEEDGILKELQEAGAVVLSS SCGPCVGSWDRKDVDVRGKEKNSVVSSFNRNFVGRHDSNPATHSFVTSPELVTAFAYA GRLDFNPLTDSIPVESVEGGKSFLFKAPVSRELPAHFATGEDTFQEPPSDGSSLSVII DPQSDRLQLLTPFAPWQSGCATNMELLMKVKGKCTTDHISPAGPWYKYRGHLENISNN MLTTARNAFLPGNDPQMLGHTRNPITSGVEVVPQVARDLQGRGIRWCIIGDFNYGEGS SREHAALEPRYLGGVAVIARSFARIHETNLKKQGMLPLTFDDPLDYDRILEGDRITLT GVEDGELAPGRQVTMRVTPRQGASWTAQLNHSYHAGQLHWLRAGSALNHIKNTALAK AOR_1_80174 MTSRSSAIRALQQFTSCDIGDALVKLKVPCGGYLSGLKMFSPGP TSLKAKIFGPAYTVRMVHANDKTAPSPKRHFVDDIPRDSVVFVSQPKGLISACWGGLM STRAKKQGAAGVVIDGRFRDINEHQELNMGLFARGISILGSNTFTRSSELNVPVAYEN SEIGEEVIINPGDYLLGDVDGMVAVPADKVEDCVRLCQERYEIDEETRLCLERGEEMG PTIKRLRK AOR_1_2926174 MVDLTIKRLSQLQSLSQLQPPSRTQRRRIVRSTDPPPLASNIGS MSDMVNTQMGVPVLDYHHAVVYDSVSSVDTTATAADSVRTESYPEHHVENEPDRFLSQ VGSLLPAGSDQSTIDAAMEGFLVEYLHGDWGWDPFSSSMENPEYP AOR_1_82174 MAPTEAEAAQPAPSKKVRLACRRCRAKRVKCDGGIPACGNCARA GVPCVDVDGRNNGLSIPRDFITRCHARINWLEQKLKEIDPQFDLSEGPAVDMTSADET TIPRRPAISTNGAGQERAHAPSPVLESAEHPLVEATTSSKRSYSMAEGPEMEQPIFVE LGMLSLHSDSRQKHYLGSSSGLLFTRLIGIDSERSSTASPELVSTSSRSGHRRRLAPG RSQSREAYQALYDRLREVIPQNAAVIFGIWGANRSNGWIDSINTFPYNGRYDITAGNE ITPISVFTATFHVFMVFSLAATVMTRNRSFDHSPDKYYRIAMSAASECFCSISVPALQ GVLLLMVQGLIGPAAINIWTLSYIATSHCIDLGLHREPTDYSEFTPTALTIRRLIFHT VYSLDRSISTIQGRPLGIRDETFDAASARRCHDLAYGSG AOR_1_84174 MPKKQVVPWQSIVAGAAAGGAESLLTVTLPEKCLTRMRPNAHHH SPVQLLTATVRQHGIRHLYTGSMAFCVSNASKSGIRFFAFDSAKKWMPTDSSSKVTST GNMCAGLIAGVAESVLVVTPGETLKTKIIDDRAGAKVYKSASHAVRTILSTEGVSGLY RGTLPVTLKQSSNAMVRFTSYNFFLHHLTALTSTGAANGAPVWSTVVAGAMAGVVTVY ATMPFDTIKTRLQALDGSQRYRGSFHCLRSIVTTEGTLALWNGTTPRLARLSISGAIS FAIYERVVQWTGSLHG AOR_1_86174 MTVASQNNAVAELFPHGCWDAHHHIFDPTQFAYAPDRHLTPPPA TIEQFINFKKSLGITNSVLTHGLSYGANVESLKSFVKLLGQSSTKGIGVIDPETVTRE ELKEMHNAGIRGIRVNVYKYKAMNDAELQKVALREHARMIGKHCPGWTMAFTQTHPEF WKELTPVIEQEITPAGIRLITDHFALLKGPSMLPPECEGDVTRQAGFEDIMDLVRKGH LYVKLSAPYRVSNEAPFYDDLKPLVRAYVDANPKQVLWGSDWPHTPRMKVRTYDEAMK ETPFLEVDDHAWLKSLRSWLSEDEWHTMMVETPKALYDW AOR_1_88174 MDVYLNPLPPLLNNSIAKWAYISLAALANLPGQFNRTAFEAPWA TSEISDEGFSTALSYLNTTDFVAYDSRFFDIIGPNATVEHVQKLAYQVHEAPCYIKDT NQLFFVEWGPPGGDDGIHSWQYLLDVETNTLRNITTNPPTYNVHGCVYYNHSIHVVTD GYSDLQTGELAKIDPHSHEKTTLLNNYLVQPFAGFNDLEIDQSGWGRQIVEFAPPTNP SVYFVERSTFRTKVVYTTTGNTNGIAISPDGKTLFIPETGVSKYFPKRTDPYGMRQLW AFDVSKSRSVLSNQRFLSNPISYFYDGVRVSRHGLIFCGAGDGVDVLDPDTGYTLGTI RVGGGENGAVSVAFGEHELSVVGKGGVWHVKGIQERLAREW AOR_1_90174 MDTPKPNGWSPDSKVLPDALDDGEQQIIEAGEAKYHRLGWKRLT IMLIIEAIGLGALSIPSAFASLGMVAGVICCVGIGLIAIYTSWIIGKVKLAFPGVRHY GDAGGLLMGRVGNELFTFMLILQLVFLTGSNCLTGTIAWRHITDSNNVCSVVFSVVSA IILLLLSIPSSFADMAWLGYIDFVSIVAAIGITIISTGIQGTQSGLSKVDWSALPQGD PSFSDAFIAISNIVFAYTFASCLFSFMDEMHTPKDFTKSIWTLGILQIVIYTVTGATI YAFVGQEVESPALLSAGSLVSKVAFGIALPVIFISGAIGTIVAGRLIHGRIYENSVTR YVNTTKGWITWLTLITILTILAWVIAEAVPFFDDLLSITSALFTSGFSFYLPPVMWFF LLRKGEWYSRENLLLSLVNLFVFIFGLVVLVGGLYSSIQDIRNNYRTGNVHSPFTCGA V AOR_1_92174 MPHPPPEQKNSEAAALELSLKPELLQALSPWSLRDDLPYYHQLV CPIIQGHHVLAQGPFPLKRMYLVVSILQRIKTAAPSDTPSRCQALIVSPTFELAWTVN GIARTMASAMPSVKVYCCPRGFRDAHDRIAELQGSDMGRVPDIVIGTPGLIWQCITNG WLQTDAVAMLVFEEMDEILDRGYDESVLLGILQTVTHSHTQVVVQSDSLYMWRRIWKL VSDFMPSPVRIWDI AOR_1_94174 MTGLKVLICGAGITGNALAFWLSKMGHQVTVIERHSSLRATGLQ VDLREPGITVLRRMGLEQQFRARSVREQGMEIVDHAGKRKAYFAANRSGLGMQSFTTD YEIMRGDLCRLLYDATKDRATFVFGTTIESFTQREGHVDVQFSDGSHDWFDLLVGADG QGYFTVPREIQPGEEYNAAIYIAPGRRFIFTRRHSPHAIQVYLACKTDSDRLVKARGN TEEEKDELAEIFRGAGWQTDRILKELQSADDFYCERLGVVRMDSWSAGRVALVGDAAY CPSATTGMGTTSGLVGAYILAGEISKHYQADHESKDRLLLALKAYDDTYRPFISQVQK GIEKGSTFWDYTPSSWWGITILHILLWVASFLRLDILSQWFIREDTTWALPDYKKMEQ S AOR_1_96174 MSTTPLQWHTTFAGSSPVWPSEPAIPTIASIALAALSAQHTQVG DLPSITVNFFAQGSFNKNYEIVVSNQKDKFLFRVTLPVDPFFKSESEVATLAFLRQKT SIPVPEVVAWSSTSDNALTYAAELRSLPFDQIGSLYFEGSNTCAEINSSVQDSQQIKS MSNYLGKGVEVGQMVLPFFFSKRRLYIHSDRGPFANSLQYLTAKVQMQTAWIESGVEI A AOR_1_98174 MPLKHLATLLCALSPTVALSQDAVQDILLSVPQAEKIREWSHYY TNGSHLSGQGLEQGQWTKDLWEGFGIQANLTTHEANLTYPGDHRVALLDLNLKDPLVQ EARRIEDTAPGAGPGQKPFIPSFFAWAGVGNVTAQYVFANFGLREDYDDLLNANVTVR GRIAVVKTVFGSSLLRKLHMGVHRQEQMQVAAEYGLAGLIVYTDPQLDGEFTEANGYE AFPDGPARPPSMIERGVMSFAGSTFAIPAMPIAFADAIPILRALNGYGPSATEFGERW QGGGLNYKDVHYNVGPSPNNIVLNLCNKPIFPDGQVHHVIGTIPGSTFPDEVIMLGTH RDSWSPGAGDGSSGSSALNEVARSFGTALAQGWTPSRTIILASFEGVEFSLPGTQDWW EQNQWLNTSAFAYLNVVSAGAGSKFHVQGSPLLGRAMRYGTGLVLDPNTNANNQTMLD VWDGEVSIGTGGDASVLLGTELLSSVDFGFSAGEGDPPFPYHSLYDTDEWMDCYGDPN REYHLTTTKIWSLAVFALANDVLIPVTTNEYAVLLQGSLESVDMPGLHISSMENAIND LHQATLAFDTYADNLISQILAQPDEPKLLSKAREVNQKYIKIERIFADPHPQSSSDRH LIIPRVPYYFQSSAFPALQESIAAGNLSAAEIYRDRIVGRIQEVVALLALEGISTTVA PTR AOR_1_100174 MSLDWMCDSPGAVIRNAPRFTVCNESTHEVFEETNLTRDRHTSA AFGRPCRIRDEDCDVEALNEDDFNFDNDYDQTLIPAQTDYHVAYALEMTRLATILGDI LIGEFSPRRDALDKYDTEGLATRLADWESQLPDQLRKTTPDGSLGASFWASMLQFSYQ NCLILLFRPKAIENLSPSEAECDVRGRMAADTITRLAEDLLGAGMIKLGLIHLVPALF SALSVHTIVICRKDPIRRQLAENKSRQCLLALSELAGSWPVKIWIAKAFLNLLRRLTV QGSASIINVSSSIANNRSNAGQSSWSNSSGLQRAHSPKQIVLDSYRNEPMTRAGHISG PQLRDLHPAGGFTQAADHFVYNSFWASYLDNTFDVDLLLQNGLGPTLTGPFDGLDAAE GATPLHY AOR_1_102174 MIANQMDPEFHTSTLISTVGLSSFVLGIGTGPLLTGPLSEHYGR RPIYLVAWSMFLIWTIPSAVAKNVQTIIVSRYFNGFTGGTFLSVAGGTAGDVFSRNQI QAPMALVSSVPFIGPSLGPVLGGFINSHLDWRWTYYIMIIWSAVLLVCMIFFAPETYH PIILRAKAKALRQETGNDRYRAPMENNTKTWKQIIIVSLLRPFQLLFLEPMCLCLDIY SAILLGILYLFFGTFPMVFRTTYDMNLWQGGLTFVGIIVGMMIAAATTPLWSNIRERL LNNNKKEPGRSEPEYRLPPAIVGGILIPVGLFWFGWTTYSRIHWIVPIIGSAVFGGGL LLAYTGIFTFLVDAYPQYAASALAANGFARCTFAAAFPLFGTQMYDKLGYQWATSLLA FLTVAMMPFPWLFFKYGKALRAKSKFALPD AOR_1_104174 MSSICRKGHIDAAIYEHHLSRRAAENFKYRDVWGPREKSMANPW SPETPMGRSIILRLAENSLLHDEMGQFIKEQINVLPLSHLTYSTGPRGSCRLRRAAAA FLNEEFHSLQTIAQDNIFVTPGLASAIDALIWAICNDGDGILVPQPYYNGFDFDTLNR SNGRVIGVKYEGIEGFSELDDLFRPDVNKRALEVALREAKKNGITIRALLISNPHNPL GRCYPPKTLLEFASFCGKNQLHVISDEIYAKSVFLNAALSSPTPFVSILALDIHKVIE LNLTHVLYGASKDFCANGLRLGLVCTNNEGLIGALSSISMFSWSPHVLQDVWAAMLED RQQLERFMVKKGKLMAENYHIATSFFWERGISYYEMFADICAQNGLMIAPGSIYAAEE FGWFRLTFTVGKNALEEGLKRLDKSLKAAETDLRI AOR_1_106174 MEQHSEMGPPPTPTTVDPPSYAESVAETLDLFFFPDWHYRGGCD DSMLKTLDDLLNKDLSFMHPGTDFYAFYSFLLKMGEQRLEVWSPEGLFQPIAVIELRG TYIRELRACEGRALVYLMHPKNEPYVKECYFIVQETISPLPQRSGVAGKLVSLFDKSK QVQRKYWVKATLHGERYVFREVASGEEPMPEECVGVRSICYQKFWA AOR_1_108174 MTTSKPLPLLNLTGNWVMEKSLSTNVEPMMKLQRLNWLIRRAFR HITITFTITEYASIGPDNSPLALHIDVVHTVTGGFNGTAEKRTLDWNPYVHKDHVFGN LSVRSRLIGGVEDEDGHVRPALELDTPSIDERAYDFLRGVISSEGELEDGFLLEESPP NSVGTSRGGWLHTVSHSEDLGWTMEQVWGFEMIHGERYHTRRVVLINRYGDCAMARIV YKWHSEIKEE AOR_1_2930174 MPPKAARKLKDSIEQEGRILLAISAIKKQEIRTIAEAARIYNIP RTTLRRRLNGHTFRAETRANGHKLTQNEENSLVHWILSLDQRGAPPRPAHVREMANIL LLKRGFSDTPTTVGENWVYTFIKRREELKTQFSRRYNYQRAKCEDPKLLREWFERVQI TIMQYGIQPDDIYNFDETGFAMGLISTAKVVTRAELAGRPFLLQPGNREWVTSIECIS SRGPLPPCLIFKGKVHIEGWYEMGLPSDWRIETSANGWTTDEIGLRWLQQLFIPFTAG RCFGPLKRAYGQLVENKMRLGFNHIDKLDFLEAFPQARAQIYTTSNICSGFSATGLIP FNPERVLSQLNIQLEATPPGSRPSSRSTNSVPKTPHNLKQLQKQETTLKKLLRARTKS PDSPTKIVIKQLFKGYERALNEATIAKQEARELRAAHERMLKKKKRSTRQLPIESGAS VQEAQELIQGRNSTVEPITTASVDIGAPVESQRCPNRQTI AOR_1_112174 MQQRRRALWLGIPALILLIITYFLYLSGQNGPALLPTNSDPTQE PNSLEPEDVLEENTTYHPAGTQVVRNATRTLVIAKLQEEDTVWVDRLPQDDPYLTSAV YVVDSNNTSAPFTVPLNKGHEVMVYLTYIIDHYHSLSDISIFMHAHQITWHNNDFLDF DSAKMVRRLRSQYILDNGYMNLRCHLEPGCPDHIHPYIGKDSDDILNVPEAAVIGMAW GQLFPGSPVPSVLSQPCCGQFAVSADQIRKIPPERYLEFREWLLATELDDRLSGRVWE YIWHWLFTGQAEFCPVETTCYCEGYGICFDPNEYRLYFQIRGEARKLEGEVRELESEA TEADITTSERITELNSKVGELHGKMDEIKARTKGIGQ AOR_1_2932174 MDPFSRLPWFVLREILCSIPDLPTLYSLHNASPAIAAFLHENDV LFRVIVDTIITKPILKRGLLPEVLHSIKVLIANWTQQLRSRQSFQATVVDSEFESLLQ SYGNCIGFPDLESPLPVSKTRERILPESTPPGILCQLLALMTRIQRPVHACFHPSVAR CLAYRGPSSRSRLHTLAIGYFNTRPVVGPASKQPTGVLLGPPTWFEEQRLVSVLLHVV VFYELAKTHTPSGSLDPAILELIQAVMNYEIEDFCDRILRSMDEQSRRVGKLLEWLKE QAGGRENMHTWLRWVIVPDNLSHSCHPYTSTSRE AOR_1_116174 MHLQATLAVGLSLLGLTLADTLKNTIEWKASDFAITCGSEDCNY NFNIVSTATGPSKFKTSCSGVPAEEDYTPCQGKGTEAKLQAVPGAATMFSLHIQHTWM EGEARYTAHGDANITDTQKSFTVPVTRVSGVV AOR_1_118174 MSMHELKQMAPPVLSEMEGQPIYRDKDDLYLTRMGKRPVLKRNF GLMSMVGFSCTLLVTWEGYIILFLQSFQNGGPAGSVYGYLFVWAGIAATFVVISELVS MAPTSGGQYHWCSMLAPPSAMKLASYMTGWLTVIGWQATFASALYLNGNMVQALIILT RSDYVPHPWRKALYAWGLSVLSAIINIVGGKFLPRFEGTVLIFHVLGFFAVLVPLTYM ADHKSSAEEVFTYFINEGNWPSKALSVFVGLTGPVFAFAGGDAAVHIVEEMTNATTAV PLSLMLTVLINGSMGFGMMIALYFCLGDIQTALKSPTGVPFFAIFLQATGSVSGTAIA GALVMSLGSCNTIGTLTAASRQFWSFSRDRGIPGWRMWSKVTERTSIPTYAVLLTAVV GCLLNLITIGSDVAFNSLVSMSISGLYLSYMTAGGLLLYRRCTGGIGHATAGEQTMIN TAGARLVWGPFRVPGILGITINVFSLAYMTIATFFGFWPTTKDVNTQTMNYSIVGTMG VIILSLVYYFVRAKKVYTGPLIEIS AOR_1_120174 MSKFEPGSWQPMVSVLTWLLMITAILVVFARLGTKYWIIRRWTA DDYLCIVSMTLCVAQSIAVSIAIANGYGNHYQSLSEMSLQNIMKSQYAATMLFISSMC FSKLSVIYFIRDVTPLFNPDRLITAGLELLTILWAGIGILTAVFQCGLPRPWDYLHGQ CIQHERWWTYMCVMNIVTDSGVMAHRIFIVVRLQMRLKRKLILTVIFGLRTCVIAASA CQAFYANQVVESPDPTFDTSLFTISTQVAQCLGLITCCSPQMKPFIESLRSFGFYVDG RPRHGSSGVRHDELLARPRKQEDLFHQQHELGTISPFNSSYQTAVTASPSKRDWDAGS QSSQAHIIHEIRTWTVTESVKNTSPVVL AOR_1_122174 MTYTTHDVVIVGAGPVGLFLACELRLAGLSVLVVEKRTNSDGMA ETRAFVMHGRSLEIFASRGLLDSFIEAGQKTDWWHYGVLDTRLDYSVFGRETDQNYLL LVPQYKTEMILFQRAVDLGAVIIKGVQVDSIDESGPYVVARGFYSNNKPFTASGKYLV GADGVRSTIRKIANIEFTGNPPVNTVMSGEATLGTAMPNPYIVHNEHGLVIAADLRVP SGRTRLNVFASDRGTVPESVEVTLEEMNQSLQKITGVDYKLSNPCMLKRFSNEQRLAT TYRQNRIFIVGDACHKHLPAGGQGLNVGLQEALNLGWKLAAVISKSAPASLLDTYEEE RWPIAKAVVQNTTSQSLLFFASSGPEWAVREAIDKLLRVPEANKRLAREISGFSVAYP KSLDMILPDGWRALPENIQGKRALNVKMRLPDGMVTELRDYTQDGRWIQLHLPGKHII ELRPPPAFGNWTTVVEVVDMPDEEEKTSLYMCGVREMLIRPDGYLAFGRMDD AOR_1_124174 MAAATASPHRPREHGLTAGDWPDEHLSPRRRSVGGGHAHLREQG LDTGVRVMDDGRLDIKFREHKPWLLNLIKHLERQPKPLPGERRPSVMSMEGQDKFPLR LNIVIHVVGSRGDVQPFVALGKELQKHGHRVRLATHLAFREYINETGLEFFSIGGDPA ELMAFMVNNPGLMPDMRTIRSGAIPKRRREMKAIFSGCWRSCFETGDGTGMHHIKEDP WSDAPDCNTQPFVADVIIANPPSFAHLSCAEKLGIPVNMMFTMPWSATQSFPHPLANI RARNTKPSVANFASYAIVEVMLWEGLGDLINRFRKRELGLDPLDAIRAPSIAHRLQIP YTYLWSPSLLPKPQDWGDNIDVCGFQFLESDTNYKPPDDLDAFLKAGDPPVYIGFGSI VVDNPAKLTEIVFEAIRLTGKRALVSKGWGNIGEGRAEVPKDVMLLGKVPHDWLFQHV SCVVHHGGAGTTAAGLVLGRPTVIVPFFGDQPFWGSIVARAGAGPQPVPYKQLTAEKL AEAINKALEPSTLEKAEEIGKACGALYVLRVRRYRSKQYDTNRDPRGPLSAGAEVLYG VVSDFISGLATVPTDLAGMLSKENRKRKRLEQAKEKERERSSNEHTNGPSRQDRPEGD NRSSISESDIDSESDSDYASAEEEQSDSSSTNTVVDDSDEAANELDLERTLTRKRAKE QKTGAQEILAETGYHTSKFAKQVLNFAIMLPTDLTLSLAKGFHNAPKLYHDTTVQRIP RVRNVKSGFRAAGTEFTEGFYYGITGLLTQPARGFQKSGGRGLVKGVGKGVGGVFFKP AAGIWGLAGFPLDGLHKSLRNSLTKNKTKYILRSRLEQGIQEMCAASMEERAIVMKKW RELEKNHPQNQNGHAH AOR_1_126174 MTPSLSKLVALSLFLGTALGEPWKAIDADFPDPSVIKTGDGYYA FATTANGVNTQIAHSADFKTWNVLDGQDALPGPFPSWVNGSHPKVWAPDVIQRNDGKF VIYYSAATSGTGSKHCIGAATSSSVTGPYSPEQDVLACDKSKGGAIDAAGFKDDDGTY YVVYKVDGNSLNEEGSGYHPTPIMLQKLKSDAVTPDGEAKQLIDRDDADGPLVEAPSL VKSGGQYYLSFSSNWYNSLNYDVSYAVASAVTGPYTKASAPDAPLLVSGDSSNVGALG GPGGSDFREDGSAIVFHAFNNGKNMAKGRGMWAANVKIDGGKISIQ AOR_1_128174 MRIFSSIFAVANVLSLSTGRVIPRQDGNSYVGYLLSTFTDANPQ VFWYFSEGSDPLAFKALNGGSPVLESTVGTRAVRDIFLASNAARSEYFMIATDLDINA DGFSWDEATRRGSRGLTIWKSSNLVDWSEPTLTTIEEDTAGMAWAPSAVWNDDEQQYY LFWASRLYDSTDSDHTGTAGLDRIRYSTTRDFVTFSSPADYVALDDIPLIDQEFLELG TPGAYARFIKDENINQVYQETTTGGLFGEWTRIPGYIGDNPLSEGPASFPDIENSGVY HLLLDNYEEYVPFQTSDIDAGSWEKSSSSSYPTGLKHGSVLRLTQTEYDAITSKFGSN AOR_1_130174 MRSVSLFLWGLAPLLASAQLTGRVGPLKSAVEKAANKTCNVLDY GAVADLSTDIGQPLLDAFEDCNGGGLVYVPEGEYALSTWVLFDKGESWALQLDGVIYR NGTDGGNMITFEHTSDFEMFSSNGKGAIQALGYEFRNAGESTNTRIMRLQKVSSFSVH DIILVDSPAFHMSLDTVSDGEVYNVVVRGGSSGGLDGIDVWGENVWIHDVEVTNKDEC VTVKNPSYNLFIENVYCNWSGGCAIGSITSGTNITDIIYRNVYTRSFNQMFMIKSNGG DGYVRNLALENFIGHGNAYSLDIDSAWSNIDTADGDGVEFSNITVSNWKGTEEDGVQR GPIKILCPDENPCYDITIKDFDMWTETGDSQTYFCQSAYGDGHCLQDGDELKEYTTTL TATSAPSGYAAPTMKEDLSEAMALNTSIAIPTIPASFYPGVMPYSSIAGGSAAAVTPS SSVASPSVSATPSSSFVAWSSSVRVSSPSRPASSPSSTRVSPSSSWITTTGTPPTTAS TDALPTIPAQKQPSPDTEVIGKCGFAPPPNQGGHHHVHHHTAPQHH AOR_1_2936174 MPLPTLGSVLLGLAFLYFVKLVLLRKKTLAPLPPGPKPKPIIGN LRDLPRPGQQEWTHWLKFKELYGPISSVSMFGQTIVILNDHQAAFDLMEKRSAIYSSR PRLVFAAEIVGWEDVITLQGYTNRFRSYRKAMHRVLGTKELMSRFNPLQDVEVRRFLL RILQKPDELIQHIKTEVGAVILKIAYGYNIEPHGRDPLIDLVNDSMENFSAVVKPGTW LVDVIPLLKYLPTWFPGAGFKRTGYEWRKTLLATIEKPYQLVKQHMRQGSYPPSYLAR LLEQIDGEPTAEEELTASSLSSFFLAMALYPEVQRKAQKEIDRVVGPNKLPTFEDRDT LPYIDAMVKETLRWHPVGPMGVAHLVTEDDIYEGYLIPKGALILPNIWGFTHDPKIYR DPETFRPERFLGDNPELDPHTLAFGFGRRICPGRLLADATIFLTIAQSLTVFNFSKPE GEGDLKAEFLPGVISHPAPYRLEITPRSAAHEALIRSVEVEHPWEESHAKELEKVEC AOR_1_132174 MFQFLFQPWIIALAGAGYLISLAVYRLWLSPLAKFPGPKLAALT LWYELYYDIYCEGQYTFQIIRMHEKYGPIVRISPWELHISDPDYYEVLYSRDSPRNKY EYYTRQFGLTKTAMATVDHYHHRLLRSNMNPYFAMTRIRKLEPLIQGLVDKLCDRLRE FKGTGTPVALQYPFTCFATDVVTDYTMGAGFHYLDEPDFVPRWSRTLSGVAKSGVYIK PFPWLIKVFNALPESWLSWLNPEMDLTFHFQRRCREVIASIMEEQNANGYDKVKSQFS HPTFFHDVLNSNLPPEEKSPERLWQEVQVVVGAGAETTGKALTWTMFYLLHSPDKLQK LREELNQLDPDRTATLLDFEKMPYLTSVILEGLRLSYGLSTRLQRVAPDRALQFREWS IPAGTPVGMSSTLMHHDERIFPDSHKFIPERWLDLEQRKHLEKYMVAFTKGSRQCIGM NLARSEILLALPKVLRELDFELYETTLEDVTLAHDMFLPFPKMDSKGVRVLIK AOR_1_134174 MCSHANADLPIDPEADADLYSDEDYSSTAGSSTTSLSSSILNYQ YENGRRYHAYRQGEYVIPNDEREQDRLDLGHHICSLVLGGALYKSPISENPRRILDLG TGTGLWAIAMADQYPEAQVIGTDLSPIQPSWYEPA AOR_1_2938174 MEPTVDIFSDDDSVSKAPHLSEWRDMLIEASGKFGKEMGAAKNY KKWMTEAGFTDVTEEIFKVPFSPWAKDPKLKELGRYQQANMLEALDAYSLALFTRFLG WSVDQIQMLLVGVRQELLDRKLHIYSRYYLVYGRKEIEGS AOR_1_136174 MGLSKTNRIIILLAIDSAFFLLELTVGYAVHSLALVADSFHMLN DVLSLCVGLWAVKVANQETNSTTSKMYTYGWQRAETLGALVNGVFLVALCMSIFLEAI QRLVEPQEVQNPKLVCIVGCFGLLSNILGLLLFHDHSHGHGHGHSHGEAHGVEDVDVA EQGYISHGGSDPARGIADECTLSSPNTRRRRTLDSQHRGSPRYSNNVEDIQVHPATMR QEIIGRSRYVDEEQSSESDSDQTNLAETSERSALLSHKDRAGKYTDEANASLQPNATV DDDIHKFHNHAQPKSKDSKHGHGHGHGHGHGHDLNMRGVFLHVMGDALGNVGVIASAL VIWLTDYEWRFYVDPGISLVITVIILASAIPLCKAASRILLQAVPPGMSIDHIKEDIE RLPGIISSHDLHVWQLSDTKHVASIHIQVDTEIKGEGSERYMRLARQVRRCLHAYGIH STTIQPEFAPGSDVEDNQPASSYSSNGPSSLREEDSRACLLECGDGCTRGGECCPKTS T AOR_1_138174 MSYSYPNSTNADAYRPVRRDYHTDSSNDHSRLVDTLPPWLRQWV AELHAHWIAPSFSITENSRGSYALTARLWRIFRHIFTITNALAVLWFFTLWWGERAVF QDSLERCAWENWERWPRDATPHHVAFIADPQLVDPHTYPGRPWPLSTLTVKFTDQYLR RSFSSIQRNLGPDSVLFLGDLFDGGREWATSHSSSPEKRYQKYKDSFWKNEYHRFVKI FSNQWNEGDSHSGNTRGRRMIASLPGNHDLGFGTGVQLPVRDRFQTYFGQSNRVDVIG NHTFVSVDTVSLSAMDQPDPDTGSSGSGDGHPPNEHIWKEAEDFLNSMNVHRGKAEME ELRLMRNQSEGHVFDHKVVDLSQPTLHQRLKPEVVGFPAILLTHVPLYRKPATPCGPL REHYPPSDGEPEEDDRNALSISAGYQYQNVLTQTISKDLVTKAGPNLVHIYSGDDHDY CEVTHRQFSGSPREITVKSLSWAMGVRRPGFLLTSLWNPVDPATGKPTHSLSTGATLQ NHLCLLPDQLSIFIRYGLLFGLTLAVLLARAAILVLYFPAVDSSAPILPLSEFRPTLH VHTAKAPSSSTSSSTFSSPGGLASRAVNAPPRYPKVYDDTYPGAGHDDVDNAKWKPRV PASRGIWGEYMNSVKYVATIVFAWYFFLIWRW AOR_1_140174 MGFMLKKPDDATGSAAPAIVIGLFVAFGGVLFGYDTGTISGILA MPYWRKLFSTGYINPSDNYPDVTSSQSSMIVSLLSAGTFFGALGAAPIADYFGRRLAM IINTFVFCFGVILQTAATAIPLFVAGRFFAGLGVGLLSATIPLYQSETAPKWIRGTIV GAYQLAITIGLLLAAIVNNSTKGRDDTGSYRIPVAVQFAWAIILVVGMIVLPETPRFL IKKGKHEAAAKALSRLRRIDVNDPAIVEELAEIQANHEYELSVGNASYLSILRGSIGK RLATGCAVQGLQQLAGVNFIFYYGTTFFEHSGIKDGFIITLITNIVNVVSTFPGLYMV EKWGRRPLLLFGAVGMCVSQLIVAIVGTATTSDVANKVLIAFVCVYIFFFACSWGCTA WVVTGELFPLKARAKCLSITTATNWLLNWAIAYATPYMVNSGPGNANLQSKVFFIWGG FCFIAGIFVYTCIYETKGLTLEQVDELYAKIPVAWRSHEFVPSVSYADVRDVAAGKVS GNLADLEADAQMKRDMEHVEKA AOR_1_142174 MLIRSASAHDPDSVYKVPLLSCEPTDSPAPFEKMSVSATTTSIN PDISPIEDKNVQPGVELEELNKTCDENQKQTSDSTGTDSLYEKRHTIEQSGSHTQPKN YAFSFQDHPLFPPLPSYGPPSFVFTIKCLVLQCVSFLLSLLFLGAVVVGALVCRTRLA VSHVKIRLRGQDPNEQRAFYEEERARELERQKNLQQWKRRQEKKEVDEEAPDECSPLE GGKDPIICDVAYYARRVGLDVETFRVQTEDGFIITLWHVYNPQEYMPLPADARAPRGP GVFTGKKDPGLSSRSNRKYPILLMHGLLQCAGAYCTNDEDSLAFYLCKSGYDVWLGNN RCGLTPEHTTLSTSDPRMWTWNIRHMGVLDLSALVSRVLYETGFEKLGLVCHSQGTTQ TFVALAKDQRPELGERISVFCALAPAAYAGPLVERSYFRFMRIISPNIFRIVFGIHAF IPFMMTVHQYLHPKIYGTLGYYVFSYLFGWSDTRWDRGLRDRMFQFAPVYVSAETMRW WLGRECFATQKCILATREVGLLEAEEDHRFEKGIDGGAPRSDTAWYGPQVPPMALWVA GADNLVDGRKLLQRFRNGREPHVHVVHEKVIEEYEHLDVLWAMDVIEQVGKEVRQVLW ATMPDDARAVCQVPKGVQ AOR_1_144174 MKSILYYTSCFIAVLGTVNGAPARNTIRDSANPPLRGSEDLLGY SSDNKLSDHSTEEVKYTLLPGQKEDPKIGSYLDFEKADNPQPIRGDLGASDPGPRNYN YDRINSDKLAPPGTDNGQTINAQWPMGLSRNRYGSENSGWARQENTVVMPDATKMAGV DMRLEAGAYRELHWHVASEWSLVLNGSCRIQAVNENGETFVDDVTEGDVWFFPPGVPH SIQALDAGVEFLLVFDDGDFSEDNTFLASQVFSHNPRSVLAKNFDLPISAFNDIPEDQ LYIFPGTKAPKDIEEQNVTTAAGIVPLSQSYSYHFSEQPAHEVAGGSVKIVDPVTFPI ATNFAAAIVTVKPGGMREIHWHPTSDEWTFFIKGKARATLFTAPTTATTFDYRAGDVG YFPQSNSHYIENTGDEDLMFLEVLQTDKFTDIALGQWIGSTPKQIVADTLKLPESALS KLKTEKQYVVAGSNVTSVAKR AOR_1_146174 MGRQTPVYRAAQTCILGLVLLSFASASSYLPRESPTGIISNDGF SFLNETSQLTPRDEKPFTLRIMPLGASITYGYQSTDGNGYRRWLHQQLRHAGWWVNMV GSNPNDTSTMNDNEVEATSSFRVDQVTQQAERTIPQQPKLISINAGTNDANQYHDTTV DVYKTGERMDALLTRLFDAIPGTTIILRETNKWRLEALRPCLQEHWSRRHPGEGYSDG VYYCDIRGTGADDYVGNFGEGQGYLYGNVHDPPVWKPEGIEIFNIKKDRKSLHLADID GDGKDTGEAEIWLNKWSDNAQGDYLQYKGVLTGNARCTQGWGVGLYDLGLRGDGRADY LCMDPDGRTDGWLNKGENSFESIGQVKRSEHYDRANHRWADVNGDRMADFLWIDKFNG DTKVWINQGPVPTLDSKWRCGPQDGPRYMGADRGANMHFPNLGGLGRADYHQVIPRPT LYAYTWSNECPNEALDDDDSTEDPKLPQYPAPAQPASINNNGANDAM AOR_1_148174 MDKKSAISNNSLPGSSTPSISRSSINHCSFNGLNAADSIHRSEL DSVNVFRKTFPESSRVTSISPANTTIRRSKVSHTVIANSYVRRCKLANCELIDVCSAK SLDANDSKFDNVRSIRGHTSVRNSTVTGQSTLNRSKVNGSSVTDESCLRRSHLEDVRI ARSRVKRSELRNCDVSDCVIIKTNFTDMILRYGVWKNGKLVGRVGDNEVVMVTQDGQN IGHVPSERLVTQDAKVWADDDPDSDSSDKESLGSDDLPPPYKP AOR_1_150174 MSASLTKVLHRMQELFSNVVAALEAMLLFPSLFRDSSGKRKSFH RASWRRRTLDDLADEIDHVFTAPLSLQNMAMMSEKIREQLRICLQSSPVSMLPSYNHA LPSGTEKGTFLALDVGGSTFRVALIELRGNGDMEILRVNSSPIDEQVKLLEGTLFFDW MAEKIDSMLSEVGAQYGRELAPLSMGLSWSFPVEQTSINSGLVIQMGKGFLCSNGTVG QELGDLIVQSCRKRSLNLQMGAIVNDSSATLLSRAYVDPKTRMSLILGTGTNMAIHFP VHAIGLTKFGTRPVGWFDYAKHVIINSELSMFGGKVLPMSRWDDVINRTHLRPDYQPL EYMITGRYLGEILRLIIVEAVETAQLFGGELPRSMRDAYSFDTSIVAAIEADTSSSFS SSAALLQKEHTFHRAPTVDDLKFLRRVCEIVSNRSAGYLATAIHSMWSLRNEAEFPEV TASATSSIKETQEVTVVESEHSQSLTIACDGSVINKYPGFRDRCQGYINQLLQETNAS KGTLEATPSPSVRLDPAPESGILGAAVAVAVAVAEKTSA AOR_1_152174 MSYNTPDLSSVLATLSALSNQSQPQAQAHPQHQQPYTTNKNTQN VSEDDTDTYEPSETINPLTPSPNIPHPKPQAHPQHPKPPTFHLPDTSTITTWPPALKS IMRTLSTNEDLQRKIRFLIQRQHDHERQWWAGREALVRKQKARVEKKKELDAVLRSVG APVDEKEISTAEEDRAELTNYDLKVYKASKQMADAMTGELRTLQIPFFSIKQSLVLDS AGSAHLPGIGRDELAVLRRRMLELLQDFCKE AOR_1_154174 MSRSAADATRFTATGPYAHSKPGAAPYKLPGFMANAQSQGSGNG GRQETPKEKVERLRAQARAARMAQSTSRVDTMIDFGRRFANKAHKTMVYTLIAASGIC GALTVYSMVSLTLYNRRQRALWIEKELQTLQDAKTAFASGTATPAQLELLKNEKIGEI YEQKKQEEKAQRPWNKFKRYLFEGLSSEDAASKVEGAAENNKPGVLEALNAKAAEEAK AAAAVPAAVQQPGQLDALAENAETAAKQTSKSWKSWLTGR AOR_1_156174 MPLVNTTEVNEDTRVLGYDPLLSPQYLQSEIPAPAEATATVRSG RNQAVEIIEQRDDRLLVMVGPCSIHDPATALEYATRLKELAGKLSSDLCIIMRAYLEK PRTTVGWKGLINDPDIDESYNINKGLRISRKLYADLTSMGMPIASEMLDTISPQYLAD LISLGAIGARTTESQLHRELASGLSFPIGYKNGTDGNLVVAIDAIGAAAHPHRFLGVT KQGLAAITKTSGNEHGFVILRGGSKGTNYDRESIRQAREALRSKKQREVLMVDCSHGN SNKNHRNQPLVAKEVADQMREGQDAIVGVMIESNIHEGNQKVPAEGPKGLKKGVSITD ACIDWETTVTVLEDLADAVRARRAAKASSA AOR_1_158174 MFGFSSKRPRDHEELADLDRSAIPERKKHRSLALRTSPHCSQKP TFTEATRAIAELGLSTLTPVESSDDDDGNDGHRKHVHAGLTHSKGELPHQAAHCHASN SAMDIDYDRDMLPSIGDRTHYPWPTSARGNEDIQPSPIPHSLLNQYLTISERHAATSA YGYLPPTTSDHGSTLSTCHPTSHDATPCYSDAQRLPSPVSDGEDAMRSIKDTTSDVDM TYNPSRPASFSPSTWLGTERSSPNMQETMPPMDSARGMLQDPKSKSASNKKKITISMG YRADCEKCRCKVPGHYSHIIRA AOR_1_160174 MASPIPRGLRQVLQKSPNDIVILSSLRTPVTRAKKGGFKDAYPE ELLANVLKATLEANPKLDPALIEEVAIGSVLQELGGAKAGRMAQIHAGFPHTVPFHTI NRQCSSGLAAITAIGNGIRAGALNIGVGGGMESMTRNYGFRAIPTVLWPELKESPSKD SRDCIMPMGITSENVASRYGISREDQDVFAAESHKKATAAQNAGLFDSEIVPVKTLSF DPENPDAPPKEITATKDDGVRPNISVEKMASLKPAFSPTGASTAGNSSQVSDGAAAAL LMRRSTATELGLTSSIKGRWVGTAVAGCAPDEMGVGPAVAIPKLLQQLDMSVSDVNIW EINEAFASQALYSIRKLGIDEAKVNPKGGAIAIGHPLGATGARQLATLLPEMERTGQE VGVVSMCIGTGMGMAGMFVRE AOR_1_162174 MRPSLRLLNLEVSSLQGSRTLYVCSVCRQEARPRPLVARQFLRN ASNATPITERVRRKIWGTDNPPGLKDPYGGEGVLERKFKKDQPARQEEEPENLAQTSE QTQVENEAELASAEAYEPATTWEGLQRVGHLGRWSDLPPSEADAYESFMLKKKVTKKG QLSLAAHQAAVEVSLMHSLNKPLSKVCDVVEHDKSVFKMLWKCKIQPGEWKQAVVYPS KEAEKALVYIFEQIGGQPESAVAEETAEEVEEAVDESKWEDLVAEVNDSNVPFFGYAD VRDKGFLSLSLSDPATKFAFLKRFSQLSGHYLPDPVVHSVATVGQVVEYVQSVLNPKP KKLADYLANSQGLQNLPNVKVFTKKQKPMDRDEELGRKKVIEAELRSRGLIE AOR_1_164174 MGNDGGSIPTRRELVREAARAPSTAQVKEAQRELQEHYWKTCPL SHKPLMRPIVADCVGNMYNKDAILKFLLPGEEIEGISSKADCEEILCGRVKGLRDVVE LKFEIDTEQSQHPSTKLDKQEGWICPVTAKQLGPNVKAVYLVPCGHVFSEEAVRQLKG DKCLQCNESYTEENVIPILPTKSEDKQQLMARAQKLAEQGLTHSLKKAPGSKKRKKQA NGDSAETEGASRSNTSTPKPSASNGIKHAATAMLTARVLEEENEKKKRRKMMGLNENL DSLFTKDSKDGKMNNADFMTRGFTLPAGSRK AOR_1_166174 MNIYRWPEALSAFVYDVCSVHPHWRDKKLNAPIMILPQGLLFFV SLAACSTAVVAASNDGSARPRGVGPEFAKFYKDTDTFTCISHPAIKIPFSAVNDDYCD CPDGSDEPGTSACAHLSRNSPLTVADRPGSNDLDLTLSLPGFYCKNKGHKPSYVPFQR VNDGICDYDLCCDGSDEWARVGGTKCEDKCKEIGKEWRKKEEKRQKSMTTALKKKKEL LTDAGRQQKEVEENINRLEIQIQGQEIKLKDLEADLEEIEKQERSKVVTGKKAGKVNV LAQVAKGRVEELRTALAEVRKERDETRSRIKELEEILSKFKVEYNPNFNDEGVKRAVR SWEEYAARGTSEGLENAARDRDLDEIVKPDDDKSGVNWEQWENEEDGCEANLVYQLAA YLPPSLVNFIEDKALAIRGFLEQNGILPTKDEGSASESKAVTEARDAVDAVRKSIEDL KNQVKDHKEDLDTDYGVGSIFRALKGVCIQKDAGEYTYEHCFLDQTKQIPKKGGSTAR MGNFVRIGSVTIDQLNESGEIVPEERISLEYAKGQTCWNGPARSTSVVLQCGEENEIL KIAEDEKCVYSMLVNTPAVCPGGEEDVHAASGRKDEL AOR_1_168174 MKISYASIMVLLSMTALAIPNPEAYSQDRSAHALNMLEARKGCS GQRKNEDKCSGQRLGPMNSFHSCKNMDGKCCAKTKDGSGALDASRGQGREDCGFCFTG KCKA AOR_1_2944174 MLSSKWTSTPREFPTSGFELLDASSKIEEETLPTYSPEKYYPVQ QGEVFNDRYQVLAKLGYGVTSTVWFARDLVDSTYVVLKIYVLGQEREHELNVYKHIDS IETDHPGRKFIRKMLGYFYVQGPSGRHICLVHEPLGMNANELLRHIPGRTMTLESMKP AIRQLLGVLDFLHSVARLIHTDIQLKNLLLPTPSSSALADFEEREIKVPAARKILKDR TVYTTSRFPAGDGLPLLGDFGEARFGDEENIGDIMPDYYRAPEVILKSNWDYKVDIWN VAMIAWDIVSSQTLIRGETRDGIFDDGVHVAELVALLGPPPQEFLQKRELSSVFWDEP GKWKNLVPVPDRTLEKLAVNIQGEDVEGFLRWLRLALQWNPEDRPTALELLMDP AOR_1_172174 MPNSNPVIVILHGAWHTPAHYAEFTSALKSKGHEVHIPRLPSMS DTRPPNADLHTDTDFIRSYVKSLVEAGQGVVVFMHSYGGQVGTNALVGLGAETRKQQG QTGGVVLLIYMSGFAVTENTSMMSVVKQFGHEDLIPLAFDIAEDYSTVSRDPKNLIIG PGRSDEETDAYVAAMLRWNGKTMYQDVERCAWREIPVAYIYATEDMNVPFDYQKWFVE TMREDGREVETFEVQTGHCPTFTKFEEVATIVDGVVSKL AOR_1_174174 MATSTSISAANLFDVSGIVAVVTGGGSGLGLFMTKALATNGAKK DRDIVIPIQCDVTDQSSLKAAVARIEADTGYINLLIANSGIAGPSGSVPPGSSIAELQ ETLYNIPMQDFTNTFHVNCTAVFYTTIAFLGLLDAGNKNGSYSNGRSQVIATSSIGSF NRRISAGFAYSTSKAATTMMMKGKNPTEEGAFPLDQIPAERAGTPEDIMGPLLYLASR AGAYCNGNCVLTDGGRLSVTPATY AOR_1_176174 MLVTGYHAWLLGVDVLVGVLNCILSLGSKEALIGKSDNHSLKYQ TTLRLSLFRTIQFIPIPPQPCLPAAATAAPATATPALAATASTKCITPDTDPTILKTR TMSQTTMIP AOR_1_178174 MTLYLCLPVSSWAIKRSPPNHLPTRTITLDLFSKTPNTILQYTM GSDHGCSCGASCQCPSGQCQCPK AOR_1_180174 MQNDMIHRISCLCGKARQEVVLGADPAVLNLCHCMACRAITGQL YASYHLLRTRPSNIDRFCEYRQSASTSRYFCRTCGAHVFAHLKHTGQYFVAAGLIVEG SRRTKTIWHWRTSDTRDGGLTSFLPGESKETMSACWLELSSNNQPGYSAEIPPADDKS HSLRARCHCGGVAFYITLPDSTSFKPSSPWPDLLVPYYKSSSENAQDVKWWLRDRNTK YLAGTCACRSCRLASGSPIQTWAFIPKSNIVNASGSPLVFTETTMQRYESSPDIYREF CNRCGATIFWHCKERPLVIDVSVGLLHSDSGTRAEELLEWHTERVSFAEMAVDQQLMQ RLESGLKAWSEKQKICEK AOR_1_182174 MPPPFQFRGNDRRSQNHHPKPEFTFRYSRPPTSEPLKFAPIESL SDSEEADMDVSSDENDDDESRPRKKRALESNKCNTPASAPTPPPAPKWSNPDPYTVLP PPDETQSKRVDVVKLIRKARIAASAQPAKTDAVADNEDFISLGGLVDEEESKYQPPQD APMGPRSQMQGRDSAVGSRKRTHDDELKGVSKKTGKPLNKYYSDGSIIDEWRVRPSET GAPWFNHMTPTLHLGTRLHNEILSFYYWVKPVEYEQIVRADLIERLQTAFQSRYYGVQ LRAFGSFASGLYLPTADIDLVLLSSNFMRHGIKTFGERKGQIYAFSAFLRNLDIAVPG SIETIAHARVPILKFVDKMTGLRVDLSFDNDSGLVANNTFQQWKSEYPAMPVIVSVIK QFLLLRGLNEVPCGGLGGFSITCLVTSLLQHLPHGSMSQNLGSILMDFFEFYGHEFDY ETVGIRMEPPGYFNKRVYKVYRDNKDARLSIEDPNNADNDVSGGTREIALIFKSFRDA YRLLKERMVYTAMAGDQNNSILESIIAANYDEYTEQRWQLRQIFQTHPRFARYQAPPS PPPPPQSPPPADSAPPPLPPNSPPPSQEPKEKMTKLQRKQQASRERAARLKRLRPDLP SVPDSITNEQALIIGGYKSQSDMDKDLANREKELK AOR_1_184174 MGRPSLPLSANLPPLVMGTATFNSQYNPDPYALPTTELVHRALT SGVRAFDTSPYYGPAEELLGRALATDFVQSNFPRHTYRLLTKVGRVASSSFDYSPEWV RYSVKRSLRRLHTDYLDVVYCHDVEFVSPQEVLEAVRELRRIRDTEGTIHYVGISGYP VDVLSDLAEMVLQETGEPLDVVMSYANFTLQNTRLLTEALPRLVAAGVDVIPNASPLG MGLLRRSGVPIGSMGDFHPAPDGLRSAIHRAAEWADTQGEKIEVIAIRFALESWLREG AKAGALGPPLARSADADPGFLSVVNMGTGERLGVSVMGVSNLDELNETLRVWHSIIEG LEYKDDEEIAEIGNSQSNPAAVPSAPQAPTILTPSEGIITDRAWSKSRRHRILSLAQE IQAILGSEWVDFVWPSPGTDFVNTLSAEHLALKTELAGLTAAGQEKAASATTDSQTMI TPPLDAVDTKIPVDATPSDVAL AOR_1_186174 MAHRLVSQVVVTGARVFGRAFAEAYKQASATGKYKAVKGNGGSS FSSSGLTLDEACKILNVKPPQGGETNLEHVMERFKKLFDLNDPQKGGSFYLQSKILRA RERIEMELRQAERKAAQEKELREGWKPKVYKD AOR_1_188174 MAALDDIPWIDSMAPSTSAQDHADSQPPTVAAFSPTTISGSSLA SRQRSSIIVHRKSPLLVATPPPITRALAYSHPFILPLNKLVGLLTWTTDDAWQSFLLV SIFWTIVLYGDAIILWAGPPLVVVGLILGMYWRRYSPLSTRSISGEKPGHQRAPSESS LRHHDSLDEIVETMRAFTTRCNMLLEPFLELTDFLSTQRTATSATTRPALTTLFFRIL FVTPIWIALTLPPLHLITTRRVILIIGTIILTYHSRPARVSRVILWRSLTVRRICSLI TGLSFSLNVDKTPSTRTQSHGHAASVATRRRGESSGVRFTFILYENQRRWLGIGWTYS LFPSERAAWTDEHLNNVPSKDEFELPEVQSGNAKWRWVEGSEWRIDGADDASAKDGGG WIYYDNKWNDGRRGQDGWDRYTRRRKWCRDAELVEVSSTADLTSAEATSGLTQALEKE REKRRGSGTLDASTVDADSESLAGSTSKARRRRWFGNSKTVSDKTSSISSSSTLPASN NNSSVDLGRITSAPSSSRPGKIASRPISIPSSQKLSSSYSSGSGSLGREGSIHGSAAH SDKSARDKEIAHSQDRLDRWGSRAAGGIERAEREMGLGDEVNMGLS AOR_1_190174 MNQLDTAANVSGRNPVQSTTRKRARREGSKWTRSGCLTCKKRRK RCDEAKPSCRSCVRLGLTCEGYGSMWAEPLKPSAEIFQQVLPPKRRRVSPSPSLSASS PAAPVEQLSPNSTVFSGYTTVPSTPSEYGAIEICTPQDEDNDSHGNDDLALDVTHSEN CAVLVPKQCGSLSHLSNLEMHYLQYHMEQGSKLLANLESDENPLRSLIIPYALSSPLL MKALCAVSAMHLANRSCGNLSAQNAAANYYVRTMSGLRSALSKAPVEGFPTDSILAVA LLCKYEIVRGSVKQWAVHLSALEKLVVSRGGFSTFDQDTAEFLWGLFMYAHNVARVTN RKQITNYIPGEEALSLRKLDIYIGYTEDIIRLCPRIADLPLLSHDPVALGLEIHTIDS SLRNWTHTSTPYIIPKGATDASLVRLRMVAECFRDAAYIYLHSTLERMSRGIVARNLP SLWSSFISRTKQVALRRCLDRIQSFPLDENCEYSALTFPLFIAGCESESPAARELVIL SLSKLESNFGIGNTKRAKELLHILWNGEKMHWLDVLEQLKWDLILA AOR_1_192174 MSFTSQETTSTTSGKLHPFDPVRPEEIRLAVRILEASFPGVPLR YNRIDIHEPIKQDVIPYIEAERLGKPLPPRPARLLYSYFSRVDTGVCIKALMNADTKS LIYAKEFPEGVQPPMDVDEIAGIEEHCMQHPAVLAEIEKLKLPPGMTVCNDPWMYGTD DPNEKRRLFQCFMYIVEVDHPENNHYSLPCKFSPVFDARTKELVRMDYLPGGADHQTV ETQPWVPVKAVQYAPELLDEPLRTDLKPYIVQQPQGASFSVDGNSVYWQKWRFRVGFN NREGLVLHNITYDKRNVFYRLNVSEMTVPYGDPRAPYHRKQAFDVGDVGFGLNANQLS LGCDCLGHIKYFDGYRSDSKGNPVLLKNIICMHEQDNGLQYKHTNYRSNAATVKRNRQ LVLQMICTVANYEYIFAYIFDQAGNVELEVRATGILSTVPFDNLNGETVPWGTNVGPG VMAPYHQHMFSLRIDPAIDGFNNTVYYEDSVPLPEDENNPYLVGYTTEQTVIRKSSSA NTDVNRHRVFKIRNDNVINPITYKPVSYKLMAAPSQMLLLPKHAVGHQRAEFASKPIW VTKYQDNELFAAGEFTNQSKKADGVETWVQRNDDTENEDVVLWHTFGLTHNPRIEDFP VMPMERISVMLRPDGFFTKNPALDVPPSSQAFNKSTLHPEPAPAAACCSGVGGSKAKL YKRVD AOR_1_194174 MAETKIHPQSPEEFEFIETPPASCTTPAEPCGVRTTSYPAIKNA PVPADSPGSDSFSNILLFSLLLLIPWYLARQVGGGFYTTIFFAIFTTIPILMAFWSVA SSISPRKTEKAKYAGRPVEHYLHFHSEHDRAAYRGKSKIPMEVFYEKYFNGEVDFKGD ALECLEFRHDWANFRFTMGLFKHFLFGFIPEMLMHTRSQDEEQVRDHYDRGDDFYAWF LGPRMIYTSGVISDPDREETLEELQDNKLAVVCEKIGLKPGDTVLDLGCGWGTLAKYA SVHYGAQVTGITLGRNQTAWGNNGLRKAGIEDSQSRIMCLDYRDAPRVDGGYKKITCL EMAEHVGVRHFTTFLSQVYDMLDDDGVFFLQIAGLRKSWQYEDLIWGLFMNKYIFPGA DASTPLGFVVDRLEAAGFEIKAVDTIGVHYSATLWRWYRNWMGNREKVEAKYGKRWFR IWEYFLASSTITSRQGGATCWQLTLVKNINSTHRIEGINTQYGLKGARQAAIDSVGHG AVPSAHVTPKA AOR_1_196174 MLALRDQENLVHAHQTVAASKPLNQGVKQLQPKTPGARAPKTPF KVPLNDENDPLAFGKKTVKGAGKQNGAAKPSVKDAFVTPMAETRQRAPLGMKTTNAKA RGLQTPAPPAGTVKPEKTGKRASTQRVKKFAPLVEQSKAEVQDKPAEDDVPEIEYMPP KPKELPDIPDEITYDTTFPQFQPRNLALGLETVYGHTEIGPDGLTKRERKLQEDSIAY DKMMDDMILKQVESISFEDPSDSDQNEPCVDEAPPRRYETRRTRAMSSREKHTSNIPT VRARDAAAALSGTERTLRPRPVSIPKPKPRVASSLFSSRKPRTPTNPSSMHHAAAVVN SKTIVGYTKGRDVSCKLHGKPPSTTKGQTIPQGIFSADTYVRPSGTPPLETDTVPLAH DADHLTANSEEVLPVYEEDEESLNFQLTL AOR_1_198174 MDKIKERMNALRLEADEAHEKTEELKNRVKTLEQENLAKEQEIT SLNHRNQLLEGEVEKLETALKEAKDAATQSAQHDTQNETLQRRLQLLEEELEAADKTT RETVEKLRQTDVKAGHYERKVQALESSRDEWESKYEEMAKKYAELQKDLHDLEVSISN V AOR_1_200174 MGPQAKRRKTSKVEEITFDHSARHEFLTGFRKRKQQRIKHAQEI AEQKAREMKREERKRIREEREAEFKNALVEHQKQLKRLRQEEDGASSGSDSGSDDEDN EEWEGFEEPPAVDYEAEYIDEDKYTTVTVEEMDASKEGLLRSQEHSSDEEQEDEKKKA TSEADSKPKPVEKTKKASDKPKKKKKKFRYESKAERQLTRKKERLSNSRKAKARKER AOR_1_202174 MHLSSFSLLALGAATANAFRDTSPFFLASTSEILSTSSQLKTAP SLLDDLSSKLSSCPSDYYVIASQPGVHSTDFATRKSAPRLGAKMTGKDKTIRSTATVN EVVGVLEAKQIQSIIEKECGAQTTVIDGSSGSYPSDFGADPRVLFINFPVLPLGKERA QQLSDNDGLLSDIIERIPSSKKYTILYVTSPREFGESDSVIYQSETDPYQDPVHMDLK RDFAAHSRRSEPASNKSLFQEYQYLTPGLFMGLMATFVFIMILYVGFSALASLEVPYA AFEKDTSASVQKKQQ AOR_1_204174 MNLRAAGLRKARRQRSFTWLLLLIAVLMLAQISLAQDTTGNDPA STTDVTTKETTTATTKDDTTTTSKTTETSATDKSATTTTSESKSTDSSTTSTATNDYP VVTVPPLADAPYMQTSDTPEGTVFIAVGAVLGFVGLALLAWRGMVAWSVNRSVRKAAI MQSSEAKGLLRHRRKRSAHRSHGGPAPAVSLEKIGGGHRTNHRSSKGPRSNSGLFFSP TAGIHSGGNRGSSYLPAGYYAAGSAAAGSGSHQSMQFSASDLPGMGPQAQGYTRTKSG PSPPGTPTHAPGGVYEPQFNTSRYSHMASNSSVNLASPTQGRTPSAYLEDLFENHPPN NRH AOR_1_206174 MGPDQHAQLDRFSLFLPFPYRVAVILVAGFWGWGVNLQYLLKKN IDVPALIRYPARHSSSQRPHHVSTYHLATLLTVPLLLSLLIFWAATHGSTEKVESLDF IPQSYLIIFFIILLLPFNRLARSGRHRLFVTLKRISIGGLAEAQDGKFGDILLADALT SYAKVLADLVVTFCMFFTSGVSSTSKPDRKCGHDWVIPLVVAIPSIIRFRQCLIEYVR VRRAGFKLENQGGQHLANALKYATALPVIYLTSKLRNYNPLESYGYSEMSLSRVLYLC TFVNSAYSFYWDVTKDWDLTLLTSARRDAHHPYGLRRHRHFADRQYYLAILVDLAIRF SWLSRFMPGFVWLCETEVGIWLLMFLEVARRWMWIFLRVETESVRNSRGPAPNDILLG EFNGKLDAD AOR_1_208174 MADEDFSLSPATSAPAPSPSAHFYPFATSPDIIRSHEKDLFLTS NLVQQAQNIIRSLRGARFAHTYSETIKNLTEILYFSLTTLIGNRTLGEEYCDLVQLED DTLQLPSFIRRAGYIVSSIIVPWILQRILPAFRQRLRAKLERSIARQQLKAQQAREGT KPSRKETSKAPSFFTKLRIQKYILEHLDSITSLSPIYAVSIATFYFTGAYYHLSKRFW GLRYVFTKKLEENEQRVGYEVLGVLLVLQIAVQSVLHVKKVGLSLQQEDLETEATHSR GPDDTLIHSIENPPTLPLLPASDARYDLSEDSTAIPWIPSGQQSRCTLCLEPFKDPSV TTCGHVFCWTCVRDWVREKPECPLCRQDVLLSKILPLRG AOR_1_210174 MFRTTLAHITSLVKTGFKSQLRNRRIIDVADANKGKLRDINRKS FTHALVQREKLKGLERPKLSTLPKDSPTPKFAPISGAHKFWSHRLYKSPDGEEILVHY CKTLESAETISKMFSDEPILGLDIEWKANASAADGILKNVSLIQLASSRRIALFHIAM FRPARGAEDLVPPTLKRILESPDVIKAGVSIKADCTRLRKYLGIETRGIFELSHLYKL VKYSQSNPGLVNKRTVNLSAQVEEHFGIPLAKDVEVRCSDWASALDYSQVHYAAADPF ACVCLFNTMNAKRMTLDPIPPLPAHAELNLPIQIVHETPVNTEPEEVEVIEPIDNLLL GAKERS AOR_1_212174 MGMIEDPPNSLRLSLTSSTMASQLLPLELIDKCVGSRIWVIMKN DKEFAGTLLGFDDYVNMVLEDVTEFDYSGAQIKLPKILLNGNNVCMLIPGGEGPVGSS AOR_1_214174 MEKDEIEAKAEPRGAAFESPPPLYGDSEPTQAGLGRRIWDSFKR DPNAHVTGGHGSSGADGKSFDIENAAQKTASSPLQRSLKGRHLQMIAIGGSIGTGLFV GSGKVLATGGPASVLIAYALIGCMLYCTVHALGEMAVLFPVAGSFAHYSTRFIDPAWG FAMGWNYALQWLVVLPLEIVAASITVDYWQSDISNAAWVAIFWVLIVSINLFGVRGYG EAEFVFSLIKVVAVIGFIILGIVLNCGGGPQGGYIGGKYWSDPGAFHNGFKGLCSVFV NAAFAFAGTELVGLAAAETANPRKSLPTAVKQVFWRICLFYIVSLTLVGLLVPWNDER LLDGSSSADAKASPFVIAIKNAGISVLDSIMNVVIMIAVLSVGNSSVYGSSRTLAALA EQGQAPKFLSYIDRKGRPLWAILIASALGLLSFLAASDKQEVAFEWMMAISGLSSIFT WGSVCLAHIRFRRGWKAQGHSLNELAFQSQPGVIGSWIGFLFNCLVLVAQFWVGFAPI GYATKTAGELVEAWFSVYLAAPVVLLFYIPYKLWFKTPFIRAKDMDLQTGRRDLDLQY LIEQERAEQAEWPAWKKVYKFFC AOR_1_216174 MSGFAASFWTPDYATGLGVLYGKLQQGVVENKQIITIASMRADA EDQYGARLGDIAPTVDRLTGAGFAKDDGASVRKAYEGVRTEMVEAAKNHQKIASNIRE LVVSPFRRWCDQHEARIQNSHDDLQARIKEHTKQADLVKKLRSHYFNKCRVVEDLEEE NKLAFQAPEASPPVKAPPKIVLPEEAEDEEPMEIGDHVYTPEGLKQLLVHMLDNIKMG DVKVPIIGTYQNTSTGADIVEYTQKHMNATSVSYAERIGQDLVDNGLLRLVGNMGSTF ANSSKMRYQWRPKVFQITGIPEKKTPLLRVTSMANSEDGSESPISTVSEMLAGWNPLN NAHPNETPAEKLRREAREADERYKAAVRKLDQIRCKLEEEIVENLRFMEQCELDRLKA IKAVVLDFSGAISNVIPNLQSTVDHMMLYQETIQPLGDLRYLLENYRTGGFVPRVQAY ENYYGSVEDQNFGVDLEARARADRKRVPVLVTTLLTYLDNRYPDLEGDEARRAIWLYD VPLAATHHLRNVLNNSKVDYQEVLEKYEVPIVASVLKLYLLELPDSLVSSQVYEIVKT IYSTTAHETTEEGRIKVLQSTLGQLRLNNIATLDAVMTHFTRLIDLTSADEAYISALA QALSPCILRPRIESSLTMNERHSYRLIRDLFAHKDAIFGELKRQSSALGVTGSISRPR AISTDESNRRAAMEARARAIMDRTRANSPAPPRKHRRDRSSGPSEAGRFPVNVSSPTE RRTVTRNSLDVPSSNSSPTAQEQLSNVNINAATEAAATEATPNGTSSDSSASATANET PSSGTSTPPPIAAPTAPEDSPTPTPTPAADGEKRTSIARASITRKPGLGNRSSFPVVP SGESGTDSKRNSLADSEPKGVTLEDKPMDDD AOR_1_218174 MTASVLGKRQRNTLEVGVPVLPVRSASRRRTQPPRILQAENAPS APTTRQLRSKTRGDSAFQKENDDKSKDVINTNGGVKDEEPEHGVPSPTKAHSRIRTSK SQNGGLSTRNYADPQPVPTDENTTSVEFKTPSKSRFRDALDSPPITPRHRVQVGGISL TPRTPRQTSTPQATQTTQTIYTQARQLFARGANSGRLIGREAEREKLASFIQDGLESQ QGGCLYVSGPPGTGKSALVKEACDELDLGSVKVTHVNCASMRSARDVYSKLIEDLCDD QQIFKKSEAERLKAMFTSNKKQDEMFLVSLDEIDHLLTADAGILQSLFEWSLQGKSKL MLIGIANALDLTDRALPQLKAKNLKPRLLPFLPYNAGQIANVVTERLRSLLSPGQCDD PKFIPFVQPAAITLCSKKVASQTGDLRKAFELIKRAIDLIEQETLQKLEKQNENPESP SKTILVENNNLSSPRPKQNLTSAYTTLTAPRASIAHVARITSATFGQGTVQRLQGINL QQKAAICALIALDRKRREGEFPGTPSKTKHSAPTIKQIFDTYCTLCRKDNILHPLTAT EFRDVLSNLETLGLVGEYQGKGRGGTVAGGSNIRRSPSKSMSGPMTPSRAMDEQGLVC FVSQKEIETQLSGPGEGILRRLLMGQGL AOR_1_220174 MNDDVVAQFTEITGSSPELAIQYLHLADYNIEQAMQLYFENGGA PLTDEPIPSTSDAPGARPTAGDSGAVHVGSDDEVTVDEARSTPRHQGPQSSTYEDDEA MARRLQEEMYGGGGGGGAAVEDDGVRAPMARTTETLVGPDADFDDGDMHTSILGQLRA RQQRNNRPGIFNQRDTSSIWTGEDEASRRQRLSEATGGASDASNKSNMLAEMYRPPFE IMSRLPWDQARQEGRENERWLLVNIQDPSIFDCQLLNRDLWKDAGIRDTVKEHFLFLQ YSKDDPRAAPYLQYYFQASDVSDNYPHIAIVDPRTGEQMKVWSGPPVVKAADFLMQLH EFLDRYSLNHNVRNPVAKRKPEKKEKSIDAMTEEEMMELAMRNSLGGDPSQPQKLEDP DDLTRSVEDVKGKGRAADTEDINMDEADQGGKGEVETSPFASIPDDKPHTEPPSDPAT TTRIQFRHPSGRVIRRFALKDPVRRIYEWLKADPPLPDKAGVEFELNSLGHNLLDSLD TSVEDAGLKNGTVMIGYVEE AOR_1_222174 MLPANNLAFILSPVVTFELIGGVIALVSIAAIASWSYNVFFHPL SNYPGPRLAAATRLWYAWHCAKGSLPFAIHKLHLRYGDVVRVAPDELSYIHPDGWNEI YGHRPGQSEIPKDPSFYSSALSSPEGIFRAPRDRHGYIRRQMSHGFSEKSMREQEDTI RHYADLMISYLSTQANGPKENVVDFTRWYNYFTFDVMGQLVFGESFNCLQSSGFHPWV SIIFDSIRYNVFVRCTQFWPWLSPVIRRFIPKSFQRRKIEQQALSREKANYRKTIHDG RNDLVANLLKPDSGVTDLEYQSTVQTLIVAGSETTASLLCGVTFHLLNNPEKLEKAVK EVRSEFDSADKISFVSVNKLHYLLACLNEALRVYPPVADGFPRRTGSNVEVINGQPVP PNTAIRMTHWATYRSPRNFVRPNEYLPERWLGNAPGFEKDHKNALQPFHVGPRNCIGR NLAYMEMRLLLALVLWNFDLELYPASKLWDKQRVYNLWEKPELKVKVLPRKI AOR_1_224174 MAQSYPLLFLWLLVLSAVSSTAIPLRAGIFTSVKGGSVTTRADK VPLRVLPLGASITWGVNSASGNGYRAPLRNKLTSTGWEVDMVGTKQHGNMTDNDVEAH SGDTIDQVKAAAQGSLKYKPNVVTINAGTNDCRLNISISEASDRMRSLIESILDAEDT QDTTIVLSTLIPSVQKQTEANRPDVNRQYRSLANNMQKEGVRIVLADMDPETDDDKNR LVYPEDYTTNGVADDTHPNEQGYAKMANVWYKAVLEASDRGFIQ AOR_1_2946174 MSKESNTWEPIGNTIVNYAINKTSEPVIGFLLRNDDNCVTKVTA YVGRYEPTGVDILYGIELTYKDGKRSIRVGTRGESSKSLSLGHGEKISHMWEEGGEHH EQNTGNGLLLGFHGTYKYGQLWSMGGIFQRSSEDISEDDV AOR_1_226174 MEGLVDPESIYMKQNCIGGGSFGRVYKGVDKRTGASVAIKIIDV ENAEDEVEDIIQEIAILSELNSPYVTRYHGSFLKGSSLWIVMEFCSGGSCSDLMRPGT IPEDYIMIILRELLRGLDYLHSDKKLHRDIKAANILLTSSGQVKLADFGVSGQLSATM TKKNTFVGTPFWMAPEVIKQSGYDYKADIWSLGITAIELANGEPPYSDIHPMKVLFLI PKNPPPTLQGNYSKAFKNFVELCLRRDPRERPSARELLEHPFIKRAKKTNYLTELIER YERWHAVYGNKNADEEDEPAYEPPPKPTNAEDEDDLWDFGTVRPAGRGPGLKPMKEAD MNTRGHESSEWETKDRPPREPVENNSYTPQRPTQTKHIPTAASKPSSPTKVPLPPSPL KQGPAEVKPRTPTHLQRPNSQQLRESPGSEYDKALQQSLAQDISFLHIDPTPESPSPS ESRKANLPRPSGVPSHQERISAQPLRRPSDQSPRPAVESRPHHQVFQQHPRPPPTPRH ASPQPQRLPQTPMQPPGLGSSFGPLHRGIDPNLQPSVGIPPSNEITALNSVILPALKA AVRRRSRRLELLSRNPSAENGHTRMETYELQSNREYVQQMMESLVNDLGGIFTRIERW DSEAPVGMGADVSSFLEGFLEEVLVRIEPADEESPTPSN AOR_1_228174 MPNAAAPAVSAQQTPPITRPFGDQDRDQSADESWSAVDSDNDLV TQNGNASRSLKRKRPLTVSCELCKQRKVKCDRAQPSCGWCSRNGQSCEYKERKKPGLR AGYGKELEQRLDRLEEVIQTQARLIETHILQSQPRSNHEFPHPGPHSYSSPSEPSAAH GPSPRNAAYFHEPSSVPAHPRPSDASITSPSDISVRNVMHSHLTSGLNPPIPIPQVSD TTHASDYTGNESSLKVPVNLFSNQEQSFADPELDLPPYDLLYALVDLYFDHINSWCPI LHRRTTLDTFFGPSPLEEADRMVLYAIVATTLRFSSDSRLNEQNRKRYHDSSKQKVLL YGLENSSVRALQALVILALDLVGSSNGPPGWKLLALITRSVVQLGLAVESKSSLIAPV YPSIYTLRAVTLPDSESWIEDEGRRRLFWMVYFLDRYSTLATAFDFTLDDKDIDRKLP CKDEFFMKNQPVETRWFHHSGDRADYLKRAENVGSFGLYVEILGIMSRIHTFLKRPVD IGALSDVEEWQATYRKLDGELAAWEFGLPAEYAYENSSRLFNGSKSNKGLPCDWVQLH ATYQTAVIRLHSSAAYPTTRSPIFTPSYSASQRCLFAVENILSVTRFVVDNNMLDKLG PPFAFTLWVSARLLLVHGSTIAHTVSPDIIFFVDTLAQMGTYWKVAERYSTILQRVLD EYGEYQQSGVEDSERVTPSSVKILADMRRCAFDLDFLISRQPRSSPAGSQPTVPTPAA HPRNLAPNELEYLDVFGFFNVPRVPAARAPDITDLDINETVNNPMSINGLTGSVVDGA APNANEFNITNYLIPTPETDWLFRSEG AOR_1_230174 MGSISEFPRIKEIRTFIIDGVGSGGDYHNVKGGHWLIDSDISTP MTKWAQYRGSRTSWGINVLGSFCVEIEATDGTKGFATGFGGPPACWLVHQHFERFLIG ADPRDVNDLFEKMYRASMFYGRKGLPVAVISVIDLALWDLQGKIRNEPVYKLIGGATR TRLNFYCTGPQPASAKAMGFIGAKVALPHGPDEGTEGLLKNVAYLRKQRESVGPNFPL RVDCYMSLNVPYTIQLVKKCEAEGIDIDWWEECLSPDDFDGHALLKKAHPTVKFTTGE HEYSRYGFRKLVEGRNLDIIQPDVMWVGGLTELLKVSALAAAYDIPVVPHASGPYSYH YVVSQPNTPFQEYLANSADGHTVEPVFGNLFLNEPIPTKGYLDVSILDKPGFGLELNP AAPLIPASALLTPAPQKSLPPPTDNENGANGAAH AOR_1_232174 MPLPQLLVGKVAAITGGLTGIGRAIALEYLRHGAKVAINHLGGP KEEPLLEALQKDVSEITGANANSFITVPGDVTQPDTGRDFVAKTVAAFGRLDIFVSNA GVCKFAEFLEVDPPLLGHTINTNLSGAFYATQAAGRQMALEQSPPGGSIIGISSISAL VGGGQQTHYTPTKAGVLSLMQSCAVALGKYNIRCNALLPGTIRTQLNDEDMSDPVKRE YMEGRIPLGRLGQPPDLAGPAVFLACEELSSYVTGAQLLVDGGAFVNLQ AOR_1_234174 MPIPIVDSHIHLFPESHLPTLAWYGPGSPLGSQHSVDEYRLATS SISTTADTADPTYLRGFIFLETDRISSVEEAGGGWSHALDEVSLITRIITGTPVAGEG HRDQDRHLCLGFAPWAPVPGGPAALQKYMGLVRERTKTDDVWKKLRGVRYLFQDKPKG VMLQDDVVEGLKWLGREKLAFDLGVDARLGGSWQLREAVEMMRRVYDGVKEDEKVVIV INHLCKPNLRLPDPSHASVTTHPEFLEWSSLVTAMAQYPNTYMKLSGGFSELPPVSSD AEPDIASIVERIRPWTDVVFNTFGAERVMFGSDWPVCNVGGGGNEGTWRRWKNVVESI LEKRQLTAEQQRGIWGGVAVKAYGVEI AOR_1_236174 MATSEIPQKQKAVVYDQPGTVSTKVVELDVPEPGAGEVLINLSH SGVCHSDYSVMTNSWSWLPHPTQPGQVGGHEGVGKIVKLGSGTESSGLKVGDRVGIKW LSSACTNCQPCQAGAEGLCVNQKVSGYYTPGTFQQYVLGPAHYVTPIPDGLESDAAAP MLCAGLTVYAALKRSNARPGQWVVISGAGGGLGHIAVQLASKGMGLRVIGVDHGSKEE LVKESGAEHFIDLTKFPMDDNGKAISDHVKSLAGGLGAHAVIVCTSSNAAYAQSVQFL RFNGTMVCVGLPENNPQPIASALPVTLIGKHCYITGSAVGNRREAIEVLDFAARGIVK THFRTEKMDKLTDVFEEMREGKLQGRVVLDLS AOR_1_238174 MSAPTRQFRGLSIDDKMPQRPTQPEGTPHPDDDSALMETGSDES EVGGELPEFSTLQGKSGITYDLTKLDPESEARALVGLTSRFDVIGCRRTKTGFDFQFS ERPQVHIGSGGYTCTCSTFMGLPGIACPHVFWLVDQLHGCLIPQIPDYDVPLSSDGHP PVFTRIERLLDDKLETVAEQLSWQYVRSEVEGGMSRPQKVRDLMSAFDTAVLPEDFRL DLIDDDGQSRTPEQCVVQGDFEATMFRLAVHDDMVYFSLCKAMPPGACAAIYFDKVQE KSRKLLADFDRYCQTGQRPEGSNADVNSVIGELQHNVNRIQANIATRAPHGFEGAAKA LVTLLEDICNRNKDALDGNHWGGVTFGGEDEDQRNLYHQLIGKTEETGECFILDALEQ LAGSDLHQFKERLRAILQKNEVNRAPKAYILKLNALVRRAESNSAGSGQKRPATASSG GHGQSKRTR AOR_1_240174 MDDECSACISGPCVPPKTSHNALPTNTAVLGSGGPSDWEHLTPT PGDVDDVEAFGVKHGNGTHSSATSEPTPRYPAPIPTATSGSQTVISTPPADVSPISQP VHTQDTTAAQPFLSSSSATQGIQDPPRPIRTDTSGSTYSTASTTGTSESIDGVIEAWN RPVTTDLQPSITQAGQSISSRTDSPTPMQKLSPLEIPKVKQDSSVPRKEVQSGPNTPP SSNTPNETSAKVDALSPQLKPLDPYEDLDPWSKSSLERYVAMLRKEAVADSDMERFKI FTAFMSKETKLREILYNIEHEPKNEEAPSQKPTPASEESSQKSGKASPPVESGLIPVE SEEGNESADASEDLEDGKYSPGGRPIIPRVETPSTLGLQRPASQPPGKGHSLGHQNQS QPLRATSVPPSMLDKQELSPLTTNPPQPIYTPFRYTEGPQRGSDHLVIDRPAYQAYSA LRQASAESGRVMSNAPHSGFQEDSHSTAPLAGNDDETFIGLIREKSVAYRKASRRKSS PPPPLPMSLRKGRPSGPVDDLRSMVSSPLAKQSESSWHITTRKNLEKYSTDFDYIKEA VKSWETANKSRREKVDKQRMHRQEESEKRIDALFNGREIGYADINVLEEEFRQTEARA QLDEERQELDDYIAKVFNPLDERLQKEISALQTQYDSALGQLDHENNKIKNSVTDKYN LSHTMKTVNGIYQKLEARYQKRLEIALDREHRRKKTERRPLVFMGDSVALKQLDREFD EMEKRNILEAAKDRDERANRLMDSFDDAIMHGLGENQSLLDDVSAKLSKIDAATIRSS NLPDSEIEHILRSVYNLVDSLRQDSESILHNFGIADSALNDADYGVSVAEARYSNADD DVFGRLEDDKKKEDAKIQADLKSKLDSVRAAPADITATINNLLKSLGKAPITKPNRPS ESAPVGHPIDVLFPGPRPTASAGPKKTDEDQEHQERLRKALENAKKRNAARNTPQPPN AOR_1_242174 MVSSFCWGCLTRLRPTPRAVLPPTVAAPRAAAFHTSTVRYALPT KKKNSLDGPPKYRQAKSARMKKKKPVERARPPPVGERKALRKRIVLSNPNALEVEGMQ DFTSETMVDARLRGSILGLPVPMLTQLRAVEAFKPKQGWSIFRRPGTVVRRETLELGR LIDSISNEGQDKGRSVKKIVTGVRGSGKTVHLLQAMAMAFTKQWVVFTVPEPQDLVIA HTGYAPLSDETPNLYVQNEATATLLSRTVVANEQVLKTLHVSREHAALKSSVKAGMTL EELAKLGIQDPAIAWTVFQALWAELTATSAASGFDKNFKPRPPMLVTVDGLAHWMKNS EYRSVEFEPIHAHDLVFVRHFLGLLKPGTGKPALPNGGLLLYSTSASNNPTIYSFEVA LKQIAARQAGLNASAPEFPQADPYSGADKRVIDAFDSSKPTVAKEGMLELQTLGGLTR DEARGFMEYFARSGLLREKINDEWVGEKWSLAGGGVIGELEKLGRRLRVTD AOR_1_244174 MSETATTTAASAASKSKPERLPITVSKPTPYTFDLGHLLANDPN PLEISRSEPVNVSLKATARDGVQSLLNQLLTTCPITSSQQGVLLTLPAPTTVLPRHKP LPTPKPPTKWELFARKKGIGRFSGKAGAGLAEKERRKKLVYDEEKGEWVPRWGYKGKN KSDEDWLVEVNEKDWKKEEEAAAKGSSIRGMSRAERKERIRRNERKMRNNERKSRKSG GG AOR_1_246174 MPSRQSCIPNLDLSPSTLQISAKTTSPHTPGASSDGPSPLTPRS PKSSSSSTFFKGTTIRSVTQESNSKANSPILPVSPGLTAESPTQGVTAIPQYPPSPRD SPKHSRDPSRSFFANLKAPKVSHRAQRSDSSGNSGDKPRSRGSSRDRRTQISSKLYES TPDLPGAIERAAQQENNSPSSDDRHTQQAEIKRVGTESESGHTLKKSKPRFANLLSRS RSIRLDDSSVNRIAHRRPSTSLMRLEESAKREAQTPPKAAEVKPTGNPHVRSHTIDRL TDVNSGASAALFNNIKQSSSGAADRIGKAGKGFFGKITRSGSTNERELINDDNYVCSV INLPLIEQARRTRIAKRLEDCKDKTEFWMPALPYRCIDYLNFKGCEEEGLYRVPGSGK EVKHWQRRFDTELDINLFDVSDLYDINTIGSMFKAWLRELPDELFPKETQAMIAEKCE GATTAPQMLKDELSKLPPYHYYLLFAITCHLNLLHSYVDQNKMSYPNLCICFQPCMKI DAFCFNFLVCDWKNCWQGCWTEKEYLEIEKGMDEKERLAHTKQDTGASFPQPTSLEER AISSSGSSQPSIQEQPSRPETAKARKNRPNNIETAHTRSISQLPELGPPLSPIQI AOR_1_248174 MSPVSGAGQSDQYLCVQKHVEYIKNLDSRRDELEYWLTEHLRLN GVYWGLTALHLLGCPQALPREDTINFVLSCQRENGGFGAAPGHDAHMLYTVSAVQILV MLDAVGELEKRGLGGKQKVGSFIAGLQDEKTGSFMGDEWGELDTRFLYGAFNALSLLG LLDTVDVPKAVAYIQECENLDGGYGIHPGAESHSGQVFTCVGALAIAGRLDLINKDRL GGWLSERQVDNGGFNGRPEKLEDACYSWWVGASLAMIDKLHWINGDKLAAFILRCQDP ENGGFGDRPGNMVDVFHTHFALAGLSLLGYDGVEEVDPVYCMPKAITTKCLSK AOR_1_2948174 MEHDTSDRGTPVSADCVAAVASPKLGMHANAESSHLLHPTSGAQ IPTEAAAYQWKQERVFSPDDEGASVLECTPSWSKTLGHTAQMASQLLNRHLSYDTFVD DAESEVDKERVDEIARLKGVLWPGMDIFDSATEQMRRKRNQKKDESALRMMEKTSMGV EPTELIFSPTGILRKQRVISGNVEECSPLKGETPIPRRRSTRSKRALSQTDANLQRGR DRKRKKKAVKRVPITAGQDFDHGDSNFTRPTSTEVPTYGGNQVVHGESADDFALTFNG HEPRARHGLKIFCDTPNENIPDQHCGDGLQHGLVAPSDALFLQQEAMATRTPNSVLSS NYISEFAERLCRFTTDKENIEPLLDAHGRIDPLVGWHSPVIKRHLASDTGYPPQFLFG DSQRIELNMFDGHDSHVGYSYNPLAASFPKLSAEENPIYTMDTSNGLSFQGATRVTSP EATISDIEEDDFERLYLDGSSS AOR_1_250174 MPLSSQPSSSNLKRKQPTISSFFTKKPQLSQESISNDVLEKEER DEEREEVAKQEEGLRENGGTRSNNVDDDEDDVVAPASKRARTNGSHSQNTIETPKEKH VERPLPSDSIQKTELSKFASSPATEGETKERTKERERLHQKFVRRLGGPDCLIGIGRN TAPEAVPEEVGEGDEDDEPSPPPAAKGKATAKKGARKLTPMEKQVIDIKRKHMDTVLV VEVGYKFRFFGEDARTAAKELNIVCIPGKFRFDEHPSEAHLDRFASASIPVHRLHVHV KRLVSAGHKVGVVRQMETAALKAAGDNRNAPFGRKLTNLYTKGTYIDDMEGLEGSTAS MSATGTSMATGYMLCITETNTKGWGNDEKVLVGIVAVQPATGDIVYDEFEDGFMRSEI ETRLLHLAPCEVLIVGDLSKATEKLVQHLSGNKTNAFGDEIRVERAPKAKTAAAESHS HVSSFYAERMKKVNATNDVQASSLLQKVLNLSEQATICLSSMIKHMSEYGLEHVFQLT KYFQHFSSRSHMLLNANTLNSLEIYHNQTDHSTKGSLFWTLDRTQTRFGQRMLRKWVG RPLLNKLGLEERVDAVEELKNLERVALVEQMKCLLGRIKTDLEKSLIRVYYGKCTRPE LLTLLQTLQMIAQEFAGVQSPADTGFSSPLISKAVASLPTILEDVVRFLDKINMHAAK NDDKYEFFRESEETDEITEHKLGIGAVEHDLEEHRSTAGEILGKRKVDYVTVAGIEYL IAVENKSPSIKKVPASWVKISGTKAVSRFHTPEVIRLLRQRDQHKEALAAGCDKAYAT FLAEISASYQSFRDSVQSLATLDCLISLATIANQPGYVKPEYTNHTCIQVDQGRHPMV EQLLLDSYVPNDIDLDSDKTRALLVTGPNMGGKSSYVRQVALIAIMGQIGSYVPARSA KLGMLDAVFTRMGAFDNMLAGESTFMVELSETADILKQATPRSLVILDELGRGTSTHD GVAIAQAVLDYMIRSIRSLTLFITHYQHLSSMVHSFPDHELRNVHMRFTESGPTEEEI TFLYEVREGVAHRSYGLNVARLANLPAPLIELAKQKSAELEQKIHRRRLAGLVRTVGD ILADSAKADESLIERLISSAEQL AOR_1_252174 MDLVNHLEGRLLFAVPKKGRLQQATLDLLAGVDIQFRRETRLDI ALVKNLPIALIFLPAADIPTFVGEGRVDLGITGRDQVAEHDAQLANGETSGVEEIMDL GFGGCKLQVQVPEKGDITEAKQLVGRNVVTSFTALTEAFFAKLEGVDDTSKLSTKIKY VGGSVEAACALGVADGIVDLVESGETMKAAGLKAIDTVVESTSVLVKSRNAQNPLVEL ITSRIRGVITAQKYVLCQYNIPRSELSTASSITPGKRAPTVTALEEDGWVAVSSMVEK KKIATVMDELTKVGATDILVLNIANSRTG AOR_1_254174 MAVPAAPPASHDPVNAAGEPSQALSRPLIAGNTSSDSDEPVVNG VDVAREDEAAGMVGVSISRAQSRMKARSTPAEEKTRAMEHNGGYFDPIPDTDDSSGLY TSEITDEPEQLPQTSKPETSQPSASSQSVAHQSGTAFSKDGANSRTSFTRSILKNGLP LRPRAWSGDAMKKFLPDLGYLTKRTSLPFRSSQNRSRSQTLKPSSSKMALDQEGESHA KPRRRSWNDSDSNNGLVDEPISDLKPLDGATAAKHSFTRRPSATVSGAPLMLRRSSSD QSLYLRASSTASSLDQRPQYEHIHSQVNSRFKAIKDSLQDSSSRLLSMPSLHLQDLRS DWGYKPFLGDVTNRKGNNYADELPPTSDAPREQAPPPRSPRANSNTWHPVLNEAMSEL TGDVVIMGGYRGSVLRSAKPPQRQLWVPMKVGLNLRKVDLEVGLNPEDEERMEETIIP SGVLSHVGPVDICRRLMKHLRKSENAVKGDLRVWDYGYDWRLSPHLLSKKLIKFLEGL PCNAPGVPREKRGAWVVAHSLGGLITRHAVNQRPELFAGVLYAGVPQHCVNILGPLRN GDDVLLSSRVLTAQVNFTFRTSFALLPEDGHCFIDKRTKEEYRVDFFNVQAWDEYRLS PVINPALPPMTNRAFGLGGNFGKRFSAVLGSKDSLPSEDTPDDEQQDPNQPGRSNPNP ITDPAEKLAPSIEGVVGPTSNLRGSSNVKSTTTVTIPRATAITYLERTLAEVRRFKQE LAFNPSHQSSNSYPPFAVLYGKSVPTVYGARISTREQIKHQDAYDDLAFAAGDGVCLA SAAMLPPGYRIIRHGLVKSDRGHVGLLGDLEGVGQCLRALVRGRREGVGINNSPLST AOR_1_256174 MSSTQPPYYSSTFGDPTQHPSSSQPIGTQVGGVDPSDPRTGPAP HTAGPHKSDIANKLDPRVDSDLSKEKKSSNAGVGGAGGASDTHTGSSQKTAGPHTSDT GNKLDPRVDSDKDNRARHAPGTAASDARTGPAPRTAGPHESDMGNKLDPRIDSDVDNR ASGAVSSNTRAGHTQRTAGPHESDMGNKLDPRVDSDRDNRARHAPGTMASDARTGPAS RTAGPHESDMGNKLDPRVDSDVDNRAQYAPETTRNRDTSHLAGTGATTSSTTGPHSSK IGNKMDPRVDSDLDNRAQYAPGTTKTGNENPYATQDTTFSSGSNAGPHESKMMNKLDP RVDAQTGDVSSKTTSQPHGSQFAGNTHSQYGANATGPSTGAGYASSGVGYRPAQSSGS APGSKSAQKGADIGSGVKGAFAGAHGMGESLRGGLNAAVDKTFGHEEGVAKNDAIASQ GEREMRTGNFGRGNAY AOR_1_258174 MPITNRQAIYANTPSPAIDPSLSNGTFKISTTTISDNVPDDKVL VRVHYLSLDPAMRQWLTAKRSYIAPVERGSVMRGQSIAQVIGVGSNLKTQYKAGDWVI AYSGWQEYALLGQKEAQKVVIPPGCRPTDAMSVLGMTGLTAYFGMTEVGQPKPGDTVV VSGAAGATGMVAGQIAKIKGAKRVVGLAGSAEKCAFLRNELGFDAAINYKDKDWKKQL KDATPEYIDVFFDNTGGEILDACLARAARDARFAICGAISQYNSAKPQGPASFMTVIS QRVTMKGFIVFDYAKKYPIALKDLSEWLTQGKIKRKEHIVPGGLEAAPQGLVDLYGGV NTGKMMVEVAPVSEAIGAKAKL AOR_1_260174 MEAKASNENFKLENLFNVKGKVALITGGGSGIGLMATQALAVNG AKVYITGRTGEKLDRVAELYNKNIQGEIIPITSDITDKSSVDKLVQEISSREKYLSIL INNAGISSSTQTTEKEDPKELRKELFESSALDPKEWDDVYRTNVTQLFMTTTAFLPLL QKGSEQEKGWSSTVINISSISGIVKVSQHHFAYNASKAAAIHLTKMLAHEVASSGLRI RVNNIAPGVFPSEMTAGESDEKQKSEIPKEKYEGKVPAARPGKDEDMANAVLFSTTNQ YLNGQTIVVDGGYVLAAGTV AOR_1_262174 MSQLQNSYGTCTTHRHQLKLKFPEHKSSLKLLSALTFPNVLARC WLPRESTDDEERQTLLTPSSTAPSTLTERYGLSTIILHYGVHSSVRVCTRKSPSAGAS RQLHVVKILRRSSDALVRATQRFEQSLSSAVSHPNLLQTIDVLQNEHGETCLVMDYCA GGNLNALIATAEDSIDALQADCFFKQIMRAVTYLHDNAIAHRGLKTENILLTAHGAVK VADFGSAEWLLDEVADGEHAENRIRLQLSSLYSPRKLRGSIPYLPPEEFSNYATVDPR AGDVWAAGLVYMAMRCGRLLWRMPCADEDGGYSAYLRGRQTYDGYPPIEALEETRCRN VIYAMLHPDPVRRIKASEVLRSEWVYYVQVCDAGEIGW AOR_1_264174 MADSPSSSDPPEKDLETGEQRLGRPERRTTIKFDGMSAPDTQGG VYQPQQSNRPRSLSRDSVKSGRSGVQSSGTAGIPIEFRTLSIQISEAQRATEELIKER QEKEKHPDQDYFESLDFHILSTDRLSQQLNVDCRYGLSSSAAAQRLQRDGKNVIAHHR ENYLKKVLGYVFGGFCSVLWIGVIIFFICWKPLSNPPSVPSLAMAILVIIVIVLQASF SAFQDWSTKHVMNSILNLLPSEALVLRDGKQTRVPSTDLVVGDIVYIGIGNKVPADMR LFQSSGDVRFDRAVLTGESDEIDGAIDATDNNFLETRNIAFMGTSVTNGNAVGMVVLT GSRSVMGRIAKMTAGVKEKPTLIQKEITRFVTIIIGLTITLVLIILFTWVGWLRVDHY SFMNVVAMLNNVMGCVVAFIPEGMPVGVALTLMMVAKRMKKANILPKGLATVETLGCV NVICSDKTGTLTQNKMSVRSLGLLDMSLDVKQLPNIQRNDMSESLKSLLRGSLLCNDA FFDPATVALPVNERGVTGNATDAAVLRFAESIMPDGRNQLSTFERIHQVPFNSKNKWM LTIHRDPTDTDGYLVFVKGAPDVLLPKCTSYLSGIDSTVKILDETAKGLFSNFQAELS RRAERVIVICQRRFTPRASIGSNDFNDEVLAECVQGLTVTGIFGIIDPPRLETAETVS ACRRAGVRFFMVTGDFGLTAAAIARDIGIFSGTAEPDTVDDLKPFTDGEIGEKQSLHS RHSLLVDGKHISTLNDWQWDTICKYEEIVFARTTPEQKYRIVEELKSRDNVVAVTGDG VNDAPALRAADIGIAVVSGSDVAIEAADLVLLDRFDSIAQAIRLGRLVFQNLQKLIAY LLPAGSWSEIWPVLMNVFFGVPLPLSSFLMIIICVFTDLFCSLSLIMEKEEYDLLSVP PRNHKKDHLINLKIYGQSYLFVGVMEAFCAHSMFFLYMYKKAGIPFHALIFAFERYSD GFYGYTQDELTNFNNVGQGVYFVSLVMLQWGNILSVRNKRMSILQADPVRKQRRNPWL PLSMAISLVIAIFVTEVPGFHRLFNTAPVPIEFWFIPLALALGILLMDELRKLLVRQF PKSIIAKIAW AOR_1_266174 MRRFESLSIHEICKGIKITCIPWLEPPAVHDQNSPKNKVSLSDL QKRTEILHEIIYYIFDSILIPLVRANFYVTESQTHRNRLFYFQHDVWRHLTEQPLADL KLSTFEELKSDKAERMLGRRSLPYGTLRLLPKSTGIRPILNLRRRMLVNNKWAGSKGR FFGQSVNSTITPIYGILNYEKMRKQDDLGSCLFSVGDIHLRLKAFKERLLLHYHEAGS LPVFYFVKLDIQSCFDTIPQDKLVRLIEDLVSEEAYHFTRHVEMRPPDEFGSMWPMRE ARQSKAFRKFVARAAPAARPQHLTEAINNGGTTNRRNTVFVDTTGQKEYDTEDLLDLL HEHVRNNLVKLGRKYFRQRNGIPQGSVLSSILCNLFYAEMEREVLAFLQSDETLLLRL VDDFLLVTSNPDLAKRFLEVMIKGQPAYGVSVNPAKSLVNFAAAVDGTHIPRLVDTSL FPYCGSLIDTRTLEIHKDHDRILEGGDSAAETLANSLTVESARVPGHQSKQLARYDMT TVCTTPNSPPELSGSKSSKSSSFRSSSQLDGPDGIFTDISNFEDIGLEDDADLAYNNA VASPYGRPGLPRSSTARMQSKTPMITTRELTTTSQQTKGLHKPPAQVNGMLSLQTRSV SRSKSGNKRDPGSTKSPSKTPNSQRARSTSPLRPASHLGSSPSTHSLSLSPANARPTL NRKQSWQPNRKTLKELEEEYHDSDEELPEDASLWNVPISPRPLEDRSASRSTSPNGRS PGPRPLPLSHSVKDVSLPGVRSPSGSRPARPAVRSSSAGPERGQISPRNPRVYSYNSM MSDLSEEAKIITEALEHHADQRERKRGENLQSGLSSLRSSEESKRGSRGTIELPPLQK SNIMIDPLPISKEKEKVLTRTRPSWLPPKDQKEEKKHLKEYKRMMAQSREADKRRAAK AASAKCEKDNTRETLQRIWDDYVYPNWENAIGETRTRELWWRGIPARSRGTTWQRAIG NELSLSEETYKKALQRAKDVRSRADGDAGESNKRMREWFEAIEADASKAFPDLKLFQV GGPLRESLIDVLEAYSMYRSDVGYITGLHTIAALLVLQFPSPSSAFLAMANALNRSLP VAFLTLDRGAIGRTYTLTTATLRYKFPRLATHLTETLQLSDEEIWEPMFRSLLTNGLD LERISRVWDCWVFEGDRIMIRAAVAVLGCLQAQLFGFTKPDDESRATVREILGWGPRS AGAKPKERHSAPVTLAGGFGGQFSSAGVGDYWILTTAGDEDGFMSEVREAGKVRH AOR_1_268174 MGKKRKRPVKDGQAREDLLQFSFQTSTVPGEDSLEQTHPVISIY YRRVVTLRQYLLERIPKSSKSRRGRIASVRSHDPSRAGCSGDQDEELSQLLDTTLVGI LKEPTAGSDQERRRELAEFTASPDRSLLASTDTGPPCPQAEIVDYVVSTLFNRNAFSY QRPKHILTHGFERANGLQATTRNDTLACSLRGIVARFPNKNVQSLKRAPWTDILGLLG SSGEDIMTSLLFDCGVFTAIDCRKGIYYQLSGIPLSDLEPMNKLAETQTRNAKIAQTT TSSSSRPVGVDGNPSPKSRAEGGRSDISKPNSVVLFRRRMLYARPAFDAKGQVQFGLS NRHVLNRFPSSDSLLQTVHVMKHIFPRQFGLHNVFTCLPDTRTSTLPVKDYSSREEEI AQSEKRR AOR_1_270174 MASPFTSPRSAPGTRPLNRNLYQCGTCSQSFSRVDHLSRHVRSH TQEKPYKCPVCSKRFGRIDLLNRHSSLHNTDGDGPVSKKRRRNGCNTTMIARASQACT ACAEDHLRCDEEKPCKRCQRRNIQCKVPPKQIDELSTSPTIPEMQNAGGDPSSLSNPT SKPDITNDQLYQMSASPPAEIPAADIWSQVPSHHAPTDPEIDVSASLTKAYPDLITPD SVDMLTAGLSMDSLSGVRTPRGLITFGLETDLDLSMVDLSFLESYNFRIPFQIDEHET PPSFSGSALGDCAAGTSYSTPNDRSMQRLRWHFVPEPQDHGYAEHNNLLLPTHADQNS TPRNFIDMDSYCNAESLDLASRDKILSIFLSQMKHPISQATLSFPSVELLDILIRYCL TSPRSNAKSWIHLATFTPKQTRPELLLAMAAMGAVLTPDPSLQKLGFAMQEVVRHQLP VVFESDNTMINNLELLQAYMLCLETGLWSGNNRKMEICESSRLPLITILRRRGLFHHS AYPPVLISPEDASDNLDSKWRSWVRQESFKRLVYNLLRHDAQLSMVLFTNPLLSYGEI SLSIPCSRDLWTPSSAQKWKELYCGRFAMGTTRIPTLLECIANLDLLECSKAVADTTL SCLSILCAMWGMVWEYRQFSILLAAQSRYWDNGILMVSRYQELVKMLKYFHMGYKNES KLHLNLILMHMHMSLEDVQILATLEDPKKTSGFPPSINSWSQSKECRQALWHAGQVIR ELKALPSQCLRDFMAVALYHASLTLWAYGMAISHATEDNAVSRPDSMGMNMENIVWLD GDDTEGVHRYIALERGIPALHGAQPHIASASLAEPGAILELVLQIMHRNHYGEREPPL VENLVHLIEKLRDVSK AOR_1_272174 MSPKVALITGASRGVGAAVARALAADGDTSIVLNYGSNPEPAQS LIQELNSLRDNNTRSTEKPRFQALKANMADRADIRRLVSQTVQEMGRLDIVVSNVGWT RMTDFANLEEADNEADWDRCFNMNVKSHFFLFQECRKYLEKTQGAFIATASVAGVKPS GSSLPYAVTKAALIHLAKSLAAIAAPNIRVNTVSPGVLLTDWGRQFPGEKLDAVREKN VLKRFATPEDVAEQVKFLANSKSITGMNVVIDAGFSL AOR_1_274174 MQDNGLRPIKRVITDHDDQGTTGFQDKIPEPLEWQQLANGARFS LAYGTNEVPVNLSDAKDLDVYGSLLKDLPGVTIPGGTVLRVVDMNPGSISPMHRTVSL DYGVVLEGEVELVLDSGATRLLKRGDIAVQRGTNHAWRNTSETNWARMLYVLQEAQPL RVNGATLEEDYGGGMDDVKPSK AOR_1_276174 MPARHLKSRSDNVAVSTTPQIDVSSVVKGVIDDVRQNGDAAVRK YSEKFDKWSPASFKLSQADIDAAIAACPQQTIDDIKEVQKNVRAFAQAQRESLKDFEY EIQPGVVLGQKNLPINSVGAYIPGGRYPLLASAHMTILTAKVAGVPHVVGCTPPIAGK VPHATIAAMHLAGADEIYLLGGVQAIAAMAVGTGTMKKVDFIAGPGNAFVAEGKRQLF GEVGIDLFAGPTEILIVTDDTADPFTVATDILSQAEHGPDSPAVVITTSERVGRKAIE IINELLKHLSTGDVASVSWERFGEVIVVDTIDEAWKLADEYASEHVQIFTKRPRDALD NMTAYGALFLGEKTCVSYGDKVIGTNHVLPTKKAARYTGGLWVGKYLRTVTYQEVESS KASGELGRLCGRAARAENFEGHARSGDLRAQKYLDDQYDWIKLYHDENPKAYRGNL AOR_1_278174 MTQLNGTSVTPNSRSESCPLKVLVVGAGIGGLTAAIALRKQGHD VHIFEQSRLATETGAALHLAPNANGILRRLGICAEEFGANTFERLTEYTASGKVERSM ELSEGHKLWQHKWLLAHRIDLYNKLKSVATNAEDGRPAIPLRTSSRVVSVDAEAAHIT LENGAHYEGDVILGADGVHSITRRAVPGGDIKPFCSGKSAFRFLVSKQAALDDPVTAP LVKHPGELCMWYGTDRRIVMYPTSNNSVLNFVVIHPEAESADQAADGWDQSGNLDKML QIFSSFDPTILKLLAKADPESVKVWKLLDMESIPTWHFGRLALLGDAAHPFLPHQGQG AGIAIEDAASLAVILPQGTPVEEIPERLQLYHEIRYERASQVQEYSRILGEDRTDGKE LDMYGFVNFNCGHDEWDNSTQKLREWTWKRIPNPYWRMPIAFGPMPGPRQTHLGVPRD GTKSTFTTASIKFKTSRTVLQNLFPPGRRGWRFTSPGTVAYASFAKTTLNKMEWLGGS GYSHIGLYIHGVEYVKKDGSSVKGTYLPILFESLTDPIVSGREELGMPKLYTSIDVYR RATSYRIRTGWQGALWGNFLLEDLVEVDPSSESGGLSGEADAGMLAYKYIPKSGRANK NVPAEEHAVWDPFSEATPKPSPKRVYTTKKASFQIDALDWEQLPTLHHIISRLAEVPV YEVVGAKLVEGEGVPDVSGARPIE AOR_1_280174 MDGKSLADKVAIVSGSSSGIGAAIIRELSSRGANTVVNYPFAHL KNEADSLVASLPSLSIAVEADMSLATSPQKLVDAAVSKWGRIDIVVNCVALAVNKPFE SQTLEDWDLLVNTNGRSTFLLTQASLPHLTKGRGRIVNIVSISGRGPPPNQTIYAGTK GMVDSFTKCWAKELPPKYGCTVNAVSPGPTKTEGFSAAGEEQMKILQPIIDQTPVGPR MAEPEEIAFAVAFLCEERARWINGAHIVASGGLFID AOR_1_282174 MQIIWRKTADGNTYEDARVGRVFNHRRPQRYPLAVVKASSQDDI VAAVKLAIENNCRVAIRSGGHSWAAWSVRDNSILIDLGNYKHLEVDAKRRIAWATPSM TGKDINGVLTKEHGLMFPGGHCPDVGIGGFLLQGGMGWNCRNWGWACERVRALDVVTA NGDLVHCNSQQNSDLYWAARGAGPGFPGVVTRFHLDLVPYPKNGFRSSGFVYPIKHYH EAFRWVISITPDFDNDTEIAAVAQYPEGQDEICLFILFVTMKSSVEEAEKALAPAQET RPSGVIVEWFCQEDSLENQYINQAKANPERHRYCADNAYIHDDADVPAVLEEAFTTLP HKKSFALWFGMNPCSRRKLPDMALSMQSDHYFALYTVWEDEKDDPRCQTWVQDIMRKV ERHSVGAYLGDSDFQVRKTRFWEDDNAQRLMEIRRKWDPTGRICGYLDKGDVSGTQGL TNAHEWKL AOR_1_284174 MSSKAVDPSSGAPPGHNLGDLRLRHHETNEIILIPTPSNDPHDP LNWSAAFRYYIAVLVCLAVLLCNFLAAGPTVAIEQTTIDFLGPMGSNASAHIARVAFF YSTTSLMQGVGMLFWMPLIIKFGRRPIYIISFVLYVATGLWCGFAKTYSVELAGRIIM GLAAGAGECLGPLTITDIFFLHERGAAMSLYTAALSVGVAAGIIIDGLITISLHWRYI FYVATALIGGVTLLVIFTFPETTFDRARTASKSAVTSEHHENAEPETLQIRKKPSYLS SLRLFTGIHTSEPLFRIFLRPIVLIILPPVLWTSLVFAVTIGFLVAITSNFATAFSTT YGFAPWQSGLCFIAGLIGSLIGITFGGQVSDWVADWLTRRNDGIRQPEMRLPSIAIGG VCAPAALVLYGVGINNNLHWIAPTIALGLLNFAIVQATNVAMVYVIDSYRPSVGEITV SILAFKAAFGFLLSFYTNPWITLEGYSKAFGEMACIAGVIILIAVPFYYWGRAVRHRT WKWRIMEKYGRWGADRDVGE AOR_1_286174 MLDGGLGKLHKRMKRFGDTLNPDDAYLSYYDIRLTREDMQSLKN DWLTDNIISFWEEYLEREFLVNYKSSNIVLLRPSMSFMILQTPNPHTLREALPDFTRT THVFLPINDCRNVTEAEGGTHWSLLLISIVDGIAFHYDSLPPGNVREAGTVTMKFGAL LNRPIRFIHLQDSPVQENGSDCGVFVCLSMRHLLLKRLLTANASEKVSMSLGGMKVDA RGGRKEMTKIIDGFRKEGERRRSASLSPLGKKSASPGPPRIE AOR_1_288174 MSGSDVEHVSCFLNGNSRELRRQSSPTTNDYFYDAPTEDSFETS LTASCSAAPPFASTTYSAQQGLTDSPILPATAGLSSNDRSSPDPDDYYRPHPHTLVAI GNTAAGSGESLMVEVDNAEVTEDHPTQFQRVCSVPAHPSKSVESSGPFRSLSDSSYGN IGFGSTIRVPPARASTARSRQVSFKDLVNKFNNNQDQVLPLPSTSTSRTVSPASPTDG SIQSKAASRLQQTHHSSIKKAPIPRWNTIGTFDPDIASERSLPRLRTSDIKDNGPSSS NLSQRPPFGQLLALDTGFDNLGYGTPSLPRRRGSEGTIPSPNPAFLDHPESALGLTPL TPTAWYLGRTPFLEAVHTTSSTPNHRRTRSDFAGDRPGGVAVCLSDTHMAVQPPLQPQ PGTSLESPHSKSRIPISSRRLNSASASGDSSPSSPTRTELAFGNRSAQQIALPPKGVS RLPKPSPKQASNPARELTYEGEATFATPPHARREVAPGRARQYIPEKGTLLEAYIAAP PPKKSPPLRSSRPRQPVSQTAQTAPRSKVVETVSNFQRQINCDREPRNSRLRERRLPE LGNVDFATRRQRIQQAFNRTVEENERKEEKAAELRRQVKAQEDTQQPVQPTTPKQQFT GLADVITSAQPEDNVAVIEELGECGHETKDMTPETEASKAHQQLHVDTDVSLTENSRN TTDYHPMTMDSPTLGHSGIILNSQGYESTPCDLQPDSAVTTGSNETHTTAFDPEPQDE LSKQNLHASHRTLLSQIMRIRDSSPSSSSCDEQDYGFSDNDEKESIPIVLRDALAIED SIDSSENQEHRDLYIKQGATDTDPMNRWSMSSWSSSLHHGNSSGGQCEGSDDDLSNIQ HCVEDSEEATTKSCSASSSIPSVIGHPLSHALSQTASEVTEPSKEVISHTLPYGSSNT PSLIRLGGWDSKRVSQLYIEELASGRNHPLPMPAIRASPEPPHSRIEQRDEGRNDSLT DDPVLITETDRIQPSERMRHPASLFLRDDWEHASPSIMDWMQIAVDEGSAHQDPTIDN SRRNNGVPTSQLVTPNAHPKGADEGLGLAINVHPPQEFDNDDVPPPPIPRHDPPAPPS DTQESTVHPPPQIPVVHPNIFSNAIFAPLGPVQSTDSSEDSSLRRLEPTPSSQTVDSS ATSLVPSTSEHPRPRTASPTPEQRQLKKRRHVIKELVDTEYTFGRDMKVVDDIYKGTS SSCLDLSTEDVKVLFANSDQVVQFSMAFQDTLKEAAKSVYIMPKSQRWTSRRNARNPI TKTDQESSTETSTSDLEKDNATFIGQAFIAHIANMEKVYADYLKNHDAANKKLQTLQK NPKVAIWLKECRDWASDLTTAWDLDSLLVKPVQRILKYPLLLSELLESTPQDHPDRAA LINALEEVTNISVRINELKKRADVVGQVVGRKRKESDVRAGLSKAFGRRTEKLKQQVG ISDLVEDKEYDALSQRFGDNFFQLQVVMRDAEGYTREVQGSIDCLGEITSAIEEFIKV APTAYHEIEGKCDELRAVVQGVLTEALPNHIAVVRKSVIDPMVTLLKLHDGPQRVMKK RDKRLMDYARFKSIKERGDKPDKKTTEQGEQFVALNEALKDELPKLYSLTAKLMEACL KSFVHIQTSWFKTLQDKLCPLVDSFPDDIQKVIGDWNANFSFSEAQVLSLGICNGSLL ADAVNLVNFNTPPTGANISSPRCPSTVNSASTRVGSTMDESPKASHDFGSGSHAFQSP SLDSQSQISLGRKRADSTFSGRAPPDSIELPRSQMLQQITGSSSASVLQPSSNRESCP SLPRLSLDSPFLVDVIGPSESSDKPTEEQPSSPGRYSGFFSSAMPMSDNPQDNPPQEN EAPKEPAVLFLAASIYEFNIDRARREAGYPYLTYVVGEIFDVIAEKGELWLAKNQDDP THQVGWIWNKHFAKLST AOR_1_290174 MARLCIPGFPFRRDDRPITRWRTPAFLSFPRTVHYRANPPVSPR SFLPVSSKPGVHSFSTSSTSSTGNRSFSQMSQGSHLPVRSVRAPSTPSTTAPAPTGVV DALKALTSRLAERRAARQARHRPWAQPSSTSRKPSPGHPSTAHRKPAPTKSAIRKPTK PVTPAPNMANRAQRAIAQIDLALAKLASASRKAKPVKRVRFGETTVIPVSRWINRSEH SFIFPSWFGHLQGWRVVALSEPNDDGETEKYISTWGSDQFDMLQTHTHSRIPCGREGC AWEAMRRIKRQRPGWTSQMLIKGFNDYREKMRQRGKFYL AOR_1_292174 MVNQGTILLTLPFLLQIVPGTVGHPAPSEDGTLCRNGNCGPDNT RGHDSTWGKGDSNGETCTPETVTLTAISTEQLPGPTTTVPGPTNTVTITTEGPAVTST ITEILTSTVTDASTSSQVVTDTETVTVTVTNPGTITTATTTATTTVTSCPTNGAGSGD GPDYGTCSDPTIRWADGLDGRTEYSWITNNQDDFPHGSSTTINTLMNFVCNRLRSPCN APQAAINRCYSAAAQVSNSGLKGEQASNLWNSLMA AOR_1_294174 MGSVLLNHPRSDHIDSSYTMLGGAITPSESVYRPSSHQTSHPSS HLDTGSSRSTSTNTTPTSSTAPPSTSAAASARLHSHSSHDVPAYINRSSHTPSQPSTR ESSATPGSTYTQPPSMSSTHVPYADSSTYPAFHRSQHVSTNQAAAAPITGSHRESHSS PASPRLKPTHQSLRSLGGSEANSPSRIKVRDLSHIQSFASEEFLAQSQRDQVPGQWSQ ERQYEISSMPVTDIIEMVAGLLTKITTTNDTHHEQIHRHIPPPDGTASLSPQATSVLA FHGKNVPSISILSYLTRIHKYCPTTYEVFLSLLVYFDRMTELVNKGQLERLQRRWGHI QPDSASRPGSQESAVKPAHGSPMVTPPSSAGMRAQDPTSPSSISPSLHPQEEDDYFSQ FFVVDSFNIHRLVIAGVTCASKFFSDVFYTNSRYAKVGGLPLVELNHLELQFLLLNDF RLSIPVEELEAYGTMLVEFYAREIVAQQQQQQQIASQTGVPRAFGSSTGTDPQSDAMY MRSYEKRRPDQPEIRQTPTPP AOR_1_296174 MVGHGASGMLGEDGIHVDMNHLKSGEVNLGTSIMAINFKDGVIL GADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVSYHLNMYSITNNE APSTQVAASLFQELCYENKDMLSAGIIIAGYDPRHGGQVYSIPLGGSLHKQPYSIGGS GSTYIYGYCDANWKENMTEEEGINFVRGALREAIKWDGSSGGVIRLVVLTAKGAQRHL YLPDTDYTGPGFTN AOR_1_298174 MSESPPPPPSWSITNMANSAAQYLRLPVLASSGLAVVASGLLYF KQNELIYPRNVPTDARTFVPKPRQFGVNNYEELQIPTPDGESLHALFLRPSKKGLAGD ITVLMFHGNAGNIGHRIPIARVLLDILGCNVLMLEYRGYGLSTGVPDEAGLKIDAQTG LDYIRQRAETSNNKVIVYGQSLGGAVAINLVAENQDKGDIGGLILENTFLSIRKLIPT VFPPARYLARFCHQYWTSEEVLPKITKVPILFLSGLKDEIVPPSNMTQLFAICQSERK VWRTLPNGAHNDSVAEPGYFEHIHSFIKEEVIDQD AOR_1_300174 MSESSGNKDVAYCGDSLMGVSIAIGVVQILVVAARFYARYLQRV ACAVDDYLIIPSLIASLGQSALYIVLLKIGGLGYHLEYVMQTPEKLVNLQKGLVANQI LDFPFTVTPAKISILLFYIRIFSTPKFRMIAYAAGFIVLGHGIGVFFAAIFQCSPVQY AWDKTIEGGSCFDQQAFYRYVSPPNILTDVLILVMPLPFVWKLHTRMTQKLALTGVFL LGGLTPFLRVQQRRWAQVHDVRFLATHHDPKLVLDRYRYKLDQKAQQEGHGSVESLKD AYKEKIDDFRRKASTIVTPEPSKPTPSPSTSATHPPPPPPSPQANAASEASGKSSTGI KPLSSFIDVEKVLDLPPKEIEAIWRLRHASNPHSVCAVIPVETYQRIASAARQNPQFV LPLPRTQPEAEQPEQQGDKAAGAGAEIHFLQWAFHPAAPTVTSGPLATSHTSTVIFTQ LAAYQLHGSYAQPHTTITHHLDLADEKGLVLMHGQIMPNSGITSTDATWLVSCVQRFY DFGGQASGRKGELLRSFTKGDTNVFKLEALLEEAEKL AOR_1_302174 MASDQNKSNSPSLEEQIVSLTQTVQSSRESLDDATRFRTLKAAR GLLDALESPPETAMRDVVLNPVLLTAIRMGVQLGVFQMIRDHQGEGATTEQIASQSGA SLIVVDQILRLLTAAGYVSEAGVQTYKPSPLTMAMADGTLEAMTRACFDIGNYCSTYA PEYFRQNNNQFPTSAEDTPFQLAKKTPLSYFEWLGENPSLAKDFQQWMTLKQQATPNW VDWFDVRGNLLHGFRNRPDDVLLVDVGGGEGHYLHAFNDKYPDAPGRRILQDLPQVIS TINKTPKDTELMAHDFFTVQPVKGARAYYMHWILHDWRDEQAHSILSHIVDAMEPGYS KLIINDQIIPDRDCDFATACISIMMMLQVGAFERTEKQWRALLTSVGLKDVSFYQPPG NGEGIIVATKA AOR_1_2950174 MANRHITRRILLGAAVSIFLLFVFFVRPQGPPSPAIRAPGHLEK ASHSTLTKDDMVKGEVVMPKLGNETAKAELGRATWKYFHTMLARYPEDPTEEQQETLR SFIYLFARLYPCGECASHFQGHLKKYPPQVSSRNAASGWGCFIHNEVNTMLKKPIFDC NKIGDFYDCGCAKDEDTEDENEELKSRSHVDSKESDDIATSQRFEVSKEPTTRG AOR_1_306174 MVSFSCEACGDILTKKKLDPHRNQCRGASFTCIDCMVHFQGTSY RSHTSCMTEAQKYEGALYKPKQTKNQRNQKGKNDHQQNSKPTANGHRAPYVEDAPESD KSKENVSALPTAPTPPVTSGTPQRNDPKQVNVFDFLVAENASKVSLAQPKEQMQMVDH APSVFEASKALTNYETGGEDEDKKYDLPYEENGYSYGSGPIPPSAYPSKAANASMEFM TPAPKKKKDRSRKTEAGAVTSEKKRKRHNEDQEIEDADTPMMEAPSSVVNHPGTPMLN HSGLTGGLSRMLRSPSLEAENDHADHPRRRYQDPSSPIKRTRRDDKDGSDAGLGISMK NRAGRFVSSMFGGPAESKRGSSDDGDARPKKSNRGASDSQKSKRKSSAQDGRPSQRLK QIEYANGSRTESPRGDDGRQVVIYGQPNIPSDLQRQMAAHFLSLVTKGPESQRGFSVN KVLKRFHRDFTDEFDDDRGREQGRSRADHEQRGEDEKDLWRTLRIKRNDRGEIVLFM AOR_1_2952174 MSDAPAPVAEGSASRPRPSRRGGRGRGNRNHRRPQTQATERSHD AQAAQPPTQQSSQLQPTQNSPSDLPADAPRSRRGPRRGRGGGRGDGAPSEGSSRRQRQ RGGDRGTTSTGRRFEGRLTKPEQAPEGEQVDTAVDANDLGLRADAPAFVPGTQSEPVA NEPTPSTTSAPTKKKPKAKNAPPPPPKVTTKSVAPDIATRIHEDIAHNLYESPSNATA SLPYSSETLDMYIQLSSSAPLSTLQSYESTLHSLAANPTQRSVRFQPAKSSLRAFVHS LATDWGFASESFDPEPHRHVFVLKPTVWNPPLLGMGNGTAIGIGGMSVSECVKLRERQ RQKEREAQRLAAAEAKAQREAVKAQANATNEGGWAQVAASRRSNASTRSTTPNPGTTS RSGSMFAALAGDDGSTWGAPKKEKLVLRSGVGAGKQLRTPQPAAEVVDSWEEEEEKQE QEERAREQEQGQEQDDGQGDVQQGTSELETAQTGEAIATSSVV AOR_1_310174 MGVLEKFTGGTPAAETGDTEAHRPKNAAEASGNQILAIETDSSR LSLEARNEKEAQEHPDQVTRDALLGQQKAEAAALVWSKKAVRFTYGWIWVCFFMMALQ SALSSTVMLAAYADFQQAPQVATSNILYSIIGGVLKLPIARMLNIWGRAEGLLVFIGV YTLGLIILAACNGPDSFAAGNVLFWVGYNSVYLILDVFIADTSGLKNRAFAFAFVSTP FICTAFTAPLAGQSFVRHSGWRWGYGVFAIVVPVTLCPLAVVFKYYERKAIKMGVFKR EPSGRSLVQSIVHYFHEFDVIGALLLMAAWILLLLPFSLATYGRAQYKSAAFIAEIVV GFCLLFVFAAWEKWFTRSHFVSYELLKKRTVLGACGMAALAFFSFYCWDQYFMNFCIV VYNLSESMGGYMAQIYNVGSTFWGVGFGLWVKFTKHFKYACLFFALPLMILGAGLMIH FRGEGGGDIGYVIMCQIFIAFGGGSIVLGNEMAVMASADREGVPMMLAILGLFNSLGG AIGYAVAAAIYNNTWLDALESRLPSDMLSQANEIYLGGYTLQQTYAMESPTRDAINYA WGRTQMYGSIAATCLLALGIPCIAIWKNYNVDKKQNKGTMI AOR_1_2954174 MCLGASLPYGMAKAVADVDGQNTSGFSTDGSNVTGFSALHDAGT GGNPSLGNFPLFPQYCPEDVLDNCNFPNAARAVHYLNDSVVARPGYFALALENGIHAE MTTTEHAALFRFNFPPATAVNGSELSPLILVDLTDLWKSRQNASISIDGQTGRIKGNG TFLPSFGAGSYVSYFCADFAGAPIRDNGVWVNNRGGTEPKELYVTRGFNNFYLEAGGF ARFERPTNGSVSVRVGISYISTDRACENARREIPHPLEDFEDIRRRAESAWREKLSPI SIQSGDVSEDLQTSFWSGVYRTMLDPQDLTSENPLWESDEPYFDSFYCIWDSFRAQHP FLTIVDPEAQSRMVRSLLDTYKHEGWLPDCRMSLCKGWTQGGSNADVVLTDAYVKNLT GIDWDLAYEAMVNDAENEPLEWSYEGRGGLQSWKRLNYIPYLDFDYLGFGTNSRSISR TLEYSYNDYCLSTVAKALQKDDYTKYRSRAGNWQNLYKADQTSLINGTDTGFVGFFQP KHLNGTWGYQDPIACSALASWCSLTSNPSETFESSVWEYQFYVPHDMATLIDLLGGPD IFVSRLDFFHTSGLADMGNEPVFLTVYQYHYAGRPALSARRAHSYIPSLFNNSNSGLP GNDDSGAMGAFSVFSMMGLFPNPGQDVYLIIPPFFEAVHVTHPTTNKTATIRNINFDN TYQNIYIKSATLNGSPYSRSWIRHDFFTQGMTLELTLGDTESDWGRREDDLPPSISQP L AOR_1_314174 MVSFKYLGATAAYILVLASQITTALPVEDIEPTPDVEVGATPAI KAPAVKCLGYRNEHDCQQDLGFACGQQCAWQERMATLCLTNCFANAATACGQACK AOR_1_316174 MPSRRSHTKSRKGCLQCKRRHVKCDEELPRCGLCQKRKLQCKYP ASSNEADSLNPSTPQDEVESMCSSNELSLSTRMLEMRLFHLYLTETYITLYPGKLDTN HFQSAVPGLATSYPFCLDALLAFSALHLASKETGDNRQWVECALKYQNRSCSAMSRVL AEFSVEYSGPAFICSILIMLCSYAYPCVSKDDQPFDPLGQILEIRRLLAGCAFFFHQL GKMEHPGELAGWLRYKDAEDLEEELPKEQQDPKLIQLRSALLESLARIRGMIDDVDES RREVNQDIWEFLNEAVKRPLGGREGGVIALPVRISDAYVDLLKEGDWMAQPSAHYDSR SANTVEHFEEGKLGAVASESAICSRHGTEMLKIGGNAADALVATIFCVGVVGMYHSGI AGGGFMLVRAPNGSYEFIDFRETAPAAAFEEMFKNNTDASTSGGLASGVPGEVRGLEY LHKKYGSLPWSTIMQPAIQTARQGFPVGRDLVRYMNSAVGDGEDFLSKDPTWAIDFAP NGTRLGLGDTITRKRYADTLETIANNGPDAFYTGPIAETMIQALQAANGTMTLEDLRN YTIAIRDTSQIDYRGYRVIGTSAPSSGTVALNILKVLDTYDSFMVPDNVNLSTHRLDE AIRFGYGLRTELGDPYFLEGLDEYQKDMLEQSTIDEIRGKISDLHTQNVSAYDPKGLE SLDTPGTSHIAAVDHTGLTISTITTINLLFGSKVMVPETGIIMNNEMDDFSTPGSSNA FGYVPSEANFIRPGKRPLSSITPTIVTHQNGSVFFVAGSAGGSRIITATVQNIIHAVD EGLSAAEALARPRLHDQLIPNQVRFEYTYNNETVAFMKSLGHNVTWVAPGDSTAQAIR VLPNGTFDAAGEPRQLDSGGFAV AOR_1_318174 MNSIIKRVVSLFSSQEPQSDIPPPSAERKKTPDQSLVMNDPKPV SVVVSPPAFDLDSYESFDEDYFRRTVDEILSDQASFENSFDAYSGTKMMDGTCERKAS MARDSGLGSSGHKASPYFPSKVAKKRPVAHRVIQKMESTEDEDDSEECQSGNKVVRRE DPADSVVKIKLEQLEKRRLGDVGIYAPDNEVGSAARASSVIDVDACDDENFEEVSEER FVFASVSEEEHNRLVRFLVDHPFMREGAYPVKRSVRRRFISDVRREASYSGMDEGALG VLTKWIKKTYLEVCMVADADKEGSEFGDEIDDENVLEHRSRSKSKKDRKRKRTSIDQA REKTKTKKTKTKKSDISMVPSKQDVREVINIDSDDSAIAISKSPSTDIQVLEKAPVPQ SDLQRTPTSHHIHPENAKHGRVVIEHATPKAPTTPSNHRGNERSISSKESSLPMPRYH NSTSKNDVNSNKRIQPASQEDIARKESSKKRTVSSADLPSSHSKDELQKIAENREKRK KKKKKKKRDRERKVSSSSAVSSKTKSKPRSSHGRPNRSSTKEHDRDAPTGRSSTPTTS NSQQQRRSSMPGVDSASRPVTASFLESRTSLPYPTFSKAHSRESVVQPDLPTPDPTDL TEENKDGGKRHSQHRTDNHHAPPSPPLTSVDQNSRKGSTVGDKEDKEKPKDGKAKIRI RAESTRSSSSLRSKRDDESKTSKTMRADTPSSRTKRSSHDKDIPARTASRNSTKSKIV EEAALPKRSSSSRAAPVVSPPRSPATVRDIGSESANGSDATIAAQQQSSSRKPKSPEK PPSRNQTRSSMSQRPGSRTSADFAFEYGRSPTSNSAYGAPPPPPPPPEVPVTIPRVDY LLQNGGLDHRVPKALLLAAGTPDQSPYQPFQPQLAASKIFDPFSRILEDYHKVMAKNG SLAVATGYRSVARRLLDRLEAVFARDISSESCNCLMCVHEGLEPEEHPSGVSWGEVLE LVSGRQDLPNWPPFMLSLSVNDAELSGDEHIPMQKMDIDIPEEYREHFMRQSRKTKVT VDKWLSEQVSQATNAPDEVDDETLTFAILTHLGPEQRPLFRALLGIPASSPTPQPDGK RPPRPSALISSSLAIQRLYRLPSPPRDPETAIYMLNHPELHHVLATLAAISDDEWDIL ISGRFDGFLRSGAEDSFAGSTPQRWSSSRSNTPFTTGGISRGPTPSPMDPNSRPASQP YGRVASPANFGGPIALDEEMEIAALAEIERDIYLGMEALEDAFEALHCKAEVVRRALR ERGAGLSVANQQRRGSYVEARLGTPASGLGTWEDSTEDDGLDDDRSLAPDDSASNISS NRRRRPKRRTERRTPAPVEEEDEEQDTYDSRRDRGSKRR AOR_1_320174 MSLAQVLSVLASQDDEGNSLARRLAYTDAGLTLDIDPLPSAQRK GLIAVTVMAFLSFIATLVLLLFITYRLVFWRSNYARYIGYNQYIVLIYNLVLADLQQS LAFLICLKWITENKIEASSAACFLQGFWLQIGDPGSGLFVLAIAVHTFILVALGHKLS HRVFVCGVVGVWLFVAILVIIPLAAHGRFVFIPSGAWCWISEEYEPIRLWTHYIWIFL AEFGTVCLYAIMWFQLRRRIKQSAILGNSQTESLKRLRRVIGYMIIYPVAYIVLSLPL AAGRMATAQGQTPSIAFFCVAGAVITSSGLVDVLLYTLTRRNLILESEPSRDRSYNRF ASSVNRKTDHLTTITAAEGKHTRTDISVLRTHRHREDDDEFGHTVREGSTDNIVQPSG MELAPLGKVYQHTTIEITHEPAYPEAESSDRSSKGSIGDGKGPAQSARMWGR AOR_1_322174 MAFRAPENRYYRSGLQPLQCWTLSKDGKDLLDRPVLRDLARHTT SGAIPHKYGDHDRLGYRLATHIYLTGERLSSKDECRRCKTTPIYKGCVIAFGVQHGAC ATCVHSSGAKYCSLSSRSSGPALDSTSDLSGVEDGESLANEASYAVAKGNKRQRVGSG KDAKITTTGASLSCLKESKAPVAISSTAAATQDNNPVLRNRQIATGGYDHLDAHIFNE LSFVQVHDREKNCIIPFIRADSGLTLDGIDTSTLCAFLEKGQDDLSYPLIFQAAANGL LKQTVANNNTLAAYTAGPGQSSTSTLRDTVRRESGASATAKRSWAAASMDDSSYYTSD DDTSEDETCEYDSTDDATTKDDTTEDDTFEDDTTEGEY AOR_1_324174 MEWQIYLGIAFALWIVQVLYTAFTSPLRRVPGPLYTVLTRLPLK LASLTGNRIYFVHELHRKYGPIVRIAPDEVDISSLAEFREIHRAGSPFLKSKWYEKFV PSKRSGVFTMRDPKEHAARRKLFARPFSKSELRRTWEPAVREKVQLAVSQIQRELKAV GKSDLLKWWTFLATDVSGQLMFGESFNMLQLGKKNQYINVLESTMMGSGIGAELPLVA WLGRHIPLSSFQNMFRATDYLFQYGQRAVTNSRTTSNASRNIFAGMVYESEKGDGIIT DEEVVLEAGNLIVAGSDTTAITLTYLIWAVLSQPKLQRELEEEVNSLSADFDDSALEE LPLLNAVIMEALRLYGAAPGALPRETPEGGAKFCGYFIPQGMTVTTQAYSIHRDGDIY PDPERFDVSRWLKTETSASELAKKAFSPFGAGAFDLYDPWFVTPYPEGWKLVVEAQQV LVESAVFASDLDRTMQEADDASWVSKRMEELDRQISSLLFCSRDAPLVSQMAPQPESV ERMTSKTMRDFAEIKLHTARIKLHRLCAFQDILSGSIRHPDVSIPTDTNSRMDMPLEM SSDPRLVEDLSLMTQIHKLQMPFTSEESSKICLHAALNIVTLLDNLLYPNHTSDILAN TQYARGGCGSELPRTMPTVVCCGVQSSYAMLMLGLKARAIQHTTHDDINVLDTTSLSD FRNELYHNLRLIVKFLENYSIAFEAIQGISDKLNQAIDREFLT AOR_1_326174 MNLTLLTTLLTTLATTNALTPNTANSTTGQKILDKALTAAGTPY AWGGGSCKGPTHDQPPYQYGDIGYDCSGLVCWAVCQVTGRDLFSEGLRVTSDMYCAEE KELKYKKYPYEQRRAGDAVFFGGECDCADRESIHHVGLMMDSGDRMWNAPNDRVNKVQ ENSIAKFGEKPCPYVVRFA AOR_1_2956174 MLTADDFATWLNATTLATDLEADPVLLQGLETPPVWDIPCLQDD TTTATWHEPEPVTTITPEIAYDPMVQLYYQNFHRSHPFLIPRKVLHSPLRHRIPTYIT GIMRYIGAHYYPEARFKEEFRPAAYTVLSDVTPRDGFKVQGLLLLAVIEHAHGQEENA YLKIQMAIDLALELGMNRTSFALVNSEGSSVLAESWRRTFWELYVVDGLLAAMRDQSS YRLFSHKADVRLPCAEELYNSASEITPTTRTLDDLKQSWSLDQCSSSFTYRIEAAQNL GLVMEVNRSLDIDLEARVETVDATLVSSLMQIPSS AOR_1_328174 MLFQAEMITYLALIYLHHPRSSMRFASFHARTWCTRLRICNNNP PPTELDLHSQKFLRAADMLSNLVTLPSTIKSRTPFFTCALAMCVIVHTAACLIMSTPD KVESLKARIQLSIGGLNMLGKSWPLAKMVRQQMVNMYQEVGLR AOR_1_330174 MANSTIHFQASLFPHYTDFLAHVTGQIRDSQCNLFLVYKGIQNT WIQDAWDYIEDNHEGRAVRKSYNTVTGDFSLKIMPTWVHNCIQPWQMECSSAWRENGL ITPEDFRELHTYAGTTLDFNQGPYRGSKKDPDLFTLPTTCLFPCLAMESGWSEDLTEM ENDVDMLLVGGNGAIKTVFIIEWRKHSDNYHVSGVVKVYKLDENGMPVHEGPDQVIFP KPADSQNQVIGVARGDIVGRTRFQDRNPFDTLEYPLDILREIATIALDRMGLVPA AOR_1_332174 MPRKEFQRDLIQASVPGRFPHLKGVRAGEEHGSVLFTYTVPFST QTIDFQTSVLNTDDYPGHHTYFTFAASDNIPDDVSKAIERLQPVFAGLAIEPFLKCIS DSIDDALLGVDSALDLLHENRSESEIFSGDDMDFDADSVDSDAQFTPPTDQAEVMKQI RSDMSAVKKAGYRVAFLGQLTGCLIISISCRIAKLGISKVAMQAWNVRPSQYLVLLLR YPFGYRNLDQVISKSIPKTPLIQMYVGLCDSSKPSLAAATQAFVSTETEIPGNTQKVG PDLQSFFIGDSLHTLLNTHLIDLVRHRLQHGFTWTGAELYLRNNQGRIQDSEEVAREV YFVPEAWNDATPRFLRADHISEEKSPLSLPLIAIQFILRRFVKCTEFCLNCYCKVDAG FEALMPYVCSNSLCLYQYMRLGMGPRLEWEIISQPYVVDMLVSFAYARALAGYLEDFP LGLGMNVPTYYATENDQMIYHGLLNKATMELDVHEMPDLRVGDWIAIVPCGAHIRHGR PQWHCRVQDADSPSSIQLTPPIRREIPNEHGINMEGSDEVQFVRYKECFDDLPDSAKC DAIVMLLETLPDINSMRSFILSHPNGNSKRMLASWYEDDPEHKLPYMNGYAQFRLAQG APDKEQRFVSAVKSLSSSHNAEYPTLFAWHGSPISNWHSILREGLHFKRVLNGRSYGH GVYMSPQFGHSMTYASSQNLARTWPNSILNIDKALSLNEVVNAPEQFVHNGNYYVVDK LDWIQPRYLIIRHKSASPMTADAGLVPSITYTQHPDYQALGPNHQALTLPISAVSSHW SQRYAETTTRSTCEGQLSHNVALVSDDDRDSVTTIADDDWLLDAGAIASTGTHDEVAN EAFHDLSKTDFRPGSLKESALQLLGPPKYATTLATKSLQRHLQATLKVQEREPLHALG WYIDPALVNNVYQWIVQLHSFEPSLPLAKDLKAVGLTAIVMELRFPPQFPMSPPFVRI IRPRFLPFSQGGGGHVTAGGAMCMELLTSSGWSPVSSIESVLLQVRMALTSTDPVPAR LEQRRMQDYSVGEAVAAYTRVCQLHGWAVPDDLREVSW AOR_1_334174 MYATDTRLPSKRKRIITSCSECHRRKQKCDRKFPCNNCLARNVA RKCVYNARQNSGQIADLTGVLGPEHGQDRAPVVPAGNAEGSGGLGYSLVNGSNAFVGL KEVCLRVMKLDGLLQQLVDIFFAEVNWHYFILERFYFDDLFSRWQSTEMRPVDYLSNQ ELSIELQYFPALLFQVVALSLQFLPPDALALTQLSSKELSSSYKYSELGDELVTMLGR HGVALTAVLADFLRASWLKNYGRGIEAWHSAGNAIRSLLYTGNMTFTNQAPIELNRH AOR_1_336174 MAMILGRPRTIHPDDCDVKPPIDCNIPKDPSKTVPMTVQPGESP NGPTTVSAGLFRYALACKFHEMRALKADRPHLKDHNIIQGLHEQVVSLLESVPPYLRF KSPDTSWDGEYSFLPQLREEVFMTANLFLMTLHRPHILASAESRKAALEATLATLESQ QRFFGQTSEHHYPLFGLAFYTIDASILLSIIVASYPPHGHEPRQYVYHVLQQAIERLS YVQPYNPIARSGLGIVQRCYEKLKEACHSPPNTSATPSSSVVSPRFELQSLRQELSHR NSVPANDVQSPPSPSSGPEYLDLLAPAPSMIPDSFSEAYWLDQLNLIQPSSAIGQDPD MFWDSLLFDRNIL AOR_1_338174 MKPSSIFFALFSLITVAVADKVCTPSFDYCSDELISKKGFTEDD LKSVLKGTEFEKEDLKNILFHCKNPGIVGSPKLCSSGCKDSEQEGSHSC AOR_1_340174 MKRSALILSFLPLVFGCDNPKSPGHSCASVYSVSSAAASSFCAT FTASTVTATTGVPEALLSNCDYKTKHLSSACSCLGTAAVPTVATPSSVSSVYITSATA TPTSFTFKTSTAHIVKVAKAATSSTAVVTTPVSVPTASSSFTGNGGTTCTVTEYAAIS SAVASCSNILLSDIYAPPSSTIDLQGLQTGAAVIFAGKTTFGDTADSDFDPIVVSGTS VTITGVEGHVIDGNGAAYWDGQGSNGGSDKPDHFFVVKDMYNSRIENLYIQNWPVHCF EIESTEHLTVSGLTLNNSAGDAANSKSDGDPAAHNSDGFDIKESSYFTLENTWVHNQD DCVAVTSGTDIVVDGMYCYGGHGLSIGSIGGKSDNTVNGVTFSNSQVISSQNGCRIKT NSGETGEVYNIRYENITLSDISDYGIDVQQDYLNGGPTGEPTNGVTIANVTFVDVTGT MSDGKDYYILCGDDSCSNFVFDGVSITGGSGDSCNYPSTGCP AOR_1_342174 MTTQNKNQYHELPIKPHGILWQEDFITPTHEAQLISIFQNQLEW PTRNGRISLHYGYSFDYKTFGIDPDIPYKEFPDWLQPLIPTTEGRPPEQVCLQYYPPG SGIPPHVDAHMAYDQLYALSIGAPIMMQFRRGEERVDVDLVPRCMMQMTGESRLFWTH GIKKRKNDILADGTVRPRGDRWSITYRWVREGECECGDVEVCDVAQRRMGVEKEKRSL KELAAKEDGGVSG AOR_1_344174 MADEIPHHVNDGRNSERNMEAQSAFASTLVVDVEKSLHSKGADP SKCSTSSNGISVSTPTNQAGSNAVYAAKAQLLNQALVDMKMGRYQWVLFIITSVGWFL DSFWIMSFVVIAPSASNEAQFFFPGDKSSYLFVSLAVGLTVGATAWPWMSDILGRRWI FTSTIVLMGMGGLVGAGMPSFTGLCVVGFVVGFAVAGNQLVDAIILIESLPASHQFLV TVQGAFWGLGQLVSAAVGWAFIAGYTCGTGLDAISTSQALSTHSSRAEGSTQSSQSST SCHYVSNKGWRYLWWTFGCITLFLYLCRFVFPFRETPKYLLSKRRDAEAAQLVNNIAT YSKRGTWLSETSFARVDSTIDATESRRTPRLRSLIFALQPTGLPILCLLWALTGLTFP LHKTSLTAYLASTHNIAPITATTVTTPYLYTHYLYTSLCAIPGPIIAGMLIQTKPFGR KRTGSAIALLTGLFMLLATLARSRNALLAFECVLSFLQFADLAVLTTYTVEIFAAPVR GFGVGVMGFFWGVFGLVAMIANTFAGDVVAGGAAVWFCGAVWVVMSGAWLTLNETRGF AAA AOR_1_346174 MKGLYLTAALFGASSTASKLYAASYAGAVTTLELGRSDAGYELK TISDTTDCGPNPSWLMLNDDKSLLFCLDEGLNGPNGTLTSFEVGSDGSLSKVHQLQTV LGPVQSHLYTAGDRTFFAVAHYSGSSVTAYALDPSGVFTRRQTFTYKLDGPGTDPDRQ DAPHPHGVVLDPTGQFILVPDLGADLVRIFRINPSSGLLEPQTPLAVSPGSGPRHGTF WTPKGARPGRAIDTRFYLASELNSHLTGYKVHYPKNGTIAFEKFFETTTYGGPELPSG ATAAEIAISPANNHIVISNREDNKFGTNNDTISVFSCADASGKLSTNVTHTGLYPAYG SIPRQFEISGEDKAIAIALQSSHRVAVAGYDDTTGGVGPLLAQKDLEGEIVCAVWDD AOR_1_348174 MKLSTITLVAASILLSGAAATPFESAEVCRKACYSHKPKCARHW YPKKIGKCWTCCQHRKSDYYEDDCGYDYEEFEWE AOR_1_350174 MKLASLPLTTYGFQLLMFGRRKEGLTPDQYRDHYENVHIPLMKN LTGDTFPLTHVRHYVKRDGPPDFPPAVLMGNQTDFDYDAVAVLTYRDKAHFDANWAFF EDEETSTLIKEDEEKFSAWVTGVLISTESTDTRN AOR_1_2958174 MASIFRLSSRVSRQFSTWRTLLQRPASPVRDFTQSNFTLLDSTE KLEEETLSWYTPDSFYPVKIGEVFQSRYQVIGKLGYGGYSTVWLCRDLQSAYPTIKQQ CEDSPCSRQHAYVALKVFERNFAEGQRETEIYHHLNSLNIVDHAGVKLIRKALDSFQI TFAEGNFECLVHPPLGMSLYDFRTQLRAKVLPEKIVKLTLVHLLLALDYLHVVAEIVH TEEEKSSPSSRKVVGDRMIYASRKLRKTKQHGRPTLCDFGQARRGPNTYCGDIQPYIY RAPEVLLRMPWNEKVDIWNVGVLTWDLFQQGHLFYARDSDKKSSDAHHLAEMIAIMGP PPKEVIQNSVYATEFFDGEGNWKGPIEIPSISLEKLEGNLEGESQRLFLQFLRKMLRW NSDERESARELLDDPWLRSP AOR_1_352174 MTVSNYIVSLAEAQTRLPPPVASSRLLEQIDQQVEQLPILVVLD DDPTGTQTCHGINVLTVWDDATLVEEFHTCDRGFFILTNSRALPTAEARKLISDICTA VKKAAVQAQKTFEIVLRGDSTLRGHFPDEPQIAEEVIRKVDGWILAPFFRQGGRFTID DVHYVADAEGNLVPAAQTIFAKDATFGYTSSNLIDYVVEKSNGSIPRHRVQSISLHDI REGGVSAVAERLLKFAQGSIIIVNAIVDTDLEIFVLGLLQAKSAGRNYIYRTGAAFVS TRLAIRPKPPLSAGDLGLNTEASSPGGLIIAGSYVSKTTDQLQSLTSGRGPALKVITL DVESLLNNTESSYSTVLSASDEAGKYISDGQDVLIMTSRRLVSSHDELSGLQIGSIVS NALVLFLRLLIPRPRYIIAKGGITSSDIATKGLRMRRAQIIGQASAGVPLWRCDEPSS KFSGIPYVVFPGNVGHQDALLDMVTSWQSRSIEKRPKMQYQRLGNSGLKVSKIILGCM TFGNPSWEGSPWVLPEAEALPLLKKAYDCGINTWDTANTYSNGMSEIIIGKALERYNI PRSKVVIMTKLYYPVLEPESNARPNPAINDGVLVNQMGLSRKHIFEAVDASLARLKSS YIDVLQLHRIDDTHPEEVMRALHDLVQMGKIHYLGASSMYCWQLVRLHYAAKMNNWTV FTSMQGLYNLLYREEERETNKFCQAEGIGLIPWSPLARGLLARPWNVKTDRSVKDAKT AKWFSGEQDQKIITRVDQLARSKGCSMSALAIAWLLEKGACPIVGLNSIERIESASEA LAVRLSDVDIRFLEEQYRPLSVQAI AOR_1_354174 MDKNLPEEVKAYLEEIVQRLTDYLKDQLVGVYLFGSAGYGAYES GTSDIDVQAIVRGPLDTVDKQAVISRLNQNALPCPATKLEFVVYAQSAVYPASRHPRF ELNLNTGAHQPDHISLDPANESSHWFLLDIAVGRELGRALYGPATTDAFGAIPRRWVL EAIATSLEWHQAKELRSSNSVLNACRGWRYIVTGEFSSKLVGAKWAMQQQGSPDVVSR AISARKTGDELPVVQIMTLYHIVIMANRAELAKDLI AOR_1_356174 MILFPRYYLFEIGDQAWCPEWMRAYIQSYLTRVWNLHIPPFSNA PPAGVAADLILGHIKDPDSFTFVDLCAGAGGPIGTLEHVLNEKLRAEGKSAARFVLTD LHPRVEEWSAISRRRENISFVSEPMDAAKCERVAPTNRKECRIFNLCFHHFDDLLAST ILRKATESADSFIIFEFAQRNFTSLLNIPVMLLFPFWYTLCRYRHSPLHLFFTYVIPL LSLLISFDGLVSTMRCRTPEEIRALLDGLDLDLSDWEFRSGHRMLFEPFVHIYYYIGV RKER AOR_1_358174 MKVIIVGAGIGGLTCAIACRREKLDVIVLERSSVLLPVGAGIQI PPNGLRVLQELDLKQEVLEKGAIVESMDLRRYKDGGLITSMECGQTVAREYGGPWVII HRADYQQILFDRALLMGAKVCFGATVDDLDVENTQVILEGGETVAGDIIVGADGLWSK VRDAIFDRPVPLIETGDMAYRAVFPRKQLENLHNPEIDSLCSKTSVTAWLGPEKHAVF YPVRGGEEYNLVLLQPDNLPTGIRTNEGDLEEMKSAFRDWDATLQKLISCISSVVRWK LCRLPELDTWSKGSVTLLGDACHPTLPYQAQGAAMAAEDGAVLGMLMGSVVERIDTQT DSLDATLGGLISESLYVYEDLRKAPTTANVNGALRNRGAFHMRDGIVQWMRDFVLGYS GMTRETDWIGLMSRRQSHTLSADPMQECKKRIGRA AOR_1_2960174 MENTSSQSRFPRWDGLNLEDYADTPNPWSEVEEDAPHEETEYKR VDLRKEFPGQRIQVIVKLANIELTPESPDYEGGSCHIEGQLELGSVLCQEGRLLTFPN TVQHRVSSFSLADRSKPGHRRILALFLVDPHRRIISSANVPPQREDWLPEELESKAKR DMKPLMTMDEAREARLELMAERSLQSAEGNRKYETGDFNLCEH AOR_1_360174 MALLRNPHLQMPGFNLALNISSTMWFPTVHRQIVMISITDKTQW DQKSFNENITSKWYQEIARSGLDMTPKIMAWVIKELQWKADILNKTGYVRVFGVGVIK SDTVISKDLQEALKERVRPLNDVLGDQKDYHPRSDQKVVDLVHPSLFRSFMAQLTSYW TALLNLMTV AOR_1_2962174 MRSMFEKRDVGFLRALCIASFWLADASRILCSDAIRRAADIRLH RSFDYLIGARDPESCSPSSANPIAAVDRVRLWYLLFVRDVLGSDNQTPLPPTLTHHIT NYSRQLDKWFAKFSALFITNAYIGDFPRKGLELHYQFGKLYLGHQVFKGLHGNPIPMH FVSAANMAHDAAVGIYEMILNDNQLTNSLVGMPHYFHIMIAFAGHLLLEICHNHHEQL SINVQEEFNLIGAVLDLFRSQNCIPQHPIRRMAPGLSRKLSTCAASLGIGSLFDGHQS SQNAYIATTGAQGLANPSQNFDGQPVQFPVDSTAPQMDDFLFGDIGEFTFPDLTSSFL P AOR_1_364174 MTSSIAGKTRPLPPGIFCPVISLYKSTPRQEIDYEASYKYFSYL IRGGVDGLVLGGTTAEAVLLSPSERQELIKTARRAAVDLGFENFPLVAGISGQSTNES IRLAQEAREAGADFGLLLPPSYGAKAVTKDVIIDFYKDVASENILPIVIYSFPVVCNG VDMNSDVMSTLAQHPNIVGVKLTCGNAGKVTRLTQEYSHEQFSVYAGSSDWLIPCLSG GGSGCVTGIGNVFPKSVARLYALWREGKVQDAMKLQGLVAQAEKACKEGIAPTKFAAA HFAGPLSGITEAEAFWPRKPYKPSPKGIQDWVVDVMQHMVEIENSLPEVSGPGRAK AOR_1_366174 MVSTPALPQDSLVRETNRPYFYREAYLPGATAQNHASNLLLLPN GDVLCAWFGGSMEGKPDISIYLSRLRSGEQSWSEAIRMTHDNTRSEQNPVLFRTPTGD LWLLYTSQHAGNQDSAIVKRRVSKDDGITWGKEEVLFPDSGIFIRQPAIVLDDGAWVI PVFKCRVEPGERWLGNNDISCIRVSRDEGQTWTESVIPESTGCVHMEIQRLKDCSYLG LFRSRWADHIYLATSPDGLSWSPPQPTILPNPNAGICFDVLPSGRVVVVYNHSSKLDA TGRRQGLYDDIADGVDERRDQSSTQDGRESFWGAPRAPLCVAWSDDSGKTWERRVLED GDGYCMTNNSEKKLNRELSYPSMVLDEGKIHIAYTFWRQRIKYVQLSDDFFSA AOR_1_368174 MAGGTSIWASKEARTDPKEIFNLRLLYLLISVAWGGWFYGFDTG NIGGILTLPSFENAFGLNNLPAAEIDNRKGTIAAMLAAGGSAGALCAAPTSDFLGRKW SVFLWGFIFVVGAAMQMVADYDVLLAGRFIGGMGVGASSMLTPQFLAENSPKSVRGSM TATYNLMILAEEGIKNLCRLRKLPIEHPYVQTEYQEIEAQDILLIKSNFQRFFLAIML FLFHKFTGTDSLNYYAPEIFELIGVKGSSNSLLTTGVYGVVKFVVTIFYVTYLVDRVG RRLPLLVGASLQATAMLYLALYLRFAGTNTDTVGGTPAGGIVGIVWIYIYAFGWSFGH SVACYVVAAEIFPTRIRSVCMSICFFVNWIVDYGITRATPNMITEMGWGVFLLYALLT YAGVVFIFFCLPELKGRSIESIDDLFQRPLWSMWRHAYPTEEEKTRQGIPQLMKGGNE DNADGDKDRPVHIESV AOR_1_370174 MKFTFISFLFVTTVIAVPQNEAGNVCASKQTVVCYGQGNGGLIT LGNVMPGALGENCAAGKVYCCSHDDVQAYGLVNLDVDDQCTVNREL AOR_1_2966174 MGKRKNAVRGVAKASQEAKRARLALQNPPPVPPLAPPPPPPAPL GPPLSEDTLPRPPYGDLDDLLPPAPGGDSPLPPWGSPSPPPRSPSPPPAPPSSPPVPC RRCAKSLFVGTSSGVEGPLVCVSRGAGRPCVRCARLKKPCLALPGPARAEVARLQALP PSQEAVKATDDLTKHIEEATNRLGKLNKVHPCLAALRDINRNLFRLVNVQRELGGMEP LPEGDLVDWESLW AOR_1_2968174 MKVPRKLPQGELASLPVPEGPWQDLTMDFVVGLPPSGRKGRVYD AILVVVDRYTKAARYLPTTGTVTAEELANMFLDEIVCKTGSPRSLVTDRGSLFTSAYW MQFCQGLRIKGRLSTAFHPQTDGQTERQNQTLEAYLRMYTNYQQSDWADWLPTAEFAY NNAKNASTGYSPFMAWQGMEPAVPGLEAIGPTTSNASVELRLKGLADIRTKLEENLKK ATERQAEGYNKRHKATQLRVGQEVLLSTKNIKSWRPNKKLDLKYDGPFMITEAVGKQA YRLRLPKAYGHIHPVFHVSLLKPYHRRAGVEAGEAQPIVIDDQEEWEVEEVLAHRVYY RKLQYLVKWKGWPSYENSWEPEENLKNAAETVAAYRKASEVPEAPRRSRRRA AOR_1_2970174 MVSTRSSSALPPVDPQGADGTATQSSATQGVSQREFPAAQNART SDVPMEPIVEEDESETPERGPTSERQSEEPMLSLSAIARVAEVLKTIMQTSSADNGFG GLKLAAPTPFEGKTIREVQNYIADMEDHFAASASAQRNDKDKVLYATSYLGEKAKQAW RLKRESLGEPSWEEMKDFLYTFVDPEADRHRNVAYRLINEKQNGRNMREWCARSLEVW QAFPNNSLTAQTWIQTLDQEVQREITRAARQPQTLGEVHDMAIQYWSMLHRERSLRDS EGSGRKRADGGNQGPAGFNRGRKRPRENETPATRPRGSRSSPGQPPFKARRWNDGTQA AHERKRDWQKKEGRCFRCNEMGHRIWECPKAADVLRHPKEPTAFPILGTVELQLQESP SISADIKPIGGWDHTVQTVKALLDSGADDNFISYRYLLQHGITVSKDHLQPPVRVRYA DGTPAPCYGKLIVKSQVTDWENQTRGYEMEYYITDLQDPDYQIVLGRKWMRHADPDVV FSAGTWRYRKEAPKISIENPKRFAKTMRHTLTMMVMYRPDTDDGPPELPPRYRKWAHV FSEKEAAELPDEKAAHPIPLVEGGVPPYGPLYNLSQHELQVLREYLDKMLERGWIRHS TSAAGAPVLFVRKPDGSLRLCVDYRGLNAVTVKNRYPLPRIDELMDRLVGAKYFTKLD LRDAYHRIRIQKGDEWKTAFRTRYGHFEYTVMPFGLCNAPATFQAYINEAMKGILDDY CVVYLDDILIYSQTEEEHVRHVGEVLSRLERANLYAKLSKCNFHQEEVTFLGFIVGRD GIRVDPERVRTVGEWPALESFHDIQVFLGFTGYFRRFVHQYARITKPLSDMLKGMQKG RKTGPFILTTEAREAFDELKAAFSGPPILRHFDPALRIKLITDASGFAIAGILLQPVD GVEEPRRRSDWQPVAFYSRKLTEIEGRYPVHDQELLAIVECFKVWRHYLEGAPHAIRV QSDHESLQYFYSKKTVKLDKRQARWAELLAAYDFQIEYRPGHLNPADAPSRRKDYEDV HVQRNVGLLPTLQRKLRAVPDDRNDVGAPSVAESEISIVSSRGPELLALRTMAREVAR EEVVCEATSHPLRDAILQAQQGDAFVGQIRGKLLPGEGKKESGHKSDVAETSGDLTGR WRVDGGLLYRGETIYVPPCSALRQEILKSASR AOR_1_378174 MTTFTLTTGAKIPAVGFGTWKAAPGEAAAAVKTAFEVGYRHFDC APLYGNEPEIGGVFKSTKVPRSEYFVTTKLWSSDHRRVESALDKSLQDLGLSYVDLYL MHWPVTLDPSDSVEYGKENRKVHAAGWDFNDTWREIEKLLETGKVRAIGVANFSTVNL EKLLKTAKVVPAVNQTEIQPLLPQNKLNAYCREKGIHQTAFGPLGGSGSTLHSHPVIV DIATKRGCDTGNVMLSWGIQKGWSVIPKSTNPKRIQANLRGNVELTSEEMGKMDALAL PKGKRFNRPDWGTVIFHDDAEVDLEE AOR_1_380174 MTHTGTGTRGDFIMTEQSFPWIFAAGKLTKAELAASHVSLILNK FPAHEEWHYVTGLKCMILNEAVTHPDTEKMYILMWEIRIEWDPQWGNHINCDIYRPKS TEKLHIQGTQRPSISGFYRDKQELDTYVGGWDPQYYVWPSDTWEVIEQKEAIQQQYAE RLKMIWLSDLMD AOR_1_382174 MSFTGSKFQLIIRQQPTRARVAGVKEKDRKPVDPQPIVQFQVIE RGNYLAQHYLQSPYYFMCCSLFDPLNDVPVPVPPSTALTGTLVSSLHRLKDEDNSEGG FFVFSDLSVKLEGSFRLKFTLFEMGEGSASHLASIISDRFTVARPKDFLGMTEATSLS RLFADQGVKLKLRKKSRAGIKRSLQQVEEYPRPAPRRSPDYSSIQIPGNPSTGYSGAV AGVYQDYSYYTGPVKRQCMSLDYTNRGTYNDGRTYPIEAHPQSPAQPTNQPRAYTTPI LQGHVGVRNYAMSDGIPPFSQVPESL AOR_1_384174 MPFGQLSISNRGIPQHDPPYSDATPVFDDVTVLTIQYRTSFKSI SHLIPDVIEVENEPLVTATLLNYGAGPAGPFLEFIHTVEAKYLGKSYDFCLSLILDNE MAVLAGREPCGFPKRLGQLSLTTRSSNRATGYVERPVGQKPFLNLRVIPSPVTGAPAS LKELVPSNFETRPVEVWEGVGKLTFPENCLQAEAINKIEIVRYESATLGYGSACILHP SEEVFQL AOR_1_386174 MTDQSTSKLDGRFEQDLAGKTALVTGATRGIGRATAIHLAKRGA SILGTCSSETSMHNIESLSSEITEYYRGTGCDAPKIVGVAANVLSLETPGIVAEAVEK HFNSKIHILVNNAAYDELREMGKLDDEYVRKVLMGNTHTLVMLVEALYTRSMIQPDSR IINMSSVSGRKIPFPAMYLVGATKATMEALTRSWADIFGKDPKTLGTTVNALLIGATA TEALFREATPELKNMGLSAVQSGSAVCGGMGLPEDIANIAGLLASEKARWITGSVVCA NGGSVHVM AOR_1_2974174 MTRQRKPTAREEFRNRLERLECMVKDIITNREDINEIENDSQAT NVATVPTDASSQCSDTTLPTIHESGNHLPSCRLDERSTHESTLSLDQPNQGSIPSSGD NPLPSPRDSLQIDCWTFEGRAFPLQTPRISYPFLELAPALWHIYTDNVAPLLPLLQRP STRNLLLLGTQSKQSMTQNKKALILSILFVAVVSMSPAKCGMVLKESRDSVVRYLKSE VKQALSDARFLTTTSLTCMQGLVLFLIGLYAENEQHDFWSMTALVLHRAKGMNVHRDG AHFGLTPLRAEMRRRLWWMICLLGVYSCEDHARETRINEEMYDVRLPLNVNDDDLFPG MQALPPERKGGTELTFCLLRFETISILHSASSTPGSSFNDIKEVSNDDRLCKVQKIRQ YLDETYLKHCDQNIPIFWVGAAVTRLIMAKACLSAYHQILDLDNGTPQPPLIGEKLIQ LAVEMLQLDYQLRDHPVIFQWGWHLRSCTQWHGMAVALSAQYLYPASSVSAGHASQLA MLERQDSLEYVQCCCS AOR_1_388174 MIVALVTGANSGIGEAVARQLARQPDHHVIVTARNLEAGEKVAA AIVEEGNSASAVRLDLSSDESISTVAEHVKEAYGKLDILVNNAGTFLDHRSDLSVREN LTMTFDTNVIGTAVLTDALLDLLRQSSAPRVVFVSSVLGSLTLSNDENWPLRHIVSKA YKSSKAALNMLVLHYSRALQDVSGLVNTVCPGLVKTKLNGYAEDGVTPDVGGRRIVEL ALLGPDGPTATFSNRDGPIPW AOR_1_390174 MRLITRWIPLANALASTMPVQVVASIENPSLLPTPPMGFNNWAR FMCDLNETLFVETTDAMASNGLLEAGYNRINLDDCWMNYDRAENGSLEWNVTKFPRGL PWLGQYVKSKGFNFGIYEDSGNLTCGGYPGSEGYEEIDAEIFAAWGIDYLKLDGCNVY PKEGRTLQEEYKYLYGNWHEILSKMQQPLIFSESAPAYFSMTDNLTDWHTVMDWVPEY GELARHSVDILVYSGEGSAWDSIMTNYKFNTLVARYQRPGYYNDPDFLIADHPGLSLD EKRSQFALWASFSAPLIISAHIPDLSSEDLEYLTNQALIAVDQDPLAQQATLASRDGS LDVLTRNLADGSRLVTILNHGSESIETDISLDILGLSTDCTYKAQDLWGGSTQTIKDA IRIKLNTHATAVYKIDTDEKCSQVIPTGLIFNTASGKCLTGTSSSVGSESCNGSKSQI WQIDASGVIRTLSEQSKCLTADGKAISLQECSENNGQKWSYAITGNLKNADTGYCLTN GGGVSACGFETNSQVFGLPAGVHVAL AOR_1_392174 MHPSVRYKIGILLLSALLIFYSLNLYWKADLNFAAAIEPATLRE RQTLLWQQLSSLLEDHAPECPPPERDDSSGAIPYNAVESVSRPDLITNSDRIQEPLQG AHDRFVDAIKTSNIDRAYSPGTSGIVSSAGKSYIPLFVTSLRMLRRTGSTLPVELFVK DESEYETKICEEILPQYNARCVILSDIEAGQGYSTEEIAHYQLKIFAVLFSSFENVIW MDSDGFALYKPESLLQNEPFMSTGLVTWPDFWASTASPLYYNISRQPVPSMTERASSE TGVFLISKQTHFLTLLLAAYYNYYGPSHYFMLLSQGAPGEGDKETFIQAAAAMGEPFY TVSEKVSAVGHPKPNGGISGSAMVQADPIEDYRLTTQGHWRVKDPSAAKAPRVFFIHA HYPKFNPAEHLFGNHWETAPTLKPDGSDGRAWLVAEKTLERFGFDAEKSYWEEIKWVS CNLEHVFESWKGKSGICDRVSEYWRNVFESSDEETPVFTQK AOR_1_394174 MIGTVLDTVLGNPQGAVGGLFVGSFVIFWVVPFLYNLFFSPLRN VPGPFWARFTILWEFSQLMKGRSHEEYIKLHKKYGPVVRVSPKRYSVIDPQDVKKIYG FGADFPKSEFYDSLGDPKNIFTVRDNEDHKDRRRKVASLYTMSSMVAYEDAVDRMTTL CIKKMTDLAASRKLISIPKFMQFYAFDVIGEITFDQNFGMMENMGDTQGIIKEIHAMN NTIGIMGLLPELQSTWRAAQKVLFSGTAMGKLTEYIWSQYWKHRNTNSGAKQKSQYDT FLRKVLELEAAHKVGRMNVLDSCGSNIGAGSDTTGITLSAALWYIYRNPDKLAKLRHE IDTMTAEGRISDPVTYKQAQEMPYLNAVIKETLRVHPGVGTILARVVPKGGITLTGGY YVPEGTHIGTNAWPLHYSETVYGPDADQYRPERWLEDKPQPDYRDSMMFAFGSGSRTC IGRNISLLEITKVLPQIVRKFDLKFEEKKDPWDAWCAWFVYPKYKCWIEPRKPVENVA AOR_1_396174 MSDARTTLMETWFTPALVAAIVAARLLFSLYSAWRHAQRARSLN CQEAPLYPSRDPFGVATLLETLRADRDKFLPKLSQKRVDLISSQQNRYVSTFRVRQAG RENFFTVDPKNIQAMLATQFNDFFLGDMRRNAGAPVIRSGIFVSDGADWSHSRSLIRP QFTRTQINNLELEERHVQNALRAMPTQSNGWTSEVDIQTILFRLTLDSATEFLFGKSC NSQLTALEKDAGEMSDSFLNSFDRCAWYLAARLRFERLYWIVNNKEFRECTRVVHELV DGYVHAALQRAQQAEKPADPERGSHYVFVDALTATTQDPNQLRDECLNVLIAGCDTTA SLLSWNILLLARHPDIFQRLRKEIIERFGTYSEPRDINFSSLKSCQYLQHFINETLRL HPVVPFNRRCANKDTTLPRGGGKDGNSPVYLQKGQPVLYSSYVLQRRKDIWGEDAEEF NPDRWYGRKAIWEHIPFSGGPRTCIGQQFAITNTSFVLVRLLQRFDSIEDVYPEREIR YGVTLTNCPADRVTVRMHQAEL AOR_1_398174 MADTSSSTTIQQSSAKEAALQKVSSGTPRSRDGIADWKWKGSLA AVMLTTVINGYDVSNVANIQPRLYEAFGDIALLPWIGLSFSLAVFAFLSFSRKIIYCF DMQWIYIVSVVVFMAGAAVAGAAHNLATVIVGRTIMGVGGSVIYQSNLTFVAVFATPA ETPLLFGLLGALWAVGLVIGFPIGSALASNPNTTWRWAFYMNLPWAGLVLVIAFICMP SKYLGPDIPVWSRIARMDPIGITMNIAVPALFSIALEFSGPVWDWGSGASIAVWVVFG VLLIGWIVQQYWCMGTTPDQRAIPLHLFRRLDLVPLWIASGCAGASYAGTLYYTPLFF AFARGHSALQQTVRLLPFVILFIAVVLLVGALLPLFGRYNLIYIIAGLATVAGAGAMA ATLSPDVPESQVMGLEALIGVGLGCSYQHGVGISNVINKDPRDKVDSVVMFNLAQMGG ITVILSIAGSIFQNVGFHLLKEVIGGNGYSEDDLRQALAGVSSTVWGSDDPDVLARGV QAVSEALAREYYLIVAGGALCFVCGLVMKWEKLDYGRGRGKKPEA AOR_1_400174 MAFLYQMLGSKIWPPRDTKPDLTGRTLLITGAECLPNSGLGYES VIKFVRASAKRIIIGVRSIEKGEEAKRAILAQIPQSNVTIDVYHLDMLDYTTIEAFAS RVNQEVERLDYVVLNAGISPHAYKKSAYGFESGIQVNLVSTTLLSLLLLPKLLASKTD TFTPVLELVGSGTHQRMPQLLPETDNTEKDISEVYNSETSFRTFGFIQQYSLTKLFLM YVQWQLVKLVDDKVSGSPRVYVIVVGPGPTQSGLGRDFQEQSSLGVRVAVHTMNLLTK TAEQGARTYLSGLMLGEKGHGQFWQWDSACEVVFGPECYYAIGACVG AOR_1_402174 MATNTKPAIVICHGSYHSPTPYEPFMEKLQSQGFETYCPHRPTC DLSKLNVGDVDHPDFDLGPPAEGYPTDTDDVNVVVKLLDKLVNEGGKLVLLVAHSSGG WVATQAAIPELQANSRRSEGKTGGLIGIFYMGAFVVPVGESIHSFFQPKDGITFVPPF MRFHKHGVKGLGTPVDAPRFFFNGLDAESAAKWTATLTASPVNTDRLTNDPYSALPCA YLVLEDDLTLPKEYQEGMIALQEGKGNKFTVYRATSGHSPHLTWTEDLVVKVTGFTNE ILS AOR_1_404174 MALVLDNNTSVDTSTAQMLEEVQICERSRKNPHRNIAAYHGCEV KDGRITGIYFTKYTETLMQRVNPGKLGKRAFASQVRGGMSDEVQRWMDGVESGMRHLH SLGIVHNDINPSNIMLDGNIPVIIDFDSCGQEGHSVDTVKRTYEWYDENVKEAMPSND IDALEEMRMWLLGDTAKLKF AOR_1_2976174 MPGAWRYLTMGGENEGEHVTAAIAAAPVSKICFWERSTGTKIIT AFNVAWANGKESATYGDTHGATKTVLELRYGEFFKEVRLYSTMAGNTKCFSGWTGTTN MGRVVNIGYTKDLSYWTPPRLHSGLCVGFEAHINERESLLNRGGICLLEALEHVVIKM NYVSLPNAEINSVLVDDTIDENDGETPLEVHWTRTIELINEISTLKTSAEELGVTTTV LGKTSNSTSYSVKSSTTLSRFYEVLPGRKYRRTTVYFQSTFKVQFRPQYTLTTRLGHT VQWPEGPAQDAFGVASGNLHIRISDITNDAKVFPIDPPRYTENPSMD AOR_1_408174 MAPTTTTTMTAQVDSPPLASSVSSVSSVGRQTIKKTRLQQVVVI VQLVGVTLTASLINGLVTIALPTITKDLELPSSLAFWPSSVSSLATASTLLLAGSIAD TIGPRWVELVGSFSSGALMIGQGLAQNGEGLVVMRALQGVGLAFHLASSVSIITQLLP QGRGRNFAFSCIGLSQPLGFSLGLVVGGVLVDTIGWRAGWYIAGGITLFFAVIAVWTI PKNKTVQDESLLHNVRTKIDWLGAFLASAFMALLCYLLAIVSADPSRIKSVDSIVILC LAAIALPLFIISSHHRVKRNKVALIPNSLWRNTSFSSVCATVALSNAVLNSMELFASL FFQEVQYLSALQASIRILPSLIVGALLNLIIGLFVHKIRAVWIVTITSLLCAGSPLLM AVIQPSWPYWGNAFFAQILQPVSFDALFTVGLIVITDVFPDDTQALAGAVFNTSAQFG SALGLAVLQVISTVVTDQSGAAKERYALMDGYRASFWTMFGSMIVCTLVGFLGLRKAG RVGLKQD AOR_1_410174 MAVLDEYTYVFAIGTIFALLDAYNNGANDVANSWATSVSSRSIS YRQAMIFGTIFEFLGAVCVGARTADTIKNGIIPVSAFRDDAGVQLMAFACALAAASSW VMWCTKHSTHVSSTYSLVSAVAGVGVATAGASKVQWGWNHGKGLGAIFAGLGMAPVIS GAFGAIIYMLIKVIVHMRKNPVPWAVYTSPFWFLVAATICTLSIVYKGSPSLGLSKKP GWYIAAVTLGCGGGVALLSAIFFVPFVHARVIKKDQDVKWWMFIMGPLLLNRASPNVG EQAKVPDYAVVQDDHDDMASVPSTAGSTTGEPGKDQTQTKEKALVMAETQATYQELVA QGEARFHARLMKKRGPLGWAMRTLRDNPMGAGEIYEWRNMLRLAKRVPAMITVGLLYG MNYDIHAAQSGVHGTPEGERMARVYAHAPKYPNEVEHTYSFVQILTACTASFAHGAND IGNSVGPWAVMYSAWKTGDAQQSKAPVPVWQLAVLALTISAGLITYGYNIMKVMGNKI TYHSPSRGCCMELGAALTVLVFSQYSLPVSTSMCITGATVGVGLCNGTWRAVNWQRVL LLMIGWVMTIPIAGTLGGCLMGLFLNAPHFSS AOR_1_412174 MSNPSAFDPEKPSSSALENVAESSLEAVDEKRPHEEALPTYDVE MENRILRKMDLRIIPMLAVLYLLAFLDRGNVGNAKIEGLLEDLNLTGPQYNWCLTVFF FTYAAFELPSNLLLKKMRPSRWLPLLMVAWGIVMTLMGVVHNYAGLLSTRIFLGVAEA GLYPGVAYYITLWYPRHRAQFRQALFFSAASIAGAFSGLLAYGIAKMDGVGGYAGWRW IFILEGLLTIVVAFIAPFAIHDSPETAGFLTEEERKFVIHMLRTQNTGGTAEATEFRM KYVIDALLDWQIYVSIIVYWGITCPLYGISLFLPSIIKDLGYTSSTAQLLTVPIYITA AVVAVIAAWLSDRQKQRSPFILFFMGLIAAGFIIVLASTGRGVPGVVYFGVFVSVVGI YPAFPGNITWLSANLAGDYKRASGMAIQIGLGNMAGAMASNFYRSQDAPKYILGHALE LGFCVAGMIAAVILRLSYQMINRKRDRIDLSGYDEAEMEKMGDRSPIFRYML AOR_1_2978174 MKFISVIALLAPTVLAAGWIELIQEKCPDIPNRCLDIAKEAHDP VFDIVAATKATQTLPGCNPAYLECIKNMDDTYEPGATQGNPVTCVLQIAPDHFKYFLD NDSAEIPIPSNQNCPLRELHRVAHVELGSLA AOR_1_416174 MAMSEQRIFRGIPYVTGAASATLLAADLELSFWGGVDPRTGEII DRFHPLSGRFMKDTILAIPGGRGSCGGSVIMMELILNGLGPKALIFERREEIITLGVM VAEELFDKTAAVVTLNPEDFCEALGWDGKTVHIRGELVSDAPLKADSANGAAKPTIDL NNFGVKLTDADRAMLDGASGEAAKISLKIIIRMADMMGAKELMDVSQAHVDGAWYGPG SNAFGQRLRDWDGKFQVPTTINALNVDQKRWRLLGIDAEFGSACDELAKAFVDMGGKI SFTCAPYLLETAPRLGDPIAWGESNAVIYANSVLGARTLKNPNMLEAFIALTGRAPKA GVYVDENRFASTWLRVTPSEGADDSFWPILGYALGAIATTHIPVITGLEHLKPSNDDF KAFSAAFATSSSAPMFHMVNLTPEAPTLEAACANGMVPKAIDVDSKDLYAIWDEFNHG SEPREIDLVSFGNPHFSCREMKEVARLCQGRTKNDNVSVIVTCGRAQYSLASQAGYVG ELEKFGVQFLQDTCWCSIEEPIIPKNTRTIMTNSGKYIHYGPGLTGRQFAFGSLEMCV DAACTGKTTGDPPSWLQEVRSA AOR_1_2984174 MTNRYQPRRSTAEAHRVYLLIRGNIPALVLLHSQRQDTGFHDAQ FRKREPAEHWQNATVQKTSTRGLPIMPHELSCVPRESRRPKRGLPYHLVTVSSPSNND PAIQSTPLGDGGFPPGPERLVALKDLSVPSGRSPTTPAVGDTRNSNENSYRPFNEHFS HHNGGDTGASQLDGDATDECCSPLYGDPRGVGLVVDICEPEPREKSGHFLIPQIKPTH IDQDTIEYLRRKGVFDFPTPAACEMMIRTYFYYVHPFFPVVEVHSFLDTFENRRNEVS VHLLWSMFLAAANFADDSTLLAANFSSRKQMKRAMYIRAKALYDAEYERRKITLIQAV LLTGFWYSDTEDRTGPWHWNGIAISLCQTIGLHRHPDTGRKRSKAIPTSDSSIWRQLW WSCFYREAWFSAGMGRPMRINLADCSTRMPHANDSDNLLAGIPEHIRKKYLPDGTKDL SKLWTELLTLTVSLAKILSWQNRADRTRPSRTEIQHIDDTIRQHCFHKDHGIGCGHSR VVSLHMYHLELYQDSVLLTLYRPFLFDKPEMNPLGLPADEWTSTVLRRAKDAATNTNR ILGNMIGDDMISNSQAMVCIALVPALQIHLLDATSEKQMVQRMGRHNLEFCMMVIEEL KSVYFGAEILSRMFTKAKNWILYRTFAPATAPREHMPQSSRDSTIGSIPEPPNDARQD DVEIFDAFVTMLSPFAPLSAGGPFDNDELLDFESATTLEQLMFPEPESSADTH AOR_1_420174 MAEEDLVRLDINGIFAVITLNNPKKSNALTQSLYYRLASLLREA EDNSDVYVTVLIGEGAFFSAGADLKGKPPSMEDMLSRPHWLPKLVNNNIDVARAFYSH SKILVTALNGPVIGLSAALISHSDFIYAVFDAWLMTPFTSLGLVAEGGSSVAFVQRMG QGKANEALLLGRKIPVSELAQVGFVNKVFESKGNFREQVMGYLQQTFGEHLVKSSLLG TKALMRRRLVREQDEQAPLEMFGGLDRFFQGIPQAKMGEALSKSKTYRL AOR_1_422174 MGHLMWCLGLVLGLSLKNLPFMWHFRFFGALAMGYLTRRRSGYA LQQRHIFLLAVVRSRSSATEGDFNIHKSNSTYITDLDVSRAHLSGLLFAPILLQIKSS VHCNLIVSAISCTFHREIKPFQRYEIWTRVASWDDKWIYMVTHFVDTSKSCPSCYVMQ AHTSTNRKMRVPAPHKKEHHEVVFASAVTRMVFKSGRLTVPPKRALEICGLLSAPAAE SPMATSCPGPGAGVTESATGFNEWTVEEMAVYKETNLPIVRLERGWDAVRELFREDQS VLAEYRDFMW AOR_1_424174 MKSLFATLAAFAASTQALTGRTTNCCFHLTASGGASGTVGQLSD GQNRIGDHSLSTAQFCISPDRSITDGSGRGCILTPPTTQFQCDQGATPESGFSISPSG LLEFQGSSEFLACDTGQNGGRNIHVTPSKDLGQCVIVELKADSCAPSASTAPSASPSS SKSCPTTLSSGNFEFPHLIIPVDSKSPNTAFGTSFNGKVTSAISSIFNFDIPQADSGK MCNLVFLFPQKADLQTSSFSFSGDGKINFSKLSKAATTSTTFKNAPSVSQNLGDITIS PGHSFVVSTFSCPAGEAIAFEMKNAGTTDLELFEDFNPSPIGLFITVC AOR_1_426174 MQFTTIINVALLVAGSLGYANNCKGSSNSPSITDCQEAIRRIDE SSSYGDGAEFSVGNCYMVYATNGAGEHKVDGKTIRNTAQSILDSCGHHKGSYGTNNDC DSCHVTVNYRAPKKF AOR_1_2986174 MSQPTINPEDSHPPSLHIIEQWKEVKQSLPFDDQQDFDDSRQGW RGHLHPNQVTNDQGVVWDNDVYDFLKEDAPFSANPSLWRQSQLTRKNGLYHVAGPIYQ VRGLDLSNTTIIEGDEGIIVIDPLTSLETGAAALALYQKHRGSNRDVKAIIYTHSHVD HFGGVKGFVSEKDVEEKDVKIIAPEGFLEHAVSENVYTGTSMSRRAAYMRKVNHRWDP NGVPMAPDTEAPSEMLIWFPDLNALCAAEDATHTFHNILTLRGAVVRDPHSWAKYLTE TIDRYGNKVEVVFAQPHWPTWGNDNILKFLTYQRDLYAYVHDQTLCLLNKGYNGPEIA EMLTLPPALDQSWYCRGYYGSLSHNVKAIYQRYVGWFDGNPAHLWEHTPVAKAKRYVK LIGEDEIIEQGVKGYLKGDYRWAAELLSHAVFNNPDNKDACNKLADVYEQLGYGAENG TWRNFYISGATELRSGNFGTPTKTASADVAGQLSPDMVLDTLAIQIKGPEAWDKKLLM DLVVSDQDNKTYHSWLSNGALVYSTADQSDAAQVTLSGTAKQLTALAVYGPDPEALVN TGVVIDGDTTALDMLASLLDPGDPNFNIVTP AOR_1_428174 MDQLNSKQEINDAIAKHGSFVLMFTAVWSDVGNITKRNYERIGA KHPTVYMAWVSTDDHPELAEEWGFTAIPSTVAYKNGTKVDYFVGPQYLDEQVEEFIKK TL AOR_1_430174 MPGGIRPPPEVIASWPKPNMTDPESHGPSGIVLASVFGGIALTT VGVRLWARCVIQRRGGWDDLCAGLAVVPVLGLAIAFVLSSEVYGANLHTWDNTLPKLI AQRQVALAMEMLYVLGSGLVRISVLLFYRRMGFRSISRGFMLTTWISIFSVVGYSVAF LAVIFGSCRPLHAYWDQINPVWAASHTWKCYNEAVHIIVATGVALVQDAVVTTLPAIL CWNLRISFREKIALGSIFVAGYLTPVIAGIRLYFIVRLYYVSYDASWTSWYCWMLAMM ELLIAITCSSLPAARVFFNQYKPHVDFVGTARGIKSVFSRSTSRKSISAERSRRVSSS TVKLTEQTYSKNSNTFTDDGPEIELDRMQKMPV AOR_1_2988174 MPDHGIAYPRLPSEFNEVTNPIPNLRPHQARKIVIYYQTMTVSL KDLAVAYLIESWLQMETLTHLIRGLKVLAMLGGACPGSFIVLDEDESFQECYKTLYDM LVKYKFDGIDLDIEEPISQNGINRLVDRLRADFGPNFIITLAPVATALQGKAHLSGFD YLRFEGERGDKINFYNTQFYNGWGSLETTKDYDAIMAMGFPPSRVLPTLLTHRNNGTA GFIEFDKLRPVLIELRLRYPYLGGVAGWEYFNSDPGGQEMPYQWCVLVARALGMIPEI TS AOR_1_434174 MALGVSLVALGLVALVGQSFAREHCKCVPNEGCWPTLTDWAELN DAVSGKLIRNTPVAEPCYSYGDEDDQKLCDTIVDQWSNSTFQSLQPTGKPQGECDLGP APVYTINATEPEDVVEGIQFAKSHNLRLVIKNTGHDLLARSQGYGSLQIWLKYIQEGI EFHEEYQSPSQCKHTNWTGNAFTVRGGYLWGDLYSAAFERNLVVVGGQDPTVGVLGGY LQGGGHSPASRDFGLAVDQVLELKVILASGELVTANACENRDLFFALRGGGGGTYGVV VSATLKAHPSRPAITHTLVVYPLPNRKDPLAATMALIDAVADILSAYPALSDGGFSGY AGWGVDKVDSPIAGAPASVMENGGYSHAFAKLDDSDDALEEAQRFVSATLMQRLRKYN GSGLMISESWERYPSFEDYYMAATRAQQQVGFSKMTLTSRLLDKASLTGDPAQLKEML RITSTNSPDVPEKATIWTMLFLVGGGKVLDNPADASADRYVGVHPAWRKAYLLAVPTS ILPENADDRSFKKMQQDTTYRKADAMRALAPNMGSYLNDGDRHNPWWQTDFFGDNYPR LRAIKDEYDPDHVFYCPTCVGSEEWREIPVEGKVYGTLCWA AOR_1_436174 MESLDQAVSEGDLILLYVLGQRAFMFLVESCPDSQISNPKISSI TVREVKVGYLDEPGRCVVSGPDVYYNEEEDDDTSEDDDSSSEVSYGLTWGRLSMYVAR FPADSKIEYRVNPHGRDVLKDYWRGKCPFCQTLGWYCPGCSWDFPDLRGGCSVDQSCP VCLGYDFAMDDKIRSREVGEYETRLSRGECSFGCYGEPEELKQEILKMVRERYEFIDA RRQEMGLSGYDVDDIVESWFADGDDE AOR_1_438174 MATHGSADHDGLDMSQIDWLPRMLTVPSLGTSPGTSEPRRSDTH LQPSLSSISFRQPESVTVVVDPRDGAGSDQVILDEQDRTVNRRLRGIHVFMITISGVL GVGLYLRSGSILRIGGPAAVLISFSAMGLLAWLVMQCIGEFLAIWPVAGALVEFVGTF VDEDLGTTVGIAYWISYCLNFAAMIVAAAGEVEFWNTSKAIQGTVILFIVPLFLVLLN SFGVQVYGFTEVIGGSVKILGAIVVTVSMIVINVGGGREGHIGTRYYRDSSIFQYDDR AAGNWVTALFISFSIAAFSFVGVDIAAATALEARPDRKRISAEDPLNEELKQPWPFIS IRFSATWTSFIAWIAYFVAGFVMTLNLPWDSDQLPEAGWLGHPGPKSDRPTDSGFVIS AKQSGIKGLADLFNVILLFTALTCANTNLYVGSRTLFGLTRKIYGRKWRWLAFFGKTN SYQVPVRAMFLSCCFLWVPFLYLSPNNSKGTTIASLLEVLSQLGSVSCLIVWACECWA FIRFYNCMKVHQVELHNSPDFAHVCRFRRPGAPDYYPWRSHGQPVTMYLAVGGCLFTL IVADGAALWHGFQASLFLSAYLAPLCFLSLWFVIKTYRSGGWQNIRWELEDLSNIIEV KEKIRKLDELKDRATARDGAQQKPGWGNLWGVI AOR_1_440174 MLKRLSWTPTFPQTSTSNSSPPPRTRRQDAAGNYLRREKLQALL ERLFPDHPGLNFHIRLDDEIWSFDAPREVTRQELREASD AOR_1_442174 MSKLLYPAQSTLSDDESKVQVDWTDSDSEHRVTRRTFSSLAELQ AHQKVASTESNGLRFISINQGNSWRPLNVTQEMFEEIGKLTSSSHMLHNLSLSFHDRF MATETAFSSAPTFVWNEQSIEVAYIFKYASQKTNNDKPDSWTIRQTGVYQRFDTATKH STWIFLNPTRDCLFQQRLMDMLMSPSQCSQLTSHPLLIHNLLFATFFPKWREYLGSHE ATVLTVSNTTVTERIQDPLRVNHQMLTSIRSVESRCLPLQALFRSFDKTLQTLRKANN ALKDCGGVQHLPWHKMDQLLDNYESYADAYLQAASFLQSRAATTAQLIADTFSFKNSH TAQEQSDYMLDLTSSTVDDSSTVRVITVVTLIYLPSTFMATLLGMNSFFEMDPQTHHL VVSPQFWIFVVCAVPLTAVTVLYWWVRSKRHSKHAKGKVELLA AOR_1_2990174 MARESVFISVSMTDAAIGSLCERFTTRLKENSSTNYNRHRFFRN GTVKKVFESNQQDLRELLRCLCQANDTPLTRVPDILRRTLTDLSLTFAILLFARGRQE GDVLRQFVGLALETGADHVAPHQFTDIDLPISLELSTTRFPLQGVEFYHKQFRFCAVT LMKREEVVYEEHRFQCPLPYLKETKIGEGAFGQVWKVRIEQHHFQSRSEHTANTEALE LARKDFQLDREGRGEQRILNEILDQPLRHKNIMVALASLQYGPTYSLFFPLASCNLWE YLNGVHSEDRFPPSTWEEKKDIYLRGVKLAGALAFLHHEFRDQKLEVLSCYHLDLKPH NILVFDAYSPSETWKITDFGLSRVKGRGLDGEADVELVMPIIGRGHGRQRRPQEPSTL NRRGEGTYLAPECSLPSGRVSSASDVWSFGCILSLVMSYIDSGYSGVVAFARQRRRQD HGDRFYTIRHGKPKLSPVVIAWYEKLKHRARASSFAMEHELCVFYETTASSESQGNSI LQGSRVYYPHMGPIKKVAISADGLLTAFVIARNPGGSDSDALLYLSTTQNLLNNASEG NGSTPSSRSNSAASYQDSDSINNGGNIISQDSIVGPATQVRSLSFSKDNHYLIMVSQV DPVHLLVRAWDTYSGTSCANQKIGFQGPASVDEPLYTACSVFNREPRLFILCQRKYLL HLSSWRWDRKVHTLPNQAVNIFVRDDDEALIILGDNGSDRRLRTYVLPIPISGSPEPA KVALSNLNQYRAALDSAVLTRTTRGQIVLTVATSRGEFLAVKLPDDT AOR_1_446174 MSKTILDVVKECDNFASNDEPTSQSEVLTKCYTFRVNGCSAILG YILHEIVEKIEWSDSWSIDHHQRTVTLAVPATATADMRSRVLEDTLQATRKLGLISML QSWRDETFPVYGPEGQLLLEIERCATALFGIVTYGVQLLCYVRDEQGLRLWIGRRSER KQTYPGMLDTTAAGGLVTGKLPIEALICEAHEEASLPEEMVRDKVKPISHLTYFHVRG SKAGGEIGLLQPEVEYTYELELDPGMTPKPRDTEVESFSLYTIDEVLCALKEGQFKPN SAIVIVEFLILHGIIRAENESGYAEILSHLHRELQFPVRILPST AOR_1_448174 MTHISTSTSPWDPEFIGDVIGPGAKELLEIYSGIPSADLNVHVA RIAKQGWDIVQYPCFRLASFLKLELSQLPVYPRIVDRVKQGALFIDLGCGLGQDIRRL AYDGAPSENMVGLDLREGVIELGYELFKDKNTLKATFLAQDFFEDTPVLREMIGKVEI VNSGLFMHLWDWAGQVRIGQRMIELLTPQGGLITGLHSGGREAGNHRAEGWIERCIHN EMSFRQMWIEIERLTGAHCKLEISAQEGKQYRLDDQPTLRLQWVVEVRK AOR_1_452174 MILSQAMGEEKIENYHGVPMLTHGRNVSYDIPDPGLPEVSQWLT ANPNRINLGRIGLQYKGSLVSASQITEPRQELDLWKGVITSTFKIDGQLVNVVTQGDL HSDSVVFEIESSLIASGDLGVELDFPYPPIHSTKYKYEVFVGVYDFPENHTTSIEGYR DSMAHIRHELQETNYYLNLRWGPGQPLRLSRQHNATHRYTLKSRSSSSMAFTANFSPE MQTADLPAVVQQRSSSAWNQYWTQGGFIDLTSSSNPKADELQRRIILSQYHVRVNSAA KGQSPQESGLMNNGWYG AOR_1_450174 MTDVETGVSSPGGINGLLMWQQASPTHETLERWDRILTATADYM ASYAWKNTSSGYYDLGPPAYGVTENTPPSESLNLAYEIAYWRYGLDVAREWKRKLNQP VPEKWTVVAEGLARPPQIDGLYTVYEGLNSSWWNDSSLSGDPRSLIMLQGILPNTPAV DPDIASKTAKKVWEIWTDDKIRGWGRPVLAINAARVGDPDRAIYHLTAYGYWNFDDAG FAERGGDGGTPPPFMPGNAGLLYAVAYMAAGWEGSQGDAPGFPQDGSWVVRHEGLIRA P AOR_1_2994174 MFAKLAFVLLAIEASAQSITSTATSTATSTLPTLQSDWYFIRAV ETPYYHSYLQTIPSATPGPAHLASNTNAGQFNIVSGQLVYNTGTEQLYMNVEDPTNKT QRTLQTWFNETENAYGTFAFQGDAVTWTVEDIDRQNTAAWLVCGEDKLYINTGAYGYQ TPDGCYDQTIHSYGGSTPTV AOR_1_454174 MKFHLWAVALASALCVQANEWHAYYRLDSKAYQTKFDEMVSQGF RLNSVSGYEYNKEPNFAVIFEKRPSPAWKSHHGMTSDQYHQKFEDYLKQGYRVVQVNG YTVGGKDRYTAIWEKDSSLPWISRHGMNKEWMQKYFDKYLEEGYRMTHVSGYEVDNES RYAAVWEKRNDSTAWVSVGELNSTEYQATFDKHVKDGYRLVDVDGYQVGDEVLYAAIW DKSASGAWVARHGVESSKFQAEFNKYRDEGYVLRTFSAYNDGTADRYAAIWVK AOR_1_456174 MTANRENKTLTAACHCRSVNFTVTVPGDALPLNIHLCHCSVCRY THGALCSFHAPLPKDIHPEFISPSSLDNLIPYTYSGSKAIRFFCRKCGCHVGDRGHSD GRWVVSSAIFDHSGDENVWQISSHIYANDDDKGGLFDLVRRIKGREIAISYPVRQEDE TAIQDNLKTRQSEDQSLRAQCHCGGVSFNVSRPSPDFVHDPSNRKWVLEGKEDKWLGS LDVCDDCRLVSGANIAAWMFVPVSHISPSPSEDLLIGSSKRYSSSDGVVRTFCGTCGA VVFYSCSDRPEIVDVAVGILRDPRSLLAESWVVWRTGCIAYLEDGLRYDKGFTKSLDE GLQRWGKEKYGELEYFRIG AOR_1_458174 MAIKTRHIPVLPRPRWLDAAGMGKGIDHDRQHLSIVLAAGQTIK ARQTNTAITGELTLRLLNDDNQTEASKKVGSDWAELSASVVSVPFIDTLYTDTSNAAV EPVVEYEYPDGSKQLPVYRKGQSQSEFFNHWDNQGSEFALLDSSYTQVLVPVIDKEAL RHPQEVDNIDGLIGYYESVFSFYNALAGLSFEPERPSDLNSTNRYFMKADKHGAGGAY YGQNWTAASTNAIKEWWLLPSASNWGNLHEIGHGYQMSFRNDRYFWNGEVSNNVYAAL YQSAYLGDRKYQEGWLYNYGKQAQVEQGIISDITSHKSLNDWDLRAKLYFLVLMVEKA GVDSFADFNQQYRLVSNQPNFDSTNHLLLDMLSDSFSRIGHIDVTPFVELCSGYISPG QREINQFGQGRAVYPLNQLVDGDTLTQLQEQLKLQSPLTLVTVSQLLASGIKGDVSLE LKIDDFSQIYGKTITVLDGSRYVRQLTVETENISLGALPIGVYTFRLPLGRNSKYQVD QRYLVVKPGSAQVHVNFVPQTGSQVTNQEIKLLGLGDNLFSTILVDQANHVVQVAVTN KDPHSYFGNETYAEVVIKDNTGKEQFRATMPGVGATLRDDKIAFKPGYTLEVYHAEPS HRIQLRPDFDGVIDHNQKRNIFEITSSGLKNQSLNNDPLAALLARIAAAATALRSHPT RLHAECPSKVDIWLAIDQFTGSQREALLKEYSDCIPSDNNAPSEGLGNSFTAAFKGIG DWQFLTTELDLVGRKLTVALRDGIAHHYFNDTYAALQVLDADGNELLNLDVKGSTSQT ARNWTLPLSGYGGEVLNIRHEEAPTRLFINNNMRDLRLSGRETRQNYRVTSTGLELLT RT AOR_1_460174 MQFTYTAIALALATTISAQSSYLIMSCNTSPIPCQNVGIPCNNE NTPWACPVSGDKVELFSNSCRNINGYPEVRGSGFTLSDAQQTAGCKA AOR_1_462174 MSLRRLLNPTDDDAGDEWPDDMRRFIVDGTRALNPTEDHPDQMV YPTDMSFNHSFADQDMMFVNQLVPIPGLDAISFDQTSFLQPVPDDVGLSGTSMTSTPG LEFIDGPVGNVDVSMVDVASSVQEEQVCYGMLVHEKVKLVGISQDLETKILALKETNQ HFQTLTIQPSSDGPLFLRFPDGTDLGYLSKKMEQALQGLIGRPLFEIDALTNLNSLID SLRRAGKPSDAAARVSINVYGRESDRDKVGRELSNKDLFLQHPDGCRVGVKYDNPHIL HLDGMDETDTDEEDEEDVIEVDVAETTPEQEEGLRETLDEVFNSLTRGDHLRQLGGSE TLNRTLYQHQAEALDFMIQRETGDIPDEYRLWQPKPMARGQLYFHVITGNEQHEQPDE SGGGILADEMGMGKSLTTLVLMAKTLQEARQWVEHAKALPGASLAETPTRATLVIVPS RVLINTWEREIDDHLNAGIKMMRYHGRSRKDLISNIDRYDIVITTYNTLAKEHDAKIL GKGQSPLHDFAWYRVVLDEGAFGLNLQSVNRVFIVEPQWNPSVESQAIARAIRLGQEQ QVLVTRYRVENSIEEAMCSQQTHKLKISQMDFKKDLEASPTGDEGASDQPSDIQ AOR_1_464174 MNGDTYTSRDSGRSRDYYRDERRERGDRGDRGDRRHTYSSSRDY RVREREDRYSSRRDDREWDRDRGGDRGDRRRRDYDDRSSRRDRERGDLFEERPRRDGR DRDRERDRGDRRERRRSASPPPRKREATPDLTEVESVLHRKRRLTQWDIKPPGYENVT AEQAKLSGMFPLPGAPRQQPMDPSRLQAFMSQPGAGTAESASLKPSNSRQAKRLFVSN LPASATGENLLSFFNLQLNGLNVIHSVDPCISAQVSDDRSFALLEFKTPNDATVALAF DGITMDESEAAGNGAANGAPQGLEVRRPKDYIVPSGNEQEYQEGVLLNEVPDSPNKIC VSNIPHYIPEEPVTMLLKSFGELKSFVLVKDGSTEESRGIAFCEYADPNATSIAVEGL NGMELGDRHLKVVRASIGITQAAGLDMGVNAMSMFAKTTSQDLETSRVLQLLNMVTPE ELMDNDDYDEICDDVREECAKYGQVVELKIPRPSGGSRQSPGVGKIFVKFDSVESTTN ALKALAGRKFSDRTVVTTYFSEENFDVNAW AOR_1_466174 MTVGAFSEWRRLPVSLSELCINTTLRWHNVPDSDEWRCVLYGRL LSLKQDPTDLYYRTYLPAKLSNPIPLPTPPSSHPPSRADSNKPLDQPQSDKDDILPIL THYFNLDSNLTSLYSYWSSNDPNFKKKAPQFTGIRILRQDAWEALVSFICSSNNNIAR ISQMVEKLCTNYGPFIASVDGRAYHDFPPPEALTGDDVESRLRSLGFGYRAKYIYQTA VIVSTQREKGWLDSLRNPESPALGVEPAPGGEMRPEGREGYREAHEKLLELQGVGPKV SDCVCLMGLGWGESVPVDTHVWQIAQRDYKFGKGAHKSLTKATYDAVGNHFRKLWGKE AGWAQSVLFTANLKTFSDRLIASKKEEVEVKVKDEADEDCKVEVTTKVTTSTAVGVKR SASVHKVKTELSDEKDVKAIVETQTTRRMSKRLRNR AOR_1_468174 MTNQTLHGLCPEPFYQESFFPSTGGFTRGRYCAQLPLADGNVSC CIPCPLSDWMYGEDITTKAQAASWLSVGVLPLCIFLLVSYAVLPAKWTHRHYLSICFT MGICFMELAFIIPLGAKPDQCYNQITPNDMHSNLSCAWSGTLLLFGGWAVVTWSFIRT LAFHLQVCWEVILGNKFMWGAFICGWGIPGIGITVMLILTGVSFRFGDMCHINIQYGT KDYWAPVTAFAGASLVLQLATMAYCIHVYVKSIFDTADSSTNSSHLPSYTSSVRTVTA RQAYRRIRRVLKLQWRGVALVLIIIGNVIFFAVVFIKVNNKTNLTPENLQRAQPWLLC LVSTKGDVKKCQNLAHAIGPNEDTILALLILLSLVGFWNFILFARPGMFLGWAEFFKI KFGQNHEFVSADARAKTPDTRTYEMLESSGLKSPEPIVRAPSAARTMSPEGEHYGREA RYVRPSMSFSSPRPPSASQGRDWDPQSTFAQSSHQHTLSK AOR_1_470174 MGRLHSKGKGIASSALPYSRSAPSWLKTTPEQVVDQICKLAKKG ATPSQIGVVLRDSHGIAQVKHVTGNKILRILKSSGLAPELPEDLYHLIKKAVAVRKHL ERNRKDKDSKFRLILIESRIHRLSRYYKSVGVLPPTWRYESATASTLVA AOR_1_472174 MSLLDYWDTLRPSVKRRKTTADDTDDATGLSDAVTGRKDGLEIP ETPLVNPDVEDTASTSYLGQEPEGGELPFPGSQTELESSLPAIDTDSHAIEEYEASQS IPDHEEEPGLRERLQDGKWRKGKSSIYVDAFNLALETVLDEEAHLFDDAEMEVFKQWK ELSYESQYLYVRLFLRKTSAWHRINRLGYYSDISDLTSAVNDLQRTRTLPINSPPATS PHPNTPKLGTKFHFADKTDQITTLEEASSLLLLDELKTLAKDAKVQGKSKKDLLTALH RASQQQTGLDWTTTSTNKASANRDTHFTQKILDYTGDCIRLATAPRELFERVHLVFYR STEWTEKSLTTIILAKISRRNFPEYVVCRSSSISPSRAFLLEFESALRTQFDIDHILE FSGTPTVELLTQVRDHAYKVYPRWKKLCQQEQQKEDSVYECGEGAYLRRFSPAWVYTR IIHKGLYPLGRFKEYKEEHRLLCELLGQRLFHAARRGSWYQRKALLEEHYMWNLMSWE GRSEDAQRKHWRRIALQTCEEGLQDPDCHLIYHYDLQKRIMKLERALKVVKREQHDFS HVMLARPEERTVEGIRIEREDTLAQEDMSRRGRATVWVDERDGGECRVESMCLSWYRD QGWKGYHSEGGIVRTLFGYLFYDILFTYVPNVFQTPFQTCPLDLHTDSFYATRASEIN HRLVEITNGESERILRAVHAREAPRQPCAIGIDWTFELDDLVDIVRCFRGEALAAICK VLAQEYQQRGGGIPDLFLWRVESREVMFVEVKSENDRLSDTQRLWIHVLGGAGVRVEL CNAVAREVRYV AOR_1_474174 MDQTMADAPSPIPPPNTKDTPSTTEKQSIMANDSTPNTAAANTP TGEPTPTTQLRPGGAPARVYMNEKIVPYLLEGMKHVTKEQPQNPLRVLGEFLIQKSNE VEGAKSPE AOR_1_474174 MANDSTPNTAAANTPTGEPTPTTQLRPGGAPARVYMNEKIVPYL LEGMKHVTKEQPQNPLRVLGEFLIQKSNEVEGAKSPE AOR_1_476174 MSVTLSVNNLAVSTDDRTLKVFFENYGNVEDAVVMKDPKTRLSR GFGFVTFSSDEEAKVAINCLNNTE AOR_1_478174 MSTEYDHENGRYDDEPRFSRDERSASPRDEPRTDRARSRSPNGR ADDRAPIEPRKPLEDEEGAVNTGSNLFVTGIHPRLTESDISRLFEKYGDVESCSIMVD PHTKESRGFGFVKMVTAEQADAAKEGLQGEVIEGRTLSIEKARRSRPRTPTPGKYFGP PKRDFRGGGRGGRSDRYDDRRAPYGGSWRRNDDYRYGRYDSYSDRRDYGGRGEGRGDY RDYRRDYRDDYGSYRGIDRYASGGREDRYSRDERRDDRREERRGYYDRDANPPSYSHG GAPPPPREAYSGGGGGGGGRSYEPREDRYGSR AOR_1_478174 MSTEYDHENGRYDDEPRFSRDERSASPRDEPRTDRARSRSPNGR ADDRAPIEPRKPLEDEEGAVNTGSNLFVTGIHPRLTESDISRLFEKYGDVESCSIMVD PHTKESRGFGFVKMVTAEQADAAKEGLQGEVIEGRTLSIEKARRSRPRTPTPGKYFGP PKRDFRGGGRGGRSDRYDDRRAPYGGSWRRNDDYRYGRYDSYSDRRDYGGRGEGRGDY RDYRRDYRDDYGSYRGIDRYASGGREDRYSRDERRDDRREERRGYYDRDANPPSYSHG GAPPPPREAYSGGGGGGGGRSYEPREDRYGSR AOR_1_480174 MAPLTSNKYRSSPGRPPKTQSKAVLKGTQNSNATPGDGPPLKKR KYVPGGPGGGGRYIELDVRETKPPKPAKPARPPKPAKPSPVARNSTPRTRHAREAEAQ PMQLPPPPPPVPTTPPSARLTRDKSQNRGGRFGSSTAAALALQQGDGYKPREERGWEE FHPDLDIETKFVVFPAEEVDKPAPSAHVAHILSPNGLNLSNDKDPLAELIRAHANGTS PTPIKRRPGRPPRRPEAILNALGITPQPKVVPPPGPNPRERLTLPKPSFRLRDPFVFY DHPGVGQQNYVDRTMASVGYQESDLFLRHDRRLIRVTEAPQEDDLDAVNPVGTEEEPN TSVGRVEYDMDEQDEKWLEDYNAKRREDQLEPIKPAVFEITMTKIEKEWHALEKRIPK PNPKPPQTQRPRSSSAAAVNGETTGPGEDQDSKCAICDDGDCENSNAIVFCDGCDLAV HQECYGVPFIPEGQWLCRKCQLVGRGAVNCIFCPNTEGAFKQTTTSKWSHLLCAIWIP EVSIGNPSLMEPITDIEKVPRSRWKLHCYICRQRMGASIQCSNKNCFVAFHVTCARRA QLYLKMKSGHGSPAVMDTHLLKAFCDKHVPPEWRREHGTDAATAEAIEFYRNTMQGRR WGDSQAAALALEPSQPLGYEHGDDEALRTHTPRITLTVGGNKRKRPTVPKTIWKLPSG APVIPHVVLNAVAASLQRFGVRQRKQYAEDACKYWTLKREARRGAALLKRLQLQLETF SSMEMTRRDYVAMGAAGGKRLQRRIEFGERLYHDLDRLRTMCDEVKKREREKLKDAET LRSIVDTVYFPIFPLLWPIFEKAQGLDGKGIFRQGLVSIRTKLEERRYTSVSAFSADL ARVFTSEIGVQPAGDTAELQMQISGRAPELSLEQREKRKLAKRIIKFIQPALEEAIKK ESELNRKPFEQELKELDLMLENSVMSRRGSQAESLAAGDEGQGKREVPLENAEKVNGD VEVTAKSEPSDGAEVTAIPQQSIDSAMPDADQAQAPDGASVSQSQEAPGAMVATPAVE HESDKQENPSANATSTTLDAPPVPNGVSDKSEENDLGPADQPTEPQKEPLTPPPSFKG DQQLPLAQGGIQWYMQPFDPVGTTIHEERWTGRDVMRGMSEELSELDEDELKDLVDDE LEGEMGTAIEGSTDTRPDAAPEQAVKVYRTRRRWRGFK AOR_1_482174 MSGPGDDRWRGGRTYDQNRQSGQRHSLHRTMSAHSGSRSGQNQN NWGESRDQLSTGPAQEQHVPVRGFNAAEAKAALKRNSREPKPFFYKPGGKDTNRSGPW GSKPNTMANGKDFFLELRKQITNLRQGDNVAGG AOR_1_484174 MLNLRTPVASFRKAICSTARRSLLPQNRGLLTFAIETSCDDTSV AIVEKNEATNAAKIHFLENVTADSNEYRGVHPIASLESHQENLAKLVDKALRHLPVAL GNAEGHRSITLADGSQPRYKPDFVSATRGPGMRANLFVGLDTGKALSVAWQVPFMGVH HMQAHLLTPRLVSSLRQDQETSNHTSPSPPVTPEFPFLSILVSGGHSNLVKSSTLTDH KIMASTADIAIGESLDKSAREILPSSLLQSAKNTMYGKMLEEFAFPNGSADYADYRPP MNRGEELIKRETPWGWSLTTPFANTRNLQFSFSSIASAVKRIITQKENSGQKFSHEER VDMARESMRVCFEHLASRTIIALETLRQQKPEDEVKTVVVSGGVAANRFLMTVLRSFL DVRGFGHVDIVAPPPYLCTDNAAMIGWAGLEMFEAGWRTDLSARALRKWSLDPQADDG GVLGPSGWQKA AOR_1_486174 MIPTARAAARPKLSLSISAAQNTSRPTLSLKSPGPLPRTPISPS AASPSSARFSSLQVPSYGYVNSCSSKSILKKQSTAVAAGTVNKRIQFKCTPTVHCVTP IENPEEYYGTHTKMSREERRWMVRE AOR_1_488174 MPRTETKHTTTFTRPGEVTYILPEPSSSTVTIILPPKSAWTSGS HWHESHTEYLRVIQGVAKVTIQNRTCTYRPSDGIITIPKFAVHEWSRVLDPEDSDVNN EELIVQEWTDPADGLKEVFFRNLNSVILERSGKGWFGSVVFMLQLWTIFHKLDNWPVI LDGPFYVRWLLTHVVVGVGARLGILCGLRAVYDEYTPRELIDRRSR AOR_1_490174 MTVKDAVKESLVGSSTDQSQPSSQAKTNFLRHARKDEGTGDLYM TEDDFINAIAPKHEDYHKIKREHYGILFKVADRKQTGKLSLSDWATFENLLSKPDAEY EIAFRLFDTDGTGAVKWETFKNLYNVNKDKDSIPFDWNSDWASLYTGRTKSRHDMTYP QFAQMLRGLQGERIRQAFHIFDKDGDGYIEPEDFQRIILETSKHKLSDYVLEHLPSLC NISTGTKISYATVRAFQNIMREMDMIDVIVREATQKSDDGKITRADFLNEAARITRFS LFTPMEADILFHFAGLDAPSGRLAQKDFAKVIDASWRMPLAIAGQAAAATAHKAADKT KSVLYNVLESVHHFALGSLAGAFGAFMVYPIDLVKTRMQNQRSTRVGERLYNNSLDCA RKVIRNEGFTGLYSGVVPQLIGVAPEKAIKLTVNDLVRGHFTNKENGKIWYPYEILAG GTAGGCQVIFTNPLEIVKIRLQVQGEIAKNVEGAPRRSALWIVKNLGLVGLYKGASAC LLRDVPFSAIYFPTYAHLKSDFFGESPTHKLGVVQLLTAGAIAGMPAAYLTTPCDVIK TRLQVEARKGEVGYTGLRHCARTILKEEGFKAFFKGGPARIIRSSPQFGFTLASYELL QKWLPMPGHEEVTPSGQIEPGVGLQGAKAPLPYLRSRNALKLILDLDENIGRIKIPQA DKWPKFMQTPSTN AOR_1_492174 MSFTRLSTRLLSNTRAAPRLIGNIPIRTPQQIRLESTRVPRLAQ ASVWNSMIPKFIRNRGSKETTAESAKSKEWNPASFYIIIFILIGSQAIRMIALKNDYR AYTRTTDAKITLLREVIERVKNGEKVDVERLLGTGDHGKEREWEEILREIEEEDSLWH QKSKDQEPDQQAQSDKRQVENSQKKDPAMPAEGAGNEEPPANSPSRRKINFF AOR_1_494174 MAVTTLLSDSSVESVKQAVRSTSTCSNATVISLQTLFRGLSKTA PESDKTTVKRGTRTTKTTATSTRPKSSRGTKISAQEAAINTVMEMDAARLSFQEKLVL ATDVFNTTLKTLSDASKQCAKRDDARVRTACASPSTSHGVKSPRKLKTSQEDELDAGL VAVAECASTALSSLRSLKGDQVDQQDALPNMQLEQGACVLAGRYLSLGLNDMASKELR GLKRRLQQHLDNQATVRPKTTSRRKNEAQEEENTKERMSDFLTFANFDHAGPVLSVLV PFQSNALRLIASEKKLATVQKVASSLQLAELSSPANVIVAATESGALTKDKAALQLQL LSNTVLSLAGVHQPSTSSTTRDRLKPFTSLSLQLLSLEIRCMSWKLSGHTCDEGKEMW DPLTRYLANYSHHSKSIEKAEFASIYKTIVRLQTAVANTQKRTTASLRDNVSVARIAT ILGQLAQEAGCFDEALKLFTEAVNPLSSGQCLSLATVRCKIASLHLQASKSSKPSLDK VTNVVSDATSSLSMPLKGSLSDLDELLVEAAKLKKLTMSAYGDLATKAGEKNGIDISQ IYEYLPAFLRFLRRYVGRPSSSDNESKEDDLFLTRVRACRNIVLSAVDSALAVGKFSV MSQRPPWEDVLSALTDCQRLLTAIDIADKEADPSVLEQIGAAFVRLSNLFWSRYLKEK ERGKGYRELVPLVKHSANLLSSCSAEQRNTGFAALKYERLAYLYMEGNRGAEAEQAFS QAIQEHIDTGVLDGLISSPNFPYRTSQDPKSSGFMLGRVLTAYLKLQLKLRGKSKSNG FFDDESQSLLVRGHIMEWQMGILTELHSHASSDEAFRSHFTCLVSKLLGLYDPEVYPI RRLRVVLGGLRSSLERPNCLESTVLQDLLEEALEAIELGDYAEDGALATLATHLHNSV RLSVGLLQGDLQPESLNLMISSWTSLMRNCNDGVTLIQYVGDDEHYLLQLKAVVDYTE IHGLWKLQLSTLELVLRVTELQEHGDFSEAIIVIARLVQQNCRLGYCKKAGDLLTRAE QYLGPNVSCLATLSHKLAGVAYLLETGETDKAAANLSTARILYEKNQKKQDLSSCSVL AKIAWERLVADAAFMSSQLSFAQGVIKDALFFAKLSVRLNCRIWAKVEKISQRKQEKS LPVPVISVGDSSELDNIVETMAKLDVSQANHTTTSVYTQGAPFWPHIGSHHTSLLNLA SLSAHHGLFQDAIYYGEQALKINKTLNAPVRLINSQAQLGSHWILGGHISEGQELLTA AEKLSKQLESSVELASLQMGLASLYRAQGHYRDELQALLEADRIMADFGSLDVSDVAS VSSGVLELEDKMESLRIRPSSRRTKQPAATTRRTRATTSARKPTKVDATPSKAADTVP ESKSLLQIRSDILRQQAACCRSLRDFERASCLLNNARQYAVSRDSQISVHLGESEHFL AEAIRHFASHAVYCVLPESTISLPSLQSPSKTTSTSKAATKSTARKPRAPARGTRSRA QTASEDFAVMLSKAGDCLNNVFATATTVGSTLDSHSASRLMSRISMLSHVTAAESISA WSQPPANVNELGRIGAFAREHTAIDLDKQLAEYNDPLLWPTPSPMMAESEDLCSSRFV EEYIDILPDNWNVLSLSLSADRSEFIVSRLHQGRSPFLLRLPLKRGNSEEEDEQFTFD DGREEMQELIKLANESSHAAKAQTDRQMKKDWWKNREALDRRMETLLQNIENVWFGGF RGIFSPVPHDTTSLTRFASSFQSILDKHLPSRQKGGRAEGPRLTLHPNVLELFIGVAD LDDQEDPEETLMDLLYFVVDILQFQGERNAYDEIDFDMMVVETLDAVRAYHDATSNRR GKPTPNHTVLVLDKSLHLFPWESLPCLQGFPVCRVPSLECLRDRVLQFQNKRNSDLAR GVGIDRNSGTYILNPTGDLRTTQSTFEKDLSELQGWTGIMQREPSEEEFKRGLETTNL FLYFGHGSGAQYIRGRTVKRLEQCAVAFLMGCSSGTLTEAGEYEPYGTPMNYLHAGSP ALVATLWDVTDKDIDRFAQSTFEKWGLFDAGSDGATLDEAVSQSRPACVLKYLNGAAP VIYGVPSVFLE AOR_1_496174 MSVTTQATIASFGGKLLKLSHAATSTRCEMSFNLYLPPQAIQNP SQKVPVLIYLSGLTCTANNCSEKGFFQHGASKKGIAVLYPDTSPRGLNIQGEDDSWDF GTGAGFYVDATKDPYKGGYNMYTYVTEELPKTVFAAFPQLDESRVSITGHSMGGHGAL TLFLRNPGKYKSVSAFAPISNPINCPWGQKAFGGYFGEDQQEKWKEHDATELVKKWKG PLDVLIDVGTGDNFYKQGQLLPENLEKAAKEAGVEGLKVRYQPDYDHSYYTMATFADD HVEHAAKYLFA AOR_1_498174 MVLITRGISLTNFLVASSALAFQVFVLYPWHKQLDESFEDLKKE HLKVLQVLDRVEHGKTGGSGGSIREQIELKRQGL AOR_1_500174 MVYTSTLLSLLALSTGTWASYNANLNYRSPSTRHTGMGINIDSV HRRSLAKRDEAPFHPSQLNFTHGVASGDPYADSVILWTRVAPSLEADRSNVTVSGTVG LYNHDTESYIKASAHPICVDYRVYEDKEGRRVVDKGRAYTTSDIDYTLKVEASGLKPF TTYWYQFQICNSNVTSPLGRTKTAPGADDATEEINLAVYSCSNYPNGYFNAYGNAVRK DSVDYVLHLGDYIYESKKGVVGQDERAVRPEREIFSLYDYRTRLGHYRTDLDLAASHQ NFAWIPVWDDHEIADNGYRDGFSRLNNTEESFRNDSPQISVDQRKMNAVRAYFEWMPL RQVDMDDGLRIWRSFKMGNLFDLIMLDTRNYDRSITDLYWNTDYIEEIHNDAGRTLMG GRQESWFEKQLTASNQRGAKWRIIGSQLRFARLGRETNGEVTYNMDSWEGYRANQNRT LKHLYDNNIGNNIMIAGDTHVNWVSDIAWIGEKPYDGNTGVGAIGVEFAGTAVSSSGF GGTINSAEQTAASYARNEDLQWNEGYYRGYFELRMRQDEVEAKYFGCPTVATRNSWEI PLANFTVKHDDNHLSRPIAGGQVEAGFVHQGEVKHSNLTLNTDTGEWQVIGFDQMFVK YQ AOR_1_502174 MGFLEPEKPSDGELSPEAPRSPINERKLMAKIDWHVVPCLCLMY LLAFLDRVNISNAAILGLQEDLNIETGTKYNTALTIFFVPYIIFEIPSNILMKKLKPH LWLSLCMFGFGLVMVCQGLVQNWGGLMTTRWFLGMFETGLFPGCFYLMGMWYKRSEAQ KRFSFFFSSTTLAGAFGGLLASGLGKMDGLRGYRGWRWVFIIEGVLTCVVAIICYFLV TDFPEDAKWLTEEEREFVREKLAADTGKAAPDADLTLRDVLSVFKDYKIFIGGWMYFG QVVTAYGYAYFAPTIIKTYGYGAIKTQLYSIPPWAAAFGFSMLVAFLSDKFRHRFAFA FIPMLIAMAGYGILLNIHGEAQRHVQYAALFLVTMGCYSAMPVLVCWFSMNLGGHRRR SVGTAWQIGFGNIGGIISTYSFLSKDAPLYRNGYIISLSFLCFSAAMAALYFVAVWFD NRRRDRAMANGTVDAQNNGAEEQEEQLGDMALTYRYNY AOR_1_504174 MHFTSKSLLLALSTQLLAVSAAPVSSASTSEVSQPTPPAGVAQY GNYGDYGKYGNYASYGTYKRDDVPEGDGEDTEPSTTTSPTETPTQTPINYGNYGNYGK YGQYGSYGAYNLEKRDDSSSTTTSSTTSTPTETPISYGNYGDYGNYGGYGSYGSYKRD EVPEPEESTTTTTSSTPTSTPVNYGSYGNYGQYGSYGSYSEAAVAQE AOR_1_506174 MRHSVSDPDVDEKLLSDEEATWPREQKKNESLFKKCAKYFLFVA VAVFSCLVGVVLGRQQHNLDKVCTRQLTQYSPVIPEVGIEYHQEQFNGSFLKENIYRQ EASPEVDAAWEALGVNYRSLRVPAEEAQKSGLAPDQVKINEKYGGGYPANVEGLHHLH CLNLLRQSLYYNYDYYHEQGQGAFVNEDYIVRRHVSHCLDIIRQQLMCSVDIGVLGQV WVHPENPEPFVDFNTQHKCRNFEAIRQWAERNQLPETVPQDFLQPPKIEDRVYNEIP AOR_1_508174 MIFPEGPKWHLLSLLFAYLAVQVLSQSVSGTECNSCELPKVEGN SKPLEQQKCSGATSKQRYIGYYYPGHFEGRDCETLEPSDINVEPFTHLYLYGPHIRDK GPIAAPNNDIDRRRWKDFVNLKIKKPSLKTFISVTPYPLDSGNRVPFLFNAVGSFENR TDIIDSIVQVMDEFKFDGFNFAYAWRDLWQNDPKNSVLFLKELRKSFGDKYGLAAEFR ATECALRNIDLPGMFEHLDHANFVSYDSSIDVACKDEEKWLSFPAALNIERSARLFRQ ANIDPNKLSPILVLGGQEWKLLDPKCKTPECPAETRPSRGRCDTYTNYQLSNYDVDRL MRSSYPEEPEVHYNISTAYSWVTFESARSLKAKADLANENCLGGLAVEMVYTGGPATL ANPNDLDPINTSMESAPPTNPLQDFDPNAQLTVTDTPSTTSTQSASLSTDTSTTDTDT NVNTEGLSCHPAIGSVHVPIAQQDCDSNSTSTASHSPDTPVNAEEHTPSPTLAAPHDA STSDEPNAMGPKPTLTLAYRIGTPTDTAEKANDEGTTPGEPANAP AOR_1_510174 MTDHPDQPFTGQEYALDDIVKLIKTGKATRIVVLAGAGISTAAG VPDFRSPATGLYDKLAPLKLPFPEAIFHINYFRHTPEPFYAIARARNPKNLQPTISHA FLALLAKKNLLDFVFTQNIDGLELDAGVPAEKVLSCHGNWKSQRCHKCKTPYPDGPMA EAIETGQVPYCQVPDCGGAVKPDVVFFGEPLPAAFEVEEKRVFGADLMIVMGTSLKVA PCARLPRQVKEGTPRLLVNMVQVGDFGTRPSDVCILGSCDDGVRQLADSLGWREELES LWTHAVAGKQVEVNTDDVKTLDECIEELAERMRIAGKISDGHKRMLEKHLEEKFANVL PKPPIS AOR_1_512174 MAPLSDTSIHNLSGTWVMDKTRTTDLDPVFKLQGVGWLTRKAIA ASAATLRISQGSETDSDGLSTVPAEWMVLEPALTGGLKGVPEKRSLTWTETEHNDTLF GRVIILSHYISGEKLSDGRVRPLVELQSKITGPNTISMLTEPITMARDVEAGAETVEK AFMHDFVRSVDGGWTAEQIWAVEVIDGEKLLTRKVVVLKGSSIESARVFYRLK AOR_1_514174 MDLHSQLQAAWDHVRTTYKPGTIELTGVIVTQIVGFIIPATLYM LIDVLFPKFSQRHKIQNIRRQPTRQQILHCVQVTMLNHVWVVALYALLVYLTGLDYAF TNQDPVVPPWKTFIVDFTFGLLAREISFYYVHRALHHPSIYAYIHKMHHKYTAPVAFA AEYAHPVEHILANILPLTLPLYLKGAHFLSIVFFFVFELWEAAADHSGYDFLKLPPAE LHDLHHEKFRVNYGTIGLMDWIHGTDVVGWDRPKARKVKIAE AOR_1_516174 MQPLLPTPTQDDRDPLRWPVWLKRCAIITASLANFITNMAGSGL SVAVPNLMQEYRKSESAAVQLLTYNFLFLSIGNIFWVPLANKFGKRASLLLSMALQAG TLVWCATATSFSSLLAARCVQGFAGAAGESIVPELAADIFFLHQRAAMMSIYVILISG GTAIGPLVNSLMVQYLSSTWRAFMWLCFALAVADIFLIFFLCPESNFRRPEWDLISTN GLDTTEAEKADGDNEIFFENVPPEAGYTVRQPSLADMIVPIRADRDLNFFRAMVAPLH GLTRPAVIWVVLLYGCALSPQIIFIFTMSSLLEAPPYLFSSVAVGLMEVAALIGFLLA CYGGGMLSDLINDHIVRRRGYGQVRAEDRLLSLIPGMAIGPAGCVLLAFFCQNHLHWA AIAVGFGMVSFGSVYTPNIAITYLAHRHQRDAAQCLVLVNVVKNLVAFLFLYEAVEWV QSQGYLQVYLVMFALGVATIAGALPLYLFDGKQYVE AOR_1_518174 MHLPWKRLIRFRALDGRILRGEPILPAENKIDLGLITEADQLQA RVIQGQDIYDITGETIVTDEVVIVKQILSPLAPGDVPILRCVGLNYAKHIKEAGRKPP PFPFIFFKPNTCVHDHGKPVVIPRIAQNDQADYEGELCLIIGKDAKDVSPEHALEYVA AYTAGNDISSRKLQRDPAYAGVVPQWGFSKGFDTFAPLGPVLVAASEIPDPSQLHLKT IVDGEVRQDETVGDLLFDCKYLISYLSQGTTLQKGSVIMTGTPGGVGAGFKPPKWLVP GTQMDVQISQIGTLRNNVVYV AOR_1_2996174 MSDIRVCFPDRPQFSGFMKPCRVEGDVSQLEVYGEIPKEIDGVF YRVMPDPQLPPFIDNDPWFNGDGNVSAFRIKDGRASFRQRYVRTEKFVREREAQRALI GKYRNKFTDAVEFKVRSTANTNVVYFNGQLLALKEDSPPYAMDPVTLETKGLYDFEGQ LPSLTFTAHPKFDPVTGEMVCFGYEARGDGTPDVCYYSVSPTGKFTEVVWLVAPVVAM IHDFAVTDNWVVFPIIPQWFQYKNSFPGHTANAYEDEQGHLVIDLGLSEKNVFFWWPD AQGSAPEPSSIVSQLVRFTIDPQSEKRALSKPEILQHGNSEFYRIDDRFATCPYRHCY FDLMDPQLGTDLERIGPKLGGGFPLYNAVAHFDNVTGETEVYFPGDVHLVQEPVFLPR KDSTTEGDGYILVLVNNYETMASELHLLDTRDFTRAQARILLPVRLRQGLHGSWVDGG DLNPRTA AOR_1_2998174 MSTIPLIIDGEDVLLPHDRHGTVANAYAEGPTLYQGATKELALQ AAQSSAQAFAAWSKTTPIERRTLLFKLAEVLRSRAEEIKRVCDQEISCGPLWAEIITD GAIGMIEEYGALTTSIATGSLPFIQNGYGLVLKEPLGVVLGIAPWNAPIILGLRAVVA PIAAGNVAILKLASPPGVLNFLLHRPEDAPEIFDVLINHPDIKKCNFTGSTHVGRIIA SQAALALKPVLLELGGKNFTVVLDDADLDLAAQEITKGAFLNNGQICMSTDKVLVTTA VAPALEAKILAILRSINTTSVLISPSAKAKVESLVSDARDKGAQIHTSPTTVNHDVSN RNYPPTVVTGLTPEMKLFEIETFGPVVGIVVVETEEQMTAIIQAANYGLSSSIISRNH HRALKLAGAIQAGAVHINSMTVHDEPTLPHGGYGDSGWGRFGARWGLEEFVQTKVVTL HQ AOR_1_520174 MVSSEGPGAAPSQRPLMMPTYPSNCLQFAKTLDGTLPTLPNPTS GPPALNILVVGAGLGGLVTAIALTQAGHKVTIYEQTAVLGEVGAGIQIPSNSARILFK LGLESYLKPYVTTPESISFRRWQNGKVIGKTRLIPDFIDNFHAPYYVIHRADFHSALC QKAEDMGIEIRLGARVVDYDSVLGSITLHDGTRHTGDLVVAVDGIKSVARNIILDGKR MPFQKPGFAAYRAVVDVNRMRNDPEVSWILERPALNIWIGDSRHVMTYTIGAGRAFNM VLSHPDTSDPATWDAQTALQAMKTEFQGWDSVLTKIIGMVEKTIKWPLMSGSLLTRWT RGKLVILGDAAHAMLPYMSQGAAMAVEDGVALARSLSYMQSQEQLTEALTIFEKVRIL RAGQMQEASLLNGQLWHFADGPLQEARDAAMAPEVEGLSFSHSPNQWSDPATQMWCYG YEAEREIDQAWAEREGSRKK AOR_1_522174 MVPSMDNTLAQSTDSAAQLLRDLEDSKTMPLWTQMTRLNPPEPN PTAVPFVWKYDNIRPNLLRAGHLVTEKQAERRVLMLVNPARDAPYTTDTLYAGLQLVM PNETAPAHRHTAFAMRYIIEGNGGFTAVHGRRIRMQKGDVILTPVWNYHDHGKDGSGP MIWLDGLDLPNFRHFPVHFVEHFSKPRYPAEDVDTSASPIVFPWNQMKERLDETEGNW ATQRYLKADGREVSRVLGGCAERLDAATSSPRRQDTLSAVYHVITGEGHSEVGGQTLE WKAGDTFCVPSWYPYQHFADAGETVYLYRFDDKPMITALGFYRSVADDTETLISD AOR_1_524174 MELPSDQATLQGPPSKRRRVALACDICRTRKSRCDGIRPQCGMC KDLGFECVYTPAVTATNVIVQKDYLQNLESRMKSLEDNLNTMRSDMTRLAAHMSSKSP PSDGQPEPCHQPVVDLAGPEDPTDAMGAVTFADEEECGYFGPSSNIAFLRHLSRAVSH SESNQQEISSPRMDKIAYDGGFVSATRPPSPVSGRTPTAVHAGLATDPLLPSSEETLQ LIRRYFYDTGLLFPYIHPPTFFETYHQFKNNAKKVRRTWLGLLNIMLAMAKVTAVSTH APAETRITESTRYYRQALNLCRGEILRGTTLEVVQYLLLMGQYLQGTQKSVQAWTVHG LAVKAALQLGLHSKDASQAFPPLEREIRKRAWFACVVLDRTLSMTFGRPPAIPDNYVQ LDLPIVPSISEGQPVVDDETIRQSVYFFNHTITLYKQMGNIIDRIYGQNLGCEPSLSV GETMGRVLSIENQLLSWVMALPNNLRQLSLQDLREEVGQSDSQPRLFPLKFRVILTLR YLHIQILLHRPILVKFLDASHASGLEPGEERVLNEIGYSSMKKCVESAMGIIDMIHEL VCATGWQRDLLGAWWYSLYYTFNAALVIIGATWVQRTRQSVRDFPSHQLANIELYPGR AVATLRQLDMGNRMVDRCRYYLEQLISILHLEPENSTDTAPIDLGLAAMGSSTTDGNF PSFGIECGEFMLDDLFINITQGPALERW AOR_1_526174 MASEKQLPWGYRWRSSRTFIISTIIIALFAETFLYGFVVPILSF MLESRLKMDPSKTQRMTTAVLTVHGFVSLIFAPVIAHFADKTPNRKAPLLIALAGCVA GTLLVASTFSIEALFVGRILQAVAGSATWIIGFATLTDNVDLDHMGKAMGTAMAFVTA GQLSGPIVAGALLEWVGYWPTWSAPLLVLCLDIIARRLMIERRELPLDDRPRSPKLAA PHDPEESERAPLLPSTPTNESPDYNAVPTEAEQVGPSRSFYRIMIGDIGVVASVANTL IFATLISAFDATLPLHLRDTFHWNTLSVGMIFLSLQVPSMCLGPLVGWLRDRAGARWP VTIGWALSAPLLWLLGVPGEKMFPWASPETNGEAIFITGLVTIGIVFTLIRGAGTMQL VAATKAMEAKDPKIFGEFGGSSRVSSLAEVAFSLGSMLGPLISGTLSEMVGYFYMNLV MGAICLPVALSCFLFFTV AOR_1_528174 MSTNLSIPPPPAVYLLIGSLPLLVISESVSRSHTGTALFKMLSS VAFLSGPLFLTSGEWTSTRSLITAGLLFSLAGDFFLIPSRSEFHNANSNPQQEKKISI SFQLGVIAFAAAHIAYILAFFQDNETISWGTFATTFLVTMAVAKWLGVIYPPPHSSAR SNVLDLDLPADMKPLVLVYATIISVMFATAASTTPVDVSSRWQYQRVFGAAMFVASDV YVAKDAFKKSPGSRGWVQSTFGYGLYFWGQMVIAGLV AOR_1_3000174 MIRTISLVGAWLIASGATLVQADSSFEPANFNVTAALKGYGVDT SSIPALTNLSKRSTESACLAACASLESVFGSEKVLDQENTSYTTFTDAFWSEQQAEVR PSCIFKPSVNTDVAIVVLLSQLTRCPFAAKSGGHAAFRGASSSPGGITIWFTDMNEVS LNEDHSIASIGPGNLWGRVYKALEPYGLAAIGGRESSLGVGGFVTGGGISFHSNLYGW ALDNVESFEVVTASGRIVTANATNFPDLYWALRGGGNNLGLVTKFNLYTIPSSTMRGT TRVFEEARLPEVVSAFGSVSRGATADGNAQQYVAFARMQGVSVASAELSYALNITDPP IFKPYRDIPALADTTESRSLVQYCEYINDQNPSGHREMYWTIATQLDESFALWAAEYY FKIVPQAANITGGNPVIIYQALTEPMLANVTKFGGNALGLDTSQGPLILFNMAFWWDN AADDNAVYTFIHNYYKVITDEAKKRGIAHQYIYMNYGSQFQDVIAGYGADNKARLQKV AATYDPRGVYQTLQPGYFKLNGAPVQY AOR_1_532174 MTKKGSEEPMGSDTKQAEEETIAPRETAQPPTTPEPEPRAVSEA PSTQGEKSISPTEEQPATPPSPPKKTKVEEGEASNPAPQSPTPEEEPTQTQREGSDKA PSQGDDKLQPSDPTGEESPSRNEDLSQYPDPIDCPGYFLRGGTWLPYDDEDIHKKLHV IQERLQEWSVEWATLEKQLSDEEKQKLIAGLEGYCLQADWDSLVEKLPSNISELLPLI LSQALVAKDLFQNVIEDPFFYLNEDGVKVTGEHGQVSYPSRAEVHNLWQWCKQVEPSS ERENWNSRKWLQMTVCFLNAFTPETTFDPLLATRMKRLRDSRLERLLHNILDRSSLLH PLLKTVSESAQVRRLHELFHIYQIAADLCIGMWSNEMDFEFGSFDSLGPFHEDIPHME RHAFAEPEPEEKSDPADKDRTVLFMMQPTVTRYCRFDGHEDQSLIHRALVVYSKDAA AOR_1_3002174 MFTKSSFSRLASLRHSWRPRYSVPPRFPLFNSILVRYSSYSPMG KTQSDSRKKVTIQTLQSLYTKSEPITALTAHDFPSGHIADAAGMDVVIVGDSLSMVAL GMEDTNEVTIEDMLLHCRSVSRAVKYAFTITDLPMGSYELSPEQALQSAIRMVKEGGM QAVKLEGGEQMAPTIRRITQTGIPVLAHIGLTPQRQHSIGGFKVQGKSVAGAVKVLRD ALAVQEAGAFMVLLEAVPGEVAALITERLRVPTIGIGAGVGCSGQALVQVDLIGNFPP GRFVPKFVKTYADVWGEAVRGIEEFKRDVKSRAFPSGEYTYPISEQEMAEFRSLMGEV GQQGVGMASRA AOR_1_536174 MKEAIVRKDTSVEIVDSPIPKPGPGHVLIKVIVAGSNPKDWKIP IHFGREMNTGDDISGIVEAVGEDVVGFHKGDRVAAFHEMMTSHGAFAEYAIAPYYTTF HIPDSTSFEEAATVPLAAYTSACALFQELELPEPWSPRAKAAGKEGIKRPLIIYGAST ATGAFAIKLAAAANVHPIITVGSKRSDFIKPFLDENKGDVLIDYTAYATEEELIKAIQ EAVKKGGAPGGRCWKAYDTVSEDKTIRLVSKAIAGPPNPAGQKPKITNIFLKTEVEGS DPSVEIVFSMVGQVHYEDENDKLIGVTWGAAFSRGLREGWLTSHPYTLGNNGLEGLSE GLKGLRDGKIRAQKFLTRPNETPGARD AOR_1_538174 MATITQSVSTAIELQDRNQVGGRLTKHTGDPTPPENSDAVLQAS LAADAEVPDGGYGWVIISACAVVAWWFTGTSYCWGVLQAALVKEGVSTSSTLAFIGSL APACISFLGVINANVIRSGFTTKNVGGLFATAGVLFGLGLSICFMVVSAIPAQYFRAK RGIANGMVYAGGGLGGTVISFIMNALLGKVGIAWTFRIIGFMIWGTGLPAACFIKERV PIQRTQFVEWRLFRDIRFALLFAAGAIATFPLFVPPFFLPLYTESMGMNSGVGAGVVA AFNFSSAVGRLMCGFCSDRLGPLNTLFMSLLLSALSMLILWPVSTSIGPLIVFVIING MGNGGFFSTMPTVVGNVFGSARVSVAMGMIVTSWAGGYLMGAPIAGYILNASGGEDSG ISAYRPAIFYAGGMALGASVLAMFIRLKTDAQPFKKL AOR_1_540174 MTLPDIAKIWFQEEIAAHSGQPSSHASIDELQPETAAHGSSIQS QLPTEPFESYSDLNYHSQDPLSGSFLDFSTFDYSGLADRITSDVISERHSHISSSCES LQPDPVFNMNIGSNNTRRARRKSRRFSTTAVSIMQSWLAQHQDYPYPTEQEKEQLGRE TGLDVTQISNWFTNARRRRTVGTGPTPQAHHADNSLLSPLERWQNSPPESEPAATSDI LRALEDIPHTSDGSVTYPAHRNAVSSNSSSASFVIGAPSISSYEHSQSSGSDISFKRS SRTSQRPPTPNINARPRRRRRKPPHPNETWNKRKSKGQRPYQCTFCSDTFNTKYDWQR HEKALHLPVDRWICAPQGGLVEVDGAHVCTFCQAPDVDFNHLETHGYLACREKSLDQR TFTRKDHLRQHLRLTHNVDYHPSMEQWRDSLTRIKSRCGFCEARFETWPERVDHVADH FKKGADMIQWKGCWGFEPGITKLVENAMPPYLVGQERQTMDPWKTTDALATGGNEVLP VINDVPNALSRYVDLRRDLIVYMREQAALGNHVTDQMVQDKAREIAYGSNDPFDQTYA DNPKWVTSLRQEAELIIQVQAMMSRSRDLQNLA AOR_1_542174 MQGPGNNDGAHPYATMAGKLDSKLLQALKVMEFEYMTPVQHRVL TELPSWRSDCLVQAKTGTGKTLAFLLPTLHCLLQGHSAPPRGQVAILIITPTRELAQQ IAKSCDQLTSQLARPLECHIAVGGTARASALARFMKGAPSILVATPGRLKDYLSEPST AEKLSNIQTLILDEADTMLESGFLADVKRILQLIPPKSTGWQGMCFSATVPPKVKDVV SVVLKPGYTSISTIEKNETPTHERVPQYHVLIPSVADTFTTLASLLNLEIKNSSKIIV FGVTANMVALFAAAFSQGLTPLKVFEIHSRLSQSARTKTTALFKEAATGIMFASDVIG RGMDFPNVDLVIQVGLPSNGEQYVHRVGRTARAGNDGRAIILLTEAESFFMKVNRHLP IQPHPQTDAINAGASSCADAVTKAMYSIGEETKQRAYSSYIGFFAGSGLLKQVRLDKP GLVQLANELAIQGMGCPEPPPMDKKVVGKMGLKGVPGFNYATGNDLNGDRPARPRGRP GNKTRDVLSPGAGQGDRRGSVSKNRGGRRGGGRGGRGGRGGKPRAA AOR_1_544174 MVSIQPGSQQDLQIGSYVTFGVAMIMIGMRLYARQFLLGKLGPD DILIILASLVSIGELATVPKMYECGIGRHLMELTTQQHECTRKWAWISQVLYFLSLRY CDIATLDDTKGSMVALYLRLASNKTHIRFLYGVGAVILSHGIAATLVACFICEPISVI WKPEFPKGCLDMTSFNYFNGAFHVTTDILLALLPIPIVKKLQTNNRRKIGLIIAFGIG ILTICASIARQVTTVIALRSRDFQWNWSAAELTTCLEINMSLICASVPAMRSLFKVYF GSTGLTNRRAYEHELDDRYMQESGARKALNDFSNMEGTQRQTRTNTVTSRPRSVDSFS EVMYGTKDGVVQTTEFRVSYGNRASLY AOR_1_3004174 MPNDEAEQDRLDLVHHMFSVMLNGELFLAPVENPQTILDLGTGT GIWAIDVADQFPSAKVIGNDLSPIQPSWVPPNVEFVVDDFEDVWMHDRNYFDYVHART ISGCVQDWGRLMKQAYDHLKPSGYFECAEFVIDAFSDDGTFKQDSPYREYINNLNKAG EITGRPMNVATSLKTWMKNAGFENVTEVVYVIPYGPWPKDPKLKEIGRWQYVQAPEGV EAYGLRLYTQVLGWPESEAKLHQALVKQQLRDKSLHIYGKLYVVYGRKRGTE AOR_1_546174 MSSSKVWFKLPALTDSFIDRLKTIQLFAKSMDQGYVSDVDAGTW TWFELVLLGNAHTKNPLIRDNVEYIWKSHFNPMATSEYPWPGNFLGVRICARFPGWWI FVQKGYLVVEIGDKPVTRLPPQFGEFSLLQDTLQEMNEKLPTEYDLSVPPMRADGFDA DTERPLRILSLDGGGVRGLSSLCILREVMQRLSPEGKPRKPCEVFDMIAGTSTGGLCA IMLGRLEMTVDECIEAYNQFMKKIFNVSSLRKNTRLVWKGCRFGADNIEAVIKELINE RLGDSEAPLLNEHGQCKAFVLAVRQDAANSKGPVHLRSYFNTQQKSLLPNVKAWEASR ATSAAPTYFPPMEVSTDKGVKHKLIDAALGANNPVGWLWNEVLSVFGAGRPIDCILSI GTGIPKNQVFGESVKGAITGLGSAITNTELANILFRTLIDAYAPESRRLKYIRLNVGR EIEDWPEDAMEKENEELAEMDSLTQIDGFIERTEQYIKEQEPRIRRCASTLNRNLFRR AOR_1_548174 MPQDQRTERVPRGKYARLICHGCRSRKIKCVLPDLGNMGPLGIP QPPETSCERCRNLNLECIIERTTLGRPPAKSSYRKPPQTKRSAPLEKIEGKEDEVANA LSDLEIKEFLFSEAASGEEQIPSQGGGNPQSPQRPGKRAIFRSMTEANAFMSSVLGKD AAFGCKITHATSRWSQPLSDLISNDMAILLDNYLVWHRLFLPKTPTLVNLRNRLISEE ASSTNPATNLLFALLCLTSFDIAEPFAQQYPHLKQSLQLAVSSYGQEFIFSPPTHPNS VAVCLFLAEFRPTLLATSQFVAHKAVSPEVYVNLAYRIAERLNTLPTRDASFFDELMN PQSLEFERCFNDSVQELKILSLDFGLDGFLSKTLPLMRAIFGDMQPHVDAYQHVLRYR ECSLTVIFHIQWNMSFYVLLEGLIHAKQCWSSPESLFLVVEEVERKCQEQIKISNSLL LNATGQGRREDLSTARSLLEMKFHWVFAGICGLGLLYTSVLRTRLIEGKNDGDPDIQS NESLQIVDQVAGSVNSPPDAPGQYLSGFLKRFGEVYPQQLVRVLESFLECAEVQIDGI AFNAPLQQVVYGIVFVCKNLVENNFVQVRVFGRLARNHEKQLALFPKCARCIRQMAVE PWKSTKSAFATGCVYAASSKIIYGLHNILERLRTELAKGTKSNEALDFFNIPPDLSSI GVDLDMPFWDAWDLWPHVSSFSPSDTSMDLFDWGPGLNYDGDFGPMNISGM AOR_1_3006174 MRICNLIPSYQILSFISICFSNSYIYLQGFTEVLQGVALYAFLM LLCDFMAPNDKSKVEFFSSLEIKRQWQPKKKRNGLAFLSLTWYSVLQYPVVTWITAVS QVVTQSLHVYCLESTAPHFAHVWLQAITSISTSVAINAILQFYMNMKGYMTKHRPLLK LMAFKLVVGLVLLEKILFLILTSTNVLKTHSTSMTYIDAIMGLPTMVICVQMVPLSFL VLYAYSAKPYEISNSRRTLRPQVYQTVESDDDGEILMSGFQKRYQGGRWGLRAWAVYL NPLELLRDVKAAYVMIHSARALQKAHAKEREMARYETRHESGEGA AOR_1_552174 MAQANVVRLWIGKELFETPTDDCWPSASKWNGLNSTVHGHLIAN EPLAKSCYDGLGKNSTHCQRISKIYRDAFFREASPIGFTYPVIDTCAPINDSIAGSPV CDLGSASVYSVNATEPADVAAGIKFSKENNVRLVLKNTGHDVRARSQGYGSLSIWMKH IKPELQFQERYEPSNGSCQLNWTGSAIVVGAGYIWDEVYTFAAKHDHIAVGGSSKTVG AVGGYLQGGGHGPASHDFGLAADQVLEFKVVLASGEVVTASACEHVDLFTALRGGGGG TFGVVVSATLKVYPTRPILKHSLTITAPSTNMSALIDASVAVLSKYPILSDAGFSGNG QLNRVLGTKSAYSHNFVKMLSRNSSSSKSSFQVEDAKRLINEQVVEFLRPLNGTQLSV TSTFEQYDTFQNYFDSGIHESPALNNPSPVMVSRFFDKESLVNNQKNLTAMFHAIFPQ SVSKVQAVASLLEFCLVGGGEVLKAKPHTAIHPAWRKTYMFAENFDVPPSDSGMQGVR QIRDYGTSKKLQAMKAAAPGLGTYLNEADPYDPDWKEDFYGDQYNWLKSVKQKYDPDE VFWCYRCVGYEALRFDVAYGWLCFFGYLSLMAVLDDIIVLSFTI AOR_1_554174 MLLGALCVEKGKTDADSGPYLRLAEQSQQSDTPVVSLELKAPET LFLESPLALEVILRRNDTDPRSCIFYWPPNIAARFVLLRHTSHGLERVEVAERRSEAP DVLYVGDWRPDDSTPITFHTVNLLSGNLQRRRGQKWEYFESEEMPYQIYDDPDIEVTP GRHADFISLQPGEQWTRTDSLELPSDIDIGEVFKYEFSDRVIDWWDWGMKEDHLQTTV KLPCWVKDDVVEPRDNEGRPAIVVPASNVVEFTIV AOR_1_556174 MSANFPCLFLHFEFIELESNCSSLLLILSIFSFLPQLRRLHQNG DSTGVSLIYLLCNVISATEQLTISFSYIFIAQSSDFFIHNPPNVGDWLNLLQLAVTWG LSSTLFFFAISYYPACVRRKAFIVGIYIAFLSLSLLAAILCVLADPCGANCGSQRWDY GIFLGSHLIFVNPVVTLLALAALPAQLQELKWHGHAALSLTGLASQAVIFAVLSLSWL FRVRVYYNLSDFFRTWGSFISWYQLVGWAAVDHLVFAVVQGILFLIVLKRKRTVTAEG ENEPLLSH AOR_1_3008174 MTAITKQVVQKAIDEYIAGLQPSLRELNLKIHGNPELAYKEYQA HDVLCEFLESQGIPTDRHAYGLKTAFESRVGDPAGRCVNFNAEYDALPGIGHACGHNL IATASVTAFLALAFAIQRFNLPGQAQLLGTPAEEEGGGKIDLIRAGAYEKADVSLMMH PISDEELTTHNILGIAGQASISCYDITAVYHGHSAHAAVSPWEGINALDAVVAAYNNI SMLRQQLRPDERVHGAILQAPSITNAIPELTRTRYTIRSRTMERTRQLGTRVRQCLEA GALATGCKIELEEDQIYGDLVVNPPLCKGFAECMEDQGVTVLATHEDLMAGSTDQGNV SQIMPALHAVVGIPVSNGAKNHTRDFTAAAAGDKAHRRTVLAGKAMAMTGWRILVDEE FYQDVKGAFSVTKGVSL AOR_1_560174 MTIPDWQQKAAAKQAEAAAKIPKEWRVSASILENLDNEQEVLTI PQRCGILSPKELEITETVDATTLRDKLAARELTAVEVTTAFCKRAAIAQQVTSCLTET MFPQALARAKELDEYLQTTGKPMGPLHGVPISLKETFNVQGVHSSLGLVSFLDRPEAS HNSALVEILLAAGAVLYVKTNVPQTMMTADSENNVFGRVLNPHRRNITAGGSSGGEGA LIALRGSLLGIGTDIAGSIRIPALCCGTFGFKPSVGRVPYAGQASAARPGMAGIAPVA GPLCYSARDAELLLRVVMEAPVDDLDDNVLGFPWIEPAPLAAPTLTIGVLPEDPQVPL HPNMQRTLKTAVERLAAAGHRIVDLSGQIQCIKEASEISFRFFRIDPDQTQVKYVSSS GEPFIKSLRYTYNLKGDDPEPTLRDLFDLNVERAKVAAIMRRLYVENKLDVIIGPGNQ SCAGPHDTYGIPVYTVLANLVDYPACVIPFGKANEVADAEYVRDVAYIPPYCPKEVEN APCHVQLIGRRLKDERLMQHAKIVESVLASKST AOR_1_562174 MINGTPGIDIRYPTFRPDEHIDMYTHFATVVLPGMVRQNCATEY NDQTYMLHLALEFPPLMAIMIGIAAVYREDETLALESYLFSLHGLRSRMAHAVDAANE DAILASTILLCVMENLRPGATPNIGLHATAAGVILSRRSSSGLKQVDIFERVCVESFL YHSTLMMLFEPSLDTLQRVNPTMDLARYFSELPPPTLQPILDASYPFFLLIADVTRLA RSIRPLNNTEIQTYSHLLTNLLYYDRHLNDGSLTMNLYLLTMRILLLKVDPVLSTAEA TEQISHLSPAGFSILDSLNVNQYLLGFSLWPVAVLGSIATTANEQYIAESKISSLAHR QHGQAMRLRNRLKAIWATPKVERTTSLVHRLHMLVKGI AOR_1_564174 MATSHLNDEEMIDQKLAIETTTSNTKQTPSRQALIEALQAEKPS PWSPNLRKLYIFCVIAFLCSTMNGYDGSIFGSLPALESFRDQFGVEKNGAKIGYISAM YTEEQALEFLAKYHGEGNPSHPLVQLQLQEYREFISLSGSDKRWWDFRDFYKTKAGRW RFINALITGIWGQCSGNAVVTYYLPAMLLTTGITDSNQVLNVNLGYTVVSTVASYLGA SQIERMGRRPTIIWTAVACSICFACITIGSGIFDSTQATPAASAGIAFIFIFGFCYNF GMTPLQALYPVEALSYETRGKGVGLTFSIAHGFTLLNQFCFPIALKNIGWYTYIVFIV WDLFEATVSYFVSVETKGHTLEELSEIFESPNPVKASLEKSI AOR_1_566174 MPPLRGFSDNPFSDKGDVIVATTALVQALEPYFSPGQARVRLPI YSGAHFDEVAADLEGFARPIWAIAAVFAESATTTDPTLQTYAERLVSGLANGVDPGHP EYWGAIDDWDQRMVEAEAISFALLLAPKTFYEPLSAHSRSHLVEWLSGLNGKVMPKNN WRWFRIFANLALHRVCGVPYEDVKHFIWEDFALLDTFQLDNGWAADGPWRKDATDGEE AYGRQADYYSGSFAIQFSQLLYTIFAADLDPGRVSRYIHQAREFAGQFWRYFDKDGAP IPFGRSLTYRFAMGAFYAAFALAGAYDSSSPYTSPGFVKGMLLRHLRWWATHSDNVFA LDGTLTIGYLYPNMFMCEDYNSPQSPYWAMKSFVMLALASNDELWQADELPHPLAAIE ETKSLESGVKLLSAPFQILCDHPDGQHHFMISGGQFCVWPLKATQAKYSKFAYSSAFG FSVPTGLLLTQIAPDSTLAISGDDGETWVTRWQTTTNPRIETFTINNQDVQCLRSGWK PWRSGSIEIDTLVIPPCDKWPDWHVRVHRIRNTRAGSSNIEKLRLVEGAFSIEVKQPR RTPTLTENWGATDGLDVAHGGRMECGTSCLVIGNGDASGIKNLFTSECSLEGKVLKPD PNTNLMTPRTLIPTLEHEILSLPGRDIVIANAVFAISKGKIQFSQQELMKRWYLAPRL PEEVFIF AOR_1_568174 METQKRPLASHAEAVSCGAQGIFPHALDVNASNKPGVKVGIIQT LTQRVESLEAQLEALSAQNQAVSGIPSPASTVQDVNALRNLVSSLMEEWRENNTPPND APDQRLNSPPDRDVQDSTTSASGPETSRKRPCPDPGSSVDYTSQVDLPPDNLINGILD AYFSVVHPFIPILHEPLLRSRLRDPAERLKLIPLLHAMISGAHPTQAHYRNLETL AOR_1_570174 MGCTKFVFLSRNSWNMSLSSDDVKLRLPSDGTYWAKEEPVTTPF FNIWDTTLAKIGKSVSFLPGHLSSLADKEKYVDNTPSRSERVSPVLQASGTHTVDLST IGSFAYCIEATESLNRIVKFFLQRPVSLQSKQEFGAWLTRFKELDLQLIHWKMFLPRR WKDSNISKEPAFVHMDPNLTLAHITHNTSMILLHQCIAYPRANLVDKLRQASISSAET CQLAASETANIVQKYLQYTPFVGLVNAQFVFCAFVSARVMLVHSRLHRTELPEGYNIL LWSLEQMSARWVSSNSNLRLAQGNDNFANALLSQLQRLQERCKYIEPSELDALGYAVE HTSVFFNMAPHVLSTSPVMDDRLAHKGVTKPPPLKDAGDDEIQAQLASLFHENNLAKV IGVAETLLENNDPPTRFPETVPQTGAEKGVYRCRDAEFWTCGFFPGSLYCLLERVRKY PAASLPSLSDRSEDSQGKFTEDTRAVYNHDILISHLTDLCRKWSEPLHAMSFRKDTHD IGFIIQPALQRDWELFGNKRSLDSILNAAESLAARFDARVGAIRSWDRFSNANHNINS MDDDFLVIIDSLCNLDLLFYAGNYLHSERLLSIASTHATTLLSTHLRPESGLNGDKRY STCHVVNFSPSNKGKVKQKLTAQGYSDNSTWARGQAWAIMGYAQTYSWTKKQEFLDAA KGLADYFIQRMESSPVIVEQQGRGRYVPLWDFDAPITHTGANGDQGPLRDVSAGMIAA NGMLILYGHIAGMGELDAAKRYLNYALNITKDTVDFAYNRDEMRLRICQQDGVTKMES CEATSGHRFDAILEKSTANFNGNHADRAWDHGLVYADYYFLELGNRLLDMGLR AOR_1_3010174 MISWRDLLFVTSIAAIVQLGVGETITTTTALAPSKWVHPGAMIS QSQLDFVKSKVNNGEQPWADAYDALMEDESVSNPQAPSPHVIVECGPYSDPDIGCKAE RDDSLAAYANALAWAINGTKAYAEQAIRIMDAYSSTIQGHNNSNAPLQSGWVGSVWAR TGEIIRYTDAGWSDDGITQFEDMLRNVYMPLTLNGTTGTANWELVMTEAAIMMAVFLE DADSYNTAMDWHLKRVPATVYMTSDGEYPAAARGHSSDPDAIISWWFNQTTFQENGQS QETCRDLEHTGYSFASMAHVAETSRIQGTDLYKEDLGTRLRYALEFHSQFENGVAAPA WLCGGELKLALRAVTEVGFNALSFRMGIDMPQTENLTVKQRPAENNGLFVAYETLTHA QNNA AOR_1_574174 MIASRALRHSSFIALVACLLQLSSAKDLYLDCNSASSDGDGSKS NPFSSIKEANAAVLSAGDTLSIKSDTTCSGTLSPQGSGTQEKPIILTSYGDGPLPIIN GSGAAEAVSLTNQDYWEISNIAVINPAAKIAWRRGILATSSDGTVHRGLYIHDITVYN VAGETNKATQSSAFIASGGILVNGTEHNSRYDDVQIFNNTVYDCGGGGIKVRVGQMDN RGEGVHVFSNNISYVGGDGIVVSYGVSPLIESNLCGFLGHGKYPWTGGNFAGIWVLGC RDAVMRFNAVHDSLMSEVDSEAFDCDWGNEGTCTVEYNYSHDNAGGIFLNCDGCGTPG GARQIVRYNIFQNDCRMYSNGDNVELDFYNNVVYCPDKKFEIAVPPKTNMSNNIWVGT QDSTLPTGDLIEWHGNLFQTVPQPGDTAGIDGDALFIDPGTGKDTIDSVDGYKLRSGS PALLKGVVVSDNGGRDFWNNKVSFEQRPNIGSYNGKGL AOR_1_576174 MAASQNAILTRDQLAGLPQSFFNAVDRKDLDAVVSHFSQDAIFT IKTAQVTFTGPDEIRHSFADFFNNTKHMLHDIKSIVVDEINGKVATQQRYTGELLDGT KNEMHTCNFFDVGPNGKFTRVVVFMTGASPLK AOR_1_578174 MDNIPFPTVPYPRMEPPAHREKKMKVLALGMSRTGTMSLYVALK ELGYTCYHMAECNLDQQNNSLSLWNRAIDAIFNGIGRKFAGADFDQMLWRYDVASYLG PMHVLF AOR_1_580174 MRILELPVEVLLLIYQSLNDIDDALHLARACEQLYAIFDSPSSR VNILRCIIQTQCQHKYDIKLTLLQSLNQTYFSSLDLSMGDAQKASRPGPNVLSQVLFP THPHKLSDEQVWAIVCRWHGIRSPFALYSDPTIHNIYLNDWVPWWHTQCPLLLGNNPL PQLSDQTIHSTPNQKRRLYHRFYQALTSHWLSIECLALAKATVYPSRTSRNQWHKIIS AQWYSRGHLNLREKLDILHVTVFVWEFLARKAFETIPLIPRLQEFRTRKVFLRPPSVI QAVILQNWPDPDSMCDLMEYFEHLTPEYTIQQAIAGDEHEGDEEPGNLVDENAGAWDM GLQDLEKCTHDYLRQVKTIPRATWRWYWPASLGGNFGKRPRLGHPGDGRLSISVLDRL FLCPETDVRSLVEMLYRV AOR_1_582174 MTDNKWYWNHDAPFYAHWTYQRSPDGVTGKWFRFLVTAASREDA KTFFRGVEKYAKLKDANIVSVKAINLAWWTYDISGGDGWNIMRLVQNIDQMKASAYGD IDELHNSRGKILISILNDADGGSRSWPILPTQDVSLSDYQHG AOR_1_584174 MPNWILGNKFDTIYPHKGSIKTLWETRWKFACQKGVYPFHDGSF EDFEPIFQKLISENINDASTDEYTSTFFPIASDLESQASQALDSGKPDDAIDLFRRAA VVYRISRFPYVDITQPNSIKRAAFERQKQVYLKAASRWDPPIKEVIIPHSSRGRNDGQ EIPIYTRIPRTARPDNPVPVVFIMTGLDGYRPDNSQRTHKIIGRGWAVVIAEIPGTAD SPADPADPSSPDRLWDSVFAYMATQPMLDMSQVVLWGLSAGGFYAIRAAHTHASRLRG AIAHGAGCHYFLDKEWLSRVDDHEYPFSIMPGWTKKYGYDDTEVFVQEARKKFSLVET GIVDRPSCRLLLLNGVADGITPIEDSLLLFNHGSPKEGRFFEGLPHMGYPDSLPVAYR WLEDLLPCEPKQKN AOR_1_586174 MASVHFMLATRNGGLALRRPDLGVIAKGAKADIVVWDGMSPGML GWDDPVAAVILHSNAEWKKRPYPVLEGKYSLFDYRYEQVPYVDTVRGDGNGYGNQYL AOR_1_588174 MSGFRHAFSMTRKEVRDAVPPGTISLSIYSQESAGRTHLKNNGE LVLSPQPSEDPMDPLNWPSWRKFTVLLLMSLYAAIGNFTSGSISSAFPLYATPMAFNP PVSIGKLGHLVAVNVLMMGAANILWVPLANTFGRRPITLFNILLLTLCCVWAARATSF GSLLAARFFMGVGVAPADTIAPNVVGEIYFAHQRGKAMGFYTVFICIGPVLGGIAGGY IAGNLGLAWIHWINVILSGILLVACFFLVPETLYVREVPQATDPALDNGGKDEDAASS VKIEDITRNRTTSEFHFAVVDTASSRGMASDAMPPYLWGNNAGLILVGGIIGALIGAV ATALTADRIVTTKKTLQGEENMESEARLPIALPGLVLATTGLWTFGFCAQASNPHMWI GMQFGLGMLSFGLMQAPSIGFNYTANRFSY AOR_1_590174 MAVSMASTIRGQYPPSGLSILIAGGGIGGLTFAIEAYRKGHDVR LIEKRANFDGYGDLLLIECNALHSIKHWPGFYERLQTISYKTQRNIKKYDGTWVGTWP LGDGKDISMAFNRSELHNALWEYVQELGIRVDFSTTVEDYFETDEAGGVVLADGKKLT ADIVVAADGVGSKAWSLVLGKKDVPISSGFACYRATFPSGPALENPIIAKEFEGYVDR ACIHVGPGAHIAVGKTTRQICYMLTHRDDHNAEEDWDKTVPVEKALTYIEGWEPFLTE LIKATPNSRCTDWKLLWRNPQPKWASPKARVIQLGDSAHSFLPTSGSGAAMAMEDAYS LATCLQLGGKSNFALAVRIHNHLRFERVSCAQKMGFHHREKFHNTDWDAVAKNPDIFS KTTANWIMRHNPEQYAYDNYGKCANHLLTGAPFQNTNVPPGYTYKPWTVKELMEASDR HEPVVDEGDWS AOR_1_592174 MGSHGEYTFNDAITDVKAGQSLVETTQILLSQLTKEERLSLLDG DVEFWPGLRSILCDRYNRTPYVHGAIPRKHIPGIKFTDGPRGVVMGSSTAFPVPMARG ATWDVELERRVGDAIGREAKAQGANYFAGVCVNLPRHPAWGRIQETYGEDPLLLGEFG LALTQSVQKHVMACVKHYALNSMENARFRVDVSVEEAVLHEVYLAHFRRIVEGGVAAV MSSYNSVNGEWAGQNRHLLTEILRDQWGFDGLVMSDFIFGLRDAAASVKNGLDIEAPF RQQRARKLPRALESGELDWKYVDRACERILRKQIEFTVRTEDSQPSRDVVFCDEHRAL AREVAARSMVLLKNDTVDGKAVLPLQAESLSRVAVVGRLANIANTGDKGSSQVFPPGV VTPLDGIKAALPGTEVLFADSVAKAEQLASQVDVVICIVGYTHEDEGEYVVPALQDNP ALRDTLPPATTAEERETLDIFEGNSDKGGNGGIKAGAGGDRNSLRLREEDEKLIPAVT AHNPRTIVSVITAGAVIMESWKDRVPALLISWYSGSEGGHGLGDVLLGKVDASGRLPF SIPTSEAYLPFFNRNAAEIYYDRWFGQHMLDKLGVKAEFPLGFGLSYTTFAVDNIIAE SVDKESIQVAVNVQNTGRRPGRFIAQAYAVTNIPDFPTRVLLGFAPVDLDVGQKTKMR FLASTRPLQQWKAGTFTLRTNQIQLQVASFAGDGGAQQQPVPALVPFPTLNPNNDSST AYTIAKEPQHPESLLPENLDTVLKWPILQDVNYQMTQSPDIPNPSSDNASPAQPSPDG DELNPKITNAYLDNFFAHVHLKNPVLDEPYIRRLVRKVSLEGPSWDSESCLALLVCAN RAITGPLLAPSISAEDLRASPGRRLFTAALKRIGATLGSAGIVQAQCLFFAGVYLMSC LQPFDAWRSFLQALALKKASTGHRGSLNESSGWELGLPDFGTRISLEPPQRFPTLPLT DDEEILRAWYFYLSEIWLWRLETEIRKDMTTRLSELSNNSLSDLADISEIYKQHLVAC LHSLPSTVSISDPPCQTPETDVLRFILQGRSIYVNELITWPYIAYAVNDVHLGHTAHG WVSKGLQIHLERLEVNQAGFYHCHHGTWLMIPTSARSACILLAVARSSMRDLLPGGWK EAVEATVKMLEFWQSDVEGLAALASFLRYLLSHVA AOR_1_594174 MTSFDETVNSLRHQSAEDKQPLPRVTLGAINRDGSFRYTKAFGD DTADIADTDAVHWIASLTKFVTTIAVMQCVEKGQLDLDSDIGKVLPEWQDPQILTGFN EKDEPIFRPATKAITLRQMLTHSSGMAYVFMHPLLTRYQQLQGERPLIQQTSYHPFLL FEPGKRWLYSPSLDWAGVAVERVTSMKLGEYMKRHIFDVVSANDITFHLDQREDLRAR KAKNWQRVGQSLEEEKNPVMPNPVAEGQGGGGLYATVNEMLKIYHGVLTEKLLRPETI KIMFQPQLENNVGLDKPDEYPVFVRNAIWNAVPSDVSVSFGLGGLVNIAAVPERRGVN SLTWSGIPNCYWWIDIEYGVAGIYLSQLVPMGDQKSVQLLTEFEKFVYSTLN AOR_1_596174 MANFPKYPDLQGKVALIMGAGQTHVPGSEARGNGAAIAQCLAQN GVQVFGCDVNLQAAELTASRIQAEGGKCDIAQADVTSEKDVRRVVDAVMSKYGRIDIL INNVGATVAGDPASMPSDVWDKQIDLNLKSVYLACHVVLPIMEKQGSGCVVNNASIAG LRYIGKPQVAYSAAKAAVIQFTKVTAVMYAPKGVRLNTVVPGFIHTPLVDNFKFNGQK EVYDKITRQPVPLGRMGDAFDVANSTVFLASDAAKYITGQILVVDGGFTSSAASL AOR_1_3012174 MSTPNSPTQSPVAELCHSIETSFKSTSLGPDSWYLLTIACLSGS PDPELAKDLYLYVIQKEKNSTSAARQAFIRRIRETLVKCVSIVWCCKPIEARIAISQV EQEEDRDYSLTREYWQCDQANDERGMRWYRAICTQDEKSTLGLFDAHRGFQ AOR_1_598174 MHWNELKQEILERARSRTVIITGAGGGIGAATAREFNNYGSNVV LADIPALESNAKELIVSFAYPDNAVFIAVDIRDWQQMQSLFKKTMETFGSVDTVVANA GVMESEHVLDMENVDGEGHLRESEEASRVIDINLKGTLNTLRLAMHHMRFSSTTKNGQ PSIVLVASTSGYFGGTGVAAYIASKHGVIGLLRASQLAAKKYGISITAVAPFFTPTAI TSGLSERWKAAGLEANTPEMVGKVILQSALEDNNSGSCLLVAGRFLRELELTRGDLIP QWLGRDVKEFMDTAFNVIQETGGYALPKIRAKV AOR_1_600174 MPSSDVETSLDGDVKLEHAEELENVHSIDPELERRIVRKIDCRL LPTTAVIYLLCYLDRSNIGNAKILNSSTNDTLLESNNISNYQYTIAMMVFLVAYSVFE APSNLALKIFEPRRWLGFLVIAFGAFCTGIGFTHNFAGLAALRFLLGAAEAGAFPGMI YYFTFWYKPAERASRIAVFMCSATLSGAFGGAIAYGVGHLNGARGLEGWRWLFLVEGV PTRWLTDEEKEAQALRLEDGGSSGEDKLNWKDAKETLLSARLYAHYLTYLSISAGVAS LSLFAPTIIAGLGYTDLQAQLFTVPPYAVAYVVTLGLAWLSDRLKCRGAIACGSQVMA SVAFIIQACLPAEAYTARYAFLVIATTGAFGGLPSLNAWVGDNIHTTTARSITTALNI SFSGPGQIIGVWIYRAQDAPAYRLGHGVNAGMSFLAAVLAFCLTLYYRQQNVKMQGTS QTRWVT AOR_1_3014174 MGNESGGYARNRRESDLHHARGVFEINCGNLRRAWAVYRRAMTV AQLMGLHRSPMPPLKRLDPGLDAKPEFMWFRIVYMDRYLSLLLGLPQGTTDKNMGMMS TLRHEPPLGRFERLLTIIASRILERNERTFVTSDYTTTQSIDAELLRVSESMPTSFWR PPNFQNLTPGSPDTLLETVRLGAQVYYYGLLIQLHLPYMVQISDNTHQGYSKITCVNA SREIMTRYITHRSFNPVSSCSRPVDFFTLLAAMTLLLAHLNEHNQALETMDIISNMKK DIIAERSAELIRRLLEIEADTAEGKTYITGSLAGDDIEENRKQGEELRLHIPHVGVIK VARGRPVSRQPSDGNTAACTHMSHGELESCVTWNDAIGPSPTSKHSLSNMHDVAPGSV LSLDLAESESLSEHLRSPRYEPSILQNTGFGQDGIALQLPVGHPPTAAGVDDWAFQGV DMAFFDSLMRETSSVDNGRLGQ AOR_1_604174 MSFSKCCIQGFSWQGTPTGRTDKLSNNDVYITGDKADVAILFIA DLFGWTFPNVRLIADHYAREVGATVFVPDFFGGEVLDFDLVAAEKFDQIDLPGFIGRN GRDQRESEIFDCARALKQELGYKKVGAVGYCYGGWASFRLGAKEHASAPLVDCIAVGH PSLLTKKDIDEVAVPVQMLAPEIDQAYPLELKLHTFETLQRLNVPFDYQHFPGVVHAC FVRGDENKPGERAAMERGKNAVVGWLRQFLKDT AOR_1_606174 MVGVIPPPAGVTPDFDYSHPKNFKKEMIIFGIGLFLSTLFLAMR IFTRACLLHKFGWDDVSIIIAWVLSLATQIACLLSCVYGGAGVHLWNVTQEMFDVYQK TILAAAVIYVPALAFAKVGLVILYHRIINKQPGYTWTLHTISAIICGYSVAIMLALIF ACNPIQRNWDSSITRGSCIDRGGLYIATAVTNIVSDFALVLVPVPLVLGLQMPRIQKF GLLCMFLVGCGTFITSILRLVTLIPTLTATDITWVIAEAQLWIYVEANLIVICPCLPF LRQFLRTYSPAWIGEASKSGRRYTGYYGSGTGPRSRRKLGLTRLQDDIALAESTVAST HSQSHIVKEVQWQVTEERRDVESPPSVGLSSHAV AOR_1_608174 MPSLSTLKLGAFLGLAAIAPSIEAADSSSCRCFPGDDCWPSVST WDAFNQSVDGRLVATVPLATPCHTPNYDQTKCEALKEDWLLPEDHYQSSSSLMAPWFT NGTCDPYHPVSQPCTLGNFVRYAVNVSTPAHVAKTLQFANEHNIRFVIRNTGHDYNGK STGAGALSVWTHHLKGIEFKDWKDEHYTGKAVKLGAGVQGFEAYEAANAQGLRVVGGE CPSVGIAGGYSQGGGHSFLSSMYGLGADQVLEWEVIDGTGRLLVANRQNNTDLYWALS GGGGGTYGVVWSMTSKAYPDSQVSGLNLTFTTTGISDDTFYKAVELYNARLPSFVDQG IMSLNFMTNVSFSLSPMTAPGMPLEKLESLIKPFLNELKALGIKYQYHAESFPAYLDQ FNAQAPLVEIAVAQYGSWLLPRSVVENNSTNRELIQSYRTILSTGANFTNVGLKVSKE VAGDVDNAVNPAWRNAISHMLLYTGWEFDQREKMVEAQKLMTEVLVPAFSKLAPESGA YLNEADFHQPDFKTAFFGNNYDKLRAIKAKYDPNDLFYALTAVGSDEWTVSESGRMCR V AOR_1_610174 MYSSLLLTLLATSTKHVLGEKHHLYSGFFSGSQLYGIQFDDETS TLTVVNNITTNSSDGSKWIAIDERRENVYVASGASYNSYTITSDLGLTLASNLTISDT CANLNHITSLSSSPYTVFGAPYSTGCSGQAITVDQSGALKAIVGSITYRNSSGVHGLA VDTDGTFIYSADDMGDAVWVHSFDKTTGAVEEVQYLAAPRGANPRHLAVHPRGNYVYV VYEKANQLAVYSRDASTGKLTYTNTTYPLIPSGYTNTSSYWSDEVAFSVSSAESPKYL FASTRSIISTSSPGYVTAFSLDATTGAIQEQLFLSQTTGSGGSANSVSPAIFSEDYFA ITDSGSNFIEVWQVSVDGSAATVVAHLDLDNGPANVVWYS AOR_1_3016174 MATPQERHPEMFRPLNHKSPRGMKRTVPLECLCLGFNLTGTASM CNALEILGLPCWHSTQFMSTRFGDIEMWQEAVDRKFFGAGLKFGRQEFDQLLHDFGAV SSDTPAIAFADDLIEAYPEAKVVLVERDVDSWYESWMNTVIKNTYDPFVTVVYHIDRF FTRPIARIHITTFQGWLGISNPEDARLKSKAKYRQHYELVRRITPMDRLLEYKLSDGW EPLCEFLGKPVPDQPFPHLNEKKWVDEKLQLLLSQGMKRLAYKVFLYFLAPLALAGLM CFVM AOR_1_614174 MDPLQFDKIGHRYNDVTNLPSVWLLEDILKSQIGPIQGLDVLDL ACGNGYWSRRSIDWGAKKVVGVDISKVMVDNAQLQARAEDPSEFHVVDCIAPFNMGAF DLVLGIWLLNYAKDKTELLTMWQNIFHSLKPGGRFVGVIPNYEILQTTACGQEYHFGG VTYKVLERITEGTRIQVILDTTDPIASICYMLEPGLHEECAAQAGFSNLRWEPLPSEL DVDLGPLFFQIVTASC AOR_1_616174 MGKVARTKHSRGANGPYQKPDQGPLGKATSAIFKFNTDLGQHIL KNGAIADAIVDKANIQQGQTVLEVGPGPGVLTNRILAKAKKVVAVEVDPRMAAELTKN VQGTPAEKKLQIVLGDFVKTDLSQLPPFQICISNTPYQISSPLIFKLLSMPNPPKMCV LMVQREFALRLVARPGDSLYSRLSVNVQFFSRVQHIMKVGRNNFRPPPQVESSVVRVE PKSDRPAISWDEWDGMLRICFVRKNKTLRAGFMGNKTRAMIERNWITFATMYPEKVTQ ADIDFMLGNGEPEPMEDVEMDTNNDADDDVPEMDEDDILMGDMGFEKKNVPEIPKGAL LTIGNNKVSRVMVTKLIQVKLQRILDRTELANARAQKCDENDFLRLLHACNKEGIHFS AOR_1_618174 MEWTAEKLAARLPVFQQRGPDVPVWRQFLNFLGCVLILPVYYFI TGYSRHPLTLDILISIFVAEFNRYSNEGRRRRLYGLDAPTKTKEDPEKALMSPSLHTG PECLAVVVGYREDPELFRRALDSYKRADGCRFILVGVDGDEKADMEMVRVFEEAYPED SAIVHIDEPFGEIAMRTYKKITEACYETPERCQEMTIAHCCQLAREILAEHDLGLGEL GGVSRLCLYQPHMHKKAIMFTSFIFSIVISDILGIEYMWSSDSDTIVFTDSVRRTVET IAGDPTAGGGSSGLVVHNEDDSMTTKLGSAVYWCELYMTRSTSASSGTSDCQSGPSTA FRVSALPSILYPWYTQTVFGRRMIINEDRHLTTNLLLRGWTVTYASDTLTATDTPTTF SRWLLQQVRWGRATHIESFQQPRVYLLNHPVFFWAAMKKEVGPLLVFLCVLYYLVTGQ RFAYFSSNDAFLRVAYTIGYNWLRNPDRGPRNAWVWIAPALVFYNIPLPSIHLWSMLT VFDGGWGTSMRSNAELSKREQFWKRAYDLGFFVVWMGIVGGTAARMLSGYAGWDAAGT AKAIFLGVFFPSAVSFYGLLVRG AOR_1_620174 MARVLFHRENRIVPLGIMIFVLFLFFYHLDLEHDDPVIRGLPDL PQDLSSRQSPLREESKQQPLPSAQPSPSAVPVAPLVPSSEDQKPSTHQQEKPKPKQQQ KPQPKQYGDLSPDDVVLLFKTGASVLWRRLPIHLSTSFEPSRIPADNILIYSDYPETI GSWQVIDVLENSTETVLKTDNYEPYRQQPDYEARQVYAEMANVEGDGNGPAGGWKLDK YKFLPLIQHAGRAKPEAKWYIYIEDDGYIFLPNLLLHLEKFSWQEPWYFGGLAWKHGD YFAHGGAGFVLSRGAWEQSFGLEEDMVTKYADFTEAHGCGDHVLGHVMQDYGINFGQT HGKSEYSWGFNPEPHWGGWFRRASWCYPLYSWHHTHSKDVARLYNFEQSWDFEKKGQF RYRDFFKAMVEPYMRRRVEWWDNQSSRYELRSDNIADAQPPEGVSKQVWNSAWKSVDA CEAACVAWEGCVQWTFYEDQCRMDENFMLGMGIPVGDLRRQTSLPRTSGWLPQRAEKW VCDA AOR_1_622174 MSHSFQVTVQMGSAITTGGWTHLLDGNTISSYTVYRETANPSGE DLFIGMAGGRSQSHNDRLLSIGFNGTVAISGNVVADLSLKAYGKEVITKTLDPCQMKE QRLCPMNIGKLEIPAIQTTLPQSVINQVPNVAYTVPDLDASVRVYINSTDTGAPIACM EASLSNSKSVYQQAVGWVIALVIGLGLASSGIASILGYSHAALHVAAKSLALFGFVQS QAILGMTSVHMPPIVESWTQNFQWSMGIMHLGFIQKIANWYLRATGGTSSTLLSDLEN TSVNVLKRKRSLDFGAGALMKRESGEGAAPDGSKTIYGIVRVGFKASIERTDIFMTGF IFIMVFIGFTMLIVGLVRLVSGLLAKSGKTDSTKMDSSTWAVTMKGILLRLILMCYPA VCVLCLWEFASHDSPAEVILAVAMLLSTTAILVMAAVRIIRKARRSVEIYKSPAFMLQ NDTVFLNKWGFLYAHHRSPAYYFVTLMVVYIFVKGIFVALCQPSSSVQIAALFVIEVL MLVAVCVIRPWMDKKTNIMNISVTSINFLNTLLLLIFNFRERDMATSILGVILFVYNA ILTLILLIVIFIASIMALVSKNPDNKYQVMKDDGSSIMSRTHMTELDALGAAARGSPD QNQKQNPFVHNEVPPNHANSLQASDRTSPSHMTGANHSPSSPLDPSVPLFPSSDTRST SPYESGDHTLSPSEYSPPGVSPVNRGYNVSPIRTPSPHAYRAANNASPWQRGAGYEH AOR_1_624174 MDRSLGPYRFGYPDDGLARYILGDVLEQYLSCDEYFWWQNLRVG KKVFKFQAFLHTREEEQMKIWKSFRQMRTVNRPMEDTIDIQRPRLGQFLLTWMKESEL RQAKNTLEEQCDRRYENAIKAIEAELVNKKGEDDVKHGSSCFPTGCFCCADCC AOR_1_626174 MYQHRPPLRRISARQRSTGAEAAESLSSYTDPTLWTTLILQRSH HEPVIRNALVTLSALYQDHLSGELSCYNNDNLPPLRTLQRIAKCHRQLRVYLSSPGAL PEVALTCSVLFYVFEALIGNAQQALKHLDLSLTLLQRCQKDSSPSMSKPDDIVPHLAA LLSCLDVQASIYDQQRGPPRLILTSPSETCGTQSIVPEAFVDVAQSEAALLKLQNWIS RHLHINAKIKHKPLAEIPPEVMHERGVVEEQLRRYLIAVDKLYQGSEEKVAQRILLLR IQARMYYGILLQRFPCTSSGQSSIIIHPLSPLNVQSYPAPEDWIDTALSEISILLDAS KSPACRSRPFTLSTQLVGGLLHAGLKTTHQHTMKTALNLLQHPNFPSRDGLWDAKATE SAIRSLLAQVSAEQDAGDRVLFVSTLDEPGQMVSYGR AOR_1_628174 MSKAQYRDHEDPIPSYEESVRSSTTQNPPYNMRSDIAAPLHRHL DASRVQRVHSLLEQYVDPLLAEQASSGLYRTTFIFIPSNVTSLQPREKTSYSAPRTPE PVGFPTSTVVKLVQLEGEAHTMEFWRQPAVLRELESSLRARLAASGHHVEGGDEVPTS TKETKSLKSNEDSKPKQKRSFWSRITGTSEAVIVDRKLGWRAEQEETAGRKLGRDQVR VVVEWKEVCLRVENDLGLYDNCNAPGICLSVEVGE AOR_1_630174 MSRPILIIGGGLGGLCLAQALRKHNIPFKLFEKDAEINYRPQGY RLRISEDGIYALQYALTPEIYTLFEQTCAAGLAIGVQVKPDGSPVDAARRIGPPPMKG PKPYTVDRTIFRKVLLTGLKDDVFFGKALDHYTLHDDKVSAHFTDGSVEDGAILVGAD GVRSRVRKQLIPGAKVVDTGMRALYGKTPITPEFLARFPEQYQRGMNIAIDDSVPEGQ VYLLFEATWFPNADTVSEPELPSPYVYWVLGAHSSRLGVPDEKLLSLSNDEAADLAIQ VTESWSPGLRAVFEMQGKGQASTLRISSAPLDLPVWEVSPRVTLLGDAIHVMPPTGAL GLVTALRDSADLARKIVDAGGIENVDRSVIGNYESEMRDFAKMALGRSWQSGLKTFGL RPVEECEMVDM AOR_1_632174 MGRDDDTITWVRSRKEDSDNDNLKSQDSLHVIESHVLQERKIGT FGAISLVVNKIVGAGIFSTPATIYKLSGSVGMALMLWVIAGMISTCGALVMLEFGSGI PRSGGIKVYLERSFSPRLLQTCIYLFYCVFLQVSASNAITSSSYLLLAGGVESTTWKL RGVAIAAAGFAVGLHTIAPRAGRGLQDLLSAVKLFTLLFIVCTGFAALAGHRRVPDPH NFDIHTSFKGTSNSGYNIGTALLDAIFSFQGYDNVNAVLSEVKNPQKTLRIALPSAMG IITVLYLLANVAYFAAVPTEEFTNSNITIAASLFRNVFGDSAATKALPALVAISAVGH LLGIAYTVPRVLQELAKDGITPFPNIMMQNRPFKTPIVALAVHLGVTILFICAPPAGD AFNFIVSLSSYPTTFLLTAITVGLVKLRLTKDEGWASAFTAPWAMIAFYLVGNIFLLI MPFIPPPNGKGSTNLPYWLSPVVALAILSLGIVYYLLRFILFPWVFGYTLQPVAVELS DGSQVTRYRIQKIGETR AOR_1_634174 MSSDDLVIPKGSLVVVTGANGFIGSHVVDQLLQHGYNVRGTVRN VAKNSWLNDYFSAKYGPGRFSLAEVPDMSHEGAFDEVVKGAAGIAHVATPVRQFHDPN IAVPMVVNGTLNVLASAAAEPSMRRVVITSSSTAAASPQPNKVFTMDEKTWNETAVKA AWAPPPYEGLQRRLDVYSATKTQAEQAAWKFMEDKKPDFVLNTVLPNVNMGTILSPEN QGYPSSGGWVKAVWDGFPGEEGEKLKYNPPQYYVNVQDDALVHVAALIYPDVQNERLF AFAHPYSWNDILDALRRLYPQQKFIDDIPNIGEDKSKVANQRAEELLKRVSGLPAWTS LEQSVHDATKAWV AOR_1_636174 MNPKYQKNRKASIRKRLCQVTWGWYSISMATGGIAVLLYNTPHQ FTGLETIGKIVYVFNLVLFLSISLCLSFRFLTKSSALKESFQHSNETHFVGTCLLAFA TIIIGAESYGTSACGPWLQVALRIVFWIYVAISIIEAIFHNWYLYHHSMASEQPFALV RLLPSFPAMLSGTIASVIASNQPQKHALPIIIGGTTLQGFGFLMSLFIYGEYFYRLNK SGLPKPSERPEMFIAVGPWSFTALALIGMANAAVEKFPVRYIISYADSSHSETVTVTT GDIALVIAALAGIFLWTIAFFCLCIAIASVLALCKAFGGAGAPGMSLPYWSMVFPNTG FVIATIRIGQVLQSEAVLWVASAMTILQVAIWLIASVATIWAVWTRRMLWPEDAEKEE GEKEC AOR_1_638174 MGGGVFLPTPSVVPANHFTPFQFCQLGDIQLGFGQDGWKNDVHR MQLAAQQVNAEEFDLCIAVGDLTNSRHSYEITAFQQTYPNFTVPVHLLPGNHDVHDLS TLKQFTQDFNTSDHSSFTHNGYRFILLNSITMITDLAEFKNHTAYEWSWFEHELKTAA RAGERIIVAHHHLPFEGSEDEPDSYWTFPKRVRRKYIELIRRYGVRHILVGHRHETKN IYPADGFYTIYVVAGTARFFDGNGFGINYFNVSSWDSANDVTQKYVHLKGVTHMKRSE GQPTGCPDIFHHAQ AOR_1_640174 MLRRIQSLASIILLLSLICPVYSANGFVGYGVSMYKPPCAHACR SSITNPLNCSTNSNDDMGITWIIEKSPEPHCYATNDAFLQTLAYCIYSHCRTESNSTL QRYWEMNVAGSEKDQPLPNQAYQQALQNIGFRPNITANASTALESASLVSEELYKLNW RTLTVFEEVEATHEKFGLVLLLTGAIIPIGLSFARFIPVPTRLKTTFEAYVITPPLIG HRHKVPLFNTFNMPTRGQALFIAYLIFINVVLCAVGFNSADPSAWYTSNHLEILTYVS NRAGILSFANIPLLVLYSSRNNILLWLTNWSHSTFLLLHRWIAAICVIEACLHSAIYL HIYSAQGEHSSESQRAYWYWGIVATLAMVLIVPGSMLQVRRRFYEFFLAWHVIFFLLA MVGCCLHIYYRYDFQWGYENWIYMALAIWGFERGMRILRFARHGIRTAQVSIVDDEYI KLEIPGIAADGDVYLYFPTLTWRVWENHPFSVMTDVCQGTDTGLSTTRITTDKDRLPI SWTEKGESLDKMSLAEISNAPCQRGLVFYIRTQSGITKYLRGTKSKFPVLVESSYHPV VLSKPNPSGMTNIIAIAGGVGVTASAPILMRHEGWHRLFWAVRSKPLADSVAASLGAD RFNRLNAVVFQDNRMDISRILQEEVSRCVGTEVAVIVSGPARMADEVRLVVATLMREN PAVKLTLLEESFSW AOR_1_642174 MRQIFILIALFLAGFAASQGAAGSAQAGIPGSYPESPPQSRPQS PPQSPPSSPKPGPKADTGKPQPALSLKAELEKRCREISIHEAPRDDYRGSMYAFVDTI CDDDPKNYPKHYQDADHRDPHKPKELKSTVNLGRCLGWDKKNGGFIKEIEGHATYYGL CWGCSYKRGTKDGENNLSCWCQYGKGERVQDPIRKKMAIKMEFDLGPLLKVFPSGSVG CSHWDYE AOR_1_644174 MALDEYTNLMQQAEALMDKWSTALHRFEESRGSRLTYRDSIDRT KSEATDLKSWDKYNSTFQLIVSLAEAVINTADSDAPLSSTSSVPSGTFNEDRRPSFSL DMGIICPLYDVATLCRDPSIRRQAVKVLRSASRQEGLLNSHLCAIVAERVIELEETAA LQGGIDDQKCLASVATHPLGPEPSSQAEASSQHITRSSEVPHAARFIYAYPNFDPLYK QAFLTLAVDQAGERHTKIPLPAVTAMLDME AOR_1_646174 MSFLRNFFGTSATAAKDDQNTPIRALPASWYTSQAMYQLERRSI FSRKWLLTTHKARVPNPGDWVQYDAAGYEFVVMKDHQGNVKACHSSDLAPVHTHIDRN GFVWVNLDASATPEVAWKDDFEGVDEQPRFQHYNFDEYNFDHTWDMEGDFNWKILADN YNECYHCQVAHPDIPTIADLNSYYVKTKDGHIQHYGAQRQDQIDKGFRIATTYFWPNA SFNISPHFFFMQRFTPMSPTKSVMRYEVYRHKDASDEEFNLISDMYKRIMSEDKYLCI HTQKNLNAGVFVNGQLHPEMEKGPLHFQKTVREVVTEHNKKEDAAGHEIWPAQRSAPQ DSTVSQDTFSFPTPVDSYTEKDDLKDSLNANLATAIAV AOR_1_648174 MPWQAQVCTFCQKQYVHKTGLRDHLSCYTGDVRIPADGIHDVLK IEKILDGGNRRHCQYRCPVCSKTINGRYNFIEHVVYSKHHDVLNGDFQKGTIRRYRHQ GWPFQFEKKTVKVWQRKGKFPFLRLPFELRFMVYKFVLCFGKITFGERMLTSSVYPNR QGKWFQHNPKNNLLALLAVNHQVYDEARRVLYSLNSFIFEMRNKIPVFLIGIGRDNAA LLQSVRWMVGPQHSENQIGLIKRYLTRTEEEHIWNDEKSYLNFLAMLFNETPDDDFIA RHDHRLVRLDTDCTSLCGCRVRYRLDAIFGDNDGEDSKKIDTKGTISFELYKDHR AOR_1_3018174 MDERHRPLRRNGFEVAIICALPLEANAVLCSLDEIWHDAHNTYG RAVGDDNAYDYGRSGGHSVVVVTLPGMGKVPASTAASYLRMSFSSIKLVLLVGVCGAV PRTDRTEIILGDVVISQSIVELDRGRQYPNGFKRKDTILDSHGRPNEDILGLLQRWKA TLHLKNLQKKTTENLKTLLQHPDSEARYPGATEDKLFERDLQYSSGTRLIPRTRLRER DTDGEIPTPLIHFGLIGTADTVQKSAAHRDKHAESEGVIAFEMEGAGVWNKFNCLIIK GVCDYADSHKNKNWQNYAAAVAASVAKEILGQYVSHDQPSQPGTPNDSSGYFTQHSMI SRGRLELQVVPDSLPNDMFHRATKRFREGLAKEQLDTFKATDLRALKKELKKIQDEQE QSHSLRNLRRLERFIQATEQIGTVLEDILGTSEEMCLVWGPVKALLQVAKGNVDLFDT LLEAYEYIGSELPNLGVYRELFKHHVGLQRILARVFALILEFHENTLRLYSGRALRTV FRPLWKDFETTLFDVIVRETGSHRIMIEDKTMALYSHPGHCTMDAQEVRDHLESTSNN MRLSKQEHQKAREKMYDEVRCWIAGAAGVSGVEDVADVVGAETESDHNNICRDRGFYP GSGSWILENEKVKKWLSPEPEQSSNSMLWINGRPGTGKTYLASVVIETCLEDSSSVTC YFYCKEKVKSRNSAIAVLRGILLQLARQHRELIPYCYAKIKSSGSLMLSDLSTANGIL EVFCERIPRLNVVIDGVDECEEQRKDLIDIFRILVRKNEIYAKGKLRVLFLSRPMNEV KNALPEAEMLALEPDHNRQDIQKYCERRKREFQKFGFDNEYLKDVVQIICTRADGMFL FAKLVMNNLKEQPTREDFRIETTAAILPSEIDQAYARIMERLARDLGSKQYEYTELLL GWLVCSKRPLKWTEIQVALSTDIKTWGHTSEVNPDRRLEDDVQELCGSLVQVLKGNRV ELVHSTARLFIVQKSNIRISAAECDLALRCLRYLSLDIFRPDISAQRLRENALRGDFG FQDYAVSAWFLHVGTLIEKKHDLLEGIIDSQDRVARISRELEHFVSFYQESFPLYDNN ILEQAWIDCEFFQQYPFHNSLVRIWNHICCAQREDLESRNSVSIPLLKETLTRNRQLL ENLSTEDTVTLSRVYDEYPFRCPKVLCFYFHEGFRNALARDNHVDHHNRPYRCTVGTC EMNGMGFAEKSRLTAHMKRFHPEERDLGETFTPYNRTRSVGARYECPVCNKRLVRKNI LEDHQRIHTGEKPFRCSECGKGFARNYDKKRHEKIHEKRRR AOR_1_650174 MDRIREKMKSLQTEADTAQAEVEKLTAKIQELEQDNQSKDEEIA TLSTRHQMLEEQVEETEILVREVKRLSNEDDIQAGHYERRAQALQADCDQWEAKYNDM AAKHAELQKNLDSLLGEMGEV AOR_1_652174 MRSRTGCLTCRTRKLKCDEQKPECSQCRKGGRECRPSEGIVFRH QQNASMNKDPDDPTGGRGTLKGFYSYKNTFDEDSVWLDIPKHVIFVDNSDPYVEDLEA ALGESEAAILAANAQSRDWNAQQTISLDGETQGLKALSAAAIHDQLPYTSLNMDQQPL PTPDSGVAFNAVPATTVTTGPSPNPTCNPIPGQPSPPVSISPSNSTNNNINFLLNPSQ SLSPQTDPSLQNATARRSSSLTARSVASRGAGPAESKPDVPAETDREIMFLLRHFSES PGLWMDLFDLGTYFASYVPAKARSNPLLRYAACAYAAKQLGRVKGARPPTGEFASTQS LMRRGPDAKNVDWIWHGAKYYEKAIQLLMKELQPDKGPAPLSTPEAFGQWQAAELSEN NDPNNPRKRRRRYSESRFSNGVHSDEVLAATAILSVYEFLDATGPAWNRHLSGVKSLL DVAEVGMMPLEQHPSPGEALPQPLKRPGLSKARRATFWNFARQDYLAAFINECNTRLN TDDLVLWTEAGLQIDNMGFIIPSNSNAAGYPEGDDVMKEDLIGNGLIWILSKIINFIS SGDNLQLGSGPVNTGPLGISQQVLLERWYRLETELDVWYNGLPDTFRPCARLEHPLQK DGDGDGDGCALSEIWYSIPVCSSAMQHYHMARILLLINKPHESTSRRSTITNRLNSYR SIESEIRFHSREIVGISMAHPEGSVRINSLQPLFVSGQCLTEPREREMVLQLLRGIEF DLGWATGYRVKQLLREWGWDEQAKVGAP AOR_1_654174 MRNPSLFRPATAGLSTALYLGSLLQLSPASGLSFTPVAQPDLEL SPLGRVALTGDFDAVSFYSYKEQSETASVNNGSQSILTPLPDGILTTLSTSDAYIRSM CPFTQKDGTYAGIFVGGNFTSLGGVETQGAALFDPETKKVTALPGLSGSVSAVLCDKD TNSVYVGGDFKYENTSNAVAWVGNEGWKSLVFGGFNGPVTSILKSDDHIIFGGSFDGI GNSTSSKKNQQIINLQNATISSDAVSSRSGYSDPRNIICQTSGEDGEGKTWLLEDNSP GFWRADMRFGYNPTKIRIYNTHFEGRGTKTFLLRRLPDNGIMNLTYTDPDTAKDVYCD QDCSLSNSTDEKYREFEFVNSVGMKGFQLEVHSWYGDGAGLNGIQLVQNDIFAYAIND FNEPTCADLKYPSKATQTGSWTTTELGQSQSDYLTANINDSTASDTSVVFEPDVKQSG NYSVVVYTPGCTQDGTCGSRGVVNVTATLKSNSKSAEPIQMTIHQTNLNDKYDTLYTG HVDSSDGSFRPSVTLTPVAGQGDVTIVASRVKFALIRASEGLDGELNGLYDFDPSAKT TSSNFTESAVNRAGLQLDEDATIRSLAIHDGVMYAGGNFSSSGFKNILSLKGETNATG LQQGGLNSEVSSMTVLDDILYVGGNFTDTSDRSNSDLKHVAAYSFGSKAWTALGGGVN GPVHKVTSLTLNVSTEINETTIGVTGDFDQLLAFDDNTLVNVTGFAIWVPSKKNWLQN LNVTQMAFAGQLSACTKVDNTTVIAGTLSSAGIAAAGAAALLHEGPLSIKPLFANANF TGETYTGIYETKSNRNLTIVGGHFTTTGTDGSSVKNLALLDGKAGTVKGLGTDIDNNS TFLALTAWKDKLYAGGNVSGTFEDYILNGFVVYDLENGTIARKQPPRFTGDAATVNSI AARPDSDEIYFGGQFEKAGALPCPGVCFWDAADQQWNRPGILSGKVLALKWISNKKLL AIGNLTVDGNSSAIATYTPKQQTWESWTSASDLPGTVTAFTPASVDVSTFWLAGVSKN GSSYLANYDGSKFQYPGDLFDQGTTIRNLEVLSLTKDHSGVDVLHNDQVLLVTGQLVI PDFGNASAALFNGTELTPFMLSSNADGQPGSMAQLFYENDNPYSSEVGNHHSNGIVVL ISFCCALGAVFLIVIAGVIFNKIQRRRQGYMPGPQAYGTDRSSSMRRLPPEYLFSTLK SLNPGTPAI AOR_1_656174 MMSDIRLGIGESFDNIYLDLSKQPGKCKLAESGLGWKPSGEGET FTLDSSNVGAAQWSRAAKGFELKILSRSSGVIQLDGFDQEDFERLSKAFKIWYGINVE SREHALRGWNWGKAEFTKAELSFNVQNRPAFEVPYSEISNTNLAGKNEVAVELALNTD GADANAQPAGSTKNRGRKAASGPDELVEMRFYIPGTVMKTEKGIKEENGKEENGEEEE EGEEQNAANLFYEMLMEKAEIGDVAGDTFATFLDVLHLTPRGRFDIDMYESSFRLRGK TYDYKIQYSSIKKFFLLPKNDDTHTLIVLGLDPPLRQGQTRYPFLVMQLKLDEEISLE LNMTDELMETRYKDKLEPRYEEPIHQVVTKIFRGLSGKKVIMPSKDFVSHHGHSGVKC SIKANEGLLYFLDKSLIFVPKPATYIQVENIAIITMSRVGGAVSASRTFDITVSLKAG MGEHQFSNINREEQQPLEEFFKAKNIRFKNEMSDDAGALLAAALDNDVMGSSDDEGVR ADRGSADEDEESIDEDFQAESESDVAEEYDSAHESSGSGSDAEMNDASDGGGDDDDDD EDVDMSEEERPKKKSKVGK AOR_1_656174 MESFDNIYLDLSKQPGKCKLAESGLGWKPSGEGETFTLDSSNVG AAQWSRAAKGFELKILSRSSGVIQLDGFDQEDFERLSKAFKIWYGINVESREHALRGW NWGKAEFTKAELSFNVQNRPAFEVPYSEISNTNLAGKNEVAVELALNTDGADANAQPA GSTKNRGRKAASGPDELVEMRFYIPGTVMKTEKGIKEENGKEENGEEEEEGEEQNAAN LFYEMLMEKAEIGDVAGDTFATFLDVLHLTPRGRFDIDMYESSFRLRGKTYDYKIQYS SIKKFFLLPKNDDTHTLIVLGLDPPLRQGQTRYPFLVMQLKLDEEISLELNMTDELME TRYKDKLEPRYEEPIHQVVTKIFRGLSGKKVIMPSKDFVSHHGHSGVKCSIKANEGLL YFLDKSLIFVPKPATYIQVENIAIITMSRVGGAVSASRTFDITVSLKAGMGEHQFSNI NREEQQPLEEFFKAKNIRFKNEMSDDAGALLAAALDNDVMGSSDDEGVRADRGSADED EESIDEDFQAESESDVAEEYDSAHESSGSGSDAEMNDASDGGGDDDDDDEDVDMSEEE RPKKKSKVGK AOR_1_658174 MASPPPTNGSPTPAVESPESSKPSSPFVERSNPMGSGNAQTVSS SDPKAVAQAATDMKNVVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGESGLGKS TLVNTLFNTSLYPPKERTGPSHDIIPKTVAIQSISADIEENGVRLRLTVVDTPGFGDF VNNDDSWRPIVENIEQRYDAYLEAENKVNRTNIVDNRIHACVYFIQPTGHSLKPLDIE VMRRLHTKVNLIPVIAKADTLTDEEIAQFKQRILADIQHHSIQIFEGPRYELDDEETI AENQEIMSKVPFAVVGANAEVATADGRKVRGRSYPWGTIEVDNEEHCDFVKLRQMLIR THMEELKEHTNNHLYENYRSDKLTQMGVAQDPSVFKEVNPAVKQEEERALHEQKLAKM EAEMKMVFQQKVAEKESKLKQSEDELYARHREMKDQLERQRQELDEKKSRLESGRPIE EKGKRKGFSLR AOR_1_660174 MAPPPPSNLPLAERLKALAQTLQFAWFAGHVTLLLSVFRYLLSY ITFNYYSSSAQVSYRLAFLSAAATYGIVVYKGHIARGRLQGSVPNIAVKLAGDENVQY LGMAIVWLYSRQVPLALLPFSVYSIFHVATYSRAHLIPTLQPPAQGAGSASPSSPGAA KPAASPLADTIGRFVKQYYDASMDLVAGLEMALLFRLALGVLTFSKGSILLFFIYVAF FRARYTQSSFVQQAVRHFTARVDASVSHQSTPPAVRQGWETFKGVVRQAYESTDLGRL TSGAQGKKPQ AOR_1_662174 MSLTSRVLGLFSTTETTVPDSPPVASYSKPTNTGDHAFHATGQI RAGSGHVQTTPLEDEEEPRPPYLRAMLAGGTGGTCGDMLMHSLDTVKTRQQGDPHFPP KYTSMTSSYATIYRQEGLLRGLYGGAVPAFCGSFPGTLIFFGVYEFTKRRMIDSGINA NVAYLSGGFFADLAASVVYVPSEVLKTRLQLQGRYNNPHFNSGYNYRSTRDALRTIIR QEGFSALFHGYRATIYRDLPFSALQFAFYEQEQRLAKNWVGSRDIGLGLEILTAATAG GMAGVITCPMDVVKTRIQTQQNPDVQSSASSSKPAAEHASIKESPRQHTSSQTPSSLR KHSRPISTGGASTSVAPPGAPRLDTSSFLTGLKMIYQTEGLAGWFRGVVPRGVWTSIQ SGTMLVMYQYLLKKLEVYDNLGEMNPL AOR_1_664174 MSAALPYLQKLRKSQLTDWAEATDLHNYEEYNKPELATLLDQHL QANQSIFAKDARLTDYYRRLSQTPRKGSPVKRDPRVEISPLAKETPRSVLRSARRRQT RALEEEVKEDEAKEEANEEPKEELKEEPSAEPKEEAEQLQEESDASPPPVFQTPGPSP LNFQSALPASPALIADVVDRESVAWRKKASDWWTGSGVPDSTQSLRSLLSSAKAVQIL TLLLEGCSIIYEILPLRFVSTISIWAIEISIKVPDVFVLVDSVFWAPFSLWLLTSILL PLTVAYFFNISLNITQGSSAASHTRRSRASPDNFDPLSFNIAKAGLSYLVYARQFNFF NLYSQFSIARVNAAVPGQWAGLVTGSAIGLVGTLYEAILRK AOR_1_3020174 MEWDPTQFFRDDHTPNPFALLILNQPINERAFRVLRRHAKVTLC ADGGANRFYSMMKAHDRESIDLPDLIIGDLDSITPDTRTHYANLGVRIIHDEDQYSTD FTKCLNYLRAHVREFLSSSTSSSQSSSEHSVSTSEERELDVLILGGLGGRVDQAFSQI HHLYSMTQSYGKAGKIGNLYLISEESITFILRPGLNTIRTPGTNRPGLSTGEYLLEEN VGIIPLLGPARITTSGFQWDVVAWRTEIGGQLSTSNHIRSEAVTVESVMPVLFTLELA GRLKRDR AOR_1_668174 MSGHLDDYPLDTARREIRLLTIVPALSSKARIKCSLQVASLDAF PRYEAISYVWGDIQEKQDILLDGRTVQVPTNVRRILQRLRHRMQRRVIWIDYVCIDQE NVAEKNTQVPLMSAIYANATSVLAIISLDNLSDNATDAIDWMKTADMEKGKWKEACRR VNCILSQISMRYERRLAVSLRNVVSFYVNFLFAEYWTRMWTFQEYQSSQGKPPICICG DVEFPAPDESAFGSVFVSVFRRFRKMSSKAEERGRRPQLRNHYKEIERLTIKFHNTLS KVNYMWVSDRQEFFVQDLLRQTSGRKCQNPKDKIYALYGLLPSLREAYPPDYNKSLSQ VIFETAKYILNKEHGGLSMLDIFCLREDRLENISIPSWVPDLTATSLVSTGTLGRHSS RFGIAYKIRETVPGRYLEITEDHSVLCLSGRPIGKCRPVFQFASDVKSVLAQIMGVIQ MHGYGCHVWNNVWEPENIPLRFVQGCCVFSNHDDAEFDRSTLGSLRTIFETLDMTHQD ADTVLETFAEAGFEFLRDLVPRLYNIEVFTIHHMSSVSFGFSEQSVKKDDQVFVNVSQ FNMPFVLRHGCGTDYNRGQVYHKLCHMRSFF AOR_1_670174 MSSLATANSLWSSKAASWDTTNEAYTLGNGKLGVMPFGEPGAEK LNLNHDELWEGGPFEVNGYRGGNPNSSMTEILSEVRDEIWKKGTGNDSRLHGDTDGYG SFHSLANLTIAIDGIDKVSDYTRSLDLGTGIHTTTYSTGKGKYTTDVYCSYPAQVCIY KLNSTATLSKVTIYFDQLVEESSLWNATCDSDFARLRGVTQEGPPRGMTYDTIARSSI PGRCDSSTGKLAINARNSSSLTIVIGAGTDFDGTKGTAATDYTFKGEDPAEYVEKITS SALSQSESKLRTEHIEDYSGLMSAFTLDLPDTQDSTGTELSTLITNYNANKTDGDPYL EKLLFDYGRHLFISSSRANSLPPNLQGVWSPTKNAAWSGDYHANINLQMNLWGAEATG LGELTVAVFNYMEQNWMPRGAETAELLYGGAGWVTHDEMNIFGHTGMKTYQTSANYPA APAWMMQHVWDRYDYSHNKTWFIEQGWPLLKGVAEFWASQLQVDKFNNDSSLVVNPCT SPEQGPTTFGCTHWQQLIHQVYENAIQGAEIAGETDSTLLKDIKDQLPRLDKGLHIGT WGQIKEWKLPDSYDYEKEGNEHRHLSHLVGWYPGWSLSSYFNGYNNATIQSAVNTSLI SRGVGLYTNAGWEKVWRSACWARLNNTEKAHYELRLTIDQNIGQSGLSLYSGGDTPSG AFQIDANFGYLGAVLSMLVVDMPLDSTHSEDDVRTVVLGPAIPAAWAGGSVKGLRLRG GGSVDFSWDSEGLVDKASATGVSSNVRIVNVEGTVLV AOR_1_672174 MRYPHFILLSPKDVASSSSFTQAKNQLLSTLDKAVHASNASEVT GIDPDSISFSLQVFNTKSDQPLLEYYHTAPSIRNSTVGVREVDADTVFRIASVSKLWT VLMLLIEKGDASLSEPVAKYVPELRDAAKELSHNATMRDDEIDHLRWDEVTIGELASH MTGVIREYASIDIAALSASTPGGFPTLPKSDIPPCGTKVACTRSEFFDGILKSHPILH TSSTPIYSNPSFQILGYALEAMTNQTYKSLLQRDLIKPLGLSRSSYDKPEDDTAIIPG PAMSSFYGVDAGGETAAGGLYSSTKDMSIVGRAILNSTLLRPSLTRRWMKPRAHTSSL EVSVGAPWEIFTLTNPRLIDLYTKQGDLGMYSSMLALSPEHDVGFTILAAGESTTEAV TLITDLTINTLIPALEDAAREEANTQFAGEYSSANASIKITTDDQPGLKVTEWTNESV DVRQLLVSKLGLQNASDLSVRLYPSGLKAPGRVGFRAVFQDNSEGDSGIGPVTRACTT WSSVDSIIYGNVGADEFVFGVDGSGRAGYVDFAGIVLD AOR_1_674174 MTKQRRSSSKRSRSGCITCKLRHIKCDESKPTCLQCQQSDHKCE GYDNASQSQLRRRIEAVQNVSRRPPLSGDHRIILRPETREERRWADFFQAKTAVAFSG FFDSMLWSYLIPQISEGEPTIRHAVVAIGAIHARYQMAADQPLADPSSTTQFVLQQYN KAIRHLIDRMSTIDSQNWEITLTTCCLFACLEILRGNKTEALDHIDAGLKMLYQHEQE GGATGRTTELYKELRRLYSKFNLEASFMGRTLYPLETTSQDVATSELALTNLSHARSY LDNLMNKGLAFIRAVDLDRKPRDSLLQQRLELEQLKLCYEFDNWLVGLNKLIQRMGPW IQQVDQRASLILKIYHHTSLIWVKTVLAGDENVFDLYISDFDAVVSYAGKVIQLTVEI DKRTNNQSMFCLEGEVIGPLYYAAIKCRNPAIRRKAIDLLLRYGKIEGMWNARRYAAV ANLVMEVEESACSGVIESERDVDLHARVYESLQPEVMERNPCQVLLLFKPDGVDSDFQ QRMEFVRW AOR_1_676174 MTSERNIVIGIWDLKAAGSSTVENPNTFPSRLENIIYGDKRVPV DREHIKPNGKYRSLVKLFMKFVKGEKVIWLMGTAWLIRSDLLVTAGHNVYDWEYNLGR AREIKASLGYWGEDSVDNTVQFRYGTMVATSSGWLATKDNRINDVAFIKLDRAFDGTD TEMNLFHFKATPVSGERRMGIVGYPGDLDRGNRPYEEFKNVKYDLSQASRNMLVYEIS TTKGQSGSPVLLDDYNTSIGTHVNGDFFENSASPIAGEYGGPIAALAATCVLFAGGVC ESALDPEGSVSGSDITAYAHHAILAEAAIQATNRLSREALNKLNFEENVREEYSTLAS QVYGIGSKLFPVLMESALRLALEAYHISESGQQSTPSAEAESLPKLGTLQYTKEGSNQ DSQTEAFIDSLLQNFWPTQGEERFFDQLGSVIVNGLRTSKTIQTMAPTGLCLLSSLLA PTQSRSQSTELDIYFEDLLDRALMAEATLRAIIFAKKTVRSQTVSISEDEKKAVLQRE GFYDLLKAGVQGIGRKVIESTPSVISKLQPLLMELLSPNKATKQLDIPIPGNPTQDLE TNLAFARKSVRLPEGPVVQRSTRLGAVASRYGNNHNLDGPSVAFSSLS AOR_1_678174 MASTIDLGKLTGASLDLEEIGLPSYESINAASADAPLPDKDVAT KAVQDAKEVPSIWFVNFPTKQAAAEKKVLADFMQSVQDYRCWASLIWWRTVYSNPNIP QDGKKESVAKRSAYAAKVGVKHMKTTPWLGMNVDHDVSKDIECSTSEFHTQLLEAVLQ GFVGVVPAVLQALEGILKSLAKTVEQSTTNTDSKTVVCERYEYIPEADVIRSYVRLIS FTVTDSMRNVEKAKKTERRVKCTIAYNEYEAVFNRKLWDANSEQIEAEQKKAADEFRK QQTVDCPP AOR_1_680174 MSTSYDISVQEPVHGQNDIKNAEEAIKRFREDDDPQTVFFLNFA PEFDGMEDLHVTKYSWATKIEQTVQAQYGKEKERHAIQLSWDRASYRAKLVDYLLRTT PWCIFGVDRVKDAEKHDLTGDYHHDKEVYKGATSDWLQASLPEVPVDRLQVIVKYIAE TLALGQGRLQGVQRFAVALSVESENEALNQPGLRTVVLAFDRKAKENQVEVTGTLYEA KVNNKLLHQQKFDQKMLDLGKPLVHEWTLDIAA AOR_1_3022174 MASKVPQDTPDSGIIIRAPIFPEDELLEREPKYAFPPIPISDPF FGGVPRTLMPGDIAAQAANVSKDFPSVWFVNFPPSLSGDQKQRLEQYQQEVLDYRSWS SLQWWEAVFRQIPPGPDKLTKMMQSRELAKVAYIDMKRTPWLIKSKDNDTNRRIECKT SEFHARLIQEVVSQFVQLDPTAIAAL AOR_1_682174 MAIREKAGFPAIRACIFDLDGLLINSEDIITFSTSQPLEKYKST PFDRTIRAQLMGIPHSTNGDTFHSWAKLLQAASWRGEDFVDP AOR_1_684174 MGRREEAISMINGALNIWSVHPVAHKNEIAHSTFLKGEILETTG KVQKVFHQP AOR_1_686174 MSKIDQLKPTAQQVKNALDKARAYWHDLAEASMEVFRWVLTNRE GRPTEPIHDDEWLDGYQRGRGRTKHFDGFFETARGICESSAHPDRDSLLADIYFCLGS IAMDTNDFNGSRIFKELSLDLVSSICNELGTVGERLYLAYEE AOR_1_3024174 MALCARYIPELVDQYSSPYLASEHFADVVRENIMSYMAQHSGID AVHALILLSLYDWGEGNGFQAWVYTGMATRMAQGIYLQTKTNTKDASCINFTKHETII RTVWACFVLDCILRCGRCGSQRAEIEVPDIPLPMGEDDFEFGSQSTLTPHFLVHSGTN TSIKVMGSDGKINGPQQILSLIVKGFSIFSTLSTWICRGGRRYPSPDSIEPPWKHTSF WSRSMTTVEAWRGTHSSRLVYSRSGGQMQAHILHNQVEGFALLNLLYYMSVFFLHREY TPFFPHRVSRPCGPIDPPLLEEVPPHDWWHHSAQKLFEATSIIIQLMQDLETRDVQFQ TPFTAFCMFSAASAVLYADTWPYMAPGLENAKEKYTWSLDWLRTASEKWKIAKCWCEI LGELSGIFTRLKTDGHDFPHLGREEFQDLHDNLHRLAEPESTTVNALAVLSQGAPLDF IGAACQSRLEDTDAGNSGLQS AOR_1_688174 MTASSEASKCALEEAQRLLISAEKAFIEHNPKSKAQHKVASEVL PGGNTRSILHTDPFPICMERGEGIKLVDVDGHEYLDLVGEMTAGLFGHTNPIIRDTII STVSKTGLNLGATTRTESLFAKAICQRITSIEQLRFCNSGTEANLHALSIARGATGRS KVIVFEGGYHGGVLTFAHGVAENNVDKEDWIIGKYNDVEGTRKLISENKGIAAAVLVE GMQGAGGCIPGTAEFLHTIQNETRANGMIFILDEVMTSRLAPGGLQSVIRNPYDGAPL KPDMTTLGKWIAGGMTIGAFGGRKDLLAAYDPRPSSGSSERKTQISHSGTFNNNTLAM NVGLAALERVFTPEACMELNNIGGWFHRGLEERCRGTKMTVTGIGAVCNIHFTSNVGN AGITSVDDLATESNGVEPILKDLFWYYAIRHGYWIARRGMLSLILGTSKGDLQGFLDV VEGFVREHREFLE AOR_1_690174 MATKDPSNKEVLLQETAQHLGTQALDTVDISRVSAPVPQGLGTE VPRWRPGSVIKWTAWRMGYDSQDDANYAAAQLQQAAEEWNKLNIGVTFEFVPLAKDAN FVLSHGGNKGTVLASAYFPNNKDLNFVYVYSFAFQPDWKPFLWRVFTHELGHVIGLRH EFAMNPGPYFEGDAVQINERNPLSVMNYRREPPEIQQSDVEATKKFYSFPAGTEISST AIVDYVPR AOR_1_3026174 MAGVVLFTLYLYTLTQYALAAETNCSRIFHSAVISNGKLYIDGG EMHTRWPNETITTKPIDDLETIDLTKSWVNSDTDLYTYIPKPLANNTAIYLDEGAAWS DGDNLFFYGGYVSGVNGPSVPPLGTWKYNIASNEWTHNGFSGAALVRLCQGGAVQSSS KAYYLGGSLNPGGNPSFAGTAGADVYMDPGLITLDMDKQEWTNASTADMNEWGTIGDG YTSLLESAGEEGAIVAFGGYKYSVGQTLSYLAYRQNETIHRNSMETVRVYDISEQKWY TQQTSGDVPGWRMSGCTVVAPAQDLSSYSIYVFGGMANTTALSDGNVYVLSVPSFRWI RVTDDNSIRIKHKCVRPQNNTMIVVGGNTPVTNREYDPLPQNCDSATFANGIGIFDLH SLSWISNYNASYSDAYRIPSKVSDVIGGSETGGATVVSPKDGFNSTSMESLFKKRNNA TDSGTSSTSTSSTTGQSSSGSKKSLSGGGIAGVTVGCVAGVGLIADLAWFLARRHRKA KAASSTRLAWQSDASAIPPLESPQKELYGSGPEVYEMGVRDQARFEMPAENMAVEMPA EGIKR AOR_1_694174 MIPLPQLLKRRYIFLVVSGIVLLAIVNGFYLNLLPVPSVIRGSA ETEGAQRPDFDEAAPHPIDGLIRDAQAKWDQLLSKRVDSLADATKQYRDRRGRHPPPG FDQWFTFAKQKKAVLIEDLFDQIYDDLSPYWGLDPQVIRRHATHSDPRIIIRNHTLSS VGHTGVNWLEVWMDMISTIQEFLPDMDIPLNGMDEPRIIVPWETVSEYRAKDRDLQQQ LDPRMAVDQYMTLSSEEQSAEYSPPAFQGADKPFWDIMRGACPPESPGRTSNIQKVDF ANPPAEFFNYRNSFSENGYVRDFERSKDPCWRAELQALHGSFIEPTTTSTTHELIPLF GGSKLTVNNEILIPPAVYWEDNPTYSGGKSNHGGPWSKKKDIVFWRGIASGGRNRVDT WTGYQRHRLVSMLNGTEVALTNGSSHGINFRLPDYQYYQVTAGLDGALPEFLNKHCDL GFLDLCCFPLEGSPHCSYTDPYFKLVKGMPMKEQYAFKYLPDVDGNSFSGRYRAFLLS TSLPIKATVYKEWHDSRLIPWAHFVPMDSLYMDVYGILQYFMGYKGRDRHDKQAEKTA MDGKSWAEKVLRQEDMQIYVYRLLLEYARLCDDQRDSLAFVGDLMNI AOR_1_696174 MSFRTTPVFLTTTKGWEQARIHPALAWMEKCTIAWDTRESWSTP WSDWVTDDYTYIKPNGERFTGGETAWEASKADYSAFKLSHHEPKWVCVAEKVDGWEFV GEATLFIDLPKEGIKKAVDLEGRRWDLGVECMFRFNFVKDWTAKHDGIRIRSMQIYSD SGPVVGEMLRRGIMKTEDLVR AOR_1_3028174 MTFFTRLAFFSISHLLRPNKDTLLGAIYVNGTGVTPASVIKLLG DQGGSDPVSFQPDNFAIEFTAPDNADIEISYSLVNKHDAAGADGTKLINAIGTAIGAA GAATGTSSITSILVAVGNALGALDGLCDGAVAGQSIFLTPTDLQKLAPFANIDCFQFS AILFCFKLFVYFEQCLGPRCDIDIDYYPSLHEHRCGWNIIGQDKEVVGLGSRLNVVHM FNCVCGYL AOR_1_698174 MSTQDCMTLHSLAAVSPRSATRPPRVLSAGVQCIPATGVRQRRR RFPERELLERLRRYEALLRQNNIPFDPLHTPIGEGPCQSENPRGSSTPDGTADSTVGT NQLSNEQKTVKCEYSNEAKNFWYLMNQMSLGPGDENNDDEDTDENDSNHPQEDVHEDV VNKAWDQIYQSHEQNVLFGSCNTNVDISTLHPDQIQIFRLWQVYLDNVNPLLKVTHIP TLQPRIIDAAGDVANISPTLDALMFNPRSVSSILGVAIRIAQRMGIENETTNARCTAL EGEMRRRLWWSLILFDNRISEISGHKTTMLTPTWDCRVPLNANDFDIRPEMKILPQSH DEPTEALFTVVRSKMGDFMRQSAFHLDFTNPSLKVIIKDTPHGADPEGDRMTAFERMI ENRYLRLCNPENPLHFMTIWTTRGQLAKNRLLEHYSKYASVQQTDEQRDIAISHALII IECDTKLMTSPLTIGYRWLTDFHFPFPAYIYILKDLRKRPTVEHADRIWRTMSDNCEA RFKDMQKVNPFFEFFAKIVLEAWEAREAVFRPINKPLQPPLIVLNVKRVAQTTGGIQR SDLKQPIVGSNVNTDHLPMTMPMDFGAHDLLYSTEGHDFPGPDLGGYLDIPGQATMDV EVNQSDWTTIDWYPMHSQNW AOR_1_700174 MSSGVGVDNDCQAKFLEMKLRQKYRYVIYRLSADNKEIVVDKTG SIDSTYDDFIEDLSEHECRWAVYDFDPKLDGDRQIRKLVFISWCPDVAHIRSKMIFTS SKETLRRQLVGIGLDISGTELSEISFETILEKFE AOR_1_702174 MGVPRSNGCLLCVQRRVKCDEGLPGCARCAKYGRPCPGYDRGFK FVAGKPYRSKRRPGSKTAVDDTHLVDISSGKASQAMQQFLARRASPLWLRSADTNVMQ SLDILIGDVSQPFPASSTYTTTRWFTFLPAIYGRNTTLDASIRCFVAHHIGMMTENKQ AVMYARSTYVEALNRLQRSLYNLTESLSSEILCAVLLQCFYELFANAHDSTSWIKHAN GLSQLVKFRGCDSYQTEFDHTLLKASRGLIIMHSLFSKEKCFLTSTDWQSVMKQQFDS TFPAVIFAQVEELFAYYTTIPCFIHQFFDLRQADPTHEKTQLKASKLLNDALDMQNKL STWYDKFSQTAPLPTEVLSSMGDTLYPIVYSFTDVDTATIFAAYYSYMVIIHAILGAC HYPGEHAAMVVYYRDQICMSVEFNAQGMLGPSRLGFPLLVVNEFADPPMKLWVQGWLQ RLSKTYKVMLPQNYER AOR_1_704174 MSTLVFSPEKRHIAIAEVVIFSLIQIIQCTTRFVQEWKYWHHDK QKSVPRCIFYSWYGLIGLVAQLRIAGSGMVLSGSNDTKVIITEAILQGIGLSPLLFEV SLVMLRSGQTGRTGPGNSRYPKTIRFLLHFFRFPVFFGIVLIVVGESAAVYACKVVGS VLLVLIFAFGCGLFSWLAVAYRSVLPRAGHRCVLLVLAALPFFVVRIAYMLLAQYGPR RFDPANGDGGVMVGMGLLMEIMIMIILLSARAVAEPIRGKVDSGVDEARV AOR_1_706174 MATPAGVPLELLYHITDYLHPKDLISLLFAFPEFANRLTYRRLS ATDRHQRTVLHLLADPEVGPDEPELRDMIITHLIQFRAIPVNIRDIYGYTPLWIAADR GNEEMVKLLLETKDLEPDIPSLSGVLPLGQAASRENEAIVKQLLAHGGVNPIREHSPN GKQVVLAPLATAAMAGRAAMVKLMLGHVPREQLEPTAAMAFKYAANFKHKDVMEVIIN SVGNVNFQIEKGQSVLLYTYSRFYRGIAKQLLTKDGVDAGCKDNIGRTLLRIAVDRED TEMVKLLLARRDVEVVFKGSNGWTNLALCASNVEKVERMITLGKLEFL AOR_1_708174 MFRPASRALLRAPAVARGPASRRLISTAPAESKPRSWKNTAVRL GLAAGAIYYYNTSNVFAENPSFSLNNQLKKNSAEEPLPTLDSIKPRIREERESAAPKP NAEQAPAQELPFGEGAVKSPQELEEEAGQEAAFNPETGEINWDCPCLGGMAHGPCGEE FKAAFSCFVYSEEEPKGMDCIEKFKGMQDCFRKYPEVYGAELEDDEEGAPAPAPEGEA QNAAPLATEIDAASHPEEKRARAKETTAQVKSELSQKGELPESDELLPKAWHDTENKN ETQSEK AOR_1_710174 MESSPPRSSSTASVAGVKRPAALLPAFEPLSSSPSLPRPQKRVA RDDHGIVSTYPTPVPTSSTHIMSSSPPRMASRRTLTSSNSERTPLSTVPTMMLPETGE PLLMGRSSASCHHQLAANRMISRVHVKATYKPAPNPFDRDRVEIMCMGWNGIKLHCQG KTYDLAKGKTFTSDIKDADIMIDVHDARVLVQWPRNERKDYASTDSEQTWEEATPRRN RQSRRSLHDSPNVERRRLASPVSPSPAVKSLIPPSSPLYTPTRARNAVVVFEDEPSPV RRKSSGDALLSEASLQSTSSIGDLLQSSQSSDLSDLSKPDDLSDHDEENDPIVHSFGP FGDNLLPRMASFTADGSPLRPSRPRNQHPAEPLRPAHSPRQPSKPAERVDLALSKPLD ESFERVQNHAINQLAFSRLSSTPFSTILNNLPPSLWRRDTHSREGPTRDEIRVIIDTT KCIGKVAREGKDAAGKPLESEYYYIPDYDDDTMRREAVVHDLRKPGLRNCRKQHKQYF WRELRNLNERAWAGESDIFPVSRSLDSALKKNTAFIKRLRTGISASAQQTFLADIRTL SLHKYLSEIISACYEGLCKLKSPGEIATGVEIASALHQRFGPAEFTRQIGWLLGRGLS TPDKGQLKALSQEVREREEKERLSRHRVLLRVATELWLVGVLRTLDDIERPEDLGAKG KDGVVGIGGKASENPVKAKVPSAVRDSDKEAEPFPLEVLKDLLGHDRDHTNLPLAVLF VKSFSWDILGAKTVEEGRKTVEADGATTPAEATNGEEGAGDVTTAENDPPLIPEKTQA RFKSILNRYLEDVKAHVVRDQRALAAQSRRNAEAYVKSGEIFEDRQANFEKQSKSLEK LVANTQVLCEALGVEMPALAEQESADPASSGGIGLVKTSEYLRGHGDGAGIWEDEEER RFYENLVDLKGKVPAVLLEDGKKKKTDSDEAGKKKDGEDLEKSESAQGQPSEEKAAAD ADDQSMAIASKTVGAQVDALLAKLPDLQTKDHVDQLALDFCFLNSKASRNRLIKAVSD VPKGRIDLLPLYSRLVATLGQYLPDIPQGLITYLDEEFRSLQRRKSKEFLGQVRMSNV RYLAELTKFGVVPEHIIFHCFKVSLDDFSRMNIEIIGYLLENCGRYLLRNPETSPRMA SFLETLGRKKTVQHLGQQERMIIENAVYYVDPPPRPAIQQKERTPMESYIRRLIYLDM NKRNYTRILKSIRKLHWEEQEVVDIIERVFSKPVKVKYGNIHLLAILVSALYRYHQEF VIGIVDNVLEQITLGLEQNDFKFNQKRVAEVKYLGELYNYKMIDSPVIFDTLYRIVTF GHEGGTPIPGKLNPLDLPDDFFRVRLVCTLLDTCGHCFDRGSAKKKLDFFLTFFQYYM TTKDPLPMDIDFLVQDTFSMTRPQWKLVTDLEEATRIFGEAVAQNYKTQDAERPAEPD DEEAESSSSDEGFEDDVMPEVDEDGESSDEAEASGPNAEQNGDSDSEDEQIFVTRQEE ERDPEAEAEFDREFEKMMAESMDSRKFERKGVFDIPLPMKRAPRDAAGESAPETSQPQ PQPQPQPQPSTMAFSLMTKKGNKQQTRTIDLPSDSSFAVAMRSQQQADREEQQRIKNL VLNYEMSNEAETAEALEKRSPRDSRVDKSGGNRTAFRSRKLQLSDVNW AOR_1_712174 MKFNAVALTLATAGSLVAGQHHNAHRHHHKRTVDTQVVEANGAT VVTYEFQGQAVSSEFVCNGIREGKLRYKEGQPAVDACQSSAPVSSSSSTAAAAPTEAP AEFVETSSATPASSSSASATSSSAASSSTPTKSTSSGAKGLDSDFPDGEIDCGTFPSD YGAVALDYLELGGWSGIQYVTIAGEFVSKIVTAVTGDSCTSGAMCSYACPAGYQKSQW PSTQGATGQSIGGLECKNGKLYLTNPTLSKKLCIEGVGGVHAQNNLGEEIAICRTDYP GTESETIPLALGDNELQPLTCPDGETYFKWEGKVTSAQYYVNPKGTSTKQGCQWGDGS KPIGNWAPINLGVGQNNGKWLSIFQNSPTTSVKLNFNIKIQGDNLSGSCKYENGKFIS ETGSNDSGCTVEVLSGEATFVFY AOR_1_714174 MVSSTAVTTKVASGSPYQLEKSQVSKASSALLRHIKSKQVEKEK SATKKTLIGDNDESDDETPLNNEAVWLVVTTKKHVVDKNRLKPGKITVPHSLNDSSNL SVCLITADPQRSVKNIVTDPSFPEHLTSRIDRVIGYSKLKARYQSFESRRQLLSEHDV FLADDRIILRLVNTLGKIFYKSSKRPIPISIAKVEKKDGKRVKKDPKQKSKEEDSAFA SPAIVAKEIEKALHSAPVQLAPATTASIRIGSSKFTPEQLSENVDAVVQGLTDKYITK GWRNIKALHIKGATTMAMPIWLANELWVEEGDVAEDAAEDDAKALEGAKNKKRKQSAE DEKLLEGTKKSKKPKAADEDEDAAARKEKLQKQKAKALEDGNVAKTAESVTKAGKKKR KSTS AOR_1_716174 MIPIARHSALRAVRSQLAPRAFNQSALARLLSTLAVLEQRDGKL QASSLSAIAAAQKLGGPVTAFVAGNGVKGTSAAEAAKIKGLDKVVAVDSEAYEKGLPE NYAPLLVENIKKGEYTHIIGGHSAFGKSLLPRVAALLDVQQVSDITGIESEDTFVRPI YAGNAILTVQSSDPIKVLTVRGTAFQGVETEGGSAEVVEGVDPKSPAQTEWVSEELAK SERPDLGTASRVVSGGRGLKSKEEFDRVIVPLADTLGAAIGASRAAVDSGFADNSLQV GQTGKNVAPQLYLCAGISGAIQHLAGMKDSKVIAAINKDPDAPIFQVADVGLVGDLFE KVPELTEKLKSQA AOR_1_718174 MTSPPSSFPPSFDPAIHLHSQGPDDIDILPPSESFSDEFEAIHL QRQEHIRNKVVILDRAWNLSDVFRSDEDIRPETPTKPSRKPQLGNLNSPVRPLVFLPS SPPVTKMPFISSPVVFPSSAPSPTDPQKRKNGEETQSGEPKRQKIMGGFLDDDDDDED EMDAFGDAHLRSQFEIEDQLIEQPPVAPTEPTSTSTFTSIQPQTTAPVTSLPTSFSLP RREPLSIQIKTCSGKTHNVALRKTAAPVSYERLVAGRSTTAPGRAKKSYYGIDIHRLM DEAAQEAEQSKTSRPPPVPAVRQSIEAAEGNQRDRKAATIMWTEKYRARKFTELIGDE RTHRSVMRWLKGWESIVYPNLARSKPKKSGNEEERPHRKVMLLCGPPGLGKTTLAHVC AKQAGYEVLEINASDDRSKDVVKGRIRDALGTENVKGMNVEVGEKKVRKAARPVCVVV DEVDGVVGGSGSGGEGGFMKALIDLVLLDQKNAARSSEQNTAGRKRKGDNFRFLRPLI LVCNDVYHPSLRPLRASSVAEMIHVRQAPLENVVSRMRGIFNLEGIPADNDGVRRLCE ASWGVARRKQANFKNSGTAEGDIRSVLVAAEWVAHKLKYESVESMRLTRRWLEQNVLS EAAGGNSFFKGLNRGGVRDIVERVFTEGAGFADVPINAESFRDPFDDNAKTPVGVADL KKRYAINRLREMVDASGDYDRCVTECFTSYPLQTYQDDTFLSKPNNAYDWLHFHDTIS SKVFSNQDWELTPYLSQSVVAFHHLFASAYGKRTRNEPDDEGEEEHPFSGPRAEFAAN EALKQNRAIVNGFQSSLTAPMLRLFRATDCLVTDLVPNLMRMLSPDVKPVVVRGSGEQ RSTASVRKESERALVQAAVRVMTGLGVTFEKVRVEGEGGAHGGWAYRMEPPLDSLVTF SKTKGHSSTGTTGAAPVRYAVRQVLDQEYRKETVRKQTEALSSTTGPKKSAGKSADGQ TQDEGQGAKRSGREAGIKRDFFGRIIREPSPQPGGLHEGPVQNEASKAGRKVWVTYHD GFSNAVRKPISMSELLAGL AOR_1_720174 MSLKPIILHGHSAGPNPWKVAMLLNELNVPYEYKYLQFAEIKSE PFFKLNPNGRVPAIEDPNTGITLWESGAILEYLIETYDKEKKFSFEAGTPEYFHAKQW LHFQMSGQGPYFGQAVWFTKYHPEKVESARVRYVNEIRRVSETMNNVLADREYLVGDK YSYADLSFVPWFGIIPWITGDAFDLEKDFPHLNAWLERIKARPAIAKALAEKAEATSK MTHP AOR_1_722174 MEESNVISSGAVDDIHSATATQDAPGEVINPSDAPASPKAQNTE GEPEDEEMGGTETEPKKESEGADENADGVAQSGAEGATEDQSGQGKSSIESSARSHLV SQTHAIILPSYSTWFDMHTVHPIEKKALAEFFNGRNRSKTPAVYKDYRDFMINTYRLN PIEYLTVTACRRNLAGDVCAIMRVHSFLEQWGLINYQVDPQTRPSNIGPPFTGHFRVI ADTPRGLQPFQPGPNHFVKPGKPLPATERAASAAPASKADLNLEIRRNVYDDKGKEIT PAAEDKEKQTNGDGSTNGATGDAVKAMETASKEPRKKSHCFSCGIDCTRLRFHYAKST PATANASAPDSKYDLCPNCFLQGRMPSSHSASDFVKLEDNAYSVVPDKDAPWSDSELV LLLEGLENFDENWEQIANHVGTRTKEECVMKFLQLEIEDKYVEDLPDMRTAGGREPIS QSENPVLSVVAFLAQMAEPAVAAAAAGRSVDEIRKELRKQLEKGSDKSQEKGKEKEGS GVKTEDSMDVDASTAEVVETSSSDKQSKASLPTVALATSAARAGALASHEEREMTRLV SAAVNVTLQKFEIKLQQFNEMEEIIEAERRELELARQQLFLDRMAFKKRVKEVQDTLQ AVSLKGPGEDANNMLGEAATAGIGNRFNFQPAGSDARAGVQPLSAEAGADYKTLDL AOR_1_724174 MSSSSLYEPEEDDEASDSDIETGNTQFTEPPSSPPSHRTRLSSP PKLDGRSSSRPAQTPRRVSRMQMLEHLQMLLSKESVEAYSDLLAQTTDDHMSVESKPG SGEYLPTQAGIVSWAPKEKEIFFNVLDRKGKDGIREIAGAIGTKSELEVQEYLRLLHM RLEWQHREETHSRAIVLGDVPAAEEVSSECCDFLDEYSKLLVLKEQQDEDVAGRQRHH DLWIIDRDKAELVEELESQDQKSQPDSSIYHTASLLNIPRWIRLSERLFMNFGGARLE DNWTNIAYAGEAPAITADALAEFYTLTVSVTRRLIQSTLFFAMSRLRNMRDTGNSKAK VVKPRDVRTALDVLKMKRDGFDYWTGVARRCCLDVSDSRHRKGWKAVRLSHDEVEDML SNRVPIDAESNRSTSRQMAQGNTDGENTDGDEDNASDSGSESDPKRSPSPSALLSPEI SSDEDEIPSDAENQHAELEDQKASCLGELELWKALDRPAPAFLVSIKDENQEKKTRKP MGARKTQEELTDWRERTLYRSDWEEYGHEVYDIYEEISENRRKRRRLDNSRDPSPVPA DDENNQADGYKVEPTAGEYDEDINPGADDHKDIEMDDATAPDQNTTIPQDEEDPEMNN TRITQTTNPKTNQKQTNESDSSSPTNTNLGYRSPILTRRKRIQPEPKQEEESSSSSSD DDLPATREYPSSEQDDGDGMPLYSQPMSPANWPSD AOR_1_726174 MECVRGKLGRVPVSRLLLRPHRTSWRPGPSQHHFRLSSSVPAAR ESPAIVLRDYQEECIKSVLDNLDQGHKRLGVSLATGAGKTVIFTQLIGRIPPRNEKDN KTLILVHRRELVEQAARHCRLAYPDRTVEIEMGTSKASPAADIVIASIRSLTNGDRIA KFDPKQFKLVLVDEAHHIVAPSYREVLKYFGLNETSHDSPVLVGVSATLSRADGLKLG AAIDHIVYHKDYMDMIDEEWLANAVFTTVQSEANLSRVKKDSFGDFAVGSLSKAVNTD RTNDITVHAWLANAQERKSTLVFCVDVEHTKQLTETFRAAGVDARYLTGKTPKEVRDD QLQRFRDQEYPVLLNCGLFTEGTDIPNIDCVLLARPTRSRNLLIQMIGRGLRLHPGKK DCHIIDMVATLETGVLSTPTLFGLHPDEVLANANGKDLKHKESDPSPSTDLDNSPGPD TLDDINLTFTKYDTIYDLIMDMKSEKYIRSSSRNAWVRIDENKYILSDSTGWITIDKS TDEHLKSPKDDPQRPLWTVQYVQVYKNPTTEKNTYTRPRLIATGPDLESAVHAADTFA ASEFEDLYISALQPWRRRPATWAQLNFLNKAKIRRDALRPEHLTKGQAADLITKLKHG GKKRFEKQAGRRRKEDEKAKELEAFRARERVRVGPVLM AOR_1_728174 MHRRSSGSPVEDDAEDSLSSRIPPEPSNGPNVVDTPEKSRSQVA RTGTSIDLRRDATGASTPRSRNSSMWRTPPSSSMTSNPPDCKSSSVMMPLASQRLPIE ASPDHQRRYRPSRLRSPWPCSILTAFTTLVASIFLFFILRSFALRQTGGDGCGVPVMS PTFIRMVGFDTEHTRFASKYNLYLYREGGVDPYSQENLGLNGVPVLFLPGNAGSYRQV RSLAAEASRHYYDVVRHDEDRLNAGTRSLDFFMIDFNEDMAAFHGQTLLDQAEYVNEA VAYILSLYHDPRRSRRDPELPDPSAVVLVGHSMGGIVARTALTMTNYQANSVNTIVTM SAPHAKPPVSFDSDIVQTYKQINDYWREAYSQTWANDNPLWHVTLISIAGGSRDTVVP SDYASISSLVPETHGFTVFTSTIPDVWIGMDHLSITWCDQFRKAIIKSLFEVVDVRRA TQTKPRAERMRIFKKWYLTGMETVAERTLPRKEPNTLLTLEDQSNTILSQGKRLILRD LGHRRGPNVHLLPIPPQGVSGKKFTLLTNQQFDKSGDHGSLEVLFCSVFPLQNGKPAT AFSMNMDFSGGTSGSTRLACKNAAEDGIHLPASTPSSKRPYDRVQPFSYLQYDLEDLA EHQFVAVVDKANSPTKGFVLAEFSDSSDSVIRARLGLGSLLSAGLKVRLPANRPMLTE LQIPAVHSSLLDYRLKIIRKNHGQQQELFAPLLRQSVADPHESKFFVNVKNVNVNLHG LAPFMPPPLREQATLGGVSFHLWTDPSCDSTIDISLSVDIAGSLGELVMRYRTVFAAF PLLVVALVMRKQFQVYDETGYFITFAEGLDSALRSSLPMLLLAMSLLASSLATSTKLP PTDDPFHWRTNSTESPIDFTKNDLLLGSQDAFFWFLVPIFGLISVGVCLVINYVALAL IFLLTSIYGFLRSKSGYIRRDEKGNLPIFSSASPRRRLVNSAILLALVSTVIPYQFAY MVACIVQLATSVRASWHAKEAKSTTHYNFANFAYSIFLLMLWILPINALVLLVWAHNL VVHWFMPFSSHHNVLSIMPFVLLVEAMTTGTMIPRVTTRFKHVTSMLFFFIAIYSAIY GVSYAYLLHHLTNILAAWLVGIYFSASGFSLSRLWRVLEGDEAVQNPASGSHTKKKP AOR_1_730174 MGAEAHEKRPGGNHTPPPDNDEMEHMSIGRYLWTRLPTLVPPMN PAPNPFKALALLNRQQWLFFTVAFLGWSWDAFDFFTVSLTTSQLAEAFDKSVSDITWG ITLVLMLRSVGAITFGIASDRWGRKWPFVINNVLFIVLELGTGFCQTYKQFLACRALF GIAMGGLYGNAAATALEDCPLEARGIVSGLLQQGYAFGYLLATAFARGLVDTTPHGWR PLYWFGACPPVLIILFRLCLPETNTFLQRQATREEVRGGVASSFIAEGKTALKRHWLL LIYLVLLMAGFNFMSHGSQDLYPTLLKSEFSFSANAVTVTQVVANLGALTGGTLCGWA SQIFGRRFSIIVISIVGGALLYPYTFVTSTNVMAAAFFEQFCVQGAWGVIPIHLMELS PGSIRTFTVGTAYQLGNLVSSASSTIESTIGERFPLPPTETEKHRYQYGKVICIFMGC VFAYTIIVTFLGPERLGRQFDVAHDTDMAEVAAHRGTTTVGEGAESDVEKGTVSRIEG AOR_1_732174 MDIKEKPTLYAFALGILIHILYFRIVQLRHKTLYRKLADLHNEY GDFVRVGPSDLSIIHPKAVNTIYGFKSACTKSAWAAHDKHRRTWTPGFTDRALHGYEK RIQVYRQKLINQIKSMEDSKPLNINTLFTWYGYDVMGDLAFGQSFDMLVKSTTKAFWK FNEYWGQLFKMRMATKQEIPDISACLLEPLKGRAPTPDEFNVLLGDASLIINAGGDTT ATTLTTIIYELARRPGEVQKLRTELVSCTTDPNGEYTQESLAILKHLNGVINETLRIH SPVPSYIPRKTPPEGINIDGTHVPGNMNVSCPQWVIGRSESVYQNAQNFIPERWYLYP KMIKERSAFAPFTTGPYTCVGKPLALMNIRATIARLITTFDMELPPGDDGRALERSMR EHFSIYMAKDIQVHFQKRAI AOR_1_734174 MSINLLEKTSIMSSGILKVKGNKIVDNDGNEVLLRGAAIGGWMN MENFITGYPGHESQHRTAMKKVLGPEKYEFFFDRWLEYFFTEADAKFFAGLGLNCIRI PFNYRHLEDDMNPRVLKESGFKHLDRVVDLCSKQNIYTILDMHTAPGGQNGDWHSDNF TSYAAFWDYKDHQDRTVWLWEQIAARYRSNPWVAGYNPLNEPCDPEHVRLPAFYERVE KAIRAVDPDHILWLDGNTFAMEWKGFDKVLPNCVYSIHDYASMGFPTGERYKGTAEQN AHLERTYLRKVQPLNEKGTAIWNGEFGPVYADPRADAEASTINQERYNLLGEQLRIYD KYNIHWSIWLYKDIGLQGMIYTSPDSKWNKTIQPFLEKKNHFWLDCWGRRPSAEPEAA LKPLVEWIDKVSPQAKETYPTPWNTERHLLRNVFQTFLAASFADEFAELFRGMNEAEL DSLARSFHFEECVQRDGLNEILREHAHARQA AOR_1_736174 MASNNHHPPRPSLPMSYSQSSIGSANGMSFSQSQLGSFNASQSV ATTPRGTPPPKGSQQSAMSFTYSNGLPHGARGSFNGFEDMNGYGAMLTYQEEIKPQIY RAVYSNVAVYEMEVNGVAVMKRRSDSWLNATQILKVAGVVKARRTKTLEKEIAAGEHE KVQGGYGKYQGTWVNYQRGVELCREYHVEEMLRPLLEYDMGPNGTTGSAQDSLDTPTK EQAMAAQRKRLYSGMDNRNMSQPQQGTFFQNISRTAATAVNAMSKARFDSPAARGIDG RRSSVIRKHSNQTGSQESQPPFASQQSMYSMASDNGFGNNLHHNGRHPHDTSAFDHDD FVEPPRKRVRSSSNNAHSFGLHYEPSALSMTEATPTEPNDSFYQDMDMSTSMVDGNKR GVEPLPPATTPERFQKMKLIMTLFLDKRTKDFSNHPALMQLTGYDLETPLDEYRNNAL HWAAMLARMPLVHALVEKGVSIFRLNGAGETALQKSVGTRNNYDYRSFPRLLQVLAPT IDMVDYSGRTILHHIAVMAATGGGGHVSAKHYLEGLLEFIVRHGGSALSRQTPNGLEN GANTEQPGEVITLGRFISEIVNLRDDQGDTALNLAGRARSVLVPQLLEVGADPHIPNH TGLRPADYGVGVDMVDGNSQSQQSGTKNDSFMDQLAKTKKEILDATLAQISTIVQETL GSLDKELATNLAKKHEKFEHWHSKIRESAKARQIEQKQLDELRRKASDRIGLSRRFKN LEMSSEDLLVLLKSIHGDSYDASRMMSVGDADKDSGIDIDKFEVIFPENFDPTSGFSE QQTAFLNSLPSAAALQQRIQGYEGFNEEIMNEIDRLKSKNVVLGQSYRRMVMACTNWT AEQVDEAAEGLTECVKELNDNPVPEDEAIEILMKDRGQDW AOR_1_738174 MGRKEAVEAAKLALENPWKQHSWRHYFRALLRQCSYLPDPVAKC NLHDHVVRRFRRYVIEERRPWIRWNAERQIFLFKEVKDTLSLLQRANAGYSKPLEKVI RMAYGRKGRRRKELMSALIAPDVPANHTAVEEALQRPENYEDGWEPPAIVMELLKSQQ NNGILSQLNIRQVKHLEPPIPKKAIWGDGIAKSRRRNIRKDWYTDMIDHLLPPLPDPD LSILKGLVSGEMPWNPPEWRTPVNLSERQKKIRRLTRSYLRTLLKDGPVKGPTFKPYT KGRPHVITRRFMQRIWRRTLCLIPHMEWDETAKKHIFTWDSAKPTLRLGIPGGPEIFE DVDEQGKVTTTPTGLLPGGIRDKGRKPYRETPFELGLEPKHELNEQRSNRPHDLALFG VKSNRSSSKSYEKGSRMLSDKSPRKRDR AOR_1_740174 MFTYTQSLFTRSALLSGKSSLTQLSHHRALSFSSRVMSKNDWSA TQYLKFEDERTMPARDLLARVPLQAPRRVVDLGCGPGNSTAVLATRYPDAHIVGMDSS PDMIQKAKATLPAYEFSVEDLRSYSPPPSVDLFFSNAVFQWLKKEERIPVIKGLMETQ PSGGVFAFQVPDNLMEPSHVLMREVASNGPWASTLSNVGRDTFQSPQEIYDQLKDLSS EVNIFRTAYHHSLENHSAIVEWVKGTGLRPYVDPLSPQDKEAFLSEYLKRLESAYPKL IDGRVLLPYPRLFVVAVRK AOR_1_742174 MSDQYGGHQYNQYGAPPHGNNQYGGYGGQPGNYPAQNQYGQPPQ QGYYQSTPAYDQQPHTQHSSYGQQQNYPPPYSAGPQQGGYPGDYGHGQGSYMNPPQDG GHSPYPQQQYQQGASASYYGASSQSGYASHPGQAPGLAGQEGERGLGSTILGATGGGF VGHKLGGGVLGTAGGALVGAVGANLANKAHKKHKKEKKHKKDKKHHKRKSSSSSSSSS SSSSSSD AOR_1_744174 MPAAAASSVPAVSSKETAQSLSVLDDLLKNLNISSTQDEVNAAT DNIAHLLSGPIPEQALPLKLAEDLKKQLSNKKDANARQRACDAIRAVASHATIAPGVE PHLVTLLRPVLAAVGDKMTNVKDAAQSAAIAIVKGINGNAVKAVIPPILESLESAQKW TEKLCALECLNSLIETAPAQVSYRVPALIPAVSEAMWDTKAEIKKAAYSTMEKVCGLI VNKDIERFIPELIKCISKPENVPETVHLLGATTFVSDVTGPTLAIMVPLLDRGLVERE TAIKRKSAVIVDNMCKLVEDPQIVAPFLPKLMPRLTHNLDTLPDPEARGKTEQALATL SRVGDVKDGKIPEISTAGDISTVAGILKEILSPKFDEQVKKSEAIINYVAAIAGQLVD EKVGEVESWTQNALPYITAIVGEEEAKTVAETLRKRASPDAAAEDAVLSDEEEGEDLC NCTFSLAYGAKILLNQTHLRLKRGQRYGLLGPNGTGKTTLMRAINNEQLEGFPKKDEV KTVYVEHDLDSADTEQTVIGWTMKKLREVGLDPKQEEVEAKLEEFGFLREQLNGPITA LSGGWKMKLALARAVFEKPDILLLDEPTNHLDVKNVAWLENYLCTSPCTSIIVSHDSK FLDNVIQHVIHYERNFKLKRYRGTLSEFVKKVPSARSYYELGASDMEFKFPEPGFLEG VKTKAKAIIRVSNMSFQYPGTPRPQISDISFQVSLGSRIAVIGPNGAGKSTLVNVLTG ELIPTTGDVYQHENIRIAYIKQHAFAHIDNHLDSTPSEYIQWRFQTGEDRETMDRANK IVTDEDEKAMDKIYKIDGTPRRVIGIHSRRKFKNTYEYECSFLLGDNIGMKSEKWTPM MTSDNAWIPRNEIIQSHAKMVAEVDQKEALASGQFRPLVRKEIEEHCAQFGLDAELVS HSRMRGLSGGQRVKVVLAACSWQRPHVIVLDEPTNYLDRDSLGALSKAIKTFEGGVVI ITHSREFTENLTEEVWAVVDGKMTPSGHNWVQGQGSGPRLTEKTNEEDTFDAMGNKIE APKKAKKLTSSEQRKKKKERMARKKRGEEVFSDEDDF AOR_1_746174 MAEVSIAYPTSLNTLYDEASSLKQLYEPIQLADAEIVPILQLPL AKVVFGHDSADESTLARVSSGEISYTTFLANKTKSISQTQLDGSTPEQRNSQLLHIGL AALFSFLQSNVTGPPLSFSPAELIIPSILRTNPTSLRAVRAHIIRDLSVDGEAAYRLT PNVELFAVAKAILADTDFLLTNGPLVARTARMRVNFLHQKMLSEITGTLQDAIYSDME QISSALLNGNAAGSETAKFLLERALIHTHHGLDSKARADIDKAAAARNFKFALTGKLG KRTKFQERDISQLVIIAKSADNATDTNVNSTSDSEPSGPKNLDLNDDTLLESISFTKD EKQQSQDNQVTIQDESTLPPALASLDPGNQPKLSPVDSAILLALAAAITNTTPEDGLT REETHPYATRVLEGGSSNWQIYTQGLLARSRIEGYRARTVERSVLQMQALVDQVIADT ATLDSQATSTVEEPTTFLPRPEKSESASAAERLEYVWLLNFSTRWDLEAELASRWVNL GGLRTALEIYERLQMWAEAALCYAATEREEKAKSMVRKQLYEPTNRELEDENEKFEGP ELSPLPADAPRLFCILGDMDSDPTMYERAWEVSNNRYARAQRSLARHYLTLKPPALEK AEEAYRKSLQINRLNHGAWFSLGCVQLELQRWDDAKASFTRAVQLEENDAESWSNLAA AILQTPQPDESKPTSVEQLPDEEGELETGKAPEDPHKHKREALVALSRAAQLKNTNSR IWDNVLTVAASIPPPFTPFRDVITAQKKLIEILGDKKGEKCIDLPIVGMLVDYLTTAY EYEDLVIKVDNGSKPPQQVVRSGTIAGQILSLVDNSIVPQITHSASLWLLVARVEQWR GQPSKALEAHEKAWRATVASSTQGAFQMGDEKKWMEIVRATEKLVRNGYSKYGPMDKE GQEDAGEAELVAKDWRFKARSAVRGILGKGKEFWEDSEGWSQLKELQSEVGST AOR_1_748174 MSRKFPEVQGGGSLIVAWQVKSKHVLVVGGGEVAAGRILHALNA DAKVTVVCPVSGLNDEVAFRVSEGQVSHVDRNFEPTDLDGADMVLCAIDDPDASTRVW KLCKEKRIPANIADVPSECDFYFGSVHRDGPLQVMVSTNGNGPKIASMVRKKIADTLP DNMGAAIENVGKLRKKLREVAPNVEAGPKRMKWMSGVCESWSLDELVQMNDKDMDSLL AHYESGKIPTFDEVRSN AOR_1_750174 MRGVQIFSGTSHPTLAKVVCDRLGTQPARADLGKFANGETSVNI GVSVRNQDVYIVQSGSEKINDSVMELLIMISACKGGSAKSITAVIPYFPYSRQSKKKS HRGAITARMLANLLSVAGVDHVITLDLHASQMQGFFGKPVDNLFAEPYIARWIRMNVP GWKEAVVVSKNAGGTKRVTSLADTLKLNFGIVTTDRRRPKMNMAMTDSTVFFDSIDED CTPVQKEDDPFVLHVQTGKHDDSQTEEVHRLSDNMESLLSANALLPDLLTGARRPSEL EAAHGCTDVRVHDVITGRLVEGHLVDDDYHPIDSTPASGDTTPGQTVADHSDSVPDPM TESFISSTSSLPGDHALGGSFDAAESSDEEGSVGRAVEREKTITFVGDVRDRTVFIVD DMIDKSGSWIAAAETVVKKGGAKKVYCIATHGLFGDKSLEQMEECDVIDYIVVTNTFP ISEQMVRKSKKLVVIDISTLISESIRRHHYGESVSALFHLND AOR_1_3030174 MDKFKERIQSLEDSLKDTHIVEEAHHFKHKVGKFFNIVNPNHRH DEAHEQETDRKRTEIAKSHRFESFAPIREGNKVKWYVDALDYLWAVSIALENAKEVIY IEDWWLSPELFLRRPAYQTQEWRLDQVLKRRAEAGVKIYVIVYKEVNQALTCNSAHTK HALRNLCPEGTPGHGNIKILRHPDHNIFENAADMTLYWAHHEKFIVIDYALAFIGGID LCFGRWDANQHPLADVHPANMRDEVFPGQDFNNNRIMDFQSVAQWQANELSKADYGRM PWHDVAMGLMGDCVYDIAEHFVLRWNFIKRDKYKRDKTVDWLMLEGRLGEDEDLVAVQ RPKYPCGDYVQHPYEPLTSKPRGAQGSARAQIVRSSADWSSGILTEHSIQNAYKEIIS KAEHYVYIENQFFITATGDQQKPVLNTIGRAIVDACVRASREGRKFRVIIVIPAIPGF AGDLRQPEATGTRAIMDYQYKSINRGEHSIFGQLRHHGVDPEQHIFVFNLRAYDRINK TPALEALEKEAGVTYNDIQRGIAETIMSDSVHPSIGEEGDKGEVGYDNAQKEKEERLA KLRRYEEQAERHKPEYQPSSKDTVAHTTMLNGGKMSDEPWEGEAEAEKANFVQEELYV HGKVCIVDDRVAICGSANINDRSQLGYHDSELAIVVEDQDFIDSMMDGKPFKAGRVAA TLRRQLWREHLGLLPAQDYDASQSPNAQPPNVCMNQILEGPENSFVTDPMSDPLWEMW TGRATTNTEIYRMLFRADPDDDIKNFDEYDSFRPRGNHKQGHLYDPYQPVKDVREKLD KIKGHLVWMPLRFLEDANMAEPGLQVNQITESIYT AOR_1_754174 MRVIRNNIYKDDVDFATLALQSPEFAKYLKPNNQLDFSDPDAVR QLSKSLLQRDFGLNVHIPENRLCPPVPNRLNYILWLQSLLDTTGKEYRDDYDPDRKVV GLDIGTGCCSIYPLLGCSVRPQWNFVATDIDDENIRTSQEAVSGNNLDSRIRVVKTDT SGDLIPLDKLEVEGLDFTMCNPPFYTSREELVSSAQAKERPPFSACTGAEVEMVTQGG EVAFVSRMIEESLRLRQKVLWYTSMLGKLSSVSILVEKLIGHGNHNYAVTEFVQGSKT RRWAIAWSWGDLRPSVDVARSITTFPKHLLPFPSEYVFNIPNGSIDDASQKLDKELAS LSLQWIWRSNLAMGVGFAMENVWSRQARRKMKGSAEAMQSIDVDDSRAALGFKVQLRK EGIEEKGVRVLIRWLKGTDSVLFESFCGMVKRKLEGR AOR_1_756174 MSTSLLRIYLVCRGTEKLLSKWREWLPPNIVNKVYDTKRLIVLD GDILLPNLGFLQSELGMIRDEDHIIIHAASSINLGSALKRVSDPIIGASEIMANLAFT CKRLDRFIYVSSAYSNAHLYPRGPDADVQINEEICEPGRQSLVLDELNEVRKSGTSQA YEAENFPWAYAYAKHITERLLQHYFSVHAAEKKLLIIRPCVIRPAQHFPFPGYNMPMS SPITMTVTAFALALTREVRIATKMDDPDGRVTIDEVPVDVVADRLLCHLAMGTSGCIH RR AOR_1_758174 MAEVENGSANEPTQTPPALSQADNKEHGVDVSTHSDASDAVEED KPTLPQDMERQKIDVNDSQGHKEVQSELDSIEPTEPIETSSGPSKDAVEPPAPEDKPS LQSEAKDENTDNTQDGSQEVSPEQGSPAENPDRDSVPPQDVPVPDPRVRSDSRSTTAT FATHRSVPVSSTVFIVTALDTIGASREARRTKELEDAVKSAIANVKQSDGQPIDPEVI FRPLLLATKTLSVPLQVTALDCIGKLITYSYFAFPSAHDGNTSQTEPTQEQPPLIERA IDAICDCFENEATPNEVQQQILKSLLAAVLNDKIVVHGAGLLKAVRQIYNIFIYSKSS QNQQIAQGSLTQMVSTVFDRVRVRLELKELHIRDGEKAQGSSSDTVTLDPAENPQTNE EDQGSDVASAAVPDQPVAKEPTEKLTLQSFESNKDITSVNDNAPTTVTRAKARQTPVR SLSISGEEREDGEGLDDDEDEVYVKDAFLVFRALCKLSHKILSHEQQQDLKSQNMRSK LLSLHHIHYLINTHITVFTSPLLTIRQSSNSSDAMTLLQAVRPHLCLSLSRNGSSSVP RVFEVCCEIFWLMLKHMRVMMKKELEVFLKEIYLAILEKRNAPAFQKQYFMEILERLA DDPRALVEIYLNYDCDRTALENIFQNIIEQLSRYASVPVSITAAQQHQFQEHHVKISR LGLEWHQRGTLPPTLTTANVSNIQQPNLQGVPSEYTLKYQSVESLVEILQSLDNWASQ RMADQAVTNITSHKSIDNSRESLDTNAGAFLSSPRVDATEGSTGRSTPVAEDDPSQME KVKQRKIALMNAVQQFNFKPKRGIKLFIQEGFIKSDPAEIASLLYRNDRLDKAMVGEY LGEGEAENIAIMHAFVDMMDFTKRRFVDALRGFLQNFRLPGEAQKIDRFMLKFAERYT TQNPNAFANADTAYVLAYSVILLNTDLHSSKMKGRRMTKEEFIKNNRGINDNQDLPEE YLTSIYDEIAGNEIVLDTEREHAANVGMPTGTPGGLASRAGQVFATVGRDIQGEKYAQ ASEEMANKTEQLYRSLIRAQRKTAVREALSRFIPATSVRHVGSMFNVTWMSFLSGLSA PMQDTQNLEMIKLCMEGMKVAIRVSCSFDLETPRVAFVTALAKFTNLGNIREMVGKNV EALKALLDVALTEGNYLKSSWREILTCVSQLDRLQLLTDGVDEGSLPDVSRARIVPQA SSEGSRKSFQSSRRPRPRSINGPTAFRTEAAMESRSAEMIRGVDRIFTNTANLSHEAI IDFIRALSEVSWQEIQSSGQTDSPRTYSLQKLVEISYYNMTRVRIEWSKIWEVLGQHF NLVGCHSNTTVVFFALDSLRQLSMRFMEIEELPGFKFQKDFLKPFEHVMANSNAVTVK DMILRCLIQMIQARGDNIRSGWKTMFGVFTVAAREPYEGIVNMAFEHVTQIYNTRFGI VITQGAFPDLVVCLTEFSKNSKFQKKSLQAIETLKSTVSKMLRSPECPLSHRGSSAEE FHDENTNLAKQLSRQSKEEQFWYPILIAFQDVLMTGDDLEVRSRALTYLFETLIRYGG DYPQEFWDVLWRQLLYPIFVVLQSKSEMSKVPNHEELSVWLSTTMIQALRNMITLFTH YFDALEYMLGRILELLTLCICQENDTIARIGSNCLQQLILQNVMKFKQEHWEKVVGAF VELFSKTTAYELFTAAAAISTKSSEPHKSINGEVASNEGGTHETAEPSSARESLTDSS KTNGLQNVAHEHEEGDMPTAANSELEDYRTQAEVQQQPAAVTAARRRYFNRIITNCVL QLLMIETVHELFSNDKVYAQIPSHELLRLMGLLKKSYQFAKKFNEDKELRMQLWRQGF MKQPPNLLKQESGSAATYVHILFRMYHDEREERRNSRAETEAALIPLCADIIRSFVLL DEDSQHRNVIAWRPVVVDVLEGYTNFPSEGFDKHIQTFYPLSVDLLGRDLNPEIRMAL QSLLRRIGEAKLGIPASQAPVNPRSSVSRRKDSVGR AOR_1_760174 MVASAVRMRTPSAMLIKGASSLRRPQVMHRFKDAVQPQLPAFAA LSRYYASKSFPPHTIISMPALSPTMLAGNIGAWQKKPGDSLQPGDVLVEIETDKAQMD FEFQEEGVLAKVLKETGEKEVAVGSPIAVLVEEGTDVSSFESFTAEDAGGDKGAAPAQ ESKEESKGAADAAPASTPAPEPAAQEPETSGEKLQPSLDREPTISPAAKALALEKGVP IKALKGTGRGGQITKEDVEKYKPSASAAAGPTYEDIPLTSMRKTIASRLQQSTRENPH FFVSTTLSVTKLLKLRQALNASADGKYKLSVNDFLVKACAAALQKVPAVNSSWHEENG QVVIRQHKNADISVAVATPAGLITPVVKNVQGLGLSSISNSIKDLGKRARDNKLKPEE YQGGTFTISNMGMNPAVERFTAVINPPQAGILAVGTTRKVAVPVETENGTEVEWDDQI IVTGSFDHKVVDGAVGAEWIKELKKVVENPLELLL AOR_1_762174 MSYAEVAAKGPEQSAEEARAPSVGGIYKDESESTASLVDVDSQH VTVVDSNFLDQDIKTTTQAERIEQEESEENKKAKEERKAKAKAKAKASGVCRNSDNPV YIGNAVLLSLVGAGLGFGAYRKHAQGKLSWELIGLWSGAVGAFGAVDYFVSKWFLQNK YPPK AOR_1_764174 MVLFNSLFNSALKQSSAIRRDLDTFAQSPATSSPALQGQIAASL ASLSRTVDDYSALSKKELIPEKQQKAFERVNNFRSELADYRLHFDRLRKEREDAQSVT NRNELLGRRPHHTATPENPYAQSSLPQSSAFAPSSSRGGLSFGASPADYNRETHALRE QSFLANTSIQLDEFLDRGRAVLADLGQQREVLKGTQRRLYSVANTLGVSGETIRKVER RAKQDKWIFWGGVLIFFLFCWAVLHFLR AOR_1_764174 MNSLFNSALKQSSAIRRDLDTFAQSPATSSPALQGQIAASLASL SRTVDDYSALSKKELIPEKQQKAFERVNNFRSELADYRLHFDRLRKEREDAQSVTNRN ELLGRRPHHTATPENPYAQSSLPQSSAFAPSSSRGGLSFGASPADYNRETHALREQSF LANTSIQLDEFLDRGRAVLADLGQQREVLKGTQRRLYSVANTLGVSGETIRKVERRAK QDKWIFWGGVLIFFLFCWAVLHFLR AOR_1_766174 MFAARQSFNLLQKRAFSASASQASKVAVLGAAGGIGQPLSLLLK LNPRVSELALYDIRGGPGVAADLSHINTNSTVSGYEATPSGLRDALKGSEIVLIPAGV PRKPGMTRDDLFNTNASIVRDLAKAAAEASPEANILVISNPVNSTVPIVSEVFKSKGV YNPKRLFGVTTLDVVRASRFISQVQKTDPSNEAVTVVGGHSGVTIVPLLSQSSHPSIE GKTRDELVNRIQFGGDEVVKAKDGAGSATLSMAMAGARMAESLLKAAQGEKGVVEPTF VDSPLYKDQGVDFFASKVELGPNGVEKILPVGQVNAYEEKLLEACLGDLKKNIQKGID FVKANP AOR_1_768174 MADSSVTATAAASETKLEAEPPVDNNTIKPDTKSSVAVSESAPA CKKHPSKEKDPNGRNRDSKKKSHKSKSSSVVTPSDDSSDGSDSSADSSSAEESVSEDE ESPSSSSESEPERTHRRRGAKNKTKKSLRNSRKKKSRSQYETESESDPDESEDDDTAL DEKAVKRLVSKLRARKKAKKLRSQEDSSEELEDSDEEADPDDMALLLAEERLASLRLK RGDGRRRNRNRKRNSSDGQADGQRKSKGKKKAASKIAFKRVDELWDNTIHNFKLTETV DDPDANEWDQYLFTVRRKFDWDNKYTETVVDLKSKYIRDALAKVMDGVKGVSLVQETA VVDPNMLFLYLEETRQYMNDLKQQAKTEKKRKAKKLAATKAALLKVLVKYLDTDYAET KKTLYPLLEANTITFDLLWALFKPNTIAYTPTYGNQDEPRAFKLEYATKESSFMKGQW YSIEGRYLEYDGKSFGMGTMLAEVESFKGARKITSLNCYPLKYHREAEDVKAKLIERG KKFVSMRGMNYRFHKGMAFYKKKRSVIKVNINGRVMIDPAIHRRINPNYPISTVRPKD ADYIDDSDGAGSDGGCCCVSESDSDDPYVHRRDSDAPRVRYKVIQDKEGNPHVVEVEL DENGNEIQKEQMDEVEDPSERDFTEEELLIASPVVLGFAFSEKLWLEFSISGISDINW NEDAFDSLVLPDNQKSIVKALVESHTFCAAQNIDDVIQGKGKGLVAVLHGPPGTGKTL TAEGIAELLKRPLYMVSAGELGTDSRTLEAELNKILDIAHSWGAVLLLDEADIFLEKR TIQDIHRNALVSIFLRLLEYFQGILFLTTNRVETFDDAFQSRIHVALRYGDLTTKAKR SVWKMFVEKVQAMDGVQTATFTEKDFDNLARHNLNGRQIKNSVRTAQALAVNEKTPLS MEHIKRVLEVAETFDHDLRGGTGYIDAMRSYT AOR_1_770174 MDQAPPTPPTPASQESIMPEFTPINGNTDKPSAPSPSAAATSNP TKRGKKSTATNGEKASPPKKAKGAAGSSPSKKSIGPIPTSFEAAGLSDRMIIQMRDEE GKNWGEINESWMKMTGIKVGTSTLRMRYTTMKANFVEFTGEDEARLLRLKKEIEEKFE QEKWSKLMDAIEADGGKKYPVAALQKKFKDLSKGNTHVDTIKEEE AOR_1_772174 MLRSPISPERSAARPPNPTRPSFDSELERPGSSGSDASSVTSNV TTISAVQSPFYQPPSGTSSPRPPRTSSITTATVSSQNGPSPTHTRPPASFMPQNEISS RKPTGRAHPPDLMMKHRSRHHSQGFFEPSLPTASASDSISASRIAAQTAMQQQQHQQQ GITAQQLPKRPQTIVYTPDDGSRTRGGSISPPPLLPAPSLPPPGSSGSSGQTYQNGHS GVATTAANVAFPRHAGLQPPGLEAPPEKEHKQKGEKSKMKLFSKPKHIGISRDKDGIP KDRGLPSPSKMGFAGAGLSRIVSASTTSLADTFPSNNSSMYNLSNASASTVVPADKPT ASEKEKDKEKEKAHKHHFLSRQKLKLKDRDDHYNLPLSSASSNSKPSDPNAPQSLYSF TPASPGPVSTTFGKSVSGLDILHGGRALREKKKEEKEKEKAMAESEQHEWMTGPTGAG GASTVFAGPSSIGSAGGLTEAALRETLQGFGLNNMSPEDAWDFLKAKLLVIFDGEDVR ISIEDLNKLVLIHIQRCVQKRTPSAIVDDLRELLETGFASLNHTLNGVPDEKLVPHLV QIWMLVFGTILPFIQAVFLPLDLEFRGCGTVMNLREAREFWAAALNGDYPGCELEVRN LVLIAFRDKVILYRYDGLKATFSRLSLESINLGNSALSVTTKSSSSSGRPATAASLDA GFGSYNSQSSTLLNAAASYSSDSMSCNRSRAASNTSSNPDQLIFQSFSSPTQRPTIIH RSSHTADTSHMITETVGRMLQCVSVLASVQTGGKPQEKIELLSKALKHNWLGRGRTGR DRRGFVGAKIRPAMVTRTESDDSMIDRNGDSDIMRDGHREISVL AOR_1_774174 MNQTINFSTNIFPSMSTTTTSNDQHDSKRLKTSPTNETFGNIDI MAAGTSSTQSNPLCAVYSAPQSTQTFEHSISSPLPSTDLSPENVQTKVAYLSELRKLV PNLQNDINVFLTERMEEDKKLAEAQGRQLSEQERKEEENYGEEVVEEDA AOR_1_3032174 MLSAFTARPLVELKPRDKSRIESVLAYGDRLLVGLNNGSLRIYR INEVSPDEQNHDDSNNHSHDEQGGGGTLKKGDSGRPGTTDSVAKPKQTDLLRELEKFS RYKIEQLALIKEAKLLISLSGGYISIHDLQTYEIQEQLTKTKGATTFNVTSNIVNDPE TGVPSIVSRLAVAVKRKILLWSWRDMELDSDAAEMTLVSGIKTLTWVSGTKLVAGLGS NFVMVDIETSVVTDLAGPGSIGGLGGQETSRLAGVGVASMSYIGIGGAAPKPLATRLS EGQILLAKDINTHFIDINGNSLGRRQIPWSHAPANIGYSYPFLLALHDPSKGVMEVRN PETLSLLQSVALPSASILHFPQPSISLAHAGKGFLVGSDRTIWRMEALSYDTQIDTLV EKGYLDEAISLTSMLEDALLSDKKGRLRTIKMEKAQGLFTLRKYRDSMDLFTEISAPP ETVIRLYPKVIAGDLSSIDEEEESEESITDDPSKTNEGQVQLDGAITENASAPKTLNH APSVRSLLRTRTDDWSDAGSIRGKPTEEARNEKPLHGKDLLTAVRELQKYLADVRRRF QRFLNPDGTLKTIDSPSDAANDEFTDSVMKLLDITKDIHDHEFAEKLHEEARLVDTTF FRVCMYATPALAGSLFRIANFCDPEVVMEKLEETGRYNDLIDFLYGKKMHRQALELLQ RFGQAESETETAPQLHGPKRTVAYLQNLAPDRIDLILEFAEWPVREDPNLGMEIFLAD TENAETLPRHQVLEFLRGIDPNLAVRYLEHVIGELNDMTPDLHQKLLTFYMDRLKKNG SDSWAFPNGEERILWRNKFLEMLRSSSQYSPAKILDSLDRDDPEFFEARAIVFSKMGQ HRQALEIYVFKLEDYAKAEEYCNHFHKTDDITAEAAPLSVLDSDDKPSIHLTLLSLYL TPPHGYERRYGPALEILAKHGSRLPPSSALELIPESLPVKELDFYFKGRMRAATSALN ESRIVASLQKAQNFKTEAQLMVGEGTDGKSCRMRHVTITEERICGICHKRIGGSVINV FPDNTVVHLGCANRASAAS AOR_1_776174 MSVRRGQTKSYFASADDTKICVVMVGLPARGKSLIAGKAMRYLA WVGIPARVFNVGTYRRSNTPQPNATFFDPHNSEGEKMRKAAAEAAMSDMLQWFSSGKG VVAILDATNSTKSRRSWIYESCHAANVETLFVESICDEEDLIMNNILEVKTTSPDYKG QDPEAAALDFRNRIRNYEKVYETIDDNEKHYTYVKLINVGSTVIINQIKDYLSSRLVY YIQNLHIKPRSIWLSRHGESEYNLTGKIGGDSNISPRGEAYAQALPGLLKKSGVPPNT KIVIWTSTLKRTIQTARHLAAETGYEKLEWKALDELDSGVCDGLTYEEIAEKYPEDFA ARDEDKYNYRYRGGESYRDVVIRLEPIIMELERSENVIIVTHQAVLRCIYAYFLNTPQ EQSPWMEVPLHTLIKLTPRAYGTDEQRFKADIPAVSTWRAKGTSAKHQDYPTEIKA AOR_1_778174 MVDAPESPVPQQQTPVKTFQNGVRTTGRAFHSPNWRVKGEESPS AQSAGSPGPKTNTSRIAFSRPSPHVPQAISEGRRLYVGNMPYTAKSEDVQALFTAAEF TIERIDIAIDPFTGRNPSYCFVDLESKELAEKAMNELDGRDMLGRPVKIKPGVVKSSS ERSQQQQQQQQRTDGSPRSDSKTSLFTMDRWRRNDAPTFARTNSDSSRRLYVGGLPRL TDQEDISSNITNFFKDYKLENISKLFTPHPAKRFEPGDHYYLFVDFSSVEEAQSAMSA LNGQEGPWGSPIRVQRARGETNSEDRKSKWSSARGDETPATGDVSVAV AOR_1_780174 MFGKEDMGPFADPQHKDDTEHVERNENAMPRRILATAAERARRN INAKLANPLAGYSYEELRSQGINFAVSHHIGDEEDIRAFGIGAILAQVPDKFTQVPDL RPDEIEVLQKEFSNRWSQPWTMYLVIALCSLAAAVQGMDETVVNGAQIFYKHQFGIGN DDSRSNWLVGLVNSAPYLCCAVIGCWLTVPFNAWFGRRGTIFITCCFSALACLWQGFV NTWWHMFIARFVLGFGIGPKSATVPIYAAETAPPVIRGALVMQWQMWTAFGIMFGYAA DLVFYEVRDPVGITGLNWRLMVGSAMLPAILVCCFVFTCPESPRWYMSRKCHDKAYRS MCSLRFHKIQAARDLFYMHTLLEAENAMKLGQNKVLEMITVPRNRRALVASELVMFLQ QFCGVNVIAYYSSEIFLEATNQRNALTASLGWGLINWLFAIPAVYTIDTFGRRNLLLT TFPLMALSMFFTGFSFWIPQETHSSARLACIALGLYIFGIVYSVGEGPVPFTYSAEAY PLYIRSYGMALATATTWLFNFTLAVTWPSLRSAFTPQGAFSWYAGWNIVGWWLILLLM PETKGKTLEELDQVFSVSTTFHAAYGLRQIPYFFQRYILRQNVRPEVLYEREDAFNVP PEAGYNTL AOR_1_782174 MLGKIALEEAFALPRFEEKTRWWASLFSTDAETHVKEITDINKI RIEHADKHGVGYQILSYTAPGVQDIWDPVEAQALAVEINDYIAEQVRVNPDRFGAFAT LSMHNPKEAADELRRCVEKYGFKGALVNDTQRAGPDGDDMIFYDNADWDIFWQTCTEL DVPFYMHPRNPTGTIYEKLWADRKWLVGPPLSFAHGVSLHVLGMVTNGVFDRHPKLQI IMGHLGEHVPFDMWRINHWFEDRKKLLGLAETCKKTIRDYFAENIWITTSGHFSTTTL NFCMAEVGSDRILFSIDYPFETFSDACEWFDNAELNGTDRLKIGRENAKKLFKLDSYK DSSA AOR_1_784174 MSPRVNITKKRRWSPRMEDQQKLANAKKNLSSLHTFTFNLMKNK TSPRIKYRDSRVEIPHFDSERITSMLGDATHTPLDLHEQFDSDEDQGRPLSSSSSNTS SRRSIHGSLNTPITPVTPLLVHSELEISSTEPFPDYYESCEQNTAVIDKDHEEAYNNA IGKVFEESVRMRELGAGTTPATISPLATHVGCPVTIDSYPRQDKSNISLTSHSALRSE TTGRTQPSTAPTSVSRISPTTSVRADSDKGASMTSASQTRTTLEYTGAHLSRTSNATS TIYNDASVRDFAFGAAPPPTIAPPFMADGRPGIQARHIPSIQSSSTSNGWSERALGQP SPVASVSHTVPGTSDTRIRSDQNNQYYGLSFHSSQSTIAGSISGHNTGQVDFTSPQDS MSVSGSVCSSQTSKRTLSIDDMAMPDVLPTPNFQPPIGTGRPLPGATPRPAPNPRMQR RLHEAEERKLNILEEMKIILRADHMKDDIQRRFTAMTDIILRMEWILFRRDVKPDGTR TNEFLSAPIVAEVQELAIPMVQCLAWLDKKLACELSIANQVLHWVIQIAKDEDLDVYQ RFRQMARVIPRPLEKHLLAVFQDLYDAYVYSDFLDIRNRQILQEEGFHIERDNLTRLL IAGWDVLNRAIETYNEIITINFDIKNRFIDPVMERLPRSYEIWEEEWDDHERQCETQR NMDLEKLWMEAEERACAEAQEQEVARAQARAMAEFRAQMQWPGQRQGYGQWHVDSRIP V AOR_1_786174 MLFSLAFLSALSLATASPAGRAKNTTTYDYIVVGGGTSGLVVAN RLSENPDVSVLLLEAGASVFNNPDVTNANGYGLAFGSAIDWQYQSINQSYAGGKQQVL RAGKALGGTSTINGMAYTRAEDVQIDVWQKLGNEGWTWKDLLPYYLKSENLTAPTSSQ VAAGAAYNPAVNGKEGPLKVGWSGSLASGNLSVALNRTFQAMEDVNGGKMRGFNIYPS TLDVDLNVREDAARAYYFPYDDRKNLHLLENTTANRLFWKNGSAEEAIADGVEITSAD GKVTRVHAKKEVIISAGALRSPLILELSGVGNPTILKKNNITPRVDLPTVGENLQDQF NNGMAGEGYGVLAGASTVTYPSISDVFGNETDSIVASLRSQLSDYAAATVKVSNGHMK QEDLERLYQLQFDLIVKDKVPIAEILFHPGGGNAVSSEFWGLLPFARGNIHISSNDPT APAAINPNYFMFEWDGKSQAGIAKYIRKILRSAPLNKLIAKETKPGLSEIPATAADEK WVEWLKANYRSNFHPVGTAAMMPRSIGGVVDNRLRVYGTSNVRVVDASVLPFQVCGHL VSTLYAVAERASDLIKEDAKSA AOR_1_788174 MNPSQEPLWQWPNSPTAPNPEEDQRFENAHQHDDSDAPPPESSQ KHYPPRVCRICLETVLPTFHPPSDNIPGFLQPKARVVYESSDAELGRLLRPCKCKGSS RYVHEGCLQSWRHADPGYSKRNFWHCPTCGFQYRLERLTWARWISSTSAQLGLTLLIL MFTVFMLGFVADPIINLYINPMETIIYSEFWDPSTVSSVLPTEKQASWITHFVKGLAS LGFLSFIKAIVAMSPWQWWHVRPSGLVSSGRTTGRSRVASITWVVILVGVCSFLWAVY KGVRSWSRRALEKAGERVMDVPLPDDEEEDENDPSSEKSEQRKKEE AOR_1_790174 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGVTALGIKATNGIV LATEKKSSSPLIDPPSLSKVSLITPDIGMVYAGMGPDYRVLVDKARKVSHTGYKRIYN EYPPTRILVQDVARVVQEATQSGGVRPYGVSLLIAGWDEGVEPESEEAQKGDNEDEPK KATGKTGGILKGGPSLYQVDPSGSYYPWKATAIGKHATSAKTFLEKRYTEGLELEDAI HIALLTLKETIEGEMNGDTIEIGIVGPPADHLLGFEGVEGAQGPRFRKLTKEEIEDYL TNL AOR_1_792174 MSDIVSHLDGISGDLAGTRYGLKATLVFFISISIYNVIELVILV LSTFRRWTGLYFWSLLLSGCLGVVPYSLGFMLKFFTHSSSFLSVTLLTVGWWTMVTGQ SFVLYSRLHLVIRDEYILRRVLCMIIANVFLLHIPTSVLTYGSNVPDRSPLFVKGYNI MEKIQMTGFTLQEVIISGLYIWETTKLLRLGSSRQNRRIMHQLVGINVAILVMDLALL GLEYANFYAIQITLKGVIYSIKLKLEFAVLGKLVDMVHGHRRPGALGNDLQLYSLQHE FSPAAPASSSGLLDTINFSRIVQDGTKRAHILQNDSCPDKKFTMKVSDLVKRRPPEGI I AOR_1_794174 MNQQDYLGLSTQEPQRVISFPASQSLHASAASPSGAISTGIPQL DEAIRPPSAEDVLGRTRDINSNGIPCGHVTEVYGPPGAGKTSLALSVATSALRNGDKV IWIDTGSPLPKVRLASMLKKSPDATSSDLPEDPIKNLIYFHARSLPHLLALLIRPPKG FPPEDAKLLVIDSVSGLFPSYFPNPSEFKSRLPQSGITDKMQIQWLMNRKWNVTSDLG NQLVKLAATHGLAILLVNQTHTRIRGQPRATLCPVLAGGTWENSVHVRIAIFRDFLPE DEGDSKGVHFAEVMKRAGKTLSLRLDENIVPFKIETDGLRGIDKTPPPLILPQVPIET SLSASQRKRKVDEIADSQDEDSDEEFGWVEGDDAGLSDGAG AOR_1_796174 MLNYIEPILGFNTVRHYAIIAMSTISSLAIIWLPLIPIAIFLIS HKYRQCTNPRPKGCRKLGLLGPKTNLHDEYDPKYSQGVPEKHTDPEDKPSWRIKALFA YPIKSCAGVELDTADVVPTGFTYDRQFCFAEYITPKTSTNGSQQAHWTTRTLRDGSLC RMALIRPEIWVPDPTAEDYSPELQEVQSQGVLVIHYPRVTAGILSLPVKLGVMLGLLS KELSFRVPFSPPPENTNPKSTYPLTPIKIWKDTPLAHDYGCHLPPSLHRFLDPDRTRG PLTLFRTNSSHHREIFRNAPRKEDLGFQPVTGFADAYPIHLLNIASVQDIAGKCKMDI PELSIRRFRANIIVQGPGAYEEDHWKRVRIFGPKTESGSEGVEVYTACRTIRCKLPNV DPDTGIRHPVEPDRALKRWRRIDRGDLTNAALGMQVVPAVREFRVCVGDGIEVLETGE HCYIKMLKPGEKVEGV AOR_1_798174 MDGPDQIGPDLRPKRTWADKARRIVKTFTTRDGLIGDYDYAYLF TPNIPFMQQTRRTAPFFGLDDRVPVVLALILGLQHSLAMLAGVISPPILLGGSSGANL GEDDYQYLVSTSLIVSGLLSALQMLRFRIYKTPYSIGTGLISVVGTSFSIITVASGTF TQMYSSGYCPVDAQGNRLPCPAGYGALLGTSCLCSLLQIGLSFISSKVLKRVFPPLVT GPTVLLIGASLLETGMKDWAGGSGSCGSDPSARALCPSADAPHALPWGSAEFIGLGFL VFVTIILCERFGSPIMKSCAVVVGLLVGCIVAGACGYFDRSTIDAAPVVSFIWVRTFP LTIYAPLILPLLAVYIVIMMESIGDITATCDVSQVEVQGPDFDSRIRGGVLGNGLTCL LAGLCTITPMSVFAQNNGVIALTKCANRKAGYCCCFFLVIMGIFAKFAAALVAIPSSV LGGMTTFLFSSVAVSGIRIISSIPFTRRNRFILTASFAIGMAATLVPDWFDYFFTYSG DNHALQGLLDAVTLVMTNGFAVTAVLGLILNLLIPEDPEEEAAIVDARGSEVRSESEE TKGTSESSHFKTAEAGPAIV AOR_1_800174 MSAKTADATQPRAGDIKKVHIADTAITRSNWHKHVNWLNVFLII GIPMYGCVQALWVPLQLKTAIWAVIYYFFTGLGITAGYHRLWAHCSYSARLPLRIWLA AVGGGAVEGSIRWWARDHRAHHRYTDTEKDPYSVRKGLLYSHLGWMVMKQNPKRIGRT DISDLNEDPVVVWQHRNYLKVVFTMGLFVPMLVAGLGWGDWWGGFVYAGILRIFFVQQ ATFCVNSLAHWLGDQPFDDRNSPRDHVITALVTLGEGYHNFHHEFPSDYRNAIEWHQY DPTKWSIWIWKQLGLAYDLKQFRANEIEKGRVQQLQKKIDQKRAKLDWGVPLDQLPVM EWDDYVEQAKNGRGLIAIAGVVHDVTDFIKDHPGGKAMINSGIGKDATAMFNGGVYYH SNAAHNLLSTMRVGVIRGGCEVEIWKRSQKESTDYVRDSSGQRVIRAGEQVTKIPEPI PTADAA AOR_1_802174 MAPEHYRRPPQAPPVFTATAQSIVDDAKRLIEASRKVQDEVVAN VKPETATFDSVLKPLAHDENTMALEAHILSFYQAVSTEQQLRDASSKAEAELDEFFIE TVMREDVFKLVDAVLNKNETLDPESRRLLEKEHKSYIRNGLGLPAGPKRDRFKEIKKR LSQISIEFQKNLNEENEGLWFTPEELDGVPEDVLSGLKKGEGENAGKLWLTFKYPDLF PTMKYAKNPETRKKVMIQNENKCNQNVPLFREAIVLRDEAARLLGYPNHAAFRIEDKM AKTPETVDTFLGDLRSRLTAGGQKEIKSLLELKKNDIEARGETFDGKYYLWDHRFYDR LMLEKNYSLDQQQIAEYFPLQTTIEGMLKIFEELFGLVFVEIIGDDRAKVAPSGKGSD IVWHEDVQIFSVWNDEGEGSGFVGYLYLDLFPRSGKYGHAANFNLQPGFIDKDGKRRY PATALVCNFTKPTPKKPSLLKHDEVVTLFHELGHGIHDLVAKTIYSRFHGTNTVRDFV EAPSQMLENWCWTPSQLKSLSKHYSTLSPEYFAAWKEQAGDKPEPAEQIPDEVIANLI RTKHVNDALFNLRQLHFGIFDMTVHEPKSHEDIEKLPISATYNQLRKQITQMDGPEIL GLGDEWGHGEATFGHLIGGYDAGYYGYLSSQVYSTDMFYTVFKADPMNPTAGRRYRHQ VLEKGGSQDEMTSLTEFLGREPKTDAFYKDMGLA AOR_1_804174 MSTPDPQLQPEDQSLQQEQPTIQNRLPVHTHHCRFCNHLLLATT RDIPSLPRRKHPAKDKAIICPLPTSNTSEDTDSSNLQEHYTILLSTTIPDRKATLVRR EDGFEKRLFLRCGRCRVVVGYFLDRVLFPMTSVAASASGGDGEGEEDERKEKVVYLLP GALMETGVMGDEGRLKGVDDEWREWRVEV AOR_1_806174 MASTNYKEAFSLFDKRGSGKVSLESLGDLLRACGQNPTLAEIAE LENGLGGDFDFESFVKVLNRPNGFRDPGEAEEYCRGFQVFDKDMTGFIGVGQLRYILT NLGEKMSDEEVDELLKAVDTSSGEINYTDLVRTILAN AOR_1_806174 MTGSHNNHDDQASTNYKEAFSLFDKRGSGKVSLESLGDLLRACG QNPTLAEIAELENGLGGDFDFESFVKVLNRPNGFRDPGEAEEYCRGFQVFDKDMTGFI GVGQLRYILTNLGEKMSDEEVDELLKAVDTSSGEINYTDLVRTILAN AOR_1_808174 MTLAVGTISGLDQGPKGIRREREVEIMDNDTITVNGAPKKRKRV RKVNTDRKFECTHEGCGKSYSRAEHLYRHQLNHTPKQIYRCDFPDCYRSFVRQDLCIR HRERHTTQGSQLQKRDHFAQAATNQPQSPHHVPHVSQATVVTPPSLPTQMSSAPSNVV VPISPSSSSSPSVGLNSVFSYQHHILPSQNENTFPRSNSLSTHGKSSPPVPTSYSPPE LHKTSTQSQGADGAYSSLPTDANGSSLADTVPFTSQAGMQMPVNSYSGLSLAPAATST PATLDQAANMGTLDAMSGMIAPGSTVGDPGFDSLANCVYPIFGSESYNRSPFAMAEDF TAWLFNEPVPGSSSMSYPAATGMVPNYMDPTQLPNQFLLGDPAYGTFLSGVIPPHHPM SVTSILDPGSPRAIISEEKRQELLHLMATRFNEAAYSAVAKRKDALMDGDMDDDNHVL SLRMMQTYIGSYWYHFHSQLPILHRPTFFADQAPNLLLLIVIAIGASTLDKIHGPELT EAASELADFIVWHLRWELFMDADFRPPAKLWVFQALLLLEVYEKMYSTRALHERAHIH HDTTLTLMRRGSSLIGRSAFDSPASLRDDRQARSVSCSTTTQDFSADESWTHWIKAEA TRRVAFAAFVLDSTHATMFGHSAKMVAHELRLPLPCDEALWSATSAAEVARVQASLHA NGVKPVMFLDGLKRTLNGQRVRTNAFGRTILMAGLLSVSWHMNQRDLQVSSLGVPQAL GGRDKWRAALLRAFDNWRRDFDEALGQAGTPPPFPGYRVQHPLDDDNVFESRDVLHGL AHMASHVDIVDCQILGGATRLMGRAITARDYNAAREKMTERWATKASARDATFYALKF LSECLLSGESEEGELYSGRDDYLLNRPWVIYVAALVVWCYGYALEGPIRSPPKLATVA DQRRDMQEFLRRVGGVRSPNDLEAMEGRNQCLGLLMILRDGFVNTRWELLAEAANLLS SCIEKLKGR AOR_1_810174 MASKEGTAGQAFGPVLAAVATMQGNVSRTEKAHAHEFLEKFQKS VEAWTITHELLQSPDVPVEAKLFAATTLKGKIMFDLDQLPAESVPALRDSVMNLLVAF ASGPRPIQTQLCVCLASLAIQMTGWKDVLATVGSALGSNAGDCVLEFLRILPEEVTEG RKINLSEDDLILRTKELLEDNAEQVMHLLIQYAQSSPTASTNPRLLDCITSWMREIPA SKIVESPLLDVILKALDDDVSFEAAVESVCTLYRDTREVDDSLPIIQTLYPRLMSLRP KIAEAAEAEDMDAFRGITRLFAEAGESWVVLIARLPSDFRGLVEAVLECCARDWERDA VSLTFVFWYELKQYVTLERYADARVSYSDVFSKLVDVMVKHLEYPRPEEGETDLFGGD REQEEKFRHYRHSMGDVLKDCCAVIGVTECLSKAYQLIQQWISNYASQASDEHVPNWQ ELEAPLFSLRAMGRMVDPEESQILPQVIPLITQIPNQEKVRFQAIMALARYTEWTAQH PETLEAQLNYVISGFQHSSPEVVQAAALAFKFLGTDCQKLLGGHIAQLHSFYESVLDK LKPASQEEVTEGVAAVVAVQPLEKIYETMKMFCNPIMARIMNLANNAKDEQGQRAVAD HLQLITIFVLVVNPYVSPHEENPAVKYCGEVLPIMTTIVMNFTSSTPILERVCRYWRN MLISYRTAMTPLLPTLAESLANGFQASREGCFLWATDAVVREFSEGADLVDPGTSRAV FQFYEQQAIAFLRILNDLPPENLPDVIEDFYRLSSDAVRYYPKECITSSLSVPIFSAA LSALTLQQIDPLIATLHYYHDLFSFAFEKPAVSDFTTSDGDPYMNPPEIREAVKQLIA SQGQVLSQRLLTGMLFSFPAECFPDASGVMMSLFDLMPQEAGAWFQSTLQMLPAGTMK AGEAERLLKGISDRVQSGEIRKIRTLLQDFTNSYRRRNVAPREGLGRLEATRFRFSG AOR_1_812174 MAGRGGGGGGRKTLLAPIHFIFKLLQQRSTVSIWLYEQLAFRIE GKIRGFDEFMNLVVDDAVEVRLATKTGEEKRRPLGQILLKGDNVSLIQAVQ AOR_1_814174 MMTGLQTAKKDLRRKMRDVLQKIPADSIINQSRIATSKLFSLTE YQNAKRIGVYLSMPSGELSTTAIVQDALIDGKEVFIPYIHNVELPSTQQKTSIMDMLA LNSMEEFNSLAPDKWGIPSLTKTQVDTRKNCLGGIGVSPSGADKTTDGDWGLDLIVMP GMAFDREFRRLGHGKGYYDHFLTRYSKEVESKTTTPKMPLLVALSLKEQTLPSTEHIP VANHDWPVDVLIVGDNRCFVRHH AOR_1_816174 MLHRKGRGIGPFLGFLDPSLATPPKYLLISVAEHIEKMLARYFS LSLLATAVSAASISDLVGTWSTKSRKVVTGPDFYDPINDKFLEPNLTGISYSFTEDGH YEEAYYRAVANPVNPSCPKGIMQWQHGKFVLNSDGSLELTPIASDGRQLVSDPCSSSL ATYTRYNQTETFNSFQVSKDPYHGIQRLDLKRFDDSPMHPMYLVYQPPQMLPTTTLNP VSETGKSKRHVARDTDRLPGVRNLITKEELTNPDRWLWVGVFATALGGITLFYS AOR_1_818174 MFRPQRLTARLNLRSVRWNSTTSPSTPPLMAKIRTDLKVAMRAK DTARLNVLRAIISETNNSLKTSSPIQTDLQLLSLIRKRMTGAKDAAQQFAEANRPDLK ESEEKNVTILEEYANQVETISLDDVKQIVAQEISRLKEAGQKVEIGTLLKSLFAPGGA LDGKPAERSEVAKIAREAVSAL AOR_1_820174 MSYPNLPPALTPQRPLPGAFFQTPGPNNVPSAPAFSPKPAPAPA EQPSPASLPKLPPAASKSKSQTLSTEERAARTVNDTLTQEARYPDLDSYLSQGFSSDY DIPVSPSWAPFQKVKMYNIPDQIFDQYNRAQVSTSMGLFAELNHAWVAIDNALYIWDY THPNPQLVGFEDQPNSINAVKLAKPRPGVFLPSITHLLVVSTTADVILLGMGCETTAT GARQVTLYQTGMSTSIRGLDIHVIASSDATGRIFFGGSSDNDVYELTYQQEEKWFQGR CSKVNHTSSRLGALTPSLSFSSFTHKMFENVEQMEIDDSRRLLYTLSSSSTIRVFHMK PDGTLALAITKPAMDIYANIGHIIASNETLNPKVPIVSISPIPAAEASSWSPSPNGTN APTSMQAHHVKTPPFDGGSASPMGPAFQGQGRFQPSVAKVPIHSLDPTRFTVRYPPGY FFCFTCKDPTQKTDTLFVSSPDSGRVARSQENVIPGNASETAIWLSLGSRAEDVGLCS PSTAALATPGGFGNELAVQFDNPAAEIAILTNTGIHIIRRRRLVDMFAALVRGGGDGD EGLEGEVKNFIRTYGRSETLATALAVACGQGVEISADSRLTQINDPHVLEFARKVFIE YGGRPTMNENAVADNSTPAIDSVVPSPRHAGIALYMSRLLRTIWRKEIAKVGPSPGGA QTILPSVPSAKLQTIQRDLSALQEFFKANKSFIEGLSGPEALARVSTKQEETALQAEH RALHSLVQLVSHTIEGISFILVLFDERVDEIVATLPDDSKQRFMKLTFEELFSTSKGH DIAKELVKGIVNRNIAKGSNVETVADALRRRCGSFCSAEDVVIFKAQELLKRATEAGS NSELGRNLLNESLHLFQQVSESLPMDYLVSAVENFISNQFFAGAIQLALNVAARSDKA NMALSWIVDGRPENDSRSDYFYFRKQCYDLIFKVIIAVDNLAAHDPGVVDGQLTVVAK RKNEAYGVISDSVDEVFLTSLYDWYLEQGWSERLLHANSAFVVTYLERKSADDIAHAD LLWRYYAQSERFFEAAKVQFHLAQSAFTLPLGRRIEYLGRARANASTFTPDVGRQPRQ RLLQDISNLIDLANIQDDLLQRLKDDKRLTSERRSQVLADVDGPIMDISTLFNQYADP ASYYDICLQIFYLADHRNPADIRSTWQHLLQDLHDETVENGEPQPYEAVIDKVRSLGS RLRMSEIIFPIPTLLPMLERYALEHQRGVGPATWVVDLFLDLGVAHETLYTVLESMYY TDEAPFHGTNRKYIAKDLLYLIEHWFHDTVRLGGTVFGSDVVAERITETLLLLQQGGN IPPEQLQLANELRTRVEDILR AOR_1_822174 MPSTAPVIRPKSQPVNAHKEESPISYDINIPYVDVNGETTARTR YPEYLPTWDKMWFDPLPPFHYDDPALRVEDRSKPNLLTPEAKVTEIQPKIGTIIEGVQ LSQLSDAAKDELALLVSERKVVAFPAQDLIDAGPEAQEQFMRHFGKPNYQPVSGTVRG HPGFHIIHRDGNKEEISRFLSQRTTTTLWHQDVSYEIQPPSYVMLGLLEGPEVGGDTV FAATDMAYKRLSPTFCSWLDTLRAVHSSAKMINHARLTNSLVRKDPVDTVHPLVRVHP VTGEKCLFINGEFITKIQGLKEPEQRWLTEFLMNHIITGHDFQARVRWQPKTIVIFDN RCTLHSAIVDYLDDDYGAKLRHIFRLTALGEKPIPVYDQFE AOR_1_824174 MVQYASLISRKRDLIYFIFFAIHLPIIFLVDTVPLLPSILQTNL SHQIRSFYIATYHDKFFSEPAPAWFSTFIAMELVYHAPLSLWALGALLRDDPLVPMHL LVFGVQSFVTSSACLAEVWGWDDRTVAQKQDLTMLYAPYVMLGAFMALDMLFRLRGKL LSKSKSE AOR_1_826174 MRDYRYRNKNEYRRITVSVIRPDQADADIISLEVGGDMTVELLK AIVESETSVPPSAQRIVYNNQLLGDDARTLEQVGIGEGDMLGVHVTLRSPQAPTRTAG GPSAPAAQQNLQRRQAMNPDPETIRLHILGDPRVREAVRRQNPELADAASDAQRFRDV FLNQQRREAQLEAEKEARIAMLNADPFNPENQRQIEEIIRQNAVTENLHNAMEHHPES FGRVTMLYIPVEVNGHKLNAFVDSGAQVTIMSPECATACNIMRLVDQRYGGIAKGVGT ANILGRVHSAQIKIGSMFLPCSFTVMEGKHIDLLLGLDMLRRHQACIDLRRGALVIQD QAVPFLGEADIPKHLQDGFEDEPLVKGADGAEVGARTGAVTHQAQGPGGASSSTAPPS RPAPAQSSRWPQDSIAKITELGFTREEAMRALDAANGDLDGAIGFLI AOR_1_826174 MRITVSVIRPDQADADIISLEVGGDMTVELLKAIVESETSVPPS AQRIVYNNQLLGDDARTLEQVGIGEGDMLGVHVTLRSPQAPTRTAGGPSAPAAQQNLQ RRQAMNPDPETIRLHILGDPRVREAVRRQNPELADAASDAQRFRDVFLNQQRREAQLE AEKEARIAMLNADPFNPENQRQIEEIIRQNAVTENLHNAMEHHPESFGRVTMLYIPVE VNGHKLNAFVDSGAQVTIMSPECATACNIMRLVDQRYGGIAKGVGTANILGRVHSAQI KIGSMFLPCSFTVMEGKHIDLLLGLDMLRRHQACIDLRRGALVIQDQAVPFLGEADIP KHLQDGFEDEPLVKGADGAEVGARTGAVTHQAQGPGGASSSTAPPSRPAPAQSSRWPQ DSIAKITELGFTREEAMRALDAANGDLDGAIGFLI AOR_1_828174 MSTEKNPHIHDAVQSRELQQEVEFKNPGVKRIAAISSQLGIVAR VFLFFGIFLVAYVYGLDGQLRVTYQPLATSSYAQHSLLTTINVLRNVIAAAAQPTAAK IADVFGRVELILLSVFFYTIGTIVEACADNVETFCAGAVLYQIGYTTIILLVEVLVAD MTSLRSRLLFSYIPATPFIINTWVSGDIASAVLGVTSWRWGIAMFAIIFPICTIPLFA TLLVGHRKAKTASVETYQHPIRLLGAGKFAKELFWYLDVVGILLLIAFLALILVPFTI ARSAAEQWKTAKILAPLIIGLFCLPLFVIWERSYARYPMVPFKLLKDRAVWGALGIAT MLNLAWSLQGTYLYTVLQVAFDQSVLSATRISSLYSFASVITGCILGAIIVKVKQLKP FIVAGTILFAVAFGILIQFRGGTGSSSYSGIIGGEILLGIAGGMFPYPAQASIQAATK HEHLAVITGLYLAVYNIGSAIGGTISGAVWRQRMGKELTKHLGGANETLTDLAFGKPF DFIVSYPVGTPEREAVILAYKEVQRILCITGICLTVPLIIFSLCIRNPRLTKDQSLPD AEREE AOR_1_830174 MLPKAVLLSLLASMALGASEFPIPESAGTETFSEPQEIAAGETF DGGLKTYGRGVECTGQDEGGDSDAVFILQEGATLKNAIIGADQIEGVHCEGACTIENV WWEKVCEDALSLKKGSGPYKVIGGGAQGAEDKVIQHNAEGEVSIDGFVVSDFGKLFRS CGNCDSQSQRSVTITNVKAYNGKKLAGVNENYGDVATITDTCATSVEDICTTYEATEG SGEPSEIGSGPSDSCVYTDPLPAC AOR_1_832174 MAPASELPKRDSDQLIPIRTQKKGPDDWKGLTDSKERRRRQNRI NQRAYRQRKRAEKLGLPINAEGAESSTASSSSSSSSSQSPPTTALTTRSNCPTPDQTA ALLDLFSKTAYQSYILGSPTSDHLLTLAKVNVFRAFASIMSTLGMPKHHEWMDDDAIS PFTLLRPGFTTPSTIPLTLRPTKLQQSIPHHPWLDFFPHPRMRDNLIRAGDFDDEQLC MDIMGFWDMSTESCGLLVWGDPQDLGNWEVSEEFIRKWPWVVGGCAELLVSTNRWRAM RGEKLIFRYL AOR_1_834174 MGFPTAPKPKTLLGLHRILSPSAGVKVSPICLGGISIGNEWRFY TGKNEEPFKLLDAFYDMGGNFIDTASNYNNQTSETLIGQWMEERGVRDQMVIATKYTA GYRAFSEDPEPLQTNFTGNSAKSMHVSVRDSLKKLRTDYIDLLYVHWWDYATPVEEVM RGLHVLVMQGKVLYLGISNTPAWIVVKANAYAKQHGLTPFSVYQGNWNAAFRDMEGDV IPMCEDQDMAIVSYGSLGTGALLTVQQRKEREADPDAPMGSVSDIALKTSEVLEKIAD RKGTTLQAIALAYLFHQSTFVFPIVGVNTVEHIKAMPDALKVKLSKEEIDDIHAASPY SPGYPMTFTQYMQPVKYDLSWTPADNQQYQMSAWIDAPPKRLPYQPKTE AOR_1_836174 MASKPLQRSKSDDLAEIVDAPPPYTLIAEAGSSTVQDDGRIDVD LDSPAARTALKFIPRLGEDDIISPPPTYSRPVECDIKLNIVIHVVGSRGDVQPFIALG NELQNHGHRVRLATHDVFDSFVRKSGLEFYPIGGDPAELMAFMVKNPGLIPNMKSLKA GEISRKRVMVREMLEGCWKSCIEDDPRTGAPFVADAIIANPPSFAHVHCAQALGVPLH LMFTMPWSSTSEYPHPLANLKYSGNNASFANAVSYGVVEWMTWQGLGDVINDWRETID LERVPLTEGPSLVQTLKVPFTYCWSPALVPKPKDWPSYIDVCGFFFRELPIYTPSSEL DAFLRDGPPPVYIGFGSIVIDDPPRLTSILEEAVRAVGVRAIISRGWSKLGGSSSKDI LYIGDCPHEWLFQNVSAVVHHGGAGTTACSLRFGKPTAIVLFFGDQPFWGKMIAASGA GPEPIPQKSLTAENLAEAIQYCLTPQAKEAAKDISNKMQYEAGVKAAVESFHRNLPLD RMRCQVIPDQPASWIYKKSAKPVFLSKLAAQILLDHLRIESKNLQSHEIRPIIITNRR YDPITSTTSATIGYGTDMLRATSDMFLKPYQELKSRSNTTTPAATNTEDPLSKVDSQT TTASGKNKVDWDATGAAIGAGAAGFGKFMKHVYKGVIVDIPLAATEGLRAVPRLYGEE VEDYAVRDWKSGAIAGGKNFTQGMREGFTDIFTQTHKGAKEEGAVGMAKGFLKGTLSI GTKVPSAALGLVAYPAHGITKSLHTVIRSKTRKQIVQARLREGQYIARKVAKPGIDHA LVMQTFDALKNADTS AOR_1_838174 MYNNPIIPGFNPDPSIIRVKNDFFLVTSSFEYFPGAPIYHSTDL IRWTLIGHALTRRSQIHIQTPEPGGGVWATTLRYHDGVYYIIAASFERYRPQDDDRVW PRGFYVKTENIWDSGSWSDPVFFDQVGFDQDLFWDDDGTVYLSSTYRKLNRTPNANLK DFAIHIATIDLASGTSTSEPKLIRESTSGVSEGSHIFKRGKYYYLFTAEGGTESGHCE WVSRSETGPFGPWEVGPNNPLWRNGINDEVQNTGHADLVEDAQGRWWAVLLGVRPVRK DGYWEESVFGRETFLIPVEWKDDWPIFNRGKKISLQSDTPHLYEYQHPVAWRDDFSSP ELQLGWYRKNTPMRTDYSLTERPNHLRLHGGPYNLSVPSCPTLFLRKQIHHYCTWETK LSFSPESQHTEAGTVVWWNYFTYSSIGIRLSPTKEGARIVRFRPAEGEVIDRELRSAV SDVVFFIECGDQYRFGFKEVNGTSSETQWIGEVQNSTMTQSPPVGAPFTGMMLGLYAF GERQRCLLPADFGYAEFR AOR_1_3034174 MEPNDVFLRAFFHACFTGDLSQTQKAIATGRLTAEDLNKGLKRA THSAHPDIVAALFDAGASVSTSTIASLPGPNLQQQPSVVRHFLDHGLDPNSRLSTGEP LLPMLRNPASVRLLLSAGADPNICGPSKIPPLGRALVTIQEEDLSLLELYIEYGATLS ADLLFFAMAPRVRQAELKTQFLLNKGLDPNVTSDEWGTPLHCAIRSAKPNLVKLLLDA GADPTVVSGGRKTYGKSPTQVADSVRDPAIREAILSLLDSHARQDCI AOR_1_842174 MPIQIRYATESDSPDLVHINTVSFAPGLFYQNAFANVKVSALQT LKYARTFARFVDPKYHLLVATESETGRVIAFMRVVIPLHYQQDSHSLTELSEDANKMA AKPDEYLPEGINKRVYTCYLDMLKSSRERYLGENDMILDFLATDPEYQGRGIGSQMLK WATQKADSLNARMFLEATEEGYPLYKKYGWNTQEEVVLDFEPLGGHNKGRYYIMIRDP IPGTVQPN AOR_1_844174 MPSPPPAWVQALKPAGPQGSELLAQERAQSNVDVDKLAELLHTK KALDRQQQILSILESDKVFDKSENYTLGRTEKIQRALAKAKRLQQLAVQHKWSQDDYY MANELLSEPTPYGLHASMFLVTLREQTTPEQKKLFLEPASKYEIIGCYAQTELGHGSN VRGLETTATWNPDDKTFTIHSPTLTASKWWIGSLGRTANHAVVMAQLFIGGKNYGPHP FVVQIRDLQTHQPLENIYVGDIGPKFGYNTMDNGFLLFNKVKIPHVNMLARFSSVDKE TNKYLRPASHSLLYGTMTWVRSNIVLQAGSVLARGVTIATRYCAVRRQFQDRDGGKDA GENQVLNYKMVQIRLLPLLASMYALHFTGRGMMRLYEENQKRMKGAVEAGQDKRGAGP EQLRAGADLLADLHATSCGLKALASTTAGEGLEICRRACGGHGYSNYSGIGPWYADYL PTLTWEGDNYMLTQQVARYLLKSARAVLAGKGTNNDTSQILQTYLSRREKGASFDILE EDKDIVAAFAWRTAHLTFEALKRRDVEKRSWNSLLVDFWRLSTAHSQYLVVKNFYEAV TSPQLTSELDPETVTLMHKLFRLYSLHTLEREAGEFFSSSAVTTRQISLAQSNAVMKL LDEIRPHAVRLVDAWKFPDWQLDSSLGRYDGEVYPDLFRRASQNPVNDLVFDPYPWNE NVLKNSAPKSKL AOR_1_846174 MVKTLPFAANLEVPTPGFGAMGLSFGLGSNLSLEEAEPVLLKAI ELGCTFWDTAVVYQAGVNEKLLGDFIRKHNVRDKVFIASKCGFNVFGDGSVTNSAAHI KEYIEGTIQRLGFTPDLYYLHRIDPKTPLEESIPALDEIRKAGKTKYIGLSECSAATL RKANSIAKIDAIQAEYSAFETLHETDGLIDTARELNIAYVAYSPLGHGWLVDNFPYKT PDDFAPDDFRRKSPKFQGENFYKNRAIVDEIKKLAARKGCAISQIALAWVAAQGFIAI PGTTKAGRLEENWASREIELTEEEKLEMRRIIDAAKPHGNRYGPAHQAMVGH AOR_1_848174 MHTPDYVEPAQGISYFTPAQNPPAGTAANPQTNGQKVPKLFQPF TVRGVTFQNRLGLAPLCQYSAEDGHMTDWHVAHLGGIAQRGPGLMMIEATAVQPEGRI TPQDVGLWKDSHIAPMKRVIEFVHSQGQKIGVQIAHAGRKASTIPPWMSGAVVASEQA GGWPENVKGPSDIPFADSFPKPKAMTKADIEEFKNAWAAACKRAIAAGADFIEIHNAH GYLLSSFLSPASNNRTDEYGGSFENRIRLPLEIAQLTRDTVGPDVPVFLRVSASDWLE EVLPEQSFNVNETVKFAQALVAQGAVDLIDISSGGVHAAQKVKSGPGFQVPFAVAVKK AVGDKMLVSAVGAITNGKQANQLLEEEGIDVALVGRGFQKDPGLAWTFAQHLDTEISM ANQIRWGFTRRGGTPYIDPSVYKPSIFD AOR_1_850174 MESGPRHATVDRGHITKPERLENTYTSDTSLQRALSWYLPAQKL EQAQPQLVELGEEAISDQIREWSADAERHQPYVKGFNVWGQRYDYDRLITSEGWKQLG KWGARHGVVSLGYEPTYGAERRLVQYTVNYLYSPSSGLYSCPVSMSDGAALVLNQERK NVAADHPFQTAYQKLISKKEDYWTSGQWMTERAGGSDVQNTETWATYSPLSHKSKASN GLDEGDYLINGFKFFSSATDANIALMLAKTPSGKLSTFLAPLRKTIVGEDGKPRVVTN GVRIHRLKNKLGTKELPTAELELKDMRAHLVGTVDHGVMAIAPLLNITRTHTFIGSLA AWRRAISITKSFAKARTTVGEPLWLIPMHLSLLADLEVKHRGAMNLAFFTVAVMGVVE NNGVSTPAAHLPTKGKEAQVVFRALTAIAKAVISKNAIAGIQECQEGMGGVGYMDEPD EPEFNIARLLRNTAVNSIWEGTTNVLASELVRFLVKRDNLTIFSAWFERTLALITTPE FASALKQIWSDFLSRTKATSEPRFILADARRVMFTLAWILCGALLALDAERDGDGVTT EIARRWILSGEGGVGDMVWRDIISVQRTASAGPSSITNEHLRWDCRIAWGVELPAKQV SGHRSFQGTGSKL AOR_1_852174 MDPVQVDDEAFEDSIYNDSLNSASFVTSLNSSILNYKYENGRRY HAFREGTYLVPNDEEEQDRMDLAHHVYRLLLGGPLHLAPIKNDVQRVLDLGTGTGIWA IDFADEHPSAQVIGTDLSPIQPRWVPPTCSFEIDDFECDWLYTRPFDFIHARELEGCI GNDLKFFKQAFKHLVPGGYFEVQAVTSPFLSDDDTLDKAPNAQEWMDNLVKGLRKFGK PADNVPGWKDKLKDAGFIDVHQEIRKLPIGPWPKDPKLKEIGKYQGVQELQVIDSYTP AIFSRILGWSHEEIQILIAKVKRELRDPSIHLYLPVYFIYGRKPV AOR_1_852174 MDLAHHVYRLLLGGPLHLAPIKNDVQRVLDLGTGTGIWAIDFAD EHPSAQVIGTDLSPIQPRWVPPTCSFEIDDFECDWLYTRPFDFIHARELEGCIGNDLK FFKQAFKHLVPGGYFEVQAVTSPFLSDDDTLDKAPNAQEWMDNLVKGLRKFGKPADNV PGWKDKLKDAGFIDVHQEIRKLPIGPWPKDPKLKEIGKYQGVQELQVIDSYTPAIFSR ILGWSHEEIQILIAKVKRELRDPSIHLYLPVYFIYGRKPV AOR_1_854174 MSSPAENKKTSDILFPSSEHIQNSSDPSPHAALNKEACVRYERD RASSGGKFFKSFGWMGDLPEWKVGGEKLKGRALNWSIGFIASCGFLMFGYDQGVLSAL LTLDSFQEVLPLMTPRDKSNDLCWLDNPTNTIPNPTYCTGDANTQAAGVAIYQIGCWM GSLVILAYGERWGRKSSTFWGSLIMIIGTIMQAACFDYGLFVAGRVVGGIGNGMVTST IPTWQSECARPHQRGVLIMLSGALISAGVMIAYWVDYGFYFLTGSVRWRFPLMFQSFF TIIVMIGLLYLPDSPRWLTMQGRHAEARDVTARLVGKVEDHPDVEEELRSINEALEVQ SRGGSFKYRELLTNGPSQNLRRSTLAMVSQFFQQMCGINLVTYYATAIFENSLGFGPE MARLLAACNGTEYFMASLIALPLIERTGRRKLMIIGAFGMMVSMAILAGTVSTGEIME NGAPKLETQYGVTATVFLFVFNSFFAIGWLGMTWLYPAEITNLRIRIQANALSTSSNW MSNFLIVMITPPAFANLGYQTYIIFAVFNAALIPCVYLFFPETKGRTLEELDVVFASA NAQGISPVKQSLQMPKLAGDSLDRELARYFGTSSDEETSREK AOR_1_856174 MYPSLAGWPLLLLLPGCLIDVATARLCRGSFNVTRLEDVESLFQ GCTHVRGDITITDTYSGALILPNLKTIDGKLDVQYITSRPGMGNSTPTQITSLELPDT QSIKNVAIANITTLKTISMPRLESVSHFAIYQHGITTDTLDLRSLREASYFYLAGGFS SVNVDSLRNVSRFLDIYGPAVGAEREDTGKPLQLTFPSLVYAYRLLVEGRISKISMPK LEFLGWDHRDGGYSDDVMIRTKETPGSFSFPSLKNLTGTFSVYGPIKSLDVSSLQSST VYMRLGTTSPLNLTLPLRKLTSITLYGTLESVKFPFLKDFNSIGIHSTLPVGCKSFTT QMEEISQSLEYKTDYQCSEPGSTPSLLGLIALCIIPVIAIAAAGYYCTRKKHEDTEYE EEKAVERPLSPIKEGANEKVVGQG AOR_1_3038174 MTSTLSHVLNVWDAQRANSPIYALLLDNITITDASPGTIHANLP IAKNHTNSKGGLHGTLTACLVDWAAGMAIASQGASYTGVSTDLHVSYLSSAKEEEILE ITGRAMKVGGTLAYVSVEIEKVKGNGDRVVVATGLHTKYVRKRD AOR_1_860174 MTLIHIVLFKFRSDVSEEHKKTFVTELKKLKKLSCVKAGRLIVG GPSVTDPIDRSKGFQIALVSYHESQAALAEYQASQEHHWVTSTYMFPYKEDLVRFDFE VDPEDEDMCQFPLLV AOR_1_3040174 MNRGLKPSGADALRSPESRHIKQGTPILVFRLKNTRAVEWTSLP QNFRRIGLSEHSIIQKRGKPLDSLLEGPIYVSTLDLLFVTDIPYGRICSIDSNANWTL VTEYDGEPNGLVWNHITKNIIIIANFKQGILELDPTSRELQIIASRYQGERLKRRPND LVITADGVIYFTDQGMTGLQDPTGRVFRGGFIRRT AOR_1_862174 MASHPGQPSIDPENPPSQSECPTQPPTGHSDHDAANYPFYKRNA CTTCRRMKSKCDMAKPACGTCSRFNRTCAYETTRKKSGPKRGYTAVFGSRLAQVEALL SAQMPSILGSQNPSAPLPGAWVDRASHPNLSSTGSFQDTMEETDPTASSTERAYTEPS VGLDIISLGLEEPLPDQDVIDDLYRIYFEDVHIYMPIIHRQRHLSALNYAPDSRPPVC LQYMIWCHAALVSEKYDTLHTAFYERARKYAEADEMKGYGGGILSLAHAQTWLLITAY EYKMMFFPRAWLSCGKACRLAIMLGLHHLDSPSPQIKQCFTPSIDWVEKEERRRVFWT TFCIDKFATIGTGWPVGINETEAMTNLPASEEAFITGESEPTVPLSDVLDGEGLSTLS PSASIAFVSCIFGRITNHLRLPQSQDDDDYSTGGFWQRHRSCDEILLHFALTMPTHLR LPIGIGDPNIIFCNIALHTAVICLHQAAIFKAEWNNITEYPIVQSRLRCSAAAHQILE VIKMVGPVNMGKVNPFVSFCLYVAARVYVQHLKLHPEDTEAHSAFQFFRSVIHTLKTT NPLAESFLFQLDVDSEGGSFQGLRLPTNNFPVEMLTPSNL AOR_1_3042174 MRVSSLALALCASVAMTAPTETPDLHNILQAFNISKIVQDAGPV LESLLVTGNCNIPACFQQLIPAVQECNAAIVGGGSDIASDLECVSSVVADLVPSQSNN CAVCVGDAISTIRSQLEKGSQSH AOR_1_3044174 MFWKTARTIQYAQIFLVIAPAFILYGYNQAGLSALLDLPDVIRY FPQIDTVNTHGAKKAENSTIQGLINACLQLGTLVGALSCSITGDALGRRKAIFVAGIC AVAGQVLQCTAFSLGQFTVGRIILGAGVGQLSVIVPLWQAESSSASNRGRKVITAGIF ICMGFLLSSWINVGFSKAPLPPLQWRVSLAIPVLLCSIICISILTFPKSPRWLVQKHR ITDAAEALAKLNGMSSDDEHVQYEICRIRDSLEGGPKVSIKDVFNRNDQNRLLYRFAL CLIIQTLQQLVGGNLILIYTTSIFESNLHLQGDIPAIVAASSLTWKFLCSFIAFFAVD RLGRRWLFVLSGTGMSICMVAMAAATSFPVSNHAASIVAAVFIFIFNIFCPIGFLGET FSTALRLPLPTYERPCPPYQSQTIGCGTYNHHGHTGGYHRVMLSSTRVARARTRMLKE KLPEPSKENLLNGWFNAPTLAMTRYSGCEAPILVVCLSVFV AOR_1_866174 MGTRGLEIVRFHRRYYVRYHRNDSYFEGVGAKIVASIPTDPDEY HKWLQAMRAEYAAKESALETHVYEIRHGFKPDYSQFREFVTLPSELPRLRDDFEYIYI INLDHEVLTMNHSIHWKLGNIPRQDELWLRAIADSIYMYKPTISLDVCPEEHMDSLAL ELPKRKRKIGYDFRVVVPRTNIAEARKTFLTRLLASTLIQYQDEIIRFGREWGPDSFP FREMAFALVSIASGQAKFHSFPSQQCNPRACGASDCKLNHLSKLPGWLDEEWAGDSAP LLEFGSLSHRPGEPPGASPTKMIYWLEDVLVSLTLVIDGKAITEAVNWGIEQGRTSFQ IVVLSLFKAAFAEVFLGDDGEPFVEVSRAVDLSPLRANYCVSTHPRDRPELKPGMKTQ RQFGELIMNSNCTGTVQRLRSQFPGLAALVNFFEVAGNRRAASNSEGILPPELYYRIL DFVDYDTWKTCLLVSTMVRSCCLRKYRLDDRMSIVAGPFVRLQKYHKERLMSFDFQNM QTGKILPMMEVPRNIWMRECNWMPVIGSDRKALMLDVVIQFEPAENVPVEADSDDESY SLRSK AOR_1_868174 MDQLELVPSHPAWSYPEGGLRANLVVLGSFSSIMGGLGLMNSIG IYQAWISTHQLSHLSESQISWIFGIYNFLVFFCGIQIGPVFDAKGPRLLMLTGSILLI LTLVLVGFCQEYWHFLVVIGIIGGVGTSFIFIVPVATIGHFFSVRRGGATGLAMSGGS IGGVIFPLVLEYLGPRIGFAWATRVIALITLILLIPGCLLLKARLPPKSSAATSLLPD LRILKDPALALTTLGAFFIEWGFFIPLEYITSYSLTYGISSRLAYLMVVFLNAGSFPG RWLPGILADRIGRFEMLTLTNIFCLIAVLGVWMPANGNVVATVIFSVTFGIGSGSNIS LVPVCVGELCPTEQYGRFYTTVYTIVSVGALTGVPIAGEIIHRCHGEYWGLIAFAGCA YAAGLVCFVGVLVLKRKKGKSTV AOR_1_870174 MSMDKTSVLDQFFSGYINLIVTYLGLIGRWDLAVIRRLYAMPMS GFMPATWTTPRSRFSTSARRPLMELTGFTEEQLTIREAISAICAKFPNTYWQECDQNE RDPKDFHAALAKDGWLGIALPEELGGAGLGISEATMMMQTITQSGAGMAGAQSIHANV YATQPLAKFGTNQQLKEIIPKIINGTWRTCFGVTEPNTGLETLKLKTLATKNPEKQTY SISGQKIWITCAQVASKMILLARTTPLEEVKKSSQGLSLFCIDLDRNKSGLDMRKIKK MGGRAVDANEVFFDNYEIPESTLIGQENEGFKIILHGMNAERCLLAGEALGLGYAALE RAAQYASDRVVFGRPIGQNQGIAHPLADAYMQLEAAKLATYHAARLYDASKTDDSIPF HSVGVACNSAKYLAAEAAFKACERAVLSHGGMGYAMEYDVERYLRECLVPRIAPVSRE MILNYVSEKVLQLPRSY AOR_1_872174 MAPWRPLSQALYSRIPGARSVSTQTSPKGPLSGITVVSLEQAIA APFCTRQLADLGARVIKIERPGVGDFARNYDTRVNGLASHFVWTNRSKESLALDLKKP RDHGVLMRLLGKADVLVQNLAPGASARLGLSHEELKAKHPSLIVCNISGYGPDGPYRD KKAYDLLIQSEAGMLSVTGTAQEPAKVGISIADISAGSYAYSNILAAIIQRGNDPEKR GCNIDISMLESMVEWMSFPLYYTYQNAPRPTPTGASHAAVYPYGPFETGDGKSVMLGI QNEREWVNFCEKVLSLPDLMTDERFVNNSLRSQNRDALKEIICEAFSSLTAEKVVTLL DEAAIANGKVNDMQGVWEHPQLKARGRWTEVSTPAGTVPALLPPGLTQGDAGRFSARM DAVPDVGEHNAAILAELGIEDAGEDL AOR_1_874174 MTPEADSGRYPTRKRARQACLHCNRRRIRCNVLETRPCHNCVSM NVPCEVGVSKRGKYPRKKAVRQSGTPHEGDSIISDSTVSADHSIFQPGDSSIVPIDAS NSPDQRHHLGQRGSSVTHSRNSFGTSGISPGDVTSVSHQTVFLGESSPLTCVIDEGRR SPEKGPANAMQNTRLHYPIPERLDANSTRDEALRAHRRKVEEQLNADGAFSYPPKDTC AILLRAYFTWFHPCFPILDRLAVQQSYARGDISHLLLQSMLFIGVSLCTDEDFARTEF SIRYRAKFLFYSRAKAIYDADWESNKTTKLQSLFLLSSWRGGPSEERDTRFWLGVAIS LAQKRGMHMMSKLPFPSAREEKLWKRIWWTLYIRDQQSAAALGLPPRIRDEDCDVAML EPSDIREDEAVDDAYVFGAQRDEDIVYPAEMAKLARILRTIVSTQYLPMQSDIDESSR TKLQQTLCEWESCLPAALKLESATSPRAMFLTGLLHMTYNNLYILLYRSLFLNSSNPP VDKAGQVALDAATRSTRIVEDMLSHNLVQHGPTHLITHTFSTLCIHTIHCRRTSGTAR KLAEHRAKLSLLGLQELQKTWDIENWVLNLFFRCLDDSTARTLRLTDIVAPAGQPVNH IETTNKSAHADQAQDLPTPTEAMDSIHNDPTLAPALQTSPSLENGDITAPGEWYGLFN FTDDFTDVLGASSYHDSLNLQNLEFLYRFL AOR_1_876174 MPASCPDTEVLPQYSFTDDYSEGAHPQLLEALLRTNSTQQVSYG YDEYSNEARRLIRTRLQATEDEVAIHFVPSGTSANLICIASCLRPYEAVLTVDTGHIV SKEAGAIEATGHKTIVVPGVRGKMTPENLDRAVRQNQFFPHSAKPRLVYISNATELGT VYTKRELQELSAVCKRWKLLLLMDGARIGVALSAPSNDLTLRDLVDLLDIFWIGGTKM GALLGEAIVVRNHLAEDFIFHLKQHGALLAKSRVMGVQFAELFRDNLFFDLATHANAM AQRISANFEKLGYLLAAPTDTNQVFVTLPMALVNRLEERFRFYTWDPLDGERAIVRLV TSWATDSLEVDKFNAWVQQWTTV AOR_1_878174 MAPLVLITGATGLIGFRVLLEALRNGYNVRFTARSCEKAEKVTS NPVVQALSPGDRLSPIIMPDTTVDSAFDDALEDVTYVIHVGSPVPVPGFDPVTQVWEP TTKGVSNLLSSAIKVTSIKRIIITSSIVGNMPPIPDPFTTVTASSRVHLLGPPPTSFS NLFEAYALAKITEANDTDAFVEKNKPHFSVAHIMPGYVFGRNELALTADEVLHNNSSN MYLMTCITGKEVTFPLHGGYVHIDDLADVHLKVLRLEPGPGTPRNFGACTNIDYSAIF DYIEKAFPKAVADGTFRRGNLHTLPISYDSSETEKVLGIKFRPFEYAVVDAARQYLEK LGKELA AOR_1_3048174 MEPVGLAVGVVGLFGLFNTCLDVAKKHDAWKDFGSESRCLTAQF EAQKLRLQNWGEAVGVEQESVSSKHHELLGDPRTRSNIQNLLLAIKDICGHEQALSLT TISRVETGSSEGPILTKHGYSLSSRGSKRQRLNWALRGKERRIAQVAQFSSLVDDLHS LVPVNGERGQGSRDTRGKFGDEGSWIVEFKQLIERIEHDHEARLWFLEWPSPDFPSGP AKFLWINGPAGFGKSILCAKITEYLLLTSDTPTAHFFFSSDFERRDPFMAMRSWLSQL MFHTEALGFIRKKWESTQGQRAARGDVISLLRAIVSAIPECTFTLDGLDECDWAKGSW SGNSDDSITSFLRALRRATAGTSTRILIVSRDEPEIRRGLSNESPYDPVFEHRIIPED VQNDVLTYCRSIVEEKLSTKTDEAKDEITKKLADRCNGQFLWIRLQQDTLRSGKSQRK LEQAINSTPSGIEHIFERNWMKIMGLTEEDRTRAISLLRWTAFSLRPLTISEISGALV ISEDDDELLLDDLPDSIDEYYVNTEIKELCGSFLEVRDAQDKSDVRLKTVHLTHFSVK EYLLRNLPIEGKVLQPNSTFSSSTEVIENTIIAKKCLRFVNSQEAWLGTSGVQVEEFL STFRKYAAGSWYRHVELGNMSDTELRSSVAALFDPKNPNWPLWKAWFDANDTKAVERN ARCGVATAGPLYYASWLGLTDSVASLIHELKPDVNNRGYDEQTPLGVASEEGNLEIVR TLLEQGADVTMADIDGWTPIYTASHIGHTEVVRLLIENGSNVNTSESGGCTPVNTACY QGHVETVKLLLKSGADIYTATNKGITPLYAASAGGHIEVVKLLLKWGADIDYANKYGD TPLSASSSKGHPAVSKLLVETGADIEAKNNFGRTPLHLASLDGHIEIVILLLERDAYV EAKDIHEWTPLMNASFEGHAEVVKALSGRGADIEAKSANGHTALMYASTEGHIEVTDI GFTPLMFASSYGHIEVVKLLLKHGADFTNRDITGTTSLHVAAYDGHVKVVEIFLQASS THVDALNRLNRTPLFQAAARGHLCVVNTLLSHKANAKIKDHYRSTPLLMAVRNGHKDV VGRLITLADSSIHFQNGLDQTLLWWAARCGDAGIVELIVRWAKEHNIEAIESDLQVDG SLVKLGEPSRYCDVCIRDLRANEVYYKFKTCFDFNICSECF AOR_1_880174 MSEQTIIPPPPPKFDISAKDLEQNAKDLIDYIQQATARLINSCT DNTTADFETIILPLAGIDNKVKSRIQYIALFQAISPCVDIRKASSAAINLVDKAYLSI FQNDDIFRLVNRVREHASAHLMDEEDTRLLNKFHWMFVENGMYLTGKSRERFTWISRR LIELRVKFMETLGTDPGCLWKSKEQLVGVPLDRLSTGADEDGHEDLYRVPLTKPITNL ILSECRVSETRKDVFLHSSTRYKDNVEIFREIIVLRDESSRLLGFPSFAARKLSQQML ASPARVDGFLQHLHDALKPLADDEMLELQELAKTRDPIQLWDFDFYHTRMLQEQNHVD HEYISQWFPAKVTIQRMLGIYGNLFGLQFEKVEALESFHTWHPDVDLFSVWEENKSSF IGYLYIDVFPRDGKYNHAANFNIYPSYLNTEGKQTPVVTALVCNVSRAEPALLRHTEV IAIFHELGHGIHDLVGKSKYAIFHGHRTVADFTEAPSQLLEYWCWVPSCLQRLTCHYS YVSQEYHKHWLDGQKEKDVTQPPMEITLDLAENLGATKQLNQGILTLRQVAFSKFDMQ IHHPASHRDVETLDISELYNSLLENTTGLRGPGDGYDWGNGHVTTSHYVWGQEASYYS YL AOR_1_882174 MPNTLGNGEWLEVGQSLWSQNGQVELKMQHDGKIAVYVNAECVF QNTADQRDDVKGIHMQEDGNLVMYTHDGTAIWHTNTAAPSGDSTTICAVQNDGNVVLY KGTPLWASNTGH AOR_1_884174 MRLSISCDACRQSKVKCVHDGHPPCRRCQRLNRQSCVLTDPRSS PLRPRRDLLRVQSKTRPASRSGAESCPVNGNNGRQWCPVATSSNPIASIPSSVLINAC DIYRKKFPVANFLHYPSLIAEISTNSSSVDSVFVASLLALCARFLPEHQLQPGEIYAE YARVQLAHRAFEGPSLYLAQSLVIVSLYEWGSGRPYKAWMYSGMATYMIQSLLKTADD SMEHNPDEFHASQIQYEQLVRTYWCCFAQDCELSSGARQHFALSFRQISVPLPIGDHD FNFGRRASRRLMPANLTRDSPLSAAMTIDHGLTIVTRGFDIFVRILRFANESRRGRTS SSLNTELSPQKTWENLKEELDEWRSLQDVTVRYPSTSAQAHVALGYGELFAYINLVYF MSVLFLHRDRVLSSLKLMHDPNHDPRVATNLEESTWCEAAIEELFEAAQNIGGILSAL EASGASVITPYAGFSVFVAAHINMYGTVSPRGYPGGQERAEQEKRGNFAYLERLCDFW PVGHSWWRTVQEASKFYETAKSNHEHTASGDRPGHLTLASTLDEYGDIRCSRPRHDIS ISRRRDTSGRDATIPQNHGPTGCPAHANITFSEQVMLDPHELETEMMQWPFIDETWSS GFDTGFDAAWPNPG AOR_1_886174 MDHNTIKKLLVEVQASLDVYQSDPTDTSRVEAQEKALKLARALE KPRDAILKIAYSPTVVMAVKVAHDLNVFPTLANATSPVLLNELAVLKPADPLLVERMM RLLVAHGFAEEPEPCEYLPTALSKEMTQRTSIGVVESLFLEFLPGIQKVPEYLQVIGY KSPEDPLFAPLQYAHNFKQDGFSWLCENPAALTRFNAFMEGQRADRPHWADWFPIPDQ ILASAHKGSDGPLLVDIGGGRGHDLLGFKQRFPEAPGKLVLEDLPTVIEEARSALDLE GNGIDAVGYDFFAQEQPIKGARVYYFRNIFHDWSDDKARLIIKNLVPAMERGYSKVLM EEYIIPDKNARALEGMTDIAVMVFCSGLERTRQRFTNLLESAGLKVTKFWTREGDGQG IIEAELA AOR_1_888174 MAYNVAAELFAEWAIGMVVIAVRLYARWSIGKASFYWDDGCLLL AMICWTIFTVTLYYCIDVYGSNIGLNEKTAELIPDEKVSGIRKGSICAFIAWITYICM VWAFKGVLVFLYNRLTMGLVQHRLTMIVGGATICTFLISIFFHLFDCMPIRKNWQVKP YPGDQCTLRPLNYILIEALSIVNDLAIMCVPIPLILHAKIPLSQKIVLVALFSSGIFV MICAVLRAYLSVRDIDHLPTALGWASREGFVSAFIVCAPGIKPLFTRIGWFKSYGSSN NYANKYSSTHKTRTGRFTSRQSREFNTLNSTNEVHPYEMSNMAWNGGRRDSSAESQQH IIEATPQKRGDSPEHGIVVTTDVTLAHEVSPTELKSPGRCRS AOR_1_3050174 MADGISSAKQAQYAGFLVFTLSLYFSKLSLSVFIRNLTPVSRDH FHATILQILLTIWAVVALFGSAFQCQASRPWDTSGTCIDLNAWQYYFCASNIVTDIFI IVQALVLISRIQASFKKKGGVCHDLPVPVILPSIAQLVLIHDVANSPDRSFDDYGVAI AVETVQCASIVTACWGQLKPFLNQLKSNGLRIQGVEYQNTSAKASNPLSETRDDQSRH TDPNHLHNGHELIPIASGQGNMTTVSASRAWDADSQSSQAGMIRETRTWNRKQHKKTA NTSTVINNKRYLLANHIASELPPERQAPLFIRKDMDKVGAMIKLSKRISSTASADTES MKMTGEHLTKAPPERLLEDEREYSPRTPKLLGYGGPEQSPEDEYKGGYIHTFAMAGMP VGG AOR_1_890174 MTSYELKNHVPANNEVRISGGYSTKDHDNAELARLGKKPVLKRT FGILSSLGFSCTILATWEGLFGTFLIPLQNGGPAGAVYSFIFVWTGTACSFAVLSELV SMAPTSGGQYHWCAMLSPPRMMKCLSYITGWVTTIGWMSAFTSASFLAGTEIQGVVTL AHEHYDPKPWQGTLIMWAAILLALGINIVGGKLLPRFETLVLVVHILGYFAILIPLTY MADHKSNQEVFKEFVNSGGFPTDGLAFFVGMTGCVFAFAGGDAAVHMAEEVANATVAI PRAVLLSVLINGTLGFTMLIATLFCMGDVDKALNTPTGYPFIEIFYQATDSISGALGM SSVLLIIAVCSVIGMLAATSRQFWSFARDRAVPGWRLWSKVSPRTCIPTYSILLTMTV AALLGLVNIGSAVALNGIISMAVSGIYLSYLIVAILLLYRRCTGEISLYSDGEDMLVN VPGAKLMWGPFHIRGIFGTLINGYAVIYMIIVVFFSFWPSQMSVDKTTMNFSVVGTIG TIILALIYYVFRARHVYTGPVIELHR AOR_1_892174 MTATSSSPVGGSSKDMRKNFLIGLGISFTLLQLLFLGNMCYLYG TQYRDGTRFHKLKMLYVDYDGDVVGQSVVDAYGMLSSDEFPSLIQSPASEYPTPKDVK TAVCKGDYWGAVYAHPGASSNLSAALATGNGNRTSLTYIWNGARYPAFSQSAIYSSIM SLVQVTKSAYYARNASSVLATAPLSKNPASLQAFLDPIQANEINIKVTEQGSRVLYNT VSMVMPIIQQFFFMMALNGISAQFHLYTKLGPTRNGLLRLCVSLAYTFIGSLCMAGYI WAYRESWDVNSNQFVLSWMSVWLYMHINFLIVDILTAFIPMQFLPFCILTWAIINVAS SISPFELSPGFYRWGYALPAHELYQVLVQIWSDGCEDQLYRALPIMFSWWIACLAIVP FAMSHRCKAAVAAEHAASNSSFDKKTTVSLPESTDRDATLHSRQSSTREIVPQSRRET AESIRLEHVAYGPSYPTPGVRGDEP AOR_1_894174 MTIQQYDTIGAAYDDVPNLPTGKLQAAALKTCLGDIEGLTILEL ACGLGYYCRKAVEWGASRAVGVDISEAMVDAARVNAKGDKRLEFHVADCGQPFEFGQF DIVLAPWLLNYSSNQNQLVDMWSNIYKSLKPGGRIIGISPNVHILEDLAAFPQGPQYG QELKVVREIDEGGLEVQVTLFASTPFSFNNIYLPRALYEKTSKLAGLSGFQWQNFPKP LSHDVDWDDFLRCPPFRIFTATRPRE AOR_1_896174 MPNMTPSECLERLGHGERDVERDSSPSQDEKRPITGIKWFLLVA STLTGSFLYALDNTIVANIAPVIVNHFDSVDDLPWMSVGFMIGAVAVVLIVGKLYTLY NAKWLYIIFTVIFMAASALCGAAPTINAEIVGRVFAGVGGNGMYIGVLTLLSLNTTSR ERPQYLSLTGLVWGLGTVLGPVVGGGFELYTWRWAFYINLLFGIILLPLYLFVIPSMS VTPDLSLRHKLATFDWVGSILSVAAFTTLVMGINFGGTLYAWNAAQIIALFVLSGVLW ITFALQQGFAIATSFYNRIFPIHLLKRKEPALLFIVCASAGIVTYPSVYYIPIYFQYT KGDNAILSAVRLLPFICLLVVAIQGSGIMMSRLGYYKPWYLAGSAIALIPAVLMATIV ETHTSPSVLYGLELVLGLGAGLYAQAAFAVIQAVTPPADSGDGLTLMILAQLTGMTLG LSITGAIFVNVAQNRLYQLLPKLPREQVSRIVSGTSSKLLAKLPMHIREQALDIIVLA WRDVFTVVYVGAALSLVCAIFLANKRANISAGAGGA AOR_1_898174 MSSFWKKPSLRVRDGDRTRAVELTLRESLYPICLVTSLFFLWGF SYGLLDTLNKHFQETLNISQARSSGPIPSHRLGTRHGFFATMVIELSISGDSSCTVIL IPEASTGDGLEDDNVNVLRLKTVNEAVEQRDLVLSGTVRQILGDWAEYDLSKGQTKQD ARSWWPGISAGVLAGVLVMGMSCLLFKRSSLSPFFNHVRS AOR_1_3054174 MPPYKHLCAVVALAALLIPGSRGATFDGSRYLWYDTPGTRFNAS LPVGNGRLGGTLYCLPTEIVTWNEDSVWSGTFQDRVNSNALDGFPKVRNLLVNGNITA AGELALSDMTGSSVDQREYQVLSNLYVDLGQRGDATNLVWYLDTLEGYTACEYGFDGV SYTRELIASAPSGVLGFRIQTNTSRAINLNAVANGIASIVMKARTGEADYSTFTAGVR VVVDGGNVTANGDKLYVTGATTVVFFLDAESSYRYATDSDQETELNRKLDAATELGYE ALRKEAITDHKDLAGRVTLDLGSSTDDAASLPPNERMTNYRSSPDHDVQFATLVFNYG RHLLIASSRRTRERSLSPGLQGIWNQDYSPSWGAKYTVNINLEMNYWPAETTNLNELT SPLWDLLALIQERGGDVAEKMHGCPGFVLHHNTDLWGDSVPVHNGTKYSIWPMGGAWL ALHMMEHYRFTGDKTFLKEQACPIFKSAFEFFECYLFDVDGYLTTGPSCSPENAFQIP SDMTVAGKEEALTMSPTLDNSMLFELLTALNETHQILEIDNDLSGSVQTSSNGSRSFA ETDPAHRQFSPLFGLFPGTQLTPLASTKLADAAGVLLDRRMNSGGGSRGWSRAWSISL YARLYRGDEAWDNVQAWIQTFLLTNLWNSDKGGSTVFQIDGNLDYAAAIPELLLQNHP GVVHLLPALPSAVPTGSVSGLVARGGFEVDIAWEDGALTNATITSLLGNKLTLLLMAI HHCMSAATSILDRFLRRREKKKGKKYTITF AOR_1_900174 MSPLTAPYNDSMRIGSGFNSYTQQLRVNDAVIKENKTALTDKDL RGNSTGKADTISQQVTFTSKFVEHASDITEALNISGALEIKYQGMGAKAAGSYLDSSK VKESDINYFVQVKVVNQQLIADDATKFNVIPNIPVTNQKRFTEVYGDSYISGFLEGGE FNALLSIKLNNKENATKVKGALSVELSKAGFGISGQAEGDFNKSEIAQNSETSITVSW AGGGDIRDARTKLEGWTIDSMAKAAFAFPDQVRKIPQRTHAVITKYSALRSYQLLSNK GSPLDYENAGVYTNSLLESYLDYKDIWKAIGILSTDFGQKKTILEVPATATETAKEIQ AMKTEFAAISSKTKDQFSHIPETPYPGTLVGLEKARRDCRSQMIRIVKEVDDVADDPS HATDFNRVGIYLSPLLFRQLLPIPEPRPTEKEIEEYTGRIKTLETNVTGLTKRVNDIE AOR_1_902174 MAPLLAPYNSAMRLGSGFNSYTHELCLDDAVKKGTGSPPKLSDG EGVAQSVVFKTSVIDKMSDITDALNISGALTIKYNNLIDGTGKGSFINSNKIKDADIN FLISVKVINQTVTDNALTEFAPIDNLPAEKFTEVYGDTFISGFQEGGEFNAVISIKVK DKNQVENIKADAAVALTTPSFGSEKPKAENTETYAVAPNDQNNEQGNEQQGGNEAGEE TKKKEDGADSPTGLAIKGNAEVEKNLQDLFQENETTVSVSYSGGGQDLKKPDDDWNVK NMRAAALRFPALVAKTPVRTNAVLTKYTALRSFHTQKKDKNNKEAVPLSYENASVYTS ILEDAFLDYKNIYKEIRLSEGDLASGKKEFEESTGKESTYSESDGTRKDATPQAHIAT EPFAPTLAGFESAKCYIRRNMNLIVREVDEIKKNPDRASEDRPLPCQSPIIFKQYLPV PKEPSKPTV AOR_1_3056174 MSTIEELESLFPEDPTTPSLGLIASNGGQITLPYSTGGSGKPIA ILGSGCRPSKLESDDAHAPFNAKTALSDRSLKESVRFRIEDGALSKFHKAITSSSHAT AEHLSISGCGRVGGKFLGASASGRYNKAVSDNGHELKASIQASIRTGTVYIDEPRFSL NALADTHRSQSKPSYFSEIYGEYYVASLQLGADAGLLASSASKEHMETESLDVKATLH ILWWDIEKNIHTESHSSDFWSQIKVTGFDTLTGEDLTHISPQGDGEVMNYVQRVTELE ARVRQKMDGFALTKNKVVDWHMSK AOR_1_906174 MSSAGAASSSVPPAQHGKAPIDDLFDTGCGKIPTEAFTRIAATA FFSGVASLFYVILPEDCDRLIHRLYQEETDIERCEVCELSAIAAVGCRYDSAEIPNEY IDKFWEQSLLLVYDAIDEANLRALRILICMGMYLILDKSMSATVIIASGMTLARRCMP KQDSNDGSKAEWDGLFHSLATIECWLSFALGFEHCLTEEDTQYVIEQDIAETSTVVSE TPNYVTASMIRSQMWKVSFMSAETHGAKSSPVGYNYRLSPHIIMGYLLNKEEGFSKSI WFISKTECSFFNTSYNDIPNPSYCK AOR_1_908174 MPSKSWSALVASLITLGVSVTVTAANSFPDNCNASCQASIEQAL AQEQAGWVSANVSSDSFYGNPANISDYAAGDIVRWEDVPSQQYTTVGYDTPPATTLSR FLYMSEDIDGNPIPASAFLLLPFTNQDGSGKPLRTVVWTHGTAGITRQCAPSNNKGLY YEWEGPFALVQQGYAVIAPDYAGQGSDIPMGFMYESGALHALDVSFALQAVRSRLQDR ITHEWVVVGHSEGGMTAWRVNEREKRNATGGFLGAVSGAPALRPLSLIPQSWRLAGDG PVHDVVSIFVLQSISRLFPSIKVENYVSDIVASRIPIAQQGCLGTGSTIYGNLTLPQL YKNISWINHPDVIEWQQSYNGAGTHELAAPMLVLQGTADELVYANLTEWDYDQTCSTF PSSVMQLYIYPDLNHDFAFIAGQAQYLPWIRDRFENVSLSAACNKTTVTVPGPV AOR_1_910174 MATTPSLQNNLGFRRVLQMVKPHSLEDTVEQVWQAIVISWFPSR EGYIWSFKGPALVQNDIPNFSLIQVMKVSPNREEPEGWSEHLILLIECKRPSSDVPLS WDNTISTECHHVLSQNNNDSGQLFGIVAIGTKSRFYRFGGQASSDQLAQLHQGTFDMS EPTGIAQAESMMDYIKANA AOR_1_912174 MSPSFQSEPPKTTHYRVSFPEPHIMLVTIDREKQRNSLPSYAHW EAHELFTWFDHEPSLLVAVVTGAGNKAFCAGQDLQEQNKKRGNEKTASQKALLTHPPT GFMGLSQRKGVKPVLAAVNGFALGGGFEICLNCDVVVASSTAEFGLPEAQVGLFAAAG GLPRLVRTCGMQVATELALTCRRISAQEALSLRLINRISKTPESLVEECLAIASRIVQ LSPDAILATRQGLRAAWDNPSVQHATSQIREMYADRVVKGENFKIGVEAFVKKTKPQW VMPKI AOR_1_914174 MPPDPKLSLDNNTPWAEPAWYQTLDSPYYNQSHWKLRDNIRSYC DTHILPHALEWEEKGDVPREEALRYAQSGIPFDDVPAQYRPSHIPNLAGIPHNERDVF HLLVSVDELARVEGGVGIALGGASTIGIPPIIHHGTEEQKAKWLPGLFSWETSFCLGV TEPNGGSDVARIRTSAVKSADGRSYVVNGVKKWITGAPWATHMTTAVRTGPEGSGLHG ISVLVIPLDSKGVIRQKIYNSGQNAGGSSFVDLEDVRVPVENFIGEENKGFPIVMKNF NKERYIMAIQCNRKSRTCLAMALSYAMTRKTFGKPLIHNQIIRRKLAELAHRVEAHWA WLEQLAFYITNAPLGWQSPQIASRIALLKVQGGQMLELASREAQQIFGGAGYQKGGAG ATVEQISRDLRMMVVGGGSEEIIADLAVRQEISLLKEKGKL AOR_1_916174 MVPVIIGVADIKNKTTKAEDAKEPLQLIVEAIVAAIRDTQLSNT EILKLKSSIDSLSVVKTWTWTYADLPHLISQKLSISPRLTHYTKQGGNQPAKLLDEES LRIAGGQSRVSLITGGEALASLGAYAKIGQMPPPGWTPPETEVKGVFSPSPDRFKKDD LDGIHSIGLPIQVYPMYENGFRAHRGQSLAENHMDSASLYSRFSQVAVTRPYSWNFQS KVETPESIAQVTTKNRMICLPYPLLMNAFNSVNLAAACIVTTAEYAAELGVPRSKWIY PLGGAGATDSEEVWNRPNFFSSPAISKSLDGCLASSGLTKNDIDLFDFYSCFPIVPKL ASEHLGLSISSPSKPITLLGGLTFFGGAGNNYSMHAITEMVRQLRRGQGRNGLILANG GILTYQHAICLSSRPPSNGIMYPNVQNAHQVAIEVPIPRVTHVAEGDAVIETYTVEFH RNGHPARGYIIGRLKTDGSRFVANHGNVTTLRELASLAEEQIGKEGYVVPELISGGRR RNLFYFAPKQSI AOR_1_3060174 MSDDGSHHFSNEQGWRMDDADKIPIEEYSHTVIDLENEVNRVMT EGCKVDACLDWFIYRLKEINPDNNQYMYGQINFELFSGSRLEEIKEELRANLNRLVPS YLNGASDILEVEKLTERLNVRWRSTQVSNNTKKQDDVAGSILDTLIEKNCRRHSGGLK ASSTAGLPETQDSFLNSCLQLKTFIEGERTTASYTCGGCIPIVQATGPLDKHPRGSGP VNIFWSIGNSSARRVSLPLRADAEDASPAVLQHLITSCEPASFGRGQEDVMDLSYRRA GKLDPKNFATSFHPATFGIIETIEKVLLPGIVGGVLGIFCSHAYPHSSSSTTHDLPRG LKGFDVVLYSIFQSLELHVQVLPVLENNGKYIPKDPKLGLKGKVKREGEIARRSRYIG EWGDDEYEFADELQPYLAKDEPLLVNPTNEVLPQASSREDIADIDPRWRLLRTTRRVG SMKKAISFARSKGLPYKEDSSYLEEGAQVGSCLRPYETTEWGQEMSLDEVLPHVWPAY YLPGITWLTEPKDEEMAFSQVTYGNEAGIGTRYSCAAILAVISPWDQRNTLHE AOR_1_920174 MKDMVIYTDSKAQWDCHIQSQTDGPLPDNSYIIDIGTHDLAEVR WWAAILAEGRGWQATLVRDGKEYYPLWECHLNSSQFRLHHCAKLPPSISTLKLEPPSS TQAQEYLFNLARYHDAFDQLISALAATMTIPLHNRFGARITLPLPKPGRLPIAHRKTE LTYRNQIPIPDEIPHYMAVSCTSGVVTSCLFSCFWEPDIPCNLVSQWLNLSMRQIFPS LIRSKKYNAIVCAMAQRRPNIAPLWLGSLITGLLPRILQLCRSYLPTVYLEAVTWTGS PQSFMNPQYHRLAPVQKIDGVQLIPREDEFRLLFITNVDSQTYRNPPLSPYRPFGYVE LRNTSIDVRLHYSCNHQLSYYCWNWKCEGGQVSIDFGMSYGLKAVESSMEPNTLRSTG LKLAWMVVGSTYTTLYKLLLGNSFWGPIYRTLVSICSWRRGLRPANPPGEDLDKEEIF DDTLSELATRNIFSWTFFSEGTRSEERELWKHEWLELLVDRNDGAESSESSPSEVEDV ANSEQLQHVQKWRDNVVAE AOR_1_3062174 MLHLASKGSMLKAENVQPQTINSELLPTMPKNYRVQKKCDYAFY YHEDTQQVSDLYDALTCAGAGDVLSQTTDSHTKRRLLFSGLEVKHENGGKDEALAQLA TWIVAGMENTRKLWSQSSEEVHSYRDLPPMVGWTIVGLDWYTWVTFGATNNGTDRLVC NSASTRFELD AOR_1_3064174 MSVEQRLITRGALDSIPARTSYLGQAVFQVPLYRNQEKLYHARR PHKKSRGGCLTCKKRRVKCDEGKPACVKCQKYGASCSYSTAPGKGFNADVAASTQNPD GITFSFSLSDLATRIEEILNLDTGTNTSLIERNSVHPVSLIAFQHFLKSSTDTVANPS LRDVMRSDMIRVALTSPHLMYTILGVGVLHLNRISPGNKTRQFAETLFWQRAIKLYQA ALTSNVTPQNVDALLSTCMFMGLTTLCPENIKPTDSWVLSDKPDAMNWLCLQSGIRCI ITLAKPYLDSTIWASTFQEAHEDEVAFLEHIIKQGRDGLDPDLADLCGIDDSTTAKTN PYYEHLRLLTGLFELERNFENSAKCTSFMGKLTTDFLALLRRRDPPALVILAQWMGLM CTMSHWQPWIFGRLVTECIAICMYLEHDPDPRISRLLEFPASACGYSSRNSSKST AOR_1_926174 MHLTKFLLLSAATLAVAHPGEKHDPHVVKREIHARDALAARSKR GLDACATSEQATRLQQRNVARRARTVRELRSARGVTTSPKKWRRDSDDLAKWEAVNHN MTGLVDFTSETPESSVFGGNTSAILSPTITDGPYYVWGEVQRSNVKEDEYCDGVDVYL EVQYIDVNTCQPIPGAFVDIWNANATGVYSGISTSGNYAADGWDSTYLRGIQESDQDG VVTFETIFPGHYDGRATHTHLLTHLNASVHDNNGTLVVGTGSVAHIGQLFWNEVLRSA VEDTYPYTTNTQDITSNADDMWSIEQASSAYDPFPDYVYLGNDLKDGLFAWKQIGINV SADYTDNSYYSIAAYYDENGGHQNTDSAAFGGGSGSEGGMPSGSASGAIPSGTPM AOR_1_928174 MAQIYRRFLHWAKGVFHSGTDQNENTIEGEIHWSRAIRHYMIPL NESGDKPDSLKQLLDDGPLALSITPPDIAVIRGRLDAILFSRLSAAIKEEINALKHTS LGDAPGAGNTLYLDCLLKVSTPHSIKGEHFIPEHDVDNILWYGDRDKLDTNLVITRKE IPLDSQDRSPLPSMILIHRTREFVGRKSEIFGICTDSYQWNFMHINKKRQVSRLSLYW LHDQHQIEKLVRHIIRQAAILHRKAVGS AOR_1_930174 MGRVDEFAAHEFDYLIVGGGTAGLVLAARLSERPDIVVGVVEAG PAAFDEKAINIPGLYGSTIGSQYDWQFETVPQPGLSGRKLPWPRGKVLGGTSALNFMA WNRGNREDYDAWEELGNAGWGWDDLLPYFQRSETFHPPDATHQKQYYSYYQEETHGTT GPLQTTHIQSYGPAHQFWHATLNAVGVDTSPDSLDGSNTGAWNMICTVDPISQTRSYS AIAYYQPIAARPNLVLLTEAMVTKVLIEKDGHSDRWVATGVDILCDNKQKEVYAAREV ILSAGSVQSPQLLELSGVGNTEVLRSAGIPVKIENPNVGENLQEHMMTATIFEISPEI PTRDEVLQDSALQEAASREYEGSKSGPWTILPCSIAYCSLSQIIGQEELEGLRSRAES LAKQTGKKRDEILARQFREDANLGQLEYLFDVGNWSPYFTSEVGKKYGTMLMMLQYPW SRGSIHLPPKDPGTRATVHDKPIIDPRYFFGHGEVDLEIMKLGQRLTKRICATKPLSD IIRSRVFPPMSDSDTPGEMDEYDEFVRNYTITDWHPIGTCAMGGLEGEKSGVVDGRLR VYGITNLRVVDASIMPLQVSAHIQATVYAIAEKAADMIIEDLVRLR AOR_1_3066174 MKSSTFGMLALAAAAKLVSAHATVHAVWINDVDQGEGNSESGYI RSPPSNSPITDVTSKDMTCNVNNKATAKTLEVKAGDKITFEWHHDSRSDSDDIIASSH KGPIMVYMAPTEKGTAGNGWVKIAEDGYTDGTWAVDTLIKNRGKHSVTVPDVAAGEYL FRPEIIALHEGNRQGGAQFYMECVQVKVTSSGSKTLPEGVSIPGAYTATDKGILFDIY NSFDSYPFPGPAVWDGASGSSSSPSASASASAPAATSAAPAPSSFTTIAKQPATSSTE APSTENTSTTSTIVSTTAAASATAPATPSSTSAIASSAASNAGGAVKEWYQCGGLNYK GSTQCEEGLTCKKWNPYYYQCISA AOR_1_934174 MGDPSMPTVESISLRRNSIRHGKKQSYTASSLSSFRQLRELTDG GKEVVLDGQSLDLSSVFAVAHNGLAATITDDKNVLGRMHHSVDLLGQKLAKGEVIYGV NTGFGGSADTRTQDYATLQKALIQHHNAAILLPSDRGLGSPVSSLHHLKSHCMPVPIV RAAMLTRCNSLLRGHSAVRVQVVEHILTLLAHGLTPVVPLRGSISASGDLTPLAYIAG ALEGNPDISMHNAAGDQIIPADEALQLAGLVPLNFGPKEGLGLLNGTAFSGGAASLVL FEANKLVLLSQVLTAMGTEALLGTRYNYHPFIADARPHDGQREAAANIFKILTDSKLA KNPTEGGETANHGGLAQDRYALRTSTQWIGPQIENMALSLKQVVCELNSTTDNPLLDP EEAQIHHGGNFQAASVTSAMEKTMGAMQMLGKMIFSQCSEIINPNLSRGLPPNLSVDD PSLSFAFKGVDINMASYMSELAYLNHPVSNHVQSAEMHNQGLNSLAFIACRYAGDAVE VLSLMAATYLYVLCQALDLRALHLEFVKDARAQVDDITAELYSSTFGARLPAVQNKLW EELMNHWSRTSTSDLAERCQSTTSYSVGVLLSSLAAESNPENSSMTDVRAAQHWQTRV CAVLKWSYRTTRETFLTRQTTKSYLCSASRSFYTFVREKLAVPMHRGIADHPTYDSAE RRKKGCIGTQISKVYAALRRGEFQDVLLSCW AOR_1_936174 MTIDTEIKYILSLQAVRERAQRVFQLAEANQINHFEYHEDRFDA AVQYVANIIKRDFGPDKYHLIPPHGRWQHFEVGGTPRIKDLLSQWEAAGYDKDEQARS LVDLFFVSVLLDAGAGDKWRFTELGSNAVIGRSEGIAVATLHMFNNGEFALPGSDRRD VVLGASLKDFSEATLSRGFQITDSNPFVGVPARVELIKSLGRSLLSLPNIFGDTGRPG NLVDYLKSRADDSNRLDFEVLWETLQSVLLPIWPSSRTQRDGHPVGDAWPLKVLADDA QKADRQSKCSHIQPFHKLTQWLAYSLMVPFERLLGVEWKNAEIATGLPEYRNGGLFVD LGVLTLKKESLQRGLANSGSALPAFEATSDEIVEWRALTVALLDKLHLALRGTELGKE KLSLAQVLESGSWKAGRELAAENRPETKSSPILILGDGTLF AOR_1_938174 MADNATRVTPQGGILEGGNPSHYDKKNPIVIFIIQASIIIILCR ALHWPLSKIRQPRVIAEVIGGVILGPSVMGRIPGFTEAIFPDASIPNLNLVANLGLIL FLFLVGLETDLRFLFSNLRVAASVSAAGMILPFGLGCAISYGLYNTFSDEPGTVKINF GTFLLFIGIAMAITAFPVLCRILTELKLLGTNVGVIVLSAGVGNDVVGWILLALCVAL VNAGTGLTALWVLLVCVGYVLFLFLLFRPLFLLFLKKTGSLQKGPSQSVVAVTLLIAL ASSFFTQVIGVHAIFGGFLIGLLCPHEGGFAIKLTEKIEDLVAALFLPLYFTLSGLQT NLGLLDTGLVWGYVIAVIAIALIAKIVGGALAARLCGLLWRESLSIGVLMSCKGLVEL IVLNIGLQANILSTRTFTMFVVMALVTTFVTTPLTTVLYPKWYQIKVDRWRRGEIDWN GNPIQSDSRVDSVTLAKEQLQGTTVRRLLVYLRLDGLSSICTLAALLGPSRLAQPPLP KMHPDKRKSQTMSPEPAAEEAAQTEVEDAPALQVHGVRLMELTDRDSSVMKVSEIEEY TLWDPVVNTFRAFGQWHDMSIMAGVSVVPEHSYADTVVGMAREESSDLLLIPWSETGA MSEHQTGLGIDEASRFANGPYTDFVSNVMRQSSSSVGVLIERSIYSRRTRKGLLTKRS FSAMSIRSSVWNSAPPAAARSHHIVLPFFGGDDDRFALRFVMQLAQNDQVTATIIHID VAPSPPQVSEVHQSSPSSTTKDKSSSQILTTAQGSESDATFFGAMKDSLSEELTTRIV FTRVSPQRDSTDAVGVAVTAVKEEMGKVPQKAGNIVVVGRRNNRVDLNESSTSSQEEV GAETSRVLGAVAQAMVRTENRIVGDVLVLQAGMGAVGARHPTTPHKASTAHLLKRIRR SQLRPLPQRRPPPKQNIRNKGEKPDDCEGDVGEALDAFHPAPAECLVDEAGVDGGADG AEDGDVGEAGHGDGALFGTVHVAEGTADEDGADAAEEAEQGAADEDGGDVLA AOR_1_940174 MLIPFVQTRVTPTLQSRGWNSRPDLHIELAGQTERCPNSYTTGD IIEGAVSISVECETNFDELKIIFEGSSETQQSQVIALPSQARACHTFLELHRPIGDAE YPTPRTFVPGQIYRFPFIFVVPARLPPIMCDHTNCNIHVGRAHTLLPPSLGDPMLASD GCSLLDDMSSELCRISYRIRASLTRRSLSGKNARETLRAVAKKVRIIPAVEEEPPLVV THDEDDYCMQNVKDIKTGITRTKRGSLMVTASQPSPLQLYPPGFQSPSNVCTTATVNL RFDPIGNELPPKLGSLCSKLSVSTFYTITPWNDFPSPSYVDSLGRHCYTTTVPLSSLC VSSTKWTKHSPVGMSRQSALVDMTPTKPSPSTSSKEDGTYYTAVMTVPVTIPPTKAFV PTFHSCLISRTYALDMSISYHTPNVNLLAPSISLRLPIQITSLPRSQPLTHPPFYSEL RETEVVMKQGEY AOR_1_942174 MSGSLEALTRPSGFFVRHASRTSTNTRIPPIARRSASPIPSRIA LLSRDKLERETAAKNPDLRRCLGHQRLLRRSIEAAQEDMRRAMASFKLEDSDDEDEIL GDDYDSSPSPMIREQITRAVKAIVKRRATSQVHETDNANSTPQLMRESSKKESSCDLS SRQHNTYYRAPSVTTGRRKHNTKFAFTRLLWSSSGQSMQAMAS AOR_1_944174 MQLLLLVVLLVGACPAQAKAVFAHFMLGNSDNYTKANWEQDISA AKEAHIDAFAINTGFGLSIHRMLTDAFAAADELDFKLFLSLDYSGDGHWPQDQVIDYL KDFTKLPAYFKTDDDKPLVSTFEGDQAVGDWKNIKDKVDCFFIPEWSALRPEKAISYE QVDGLMSWTAWPNGTDPMTTETDKEYLDALNGKPYIMPVSPWFYTNLVRYHKNWVWQG DDLWYTRWQQVLELEPEYVEILTWNDYGESHYIGPIHQSGLAVFDYGQAPFDYAKDMP HDGWRSFLPYVIDLYKNGGKDADIKDEGLVSWYRVNPASACSSGKTTGNTETQAQQTL EPQEVLQDKVFFSALLESSADISVTIDGKARAASWVDTPDGGKGIYHGSIPIDNQTGA VVVTLTRDNELLAEIDGHPITSDCVKNMTNWNAWVGNATSTGPKPSSPSGSSEQDSSS NRLVSSGILPVAVMWTLFFALI AOR_1_946174 MVHLSRVKRGKQAQKPQQEQDQGESAASPYVYGTHYATEELPEH VMSEREMPADVAFRLIKDELSLDGNPLLNLASFVTTYMEDEAQNLMTDAMSKNFIDFE EYPQTAHIQNRCINMIAHLLNAPTTEGDGELDTIGTSTIGSSEAIMLATLAMKKRWQN KRKAEGKDWTRPNIVMNSAVQVCWEKAARYFDVEEKYVYCTDTRYVIDPKTAVDMVDE NTIGICAIMGTTYTGQYEDVKAINDLLKAKNIDCPIHVDAASGGFVAPFVRPELEWDF RLEKVVSINVSGHKYGLVYPGVGWVFWRSPEYLPEELIFNVNYLGSNQATFTLNFSKG ASHVIGQYYQLIRLGKHGYRSIMQNLTKTSDYFADELKKLGFLIMSDGNGRGLPLVAF RMKPDDDRLYDEFALAHVLRQRGWIVPAYTMAPHSNQLKLMRVVLREDFTIHRCNILL EDIKAALKSLQEMDAEMIQKYTLHLRAHSAKKLPQQHAHYKNEKHSLQGKTGKTHGVC AOR_1_948174 MVKDLTFDVRYDNELAHDYYGDGKQLAEHMRRIYHDKNLQFPNE FESTLTTPPVHFMSVEALDEADVEELRNVNVPPGLNIEILDLNM AOR_1_950174 MDPATFEPWRVPLAQWGLVQLVTGLTVALTPSQSIVRSMAAAIV IALAYSFQSSVAESFADTRAGGPLAAMCWVNVLNAIDMLVLSRVSYEAQVEWEAKKFG DGAAKKANSDSLKSTLFRRLLWSQNIAFNYRRINTPWQISRLPVFDSTNPQYVPTRLK FVLQGSVKDGMAPSPAYASRLERRLHLLLGSTDIS AOR_1_952174 MKFAYILSALASTAVGAPLLDGLLGGLLGTLPIVSNLGELNGVL GGLLNLGGGGELPLLAILGSLGKLPTGATGAIPSGLPSGFPAGLPPAKPSAVPTSVAV PSTGATPSGVPSADGLATGKLLQDLAPQLNNILVVTGPDAKVLLIELSPEVTALVSGL GLASLGVPLGGIVASAASLGDLLADLGKPVENLVTVVGVDGGALLISLSPEIAALVSD LGLPGVGVPLGTVVAIVGGSL AOR_1_954174 MASMLHYFNFGSKASTTKGAEVKPTPVRALPASWYTAQEMYELE RRAIFSRRWLFITHSSRLKEAGDWLRYEVAGFDFVINRDRQGNINAFHNVCRHRAYPV IEEEGCGNKKIIACRYHGWSYGLNGKLAKAPGYQELDGFDKEKNGLFRIHVKVDVNGF IWVNMDAKEVPEVPWEEHFEDVDKQARYKENGINFEEYEFDHTYQMEGDYNWKILADN FNECYHCPTTHADIPAFLNLDSFDSDVKDGHIQHHCKSTPEQIQKGLNTASTYYFPNS SMTVTKHWMMVQKFLPKGPNRSTMAYEIWRHKDAKEEDFKLINDMYARVMGEDKVLCN NAQKNLNRGVFVNGELHPKYEKAPLFFQSTCREVVTEHFDREKKEGREIWPARQQLPN DAKVSAKDEEICSGLACGAQKEVLAW AOR_1_956174 MEDRSDHHLHRDDEPVTSDTRTEPRPPSPTATGHSRGEDRVERD ALELHEIETQPDHEFGSSTASISSGEYRITTRRTASRTSQRTERSRAPRKGLLGKVQR FWTRNVVLTVPQKSNRDHFALERTFLAYIRTSVIIAMQGVLIAQLFRLQQQPSPTDQL TYYEVAIPLSVTCHCIAIIVAMIGACRFWKQQNAVALGTVYAGGWELNCIGLLIALII LALFVLSIVIIVELE AOR_1_958174 MTTQKAIVVTSPQQESLVTDRPIPALRDDYILVKTVAVALNPTD WKHIAFLAPPGALIGCDYAGIVEEVGKAVKKPFKKGDRVCGFTHGGNAVQHEDGAFAE YIVAKGDVQIRIPDNLSFQEAATLGVGISTVGQGLYQSLKLALPSEPIKEPEPILIYG GSTATGTLAIQFAKLSGYTVLTTCSPHNFDLVKRLGADAVFDYKDPNSAAEIRKYTNN NLKLVFDTISLEASSKYCEQAISTEGGDYGVLLSTSIDRENVTTRFTLAYTIIGEEFK FGDKVFPASAEDKAFAEKFWTISEKLLADGKVKVHQPKVNPGGLQGVLEGLKLLKEDK VSGEKLVYNVAETP AOR_1_960174 MTYPTTLPSLTTREAITDALYRCVTGFDTADTALFDSAFTKDAT FDLNGRLLEGLESIHKDCYDKISKLDTIHYMNNVRVNVHEGEEKGSLTASALAQHYRP GEGIQAGTKYFLAGSLYEMDVVKDQGDGLWKIAYWKLKIVWAEGDWGVVLE AOR_1_3068174 MPDPSSTRKPYFGLKGGWLTFWITIACATDMTLFGYDQVVFGGV IVTDDFLDVLHLDGKTSIISTVTAVYDVGCFFGAIASMILGEKLGQKKSILWGTIIMS IGAILQIAAYGVPQMIVGRIVAG AOR_1_3070174 MQQLAEINVTSYYLTTLLIESVGLSNRLARLLTACKSISYLLSG IFAIPNIERFGRRQILMICSLGQGICYLLITVLIRFNEMEEYGPKQKVASASVAFFFY YLSFGCGFQGIPWLLPVELNSLSMRTKGVSIATATNWAFNFMVVEITPIGIKTLGWKF YIIWTVFNFSFIPLIYFFYPETPNRPLEDIDRFFIENKGLLIIRNPDATSANRKTRYI ECELDIMNAQDKADAAHMEIVAEGKA AOR_1_964174 MVSPASSSEVTKQYTLRAWLPTTSGDSYPAATTLRSNNFSYTNY LTPYGQCFHLLPAPDDMEAILRIYMETIHPIFPVLDYDNYRSKPAKSADKILLSLSIC IAASVHPSSGQYFRHVAAYPADFSLMISNAIKSSNTLSLVQDKLILIQSLSLLSLFKL FSGNRQESTDLLSHAISHAHAAGLHYYGHTTPEKKQASTRALCCLFAIDTIHTACSRY PAQFHRRDFGNRLTSWVAEQEPCFQLFLRTILLLERAVDLSRTADYGGWEGSFPSFEH LLGQVDTSSIPVPLIATIEVLYHAVAIVACPSSTSTSACSCSSEAHPRQALAASRITY IVGEEFYGQLPLLPVIPYAVGLSLRVSWNTMLRSKTLLFKERARKQVLDNCAILRSLG GVYSSASCMADLAERLVYEQDSKEEGGKNGNQNQEPSYLRSASWRWAPEPTVQSTYCL MHSQPSPYSPDAHFSNPVSDLDILDYFDTDVAVVGGGPENS AOR_1_966174 MNRAVSSKCFQCPTFRAGRCSGTAKRLLATDAAPLTRKDKKEGD ISSVFSTFSGRKIPPLPERFRELKRNLTTGFEEQIQKSWDELVEVLKVRTEEVASKRE SIIPQLNYSDIRTGSVSPETVAAIRRTGVAVVRGVVPKDEAEGLLSDVRRYFAAHQFP GFPSDADKKIIYESYWSPSQVKARSHPNMLSAQSWMNQLYSADAGQKIDLSVPLTYCD RVQIRPPGDKKFALPPHVDGGGVERWEDRAYNHVYRKIFQGKWQEYDPWDLTGRLDAN MNMYEAPGGCSVFRAFQSWLGLSRHGPQQGTLVVHPILQPSTAYWMLRPFFKPTRKSS LDGWKFSLDDDEGNVYLHGANPGTAQEHTPDHHPHLMLHKTMIPYPTVDPGDTVFWSA DTIHGTEGENTGDTDACVFYIPSVPLTLNNAQYVAQQRDAFLKGTPPPDFPGGVGESQ FSDRAQVGDVQSQAGREAMGLSPIKPSIKNEKSAKLAEEVNKIMGY AOR_1_968174 MIKAVFFDFMGTCLDWHSGTIKALPQSISESERSDIALKWRHDY FDANAARIAAGEPVEDIEITLARTLDALLEKYAAHKHLFDREAKEQCIAAWHSMPAWP DVAPALEQLKAEGYEIFVHANGTTRLQLDLCKSSGLSFHMLFSSQLLGVYKPAPESYR EVLRLVDVKPEETVLVAAHAYDVRGAKEVGMKTVYVHRWTDDIHEDMEIIKGENDFFL EDMTNLVGVIQQL AOR_1_3072174 MGKPLPVLPTEATNSSTMASPQKSPTSSNCCYLTPQQGSKQLVY LCRRQLSSSTGSSLRREPSPGRAPSNAPAAEQERRSKIEYHVNVQQVAPTMLHLGVIS ANAHLTLPAPELKELQTHAKRQAEQLKVLSKHEVATLSQELVTMEEYCKYLQDRCTSL KSDRRILQEQKIRDLTSATWIGPKWKGRMLEREQELMDIDLSIDRWTGMLEHAVENRV TIRHRLLEHIAAILAVESPTVLPSQYLQFNTNTIPGSAEMSQQRIQSIPIFADSGIFT AAGYGSGYRI AOR_1_3074174 MSTAALVNAKSVLIATISAPIIYMLGMAIYNVYFHPLNRFPGPV SHAISRIPYFYRAVRGTLPFDMLKLHERYGDIVRIAPDELAFSHPDAWKDIFGHKNGE PEMAKAAWFYRPLDDPLHIVNEDTDEHRRLRRQMAHGFSEKSMRAQEPIIRKYVDLSL EKLHQSCKNGSLVILDWYNFTTFDIIGDLAFGEPFGCLEGCNYDQWIKGIFKGAYLGS FMQALSFVPRLKSTLLLLVPKSMQEAHQGHKALTKAKMLRRAAITEKRPDLIDGLLRN KDELKLGLDKLIANAEILIIGGSETTASLLSGVTYLLLQNPNAYETLKNEVRSKFNHQ EEINLISVNKLSYILACLDEALRMYPPIANGLPRVCPKEGSWVLGEYIPGKTVLSIHQ WALYRREKHFKDPNTYHPERFLSSPEFLDDRRDAFQPFHTGPRNCLGRNLAYS AOR_1_970174 MKLFYLAGPFIWSLASASLSPSPSTTGSSISTPSGNVQITKNEQ CACRKLTQSFGRSVILPGQKNYTQQTVDDYWDIRAVLSPACVFVPDTADAVASALQIF SACNAQFAVRGGGHMNYPGSNNIDGGVLVALSGLDSYQVHNDTIDVGPGLTWYDVYKA LAPYGRAAIGGRLKTIGVPGLALIGGFHYFNNKYGYAMDNVVSYDVVLGNGTQAVASN VSHPDLFWALKGGANNYGIVTKFTLNTFDIPQITTSIQVFNETYFPSFFEAMCHSASV DEKDPIAAGMIATVAYNATTKVASASLLGVQEGVSNPQSQFANFTKIPATQRINNVTT LSQWAETLDSPKQMFRVMFSHKTMKPDPGMLYSIYKAWKAAVDDISDVEGLYPTFVLN EITPSSLRVAQTNGVGNVWGLEPEPLMIWQFSTGWANAQDDLRVEAWARQLTEHLHSI NREKGLASEFIYMGDAGEWQDPYAGFPYENVQRMRDIRAAYDPKGIFSTLNWGGFKLG L AOR_1_972174 MAQYQLITTTPDDYKTAPYIRPFLLFWLSYLFIEAISLAAGIFS MTGTRDLLYKVMWTFVFCPLGMGGTMGDLINSFIVDHYYEKKAAHFTGILTLLVPSTC QYLCYNLDRHLGWFGASDHPIWFHWRYPALWVIGYMNGLLLFTDEEQARLARMKL AOR_1_974174 MTESIFVAGDDLVTTIDYCLTELEYIEKHWVNFYQELREAVGAE ALQTLDPSTVGASQLESLKPAPGTSTTVPAKYTCATQKPIPTTFQGSAHTAALQVGLG KIIPRWKTGPDKSVNFAAFANGYPKPELALIAAQALKQAADEWNKLELGVQLKWVAKI EDAAFVLSFAGNQDGVLAEAFFPNEKDLNVLNVYNAAFQPGTVQYLKNIFLHELGHVL GLRHEFAPELEDEADNYTVQIGPRNPLSVMGYEFPPQIQPSDVENVKAFYKFPGKALG WKEAYTETPKKSMLLIKDYDANN AOR_1_976174 MEAIVANEPGPPDVLHLESYPRPPPKSGEILIEVKAFGLSRSDI LARQGHTADAKFPQIMGREAVGIVTAGEEYPGSYAQYTRVPATHVRRVHPLCKLSWEH LTVFSEMIPTAWNALFRALRLHPDDRLLIRGGTTSIGLAAACIAKRHCSFIASTTRQE SRKELLEGVGVNKVLIDDGSLSQQIKSEGLEFTKAGTIGGDSTISDFNPMDAVPSTVS LTTYKSSAEDFRNFPLDVLCHEVESGHLKLPNIRIYFSNQIVEAHRSMEANRAEGKVV VLW AOR_1_978174 MTSSAENSPSPGPESSNEPTPQLNIPPDILRLRAEIFTLESPIT VSVTEFNNAWKYLDNIYVRNQARYGQKKTTTYYWCRLWRTKAYEPSVSDEQRKRKRTV REPIGCPCRIRIVSDGYYMTITRGKEPHNHDISALDYKLTTAARELAAQAVAKGSRPS LVARELKNSGIGGQITSKDAWNAGNVWDEMSLF AOR_1_3078174 MVSMQRKTPADALAAKRAKADESNTHISTDGSSAEFEFGGSFGA ALLMTSFPLLMWYMWIGATYYDGAYPTAKGWAIYWIFFILEAAMYCYMPGVSSVGRAL KHEGGKTLPYYCSAYCSFYATLTIAAVLHFTRAFPLYTLIDEFGSIMSVATLSGFLDS FIVYMQAILRGRTHRLSGSPVYDFLMGAELNPRIGILDFKMFYEVRIPWFILFLITCS VAARQYEIYGYVSAEVVFLAGAH AOR_1_980174 MSLPSFLKWSAMAALMAQLCTAQTYTSCNPLKKTCSADAGLVNS TFYTDFTSGDSAFKYWNTTAGTVSSTSLGAEFSIKEQGDAPTIQSDFYIFFGYVEMKM RAANGTGIVSTLVIESDDLDELDWEQVSSYDNQIQTNYFGKGNTTSYDRATTVTVSTP EETFHTYAINWTSSKTEWLVDGSVVRTLNYADALDGENYPQTPSRIKIGIWAGGDPDN EEGTIEWAGGETDYDDSPFTMYVESIKVINYNPAKSYTYTDKTGAYTSIKASNVSTAS NSTTSTTSLFHTNTVSSSNSSSSSSSASAAASSTAFSGASTLSSSYLGSVFVVALVTM ATGMIRI AOR_1_982174 MVQGVNWLNGGALVYLGVQLLRTVKAFSVDVSSEQSIKDAASTA AYGMMHYYHGNESGNIPGKLPDTWWEGGAMFMTLIEYWHFTGDATYNDEVSEGMQWQA GDGDYMPSNWSSYLGNDDQMFWGLAAITAAELQFPEVSDGYSWLSLAQGVFNTQVKRW DTSSCAGGMRWQIWTYEAGYRMKNSISNGGLFQLAARLARYTNNQTYADWAEKIWDWS ASTPLLNTNTWNVADSTDVDDNCTSQGNTQWTYNYGAYIGGAAYMYNYTNGSTTWLTA VNGLLNATIDGFFPTKYGGNIMSDYTCETTEVCNNNEIIFKGLLSMWLAFTALLVPST YSTIIPKLQGSGVAAAETCTGHNNNSCGVVWYNSTWDGWSGLEEQMSVTSILAANMIG LNTSGAPVTSTTGGNSTSDPTAGESDNEGSSSTTTKVTTGDVVGASIVTIVLVAVPIA MVVLLIFT AOR_1_984174 MFPSEPTTTRNSNIALIPKEYAHQGDLEAIITRYRTLRLRGLKE NPDSFSSKYEDEVDLPYEKWLARVTNPQARSFVAYDAQGNNNMESLALLLSREWLGTV TIVGPRLLPEDNEALSKAPWDMFLPLEERELSERETRDATLVYMLGGMFVLETGRRKG NGRRLIERAVSEARTEAIEVGASRVLVVSVAERKNDAARRLYENCSFDVWDDELVLQI PRHQECVAMVLDLRLKAGSPDN AOR_1_986174 MSILLLYLRIFPIILFRRFDFLCIAFLTISLLVTTPMVIWQCKP FRAAWDYNIDNPRCLKIATIAYANASINIITEVSILILPLPVLRTLHVSRRKKIALIS VFSVGVIVIAIASARMPALGKIGTYYDPPYAQAPAFLLSCVEAAMAHVCAAAPVIYTA IVQMKRAHGKGSQTSPSASRQGQAGSDQAGESGLSMRKFKPAIYDSLHMSDVAIMGRG WMQSQRRSRGSVPSAHHLERAHSAYFSDPELVASPRPGLIRMSTNRGSCGSTGGLTLF PTPYRMEEV AOR_1_988174 MLCSSFHISRLAIPSVCALISFLAYTSQYFFKYFEPAPLREQEL WRINIFALCIWISYYRACTVDPGRVPRDWRPRDGKQLEADRASGRQRWCRRCEAFKPP RAHHCKTCQRCIPKMDHHCPWTANCVSHFTFPHFMRFLFYAVVGMSYLETLLFERASI VWASRNLPSYLGPSVVQLGHLFVLFVVNSLTVFMLFILLVRTLWSLGANTTTIESWEI ERHETLLRRARHYGGYLEGPGGVRVRIKRQEFPYDIGIWSNIREGMGGSSNVLSWFWP LARTPDRSTGLEYEVNGFEDFHVSWPPPDPDRIPLPPRDVSDGVAFTGAEGSSYDVQA FKQRKAEDLRRQAGLEIERRKRFHQRLAENSEDESQQSDGPNEKGSDYGEEGWRNSEG ERLRDFGVDEDIEFYDEEDLPLGVLIQRRAQAALKELK AOR_1_990174 MPITALPPTTVRAIGSTSVIADPCSVVKELLDNALDASATSIGI EISSNAVDVIQVKDNGHGISSDDHALVCKRTFTSKIQTVEDLRTLGGKSLGFRGEALA SAAEVSGGVTITTRVEAEMVGTSIKYGRNGELISSQRASHPVGTTVRITGLFKHIPVR RQSALKSAVKTLARIKKLIQIYAMAQPSKRLSLKVLKAKNENNNWIYAPGRDAMITDA ALKIAGTDVTSSCVLKKWPSEHNVNSGHPQEEHTSEVGLLALLLDLDTDCTKLSNAGQ FLAIDGRPISSSRGIGQDISKLYKSYLRSALSRSESSPSITDPFLCLHIQCPQGSYDV NIEPAKDDVLFEDSQRLISLVEDLFRSMYGEREPHLKKGPNSTKGKGAVSDNDAFDLL LARKSPSKDSPSVDTNSESCTPRFVTARSLAQSADPSPSLHVVNGQCSASSQINKASS TESRRGSTDQGFLNPWSITRFNAPFRKSSESQTRTTTVQRLPMNDHAHVYKRRRGSQE TPRRYSAGSFLPSPTSSTPSASSSPPDSRSFLATQASPTSRGCDEATRASRQRAKEKY GNGALDTWFGKTTQVALARLATEEPSDDNQQEPSLSQLAHERFRSQEQSSPESCETTS RTIPIRRGSVTSTPESSAFSSTQPQNSISMVAPQGPASDVKERRQEFPVLEQWSSRLH SLAKDGPKSDLETALDFEHRKKEAIQRRREIIKGRPKPPASTNSPHLSRYLAARAALR PESNESTHNLNSLTSAEVTTNQILNPHDPRSYLIRHQDASRQDEAPKDNKIRRINTSK LPFEKIPEGHELHDIGINLSTTLPVLAILSKEVFKSDLYTQCGEDFEAFSACDLQSDL VELWTSRLSGLIKSKYKAKEGSGEPTPRFDFSALAETKNSHN AOR_1_992174 MDIYGSKKPVVDIDRTIDQLAGQKPRLGLTAGDVGIFKSTILPS FTLHTGLSIASFIAAKTTDKGEIKDWCWPSSQVINAWWSAVGRQMFYENVSFSTAWKA IPWTEKVLLSCVTIWGTRLFYRISKRTITRGKDDPRYDEMKSKEPGFWKSAFLKQFLP EAVFLTLITLPFTLPFRLTGSSLNLDTDTAATIRGLGVALFSAGFAMEAMADCQLELH RQERTDLCRHGVWSIVRHPNYLGDALVHISFVILNAANTFNPLVLLGPVANYIYLRFV GGDKQNEASQEARYKEQDPHKYQQLQTWRREKNSFWPSLSELANPWTWAVVGSGLVGV VLEEAIRGWALQ AOR_1_994174 MGDAALAPKEHLLITFMRDLAPDQLEEIKAKFPGLEVSSVTLKR GEDIPSELAKKASYIVTFTNLPKPEDAGNIKFIHFLSAGLDHAIQHPIFKETAIPLTS SSGVHAPPIAEWTVMNWLVHTRKYAYMYEGQKNHEWRDSNSGYFQGVHDQVGKRVGIL GYGSIGRQIGRVSHALGMKVYAYTASPRPTPSSRHDNGFIVPNTGDKEGTIPISWHHG TDKASIREFLALGLDHLVISLPLTPQTTHLLGAEEFALLAASQGSKGAKPYLTNISRG KVLDQEALIGALKSGDLSGAALDVTDPEPLPAGHPLWDAPNVQISPHVSSLGVEYFPR SLDIVKENVGRVKRGEELLNVYKRGKGY AOR_1_3082174 MPLELHLAIPPDTPTLATLFFTTFSDAFNTKLFPRTPDVHTWWT RALTKDIGNPRKRLLKVIDTDADPARNEPTIVGFALWSLPAPVSNAPTTESKTGSEEI QTDELEDGLSPPWPESCDGALCERFFGSNYEWQVKVMGDKRDASCGEEKRRGDDAG AOR_1_996174 MTSEQYPHRDGLARRLLAAFIGNPDPVLPTAAHSVPTMNNHSET MGLPPEVRAKRLTIARTEANALIPPSDKLLVFRALTGIDSVPTLNTLHHYNRTVPNVG IYARVVEAEQTTAKRYRFYSILINTCLDVQIVVAASLTALGAASGPHSAVTAFGAINT IMAGILTYLKGSGLPDRLKHYQNEWRNIREYIEQREREFCLDGCELDVQEEIQYIEHL YEGVKREIEGMNGGGGESRAPMQHGQSNRRSFLPSQSRSQDASGPSPVTIPERSYEKI AOR_1_998174 MEALSPRSTNQVIKPKPMERKVLDRNAAAAAAAQKAASSKNHAP PPPSLVAEPEEDGERYSTGAFLGKGGFAICYEGTLLRNHRVFAMKVVRSEMAQKKMQE KFRTELQIHSKMRHPHIVTFHRAFAFEKCIYVILELCPNGSVMDMVKKRRSLSLPEVR RFMIQLCGAVKYLHKRNVAHRDLKMGNLFLDQNMDIKVGDFGLAAMIISEKDEKRRKT LCGTPNYIAPEVLDKSKGGHTQKVDIWSLGVICFAMLTGYPPFQSKTQEEIYKKVRSL TYVWPKDSECSNHIPEEAKSLVSSCLNLAENERPDPDDIVEHPFFHMYEGCIPKRLDP ACCLAKPIWLKPDEPRGDRMMFGNSLDYDEKLASYIEYVDDPNQRYPICKAAFYSLCG VGRKPDGSARKAAGKNASKSAFAECLVEEERGLQPVIPLPEDIVYRYPHAPEGDWSIP EATLVSRRDGALQDTTLLSSRSTLSLRSNSVSLTRTQAALAAAQQRRQASQSHAATLR QQASIGRGSLRKITALCDPPALTKPALPEVRELALDVGPVPSGGLAERPIRARRGVSG SLRDSERNTASVESKTGHEAGVLTVGKTRSQSRRLEAANQESAGLPNAKERSAPTAPE KLPIISRQSSLRAPTKTEPRDAPNHKDSGKLTAKDELDAQSRRLAAEAQSQQSSRSGS KASPPAGSKPRSSIGLHPLFHKDDSCELLPRSSVIDVNADLRHMLANLVPYSSARRRT ASRRAPHTYVIKWVDYTNRYGIGYVLDDGSVGCVFKSGNGQPASGVVMRDGEKHIRRK ARHQERKENGAYAYSEAGQLIPRNGRLVEFYENCDNELPENRGSIRRAFVSPSVFERE SSSSSSSGLVYKARLNASVECARADAEKIKRVKLAHQFGEYMIGSLGRNEAYLEDQLP PDHHGQFVKFYQRFGNVGVWGFGDGAFQFNFPDHTKVVISPGRTRSSSPWIDFYHLSP SAARYLSSKGKMHPSGFDSRSMASDEAGTFLSIAYGTGTTPKDERLRDILEANAFLPK ITFMKAVLKGWIKLGRLGERPLDQQSASDGLFWEGAQERPQSGGSGSRFVWVTVGAPG GDTEYRSVSLKKAENEPDGEMDALRERLRRLGVPS AOR_1_1000174 MSLTLKLSSLAIRTLSKPIANRIKAQAREHERFRKVCVSMAQAL HRFDMRLRLGAVRDNAASQKRAAAEAALRKHKPTSPTVKTEAEAKAEEDAIAKAKAAA EEAAKPAPKPHIRPLSESKAIESGATFISETFLFIVAGGLIVFESWRSRRKENTRRED VETRLAELEKSEKAAREALLAVEKELLAQKAKHGGLSKSSPRILPREVWDVERQQETE QVEEQGWWAWITSYLPVGQTPEQQAESVIKESKKTIPKAPMPVGEAVPAQAPSSHDQS LESKKA AOR_1_1002174 MNTQISFSLSLVRGQFCTTLRRRAIWKVIGPALTASTMGLEESE MQDCDLSLQLQSLSLRILLLSPSSIQASDNENTPSRLINFYHSWSNSHCQKYAIAFFF SENSFNSASGRCDLDGLLALQALVAECLPAPIPIIPIPDSSCFFSCLEEYTASLAEIP LQNPSLTDSMTLLSHVTSAHSSVLSDQERNILSDLFPSLRSLSQAARALEGWEVLVDY LGEMRTREIAEFWDHDRVCE AOR_1_1004174 MGHSRRPVGGEKKSRGFGRSKVADVGDGRQAGKPQVKKATFETT KKKDIGVSDLTLLSKISNEAINDNLKLRFEHDEIYTYIGHVLVSVNPFRDLGIYTDNV LDSYRGKNRLEVPPHVFAVAESSYYNMKSYKDNQCVIISGESGAGKTEAAKRIMQYIA SVSGGSDSSIQQTKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLELEFNANGEPVGA NITNYLLEKSRVVGQIANERNFHIFYQFTKAAPQKYRDMFGVQQPQSYLYTSRSKCFD VPGVDDNAEFRDTLNAMGVIGMSEAEQDNVFRMLAAILWIGNVQFAEDDSGNAAISDQ SVVDFVAYLLEVDPAQVNKALTIRIMETARGGRRGSVYEVPLNTVQALAVRDALSKAI YFNLFDWIVERVNQSLTAREPVANSIGILDIYGFEIFEKNSFEQLCINYVNEKLQQIF IQLTLKAEQDEYAREQIQWTPIKYFDNKVVCSLIEDKRPPGVFAALNDACATAHADSG AADNTFVGRLNFLGQNPNFENRQGQFIVKHYAGDVSYSVEGMTDKNKDQLLKDLLNLV GSSGNQFVHTLFPNQVNQDDKRRPPTASDKIKASANDLVATLMKAQPSYIRTIKPNDN KAPREYNVGNVLHQIKYLGLQENVRIRRAGFAYRQTFNKFVERFYLLSPKTSYAGDYT WTGDAESGARQILKDTSIPAEEYQMGITKVFVKTPETLFALEAMRDRYWHNMAIRIQR AWRNYLRYRIECAIRIQRFWRRTTGGLELLKVRDQGHQVLQGRKERRRMSLLGSRRFL GDYLGIGNKGGPGEMIRNGAGISGSDDILFSCRGEVLISKFGRSSKPSPRILVLTNRH VYIVAQILVNNQLQISAERTVPIGAIKAVSTSNLKDDWFSLIIGGQEPDPLINCVFKT EFFTHLQTALRGQLNLKVSENIEYNKKPGKLATVKAIKDPAASPNVDTYKSHTIHTSP GEPPSSVSKPTPKAKQVAARPVTKGKLLRPGGPGGGPSKLASRPASRPTPKPQPLPQS QPATAQPIPAPQPAAVPRPVPQPVAAAAASHTRNASSGSVRAPPPPPPASPPAPKKAT AKALYDFTSAQSNELDIRAGDVVQIVSKEGNGWWLCMNMATSVQGWTPQAYLEEQVAP TPKPAPPPPPPAAPRASPVPSANGAAATAAAAKAKPAPPAPPAKRPNMAGRKAVPAPP PAPRDSAVSMNSQDSSGGSGRGTPNSTSNASLAGGLAEALRARQHAMQGKHDDDDEW AOR_1_1006174 MGFSDLLQSAVSQAVSQATNSSGGNNNNNNQGGSNQGYGGDSYS ERPSHGGNQGGYNDRPSQGYGGDSYNDRPSHGNQGGYNDRPSSGYGGDSYGGRPSHGN QGGYNDRPSSGYGGDSYNDRPSHGNQGGYNDRPSSGYGGDSYNDTSYNAPPPSHGGYH NSNPSSHGFSDSDVSPALAHAQQHSDSSSDSSLFSTALNFIKDKRGRSSSPDIDEDQM VQSHQQLYNDNDSSKAHDSNSLGAGAAMQALKMFSSGQSGGSSGGDQNAFIGMAMSQA AKLWEQKNSSGNVTDDKQSAVNKAAEMAMKMYMKSQGSGSSGSGGPALMNLAAKFLSK AOR_1_1008174 MPNQSGSDISLTSSSSSVCPGDRDTRPGSSSCDTPAPSQHRRPP YSTQEGVPVTRDQQPVLSDTDAVSSAFNPTDPGISALMALPQYPSVATNEANFLAVSE VVNAVIDRDSYFRRVGGGDEGTIHRHSSPPTDLYMSDSEMTDVLSDLGGVPLAPYENG QLGMETLVHHLIDHATARRAISTISEDSEDEDEDAAALITHYDTPVNQDPSTPISEQD DLLDDAEKFYSDEDGGDYDEDFAEQIEAYQAGDLSEVDYDDFYRGLDHDLGSQATEVT GPDDHNYPSDGEDWSGGDLTGDPRFPASVIHGTTHERNFTIDQFISQWLVQSTAASIP SLPVISTAMPPSPISNILGWNPPAKIARPSGYTGDFYDIQQIPWWETLRVKRADAREL RDLWYTSYHNLEYSNQRPGLRLSQEEFYFREKAMYTKHKATIEHFQLRNLMSVAAHNT VHFAQESKVYSWAPAYDDVSCLIDLSKPSAESGLHAPVKISTMKSAHDVAIAGGFAGE YAVRATGTQEGGVQGFVTKDPNGITNHIDVVPSRTSRSPMGIFASNDRHLRVLDVETN TFIADQELSRAINCTATSPDGRLRCVIGDSPDAWVVEADTGRPVHPLRGHRDFGFACA WSPDMRHIATSNQDKTVIIWDARTWRVLEKIESDVAGYRSLRYSPVGGGPRTLLLCEP ADRISIINAQTYQTRQVHDFFGEIGGADYSPDGSTVWVANTDEHFGGFMEYERRQWGQ RYGVRGLPNEWVRESDLDEDERCVLSERERQMRFWWNLSDEEHEGMLLV AOR_1_1010174 MSLPQRPGKASPRREEVHSAFRESSRRRRRDSETGGLTDTLSSP TSHRHHHHRRHRSHSSRRKKDVDEERGDMGEEIRRKKSFVKPERSRIDQDHPNYHYRQ RSQNMPTYPSATGHEPLMSGDGEVDTNSSRSMDRPKEGVYGEHGNINKPMERAPSRRR TKKRRHSRKISKKASAQERRRQKALEQVRPPSLWSTYCAIITFWAPDFVLRCFGMPQK AQRSAWREKIGLISIILLIGAFVGFLTFGFTATVCGTPPVRLKVNHVTKGYMIFHGKA YDLSKSKHPAAAGIPGSSNVLYDLPEKYGGQDGSFFFQQVNGACKGLITLADGSDVPT NSNGDLAWYFPCAAFNQDGSSEPNYTEPYYNGWACHTSGKARKSFYSLGSSGDVYYTW DDTKNKSRNLAVYSGNVLDLDLLRWFNTDQVKYPAKFDQLRTNPDVRGVDLTYYLQTG EEKKIGKCLSQIIKVGSIDTDTVGCIASKVVLYVSLVFILSIVIVKFAFALIFQWFLA PRLAAQKTSMSSDPRKRNQQIEDWSNDIYRPGPRLTDPTDRPSKRASFLPTTSRFSSP YTVSNGGKQRPQWVTMASQNSTSRLVPGGGSMYKLSHNSSGGTLSADASRQNPTASRT SLVQDSRYSTAIADSEGLGTGGYIHEAVVPQPPPEWQPYGFPLAHALCLVTCYSEGED GIRTTLDSIAMTDYPNSHKTIIVICDGMIKGKGEEFSTPEIVLRMMRDPVVPMDEVQP FSYVAVATGSKRHNMAKVYAGFYDYGETSVIPPEKQQRVPMMIVVKCGTPSEAKQSKP GNRGKRDSQIILMSFLQKVMFDERMTELEFEMFNGLLHVTGIPPDFYEVVLMVDADTK VFPDSLTHMISAMVKDPEVMGLCGETKIANKTDSWVTMIQVFEYFISHHQSKSFESVF GGVTCLPGCFSMYRIKAPKGGQNYWVPILANPDVVEHYSENVVDTLHKKNLLLLGEDR YLSTLMLRTFPKRKQIFVPQAVCKTQVPDKFMVLLSQRRRWINSTVHNLMELVLVRDL CGTFCFSMQFVIFIELIGTLVLPAAIAFTFYVVISSIVKKPVQVIPLVLLALILGLPG VLIVVTAHRLVYVLWMLIYLLSLPIWNFVLPTYSYWKFDDFSWGDTRKTAGEKDKGHD AGEGEFDSSKITMKRWRDFEKDRRLRMQAAWGQPPMGGYPTRYEEYSDY AOR_1_1012174 MKMASPVAGEYPQPVSPPPVYLRSSPPPPPHHEFPSPTTSRRPL STSISRKPLPPAPGNRESFVPSVRVDVDGEQQVPNAAVENDYDIPPIPTSAFTHMSIE DRPHSHARISSGQFPPRRDSYAGTRVTGAPIRHTPASSGSWSVVDAQIKDEPNSLAKT SGSSLDQSAPSGSRNSSSRSSLDSAAQEPETYEPLHYHHRPYQSLGTAPAAAAGLAEN SVSTTGHLAVGKPRRPHSTYSFGSDLDGRNGSPHASPYLHARSSSSASPDVRPLSFVD LLNTPYPQPGPAPVQLGNAHLRSSVGNNASLLSHKQTFDMYLANVKKTDNPAVQYEFA VFMVNAMLDMPDDALEGGAAAVYGRKEPEITRSSLLKEAKSILQRLSDRSYPFAQYYL ADGYASGLFSKGKEDYDRAFPLFVAASKHGHVEACYRTALCYEFGWGTRVEAAKAQQF YRQAASKNHPGAMMRMAKACLAGDMGLGKRYREGIKWMKRAAESADSQYNSAPYELGL LHEEGYGDDVFPDPSYAAQLFTKSADLGHVEANYRLGDAYEHGKLSCPRDPALSIHFY TGAAQAGHPLAMMALCAWYLVGAEPVLEKDESEAYEWAKRAAETGLAKAQYAVGYFTE MGIGCRRDILAANVWYVQAADQGDARATQRIAAIRAASDGVDPAQAAINSRGQKTKKG GKTKRFGIF AOR_1_1014174 MRFLAVLALMASVAVARPAEANIQQLCQGVDRSCNNNGTAGANS CCTPLKCGIDNKCYSPF AOR_1_1016174 MRGAFLAAAAAVAGTAMADVAHMRRHGHDSFHHNRAYQPEVPAE GDENCECTTKVITITGPPTLVPINTPAPDVVTSEAVTTLHSTSTATVTVVTTPASTPA VPATSESPLPTPGVTSFSSTGIYTIPATTVTVRDTTTVCGATTTELPSGTHTFGGVTT VVSTATTVTCPVATVEPSGSTVTSKIYTTTYVCPSAGTYTIAPTTTYVPTSTVVVYPT PATITPGTYTQDEQTVTVTRTDFTYVCPFTGNDQPTSAPVASTSAVPVTTTAAPSTTS AVASSSASASSTATAVPTGVSGQQMGMTYSPYTNEGGCQSKDQVLKDVALIKQKGFTH VRVYSTDCNGLEYIGEAARENGLKMIIGVFISSTGISGAQEQVTAITKWAQWDLVTLV VVGNEAIQNGYTDASSLAGFISSCKSSFQASGYSGQVTTTEPINVWQQSGSALCGAVD ILGANLHPFFNADVTPDQAGSFVRAQIKDLEAVCNKDVINLETGWPSAGNANGKAVPG TAQQAAAIKALVEEVGSQSVFFSYSNDLWKDAGEFDVERYWGCIDQFK AOR_1_1018174 MSKAASTAARLQNDFGADLWVKNQTQARQATAGRGLFAGLQDVK HYNVENGWAKRTAANEQPGLIGLLWSRITGLGYRSPNQ AOR_1_1020174 MSEHPSDLVAFDPSSLRTGKELKSSEASSIFEVELRGHRYFHDN GDPGFTRKGRGLNRSRCESMLTEISIRLGFQPHLNHFMNDIHAPNAILLEYLEDTEEL NCVNYSGDRLQAAIVGLREIHSALIHHRDVYPKNILIVRGPPERVVWIDFDVAMTFDS TKPMGYQADEHCDFEIELVKSFGRLLKEDQRQGLPPDTKYY AOR_1_1022174 MRHRISKKHEMQKIEVEFINQSMDRLNLPANTDELARQTLVRIF RELKLSPSSDTEEKQVWMVDQESMSVQMPEHFEQLMTDIALVYSTEDSKSSSIRPKAD GVLLHLSAIVRRKKDQLYADSSLPSHHIHAAPYWHFQTTTTGSDDLAFKASVDYVLWY GACGDWDTNLAVVRSSGLLDDECWAALPSMSVVYAARKARKYKGGIYGVCTDSHTWTF LHLSDRGREIIGQLYKIIGQAFDLHRAREQDPKARERIRDEIFAWNEDKKSSDDKSRV DDVQGPSKADKIAKHSMGYF AOR_1_1024174 MQWTTILIALGALSTQSPLSQVSGAATSSPFEKLPITTPTWEVE VTPGGDKVTLNGTVQEVHAQLLKLNPNYDSDFPAKRSEEPSSLTKRDFKYEKYYCWGE DGWHYSRKRGDSGDLQDGIDYLNSIGGTPKEAPGSCGRVSCSYNMAIYWCNANSETQY LKSYATIASGAQFLLDKCGGDNKPHGEVVAPNHWSVRVVADAC AOR_1_1026174 MSTHISTDKLANTRVLIVGGTSGIGFAVARVVLEHGASIIVASS KPDKVNSAITRLKTFYPDEEHTNRIAGTVCNLADRETLEANVVNLYNFATAPDTFPNA QGTQTTDGKVLLDHVVMTAGDALGLRKPTDPTFDVPYIESSGTVRFIGSLILAKHAPT YLRQAPSSSMTFTSGVLTTRPAPGWTLVAATGSAIEGMARGLAVDLAPIRVNTVSPGA VLTEIFGTLETDSLEKMIEMFRGQTLTGEIGKPEDVAEAYLYAMKDRFLTGELIGSNG GKLLK AOR_1_1028174 MSASALLRSRVRRPSYLKKLAKAEDLIEFFPHGSYIGWSGFTGV GYPKKVPTALADHVEKNGLEGKLQYTLFVGASSGAETENRWARLNMIERRSPHQVGKE IAKGINSGQIKFFDKHLSMFPSDLVYGWYTLNKPKNRLDVAVIEASAITEDGGIIPGA SVGASPELVQMADKVIIEVNTASPSFEGLHDITMSELPPRRKPYLILQPEDRIGTPHI PVDPEKVVAIVESDYPDQTQPNAPEDATSQAIATNLIEFLKHEVNHGRLPQNLLPIQS GIGNIANAVIGGLSKGGADFTNLKVWTEVLQDSFLDLFDSGNLDFATATSIRFSPDGF KRFYDNWERYAGKLLLRSQQVSNSPEIIRRLGCIGMNTPVEVDIYAHANSTCVMGSRM LNGLGGSADFLRSSKYSIMHTPSTRPSKVDPTGVSCIVPFCTHIDQTEHDLDVVVTEQ GLADVRGLSPRERARVIIDKCSHPDYKPILTDYLDRAEYECLKKGMGHEPHLLFQAFK MHQNLAEKGTMKINGWD AOR_1_1030174 MNSGNDNWHPGGLPSHPGMDQMNQQPRPLGSFSQNPPPQQGPSS QPTGPVLPPAGPYHPASQPAGHSLPGLAELSQGHAGPHQPPAYGQHPSAPSHSAGHSL PGIGQAMQHPSPQSINRERELDSRERELIERQRQEEMAHREREQREREQLERQQLERQ REQQHHPVQSHTGSIPLHQPVASKVPNSIHGPNGLLSNLGSNPPNGPQGSMQSSGAPG PMYGPQIQHGEGTPRSYMQHPAGPPGQPMMGFNGSGPQIPGNVAALAQGQQPILNDAL SYLDQVKVRFVDQPDVYNRFLDIMKDFKSQAIDTPGVIQRVSTLFNGHPALIQGFNTF LPPGYRIECGTDDNPDVIRVTTPSGTNTISMPPRPRHSMDSSADLGPSGGMASHSRPE FFDQSRSGWQQHQPQQQQSNLTGSYSPGSRMMGPGMFGQQGGQGQPQDHHFDYPTQQE QQAAAGAAAMAHGQDQRGVSQLQGAASAASAGLGRPSLMQVSPASGQGSSLSQPMSSL AGVGSNMLQGSSQADLKRGPVEFNHAISYVNKIKNRFASAPEIYKQFLEILQTYQRES KPIQDVYAQVTQLFNTAPDLLEDFKQFLPESAAHAKQQAAARQAEEAAPMSNVRGEPS YPSANALPSQTPNRDVKMPPLGQFNVKDSGKEGKKRRPGPGAPSTLGPSLSGPSAGAD AARMGDVQGGRPPTLQPGNVNKRAKIHHAKPTQAEAPAVSPTLVPALPEPIQPTFSLT PTQEEFAFFDRVKKYIGNKQTFSEFLKLCNLYSTDLIDRHVLVKRAAGYIGSNPELMA WFKRFMHVEEPEDKIVESKPKQEPGLVNLSHCRSLGPSYRLLPKRERQKPCSGRDQLC YSVLNDEWASHPTWASEDSGFVAHRKNQYEDALHRIEEDRHDYDHHIEACTRTIQLIE PIVQQFLVMSEAERAAFKLPPGLGGQSEAIYQRVIKKIYDRQLGDKIIREMFERPCHV LPIVLFRLKQKCEEWKASQREWDKIWREQMQKAYWRSLDHQAIASKGTDKKLFVAKHI QNEIQNKFEESRNLRKSGFQVPRHQFELTFDDSAVLIDATHLLLTFIDRNSAGFGADP QKVMTFIKDFIPVFFGMDRDTFHVYMNELSTGTSPTDEADDESLVAEESSTPRSRKGL NGKKMDLLRDVLERRSEKANRTEKEGSRSNPASRDGTPDAVLVPSTPVPDPTETFDVA ELKWMDHPGQGNFNLAREYTLNESYEKKQHHLYANLNIYCFFRTFEILYTRLLRIKLH EKDAHEDVRRALMAKPAQELGLIDKVPTDFFYDCDPKANLYNQIVRMCEEVIKGDMES SHLEETLRRYYLRSGYQLYNLEKMFAGISKFAGAIFNGDSKDRSSDIINVFFKERDRE ETTHNQEIQYRKQVERLVKDGDIYRISYHPNTKKTTVQLLTPEDATLENEELSQEARW SYYVSAYTMRDPTEGVPFSQLRMPFLKRNLPPKLEQEEEYNRYYRPLVHQDGLIIRIC ANSYHILYEPGSYDWWWRPTASPDESAEEIAKEAAAIKERRHDRFTERFVNNPMWAHG LSKDQVDEMNQRFRSWVKGTDPENSAPAPEAASSDKKDDKEDTEMADAEQNASDSNKE E AOR_1_1032174 MVDRDPGTPTWKFTQCFGDKGDVEDITEADIISTVEFDHTGNYL ATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRSN SSHFLLSTNDKTIKLWKVFDKSLKVVAENNLSTELTPAGVGGGGAPRAPRLSFKDPSM LKLPRMTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRINLWNLNIQD QSFNIVDIKPANMEELTEVITAAEFHPTSCNWFMYASSKGTIKLADMRQRALCDEHHK LFEQEEDASSRSFFSEIISSISDVRFSHDGRYIVSRDYLTVKIWDVNMERQPVKTIPI HEHLRPRLCDTYENDSIFDKFEVVFSGDAENVMTGSYNNNFMIYPTDPAKETEIVLQA DKSAFKAKKVGVPTPMNKGANGKKGNTRAGSPGGPGSRMKKETDADQIDFNKKILHMS WHPYEDSIAIAATNNLFVFSAL AOR_1_1034174 MTEDKKRGTNNQSNDDLSNKPSNPAKPADKNNSNTPSLASRIQS SASGLARQAFFAPGSSDDAAQFLTPGSKAGTTTTSSSSALAAAEQYNQVSGPSAPSSA GAQLRDSNAGTFRSTTTPQQGGFEIPQLTEEEFAQAGNDFLEPSASTSTIDKGKGKAR EQFSSTTHHHAPEPTDGSAVISLLSDRTFDPTFPHDPAEIIETELSPPQQLTPAEIQM LETFRRQISPQPDAASPSTHRLTSASLVPEIDTILDSAPAQTDADAAALRDTVLANLP GSEDWVDVEERYHDEVWGWLKPTLEAAAKELEEKKEDQRGEEGPAVQRLKMVLTHMRA KL AOR_1_1036174 MAPAPRVIVVGGGLSGLSAAHTVYLNGGNVLVLDKQAFFGGNST KATSGINGALTRTQVDLGIQDSVKTFYEDTLKSARDKARPELIKVLTYKSAAAVEWLM DVFNLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRLEELTEKEPERVQIVKK ARVTSVNKTGNTVTGVTYEYDGETHTADGIVILATGGYAADFGDGSLLKQHRPDTFGL SSTNGTHATGDGQKMLMEIGANGIDMDKVQVHPTGLVDPKDPTAKFKFLAAEALRGEG GLLLNSDGQRFSDELGHRDYVSGQMWKEKEKGKWPIRLVLNSKASNVLDFHTRHYSGR GLMKKISGKELAKEIGCGEAALQKTFQEYNAIAEGKQKDPWGKRFFHNLPFDINDTFH VALMEPVLHFTMGGIEINEHAEVLNSEKKPFEGLYACGELAGGVHGANRLGGSSLLGC VVYGRVAGDSASQHLFQKLVSGGASSAAQRLGQISLHIDPSTPGKISVEWSGAAGSGA QIPAGAGTPAAATEPAKASATPAGASSTAKANDPKKFEIPETEYSMEEIAKHNKKDDL WIVVKGVVLDVTNWLDEHPGGANALFNFMGRDATEEFAMLHDDEVIPKYAAQIVIGRV KGQTPSLEL AOR_1_1038174 MAAEASSSPPSVIPLIINGKEEVPESTFDVISPYTNQRCWATAS ASPQDAIRAVEAAEAAFPAWSQTKPTVRRDILLKAADILESRLVQNAEYMRTEMGADV GASQYFIVPLGIRMLRDIAGRITSICGSVPVVEEEGQSAIVYKEPMGVILGIVPWNAP YVFGVRSAACALAAGNTTILKSSELSPCSYWALARAFEDAGLPAGCLNLISCRPQDAP QVVNTMIEHPAVRKINFTGSTAVGRQIARCCGQNLKPCLMELGGKNSSIVCADANIET AVKGVLAGAYLNSGQICMATDRILVHSSIAPTFMEALKSALNSNLDPSSPPPTLVNVA SKARVERLISDALSSGAHLIHGSANQTSEAQLEAGVRMAPVLLGGVREDMKVWQEEAF ASLAACMVVDSDEEAIRIANSSGYGLSASVFTEDLRKGLAMAKRIQSGAVHINSMTIH DEPALPHGGVKNSGWGRFNTAQGLEEFLVTKSVTWMD AOR_1_1040174 MTVTSRGAEMICIVSVLVGLSLISVILRVFARMKRSIGLGMDDY LCFLSLTLLIAMLIELVLWVTIGGNGSHMADLDKTTLMNFSKIFLANQFTYFVLCPAI KISIICFYRRIFTMKPFQWVSLALNTLIAAWGTGIFLACALQCRPLRGYWDKSIDGHC FDQNKFFIVNQGFNILMDFVILFLPIPMIWGLQRAWQDKLALNGVFAIGGFVCFASIY RIVVLFWIKPDDTTYTVYQATLWTHIEPSVGLICSCLPIIRGLFPRFKIPGTRRYATA PYYINTDVSGSNFVMSSPKSPASAYFKMMEEGTVSRATSDSNVPLDKNYLGPMGIAVR TDFTVSKDSASVKSHS AOR_1_1042174 MASQKITSALAEIQSSANPQNKLQLYNDLLSDVASTSSGDQLSQ DLTFYLDSILSEDISIVAARPLLDSFIDVLRKLNPETQIKVGQHAITLLQSRSTSVEE QDAQIRELLADAYESEEEYIAAARTLQGIHIDSSQRLVSDAAKVRLWIRIVRLYLEED DTTSAEAVLNRIKNLPSKIEDHELQLHFKLSQARILDARRKFLDASQEYFNVSLAAGV DESDRLQALAAAIRCAVLGPAGPQRSRILATLYKDDRATSVEEFGILEKMFLDRLLTP AEVTAFSQRLAPHQLAQTADGTTVLDKAVVEHNLVAASKLYENITTDALGAILGLEAS GDLTAGEKAEAYAARMVEQGRLSGSIDQIDGIIYFESSTAGTGRHIRQWDAGVQGLAE DVERVATSITDAFPDFAVGQTVH AOR_1_1044174 MVPQIKQDPDAASPYIKPDPDSKDAVLADIDDQDLYEDAGDLDF SNASQSVWLSRIPRTLWEHWSNLDDDEEIQIGTVRIEGPLNDIKRVSLRINEREENRD IPKDYLLQRQTINTEHVSSHSTQNTYLFTEKDIPGHENRMITFGEARSALYESMKREA KKKERKKKWEPYVRKTVPKQTALVGSVSEEFNCLPVENEEFRLLSEQKVLQSLKPKRE TVFIDKVPGKLLQARHVLPGEKGAFVQATKAAKLKPQENKTTRMPQNELLDLIYQCFR EYRYWPFKALKARLRQPEAYLKQTLEMIGHLVKSGDFAMTWELKPEAKESSYANAMSY GDAKEEMAPGADYNFDDASEEEATPGMFTDNDDMQFENVP AOR_1_1046174 MTEDQDLMAKISQLAGQINRHKTQNPQTSTSYGSDYQSGPYVAR HVPSRGRPGWAPYRGRPYGRGRGAAPHRHRTLVLNNTATSGTPGGTTPSTNSGMDVDS ESRSTTPNGWVAKRDRHMQLINSAIYDQEAQARAKAMEESRKAKEKKKAEIEQAKVLR YAQGVGRQYPGSAAPQVVPSPSAEYQVYLNDIPFRVSRGGSKLIRVSDDPNTVNNTPK RVTIAGVTFVRSKNGNLHRLGAVTSKRKPNATKKNELCRRFTTTGTCYKGPSCLYVHD PDKVALCKDFLQTGDCTAGISCDLSHEPSPHRSPTCMHFLRGRCSNPECRYAHIRLIP GAPVCRDFANLGYCEKGANCDQRHVHECPDYANTGVCNKKRCRLPHVDRAGQIRKNTG ANKVDATNDDDSDASSEDEEYDEIDSDDVDSDDLDEDEPELIIKRDGSNDLSQQQDFI HF AOR_1_1048174 MGSNNSKLAPANKKSNEKPACATTATMKLKQIASGGGGGGGNLD THDEHEAPPPYNAEDRAKSLAIPQLKDWEELLLSSDKNRLAILSFATNPYSQILANQS AVQAVDRPVFNVKIPLEGAPITNQRSSGRCWLFAATNVFRVPLMKKYNLKEFELSQAY LFYWDKIEKANWFMEKIIETAEEDLSSRLVQKLLEDPVTDGGQWDMVANLVGKYGLVP HDIYPDNFSAQNSAKMNWLVTVKLREHALTLRRLAQSQPERLARQKDDFLKEIHSLVT IMLGPPPSPKEAFHWEYYDADGKFQEVYDSPQGFARQASAQLARGDVDPRRMLSLVND PRNEYSRLLTVDKLGNVVGGRPITYVNVDMKTIKAAAIAMLRAGHPVFFGCDVGKFYD AKLGVMDQWLLDVRLGFNTTLRMDKAQRLKTGESSMTHAMVLTGVHVEKGQPVRWRVQ NSWGEAVGDKGWFVMADEWMDEFTYQVVVDSRFVSKEVRDILNQEPEVLPRWDPMGVL A AOR_1_1050174 MARYSQPSFDFYQQSPSNLDAKPSFPEEDEMSVLDDKILDSTSP ELSSSIADHRRSSYDHAPDAFSHRDSVWSDFSQSVHSNQSRHNSHVGHHLFESAPNPF MRLDGAHHPATAYGQQASWALAKETGSCTPTAMYDHHFPSDLDNGSSAPFSGGAVGPV STINIPSMSYRPGMAFAPPGAVAMSPQSSQGWMPASTDMPDAVSRTTKSPTYRNSSPL SIRRDGIRKKNARFEIPAERTLSNIDQLIAQSTNEEEIKELKQQKRLLRNRQAALDSR QRKKLHTEKLEEEKKQFTQIINDLEEDLQNMRLREAELMREKNELFGAQQELYHHLNN MAMEKEELIRVHTLETAELRKKNNILKETVEKLERHARSSASNMATDFSDFENLTMDN TPWEELTMVNSLSLDADPVTSAPANERSTEKSANSDYPFSWNAFYMCLLFGAFIASNG TSLSARAIPQLSEEYRAESANVLKAVLSSSPPELAQPSNQPAVSASAGPLPTTISGAE MAQMSAGTAPSSNLDELHDSLAMPTKAQEQEQVFSLNADQYNSLTTYDDNGAEYKPQQ ASQFQQALAAMRGNVAQKMPHKATSDVYSRSLMWDRVPEKVIQDFRRMVQEYGVSPVK EEQSGFSQS AOR_1_1052174 MPLREILHKKDRINDTSGQYAAGVPSAFLSPVPQIIRSDTTSHE VVTPPTYDGDDVYHHQNTHLEVSPPSSSSRRRSLNPFSRSRAPSESLGSPPSQPRETR LSQLLHRDRRNSTATSTNIPSNLPQINNEKGDEQEREAQWEKRATVLIQRNPNLGSPL SPTSPGFGRNSIETRSRSSSRSGLSDPQGDVNIQEAIRLHEAGELEKSTEMFRQLADP NGANNALSQVLYGLALRHGWGCPKSEEQAVTYLSAAASNSASIESQALQAGMKKGGAA KGELVLAIFELGNCFRNGWGVKKDPAAARQYFETAANLGDTDAMNEVAWCYLEGFGGK KDKFAAAKYYRLAEQKGSKLVGNSWIWKEKYDPK AOR_1_1054174 MASRLAKSAIGAARLRPTVSRNVAPIANLTVSRSASNVPTEDPK KKAQSILDALPGNSLVSKTATLSAAAGLSIAAISNELYVMNEETVAAFCLLSVFTAAA KYGGPMYREWAEGQVQKHKDILNAARADHTNAVKSRMDNVQELAGVVEVTKQLFAVSK ETAQLEAQAYELEQRTALAHEAKQALDSWVRYEGQVKQRQQRELAESVIGKIQKELEN PKVLQQILQQSVADVERIMSSSKAQ AOR_1_1056174 MPAPTVGATSSKKRKSVKESGVPSSKRRAVAENDGADVMVEISQ LEEQISESRKYYNNIAKLISMLNVDDNATNPNLAVAVSLCRVFSRLIAGGDMAETNRA AENEKIIAAWLKERCREYQRALSAILREGDTSAKLTALTLCMRMISERATHIPSDDTQ VWLSGLFKSVIEAVVATDDSEALRTEFLMKFAKEYEDVRFYTFTQIANIAETEQSTKT LDILISILSACDTIPSPEHEFENFYVKSSKKNKKLVSVNAHKKRAQDAWLAVLRNNIS ESQRKTLLRIMVHNIEPWFNRPELLMDFLTDSYNVGGATSLLALSGLFYLIQEKNLDY PQFYQKLYSLLDADLLHSKHRSRFFRLMNTFLASTHLPATLIASFIKRLSRLALNAPP TAIVVIVPFIYNLLKSHPTCTFMLHRVIKDEAKAELEAEGMDDPYDSEEPDPVRTKAI ESSLWEIHSLQQHYHPNVAAIARIISEQFTKQFYNLEDFLDYTYQGMVQAELGTEEKP MKRIPVIEYHIPKRIFTDRMLEEDGGVDTAPGSLVRGLWDFA AOR_1_3084174 MPLADIGRYKEDMIIDRKRQPSRLTQSRRTSIGKRRRIPSLHRR HQKRSPTHPLCKSVITTLELTRLRKSRTGIFYWLAFWERLYSRGFARSLGSRVTAALT KVDILFRTVANELHQLTQRMEYAIAHAPTEKDILLMLEQMEDEVGLRRRRRRKKAQAI LNKMRVHIEGIPVKVSDELFDDMKRGVFALDVFCDYHPGDPVAEEHESMWPEYFVEQS GVGMVAVSPYLYRQWQQGEASAAAGSYMPLTSYTGNNAEVEYLEEEYEVADNWRPDDS RSARRW AOR_1_3086174 MSGGPGLNFNTKSDLVANNTAAIARRVGCAEEGEDQTLETLECL RDVPFDVLTNLSVTASRTARPPFGEGFFFPTFDGDFIRDRPSQLMRSGKFVKGIRLIA SWVTNDGAWYAPPSTSTDQEEKLLQLYPLEDFQHLVQEEYDGPISAQYYRAAQMNRDI WFTCPVLDFAWQYLKNGGVKPSQVRLYEHNSTRFTPAFEMMGVARWRVAHLSDIPYVL NVQHLEGGADNSATELALARTMSTSIAKFVNSGNPEGYINGVETWPAAFFDVTKENLQ NDFPAKLSLQIFGGPYGTAPVTIAEGRQHDAKNAIEDAVYWENLFDRCRFINSGKMRE EAGV AOR_1_1058174 MTPTWNSILVTLIALFAGLLAFYLNRSDGLLAPNSEGSELTVGG RQLTSPPTVTDPARDIKYLGSYSLGVEHYQNIFNAEAPWGKRRFAPPIPVQNDARLPV LVWIHGGGHALGSASEVLYNPDGLVRQSVADGQPLIYVAINYRLGFFGFATSKAMAEN KQTNAGLRDQRAALEWVHDNIEVFCGDPQRGNVIY AOR_1_1060174 MAFKQRISFASTWAFEDIGVQDIGIEVKFVLNVSNDSSANTDGC SRKALPHLDCHCEYLRHDDLLVVKGHLNGSVTKIAGLYSTFIEEQKNKDLLDMPRTRQ LDIPIMLQDEGASVPVATTEDSLGGLLALDVDSDEELIHHSHLMTRVTKFWLSSHGGI GCFATGFHEVLTEVAAVTGTDITLIDEAKGIQISGNSAGDVDDALAKLTRIEKPLSCL VNPKMGNMGIATEDKTAQYSIQNFGSLNQVALRRVLTEPGTSSLGISQMFVTISLPFD EETQSYMLPKNVTKPQSIGKGPDKSIIWQNYTFPGVGKGDEFVLMESAGDTNIPAATS VISLTHPFLTAEKAKQVNEWVAEGKEMEATSKQPEHPPTPPPPESSSNTTLVPGAKRA PAIKTRRPIPSNQSVPLVVPVAISERVKTPDLLPKKEDVTTPRRKWKMTYSAESGNTD LRVGCPRPASPCELRDAADSFLNASTPAPKPRLPLVFDETKHELNKHPRLLDIKNNDQ NALKAQRKGDYVPSRTNRKLDTNNLLVDVTAPAAIRMNSLPMMRADQPALIPLNADLG SEQSVLNSNAPSRVNNIADDLNGLVIEADRGSSNELGCPILHEGPKPCRNDNISEQVK RLVMLEEVFLEQTRELPTISEIPARVANSPIPLGRINAILTKRRLEELERRHKAEGKQ PSDEMATREFHHTMNHKAPKPSHNASSKSSRKAKRQATLEDAWGIPKKPTKKDNPAPP GNMEAKGTSSRHTAQENVRHAQKRQAELSMDESIKQLFEILKPTLEAAEYFPGSLNLE VQIGLALIPVLPKTYEEGLASLREWTEIMQPQTGVSAPTTKFIDRLTTSGSDVDYIVN LKTSQDGKRRFFEHEDNEYSVIYEFHCLYKTNQPIIVEVDERGKYSIKKPTEPLGAVN IHFPGSAWDARMIVRGNIAYPTHEYREFEDAARYMVDHLWVPPDKHTVRLFTKLSKNE HLTVQKIFMKRWTRYRYIHSNDFSLKGTNTPDTSSSTGGKADSATGSVGSKSAANDTV SEDNSENPEEQDLLLQVTEVQDLLIGSNLADTQAIRARCAPLSEMIRNGRQWYEVSLV SSAIDAILKTNANIEVGERTDDWRSLDLFGNDAILLHDSRPGCADTALPSRPVATAVG AAGIGNLLRLTKVVVQNMDGVGFWNCGPCVDAALMPVAGSLNTPSIPNRTNTSPMGAM IKTEKKSLNFDELESIKEVGSSIGDVPVTSKPNVASSDQKKEQIEIEYW AOR_1_1062174 MPSMARFGRAKKTPKAIANNANSDMLVSPTSDSPRRSPRVSLPH VETQLNMHQYSNLFDRDSPERLKAVESSAESINSHSSNTRTNGSTLTNSDSMTTEWSS AVGHAATGKSGRVIHNLQEDIARLTRECSVYRSRAEETQRMNDAFKTQVQNMTERLRN LEQAHETNLHSISRKDKKIEELRAEVQSEKDRRMRAETETNKFHQLMDESRDDFNRKC AELQEIANHARTQYDVLAKAGQRERADQQRRVKAIRDEIDALKSRQEEKSLHLERLDA VMAQKNREIEIGRENFDKLFEVYESYKKAHDEEVHTLVERGRQGDANLEAALASLKET EDRMKWAIQVKNEVKGAN AOR_1_1064174 MRAFESVFAAIACLAHIGMTDALSFTQWPGVIHTGEDNTVKWIG DPDLPTTITLLRGAANDLGDVYVLTRDARGNEYTWKANDDLQDGSDYALQIQQNEEIN YTGHIIVEHPNGQAPSSKGPRPDEDPNSPDFSTAPRNGTTGVAKGNNATITVTHVKHD DKPSTGNNHTSNKSAVSAKTQSDDASLRNWSPNLILAVVAVLYLNY AOR_1_1066174 MSALQTFLLVVDHDKQEAKQIAERIAQDVETKKTTLIEVVQSLG EYINDEDPILRGKAVSYLTSVIKSLPPRFLSRQQIQVLTTFFCDRIEDGGAVAGLDTL QKLDRFNKALAEEVAQAIFEHFQDLQSRSQSQRFQVYQLLNELMVNHRSALHSMGDHS LVGIVDLMTGEKDPRNLMLVFSILKVVMVEWDISNHAELLFDSVYNYFPITFRPPPND PYGITAQDLKDRLQDCISSTSLFAPHSIPSLLDKLDSTSPNVKKDALNALIACINSYD PDTVSRYSITIWDALKFEVLNAQEELLSELSLQALQDIAKRLSEGVSQVSDQLPLAQY MRPITRECNDQLREPQQKQAKPAQQILGFLSAASFASFMLAVQAVVAPLLTIYQEADG IAKQRALLDTLVVLFDSAIKIFGEWTTRTPETNIENPLLEFKDQFSDIFGQALMGVIK EEVSFRVSALKGFLRLSTLRNFFQDNEVGLFVQYLDEILLKEESVGRDDLKKEAIAAL TEISKHKPRLIMDITFPAFVATLPDFDDGTNSNYLPTLESLAQISVEKDIFETLVRRL LSKFNILLQKEQPGSVAYPRAILVTILYVMSQRKMDQDPNLELYYDKIVVSLCRNAAI SASGKANNNILNDATVLDTLGRLCNLIVRALPRNKQDEVAENVYTLYSSADDFPSVPF SQSASDDQQRTMIISTYLLAGLPTDCASLPYTSPNMSALLFDVVKRSTTECAPATHLA LLRHLSLLVNKFLPKSELSLATELFDTLLPSNAEGKTLSPESIKTLFWLSKALVLRLA PKTSDHLTSLLSLLSSPDKVTSTTAAHGFAILLRDDDVLSTANGANIRLLSKQRVFTT LVPLISSKIREVNVAGSDSPTPEHIKPAHLTALSGILSTIPPSLVMPELPTLLPLLLQ SLDLQTSESQSVRIATLETLAVIIRDNGVGVIEECGHIQSLVTRLLKTAEHKPAGDKA AAVNSPRLRADALRCLFLLAQTPVSDAPAVAKAGKLSPLLPVKAEVVRSLGFVLDDPK RDVRKAAVDARGAWLRGVDDPPDDDE AOR_1_1068174 MRHQTFTPIFLIFLIICTISIASGFPLRKRLPRPFVDLPHLRHE QRLQENSELKQWLQNQQPIMTPNIAMPPSEGSRRPQDSDSDGPVISDVLPKNRGINIF ASLTRDFEPIDSRFNDATKNVTVLAPRNSVIQGLPRKPWENPDDYAQFGEAKAYEGQE GQDRAKSNLKRFVEAHIVPRSPWNEGNEVETLGGDKLSWAKDGDKIFIQPGNIEVDSI AEQVSNGEVWILNGVINYR AOR_1_1070174 MALQGVEQTILRDPALFYWILFPITIVMILTGILRHYATVLMNT PPKPASTLAESRERLSLLRGVNLRNNASAVLSKDAFEMRKNYLVSAYQSGEFLKDPAS RGQPPANPMTDPAGMEAMMGMMKGNMMMMIPQTLIMSWINAFFSGFVILKLPFPLTIR FKSMLQSGVMTRDLDVRWVSSLSWYFLNLFGLQSVFGFILGSDNAANHMSQQMATMNP AMGANPFQPGQDPDKLYQSEAENLAVMEHFCILDGIEDRILHNIASKEGLI AOR_1_1072174 MASAEAHKVPVYSVNDLKSTTDDALAPHLTTLPQPYAFTQDHTK TNVRFLLGYSAVAIAAFTFYADRTLGWEATQSTWVIAAVVSYFVLNTLLTYWIWAVEA GEVFRGKRKSGETITIRSSGKKHSPLYKLRVQYTSSANKVLEEKEIETSFTTWFSADG TFHPEPLKKWLASEIQVLRLAAKEK AOR_1_1074174 MPTSSDAAPATCKVVLSKNVVSSLLSEVQEGVKTLEKPPHLVGF LANNDPAALMYAQWTEKTCEENGFRYSLRQVDREELEEAILAANVDSDVDGIIVYYPI FNNRQDQYLQQLVDVSKDVEGLSHRYIFNMYQNIRFLDPETKRQKCILPCTPLAIIKI LEYLKVYNTILPYGNRLFGHTICVVNRSEVVGRPLAALLANDGACVYSVDVTGIQKFT RGEGIKKRRHEVHDLEGKTLKDVVPLCDVVISGVPGDKYKFDTSLLREGAVCLNFSSE KNFGPEVKEKASIFMPSTGKVTIAVLLRNLLRLIQNRRVDDVKPAEATERPGTLEAAT AOR_1_1076174 MFRNALRQSSRTVAAATATGRIASVRAAAPGPVSAAAKQVRTYA AEAKASPTEVSSILEQRIRGVQEEAGLAETGRVLSVGDGIARVHGMTNVQAEELVEFA SGVKGMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVDVPVGPELLGRVVDALGNP IDGKGPLNTKAKSRAQLKAPGILPRRSVNQPVQTGLKCVDSMVPIGRGQRELIIGDRQ TGKTAVALDAMLNQKRWNNTSDESKKLYCIYVAVGQKRSTVAQLVKTLEENDAMKYSI VVAATASEAAPLQYLAPFTGCAMGEWFRDNGRHAVIIYDDLSKQAVAYRQMSLLLRRP PGREAYPGDVFYLHSRLLERAAKMNDTHGGGSLTALPVIETQGGDVSAYIPTNVISIT DGQIFLESELFYKGIRPAINVGLSVSRVGSAAQVKAMKQVAGSLKLFLAQYREVAAFA QFGSDLDAATKQTLNRGERLTELLKQKQYSPMSVSDMVPLIFAGVNGHLDNIPVAKIL QWESDFLAHLKSNNPEIQEAIEKEGQVSKETEASLKEIIQSFNKSFNA AOR_1_1078174 MALNLEKQLLFYGAYHNNPVNVAIHITCVPILLFTGIALASNSP ALFNLPDVLRFEHLPPNLGTIGALIYSTFYILLEPVAGALIAPIIIGGAAFANHLLTT HGTDMNYWFGGIHVVSWLLQFVGHGAFERRAPALLDNLVQALLLAPLFVWMEILFFFG YRPELKARYDQSVQKEVAAFKEKKNNAGK AOR_1_1080174 MFFLKEETKVITLHPSYFGPNVREYLINRLNEEEEGRCTGDHFV ICVMDMVDIGEGRVLPSSGQAEYTIKYRAIIWKPFRGETVDAIVTSVKPTGIFTLAGP LSVFIARKNIPSDIKWEPNTVPPQYTDHADQVIEKGTSLRLKILGVKPDVAAINAIGT IKEDYLGYVAFNPRSSFVFCSERITNARCLL AOR_1_1082174 MSLQDVYQKFLSDPRSASLASDVTLIYITTTTRVDGAEAVVKHL ARQDHVLKRKSQQVIDTVEGLNSLSLDVDTTVEFVSGGGPYLPALDDNFLSDRIATFP TVHIVRFNSQNQIQQVKVYWDQASLLKQVEVIGSRSRGWPIRDAKDQTRLIKAAASSA PADDAPAPVPANKPEENGDAHNKVVSPKRRIKDPYAAESLEELLSPGKDRAEPVRAPR APASAKPPQRDLAELFGNHDDLEIPEPSPSRATPVAPKVGAGKNYRASRIFDDDETVA TKDKPQQIAYRAHPKRFDHFELGADNSEREIKPKVSRPVSSQGKGAQWKFEDFTTPEK PTRQLRGQELRSFGISDEEPQTPPAKPRVQPRRDAETHFQLTDDTGDQGSGRIISSFQ NKGLSLYKNHLFADEDEPAESKPSSKDKLPLSVAQKGPTRNKDLETHWTITDESPAKS KADENKKPIAADRQRAVKMLEPSWESYDQSPQPSKAVRPPPQRRQLRSVNERSWSLGD E AOR_1_1084174 MSSQRGNTPRGRGRGGDRGRGQGDRGASRGGRGGGRGSTTELPI RQGPPGGDFRGRGRGADRGRGGSRGRGGDRGRGSFRGRDQGPRIYTSPGGQVPPPSTQ VTKTEDTLAAALTARENKPTEYPQRPGYGTRGQPVMLYANYLNLKSAGAQLFRYRVEI SPDPATRKPPAGKKAQQIIKLLIEQHFSELGKNIVTDYKSTLITNLEILDNEEIYQYD VRYRGEYDDEYSEDAKVYRVTCQFTGRLNPAELLAYLTSTNPDDQFGSKTEVLQAMNI ILGNHPKIQDWIASVGANKHYAIRGDLCEKWDLGAGLEALRGFFISVRTATSRLLLNV QVKYIACYQEGPLAHVIKEYQPRHRDVNALRRFLVKLRVRVTHIQRKNKRGDVVPRIK AIAGLATTNDGASQANPPRVPRHGAGPKEVEFFLEAPGQQPSQSASSGAKGKKGKKPA KAGPAQAGAYISVADFFRQNYGTNTDPNMPVINVGTTENPSYLPVEVCEVVPGQPAKA KLSPNQTRNMLNFAVRAPPQNAASIVTTGTQLLGLSPQSPTLENFGIQPDCNLITVPG RVLPAPNVYYKDASKRSQKSVTVKPQFGSWNMRSIRFSTSTNLPVWTWLVINADGSKP PFARQEDFDNVLGGFTAKLNEMGVAAQRALRGETISVNKDNYEAGITAAVGRLMNKKP SLILSVLPFSDADYYNCIKRACDLTYGVRNINVLADKFRDANPQYFANVGLKVNLKLG GANQLLDAKELGLIGQNKTMLVGMDVTHPSPGSSANAPSVAGMVASVDSTLSQWPAEI RVQRSREEMIQDLSDMLKAHLKRWARSHSKAYPENIIVYRDGVSEGQYELVVQKELPL LKNACRETYPASLTKQGLPHISIAIVGKRHNTRFYPTKEGDADRSGNPSNGTIVDRGV TEARNWDFYLQAHTALKGTARPAHYFTVWDEIFCRQQPRPPYQNSADVLEALTHHMCY LFGRATKAVSICPPAYYADLVCTRARCYLSSAFEPSLAGSVATGSGPGLKVENADVRI HPNVQDTMFYI AOR_1_1086174 MSPTPPSTTSSNASAFSPEGQYRVIRKRNRVPLSCGPCRHRKLK CNRAHPCENCVKRGDAASCTYAQASTRKKNAPQRVSPSSPDDMQNRIDRLESLVLSLM TNGSQAAGPAAAMAALSGNSSSIGSTQHTTDLDLDEDTSGGPEESDTEQVTKSFGIMK VDNNKSYYFSEAHWASVLNDIAEVRNYFTTHKKQYEEHAEKLKATKLPTDVPGSTLLF GAMKTTSRAEIMSSLPSKYTTDILVARYFNSYDPSTNILHGPTFQAQYNKHWEDPSQT CIVWIGMLCAIMRLAMLSYHREGDEPPEFRGKTLDMAGTFRNLMAQCLTLADYTKPYP SLIESLIFHLHGDWIQTKDADVSVWVLVGVIARLAMRMGYHRDSKMFPNITPFQGEMR RRVWTIVRQYDLMFSFQVGLPSMIRSTDSDTEFPRNLYDDDFDENCKELPPSRPPNEP TPVSCLIAKGRLTYAFGRVIEHTSSIQSPSYDQVMEIDAELRRARDLIPEHLVVRPVE ESQLEPPKIVMARCAIMSVYHKAQCVLHRRYLIRARENPRFTYSRRACIDSAMELLRF QSMLHSETVTRIRNKHDRLTALVSTDFLLAATIVSLDLYHGHQFQSGGRTSGDTYAWG RERREEMIAAIQRSKEIWDELRDDALEAWKASGALGVMLARLNLGYSDSNAAAPTFEP QDEKQSAAMTLGLLSSGMSPMNSAPPAFGDGTLKMGETPLPPQGGFGATADIPGAPSP LSAMFGQMPDMQLPLDWDTWDNYIQNAALDASNQWWPTMDQQQQQQPQPQPQSQNALG SAGLASVQNSAADKMRSLPSFSNVFYPDANVYDNNNSPPNNADAATTNENDEQLIQAA VGYMEGTSTPANETVDHISKKSEAQPQPTEEESKRAKRLRLLNKEPDPKETVFVGNLF YDVTADDLRKQMEKYGVVESVYITFDNRGMSKGFGYVQFDSIDSARRAIDAMHMRVYE GRRVIVAFAQNNIDQHRNLRPISRTLYLGNLPFEMTDRDINELFRDIVNVIDVRVSVD RRTGMFRGFAHAEFINVESARAAFEILSRKAPYGRKLRLDYSQTNRRADRLEDNTE AOR_1_1088174 MSFLGGAECSTAGNPLTQFTKHVQDDKSLQRDRLVGRGPGGMQE SMRSRGMMGGQDQMMDEFAQQPGQIPGAPPQPFAMEHLRRELDQFQTTPPRTGSPGWA AEFDPGEQARMEAAFAGPQGPMMNNGSGFTPAEFARFQQQSRAGVPQTANPVTSGPSP MMSGFQRPMGMGYMGMGGMGGMGMMHSAYNPMAMQQQPAEATTQDKGKGRMVELDDEN WEAQFAEMETADTQKLDDEANDAIEAELNDLDRKLAEEDTEFNVTDSLHMGDMGEWDN FDSLNTRFRDPQLGDYMFEDENMFKNVTNPFEEGVKLMREGGNLSLAALAFEAAVQKD PQHVQAWTMLGSAQAQNEKELPAIRALEQALKVDPNNLDALMGLAVSYTNEGYDSTAY RTLERWLSVKYPQIINPKDLSSDADLGFTDRQILHDRVTDFFIQAAQLSPSGAQMDPD VQVGLGVLFYCAEEYDKAVDCFSAALASTESGTVNQREQLHLLWNRLGATLANSGRSE EAIEAYEQALNINANFVRARYNLGVSCINIGCYPEAAQHLLGALSMHRVVEQEGRERA REIIGGDGVDDEQLERMIHISQNQSTNLYDTLRRVFSQMGRRDLADMVVAGMDVNVFR KEFEF AOR_1_1090174 MAVATGAQVIARTLRDVGVDVIFGIVGIPVVEIAEEAINLGIRF VAFRNEQACSYAASVYGYMTGRPGVCLVVGGPGVLHALAGIGNASANNFPLLVLAGSA ETTAVTKGAFQEMDAISFLTPHTKFAVRTSSIDFIPGAVKNAYRTCWYGRPGPTFVDL PADIIQGKSAPGFRLPEPETLLVPSPPKASGDPALILKATQLLKTARSPLLIIGKGAA YARAESGIGRLVEQTQIPFLPTPMGKGVIPDSHPLNASSARSTALKQADVVLVLGARL NWILHFGEAPKWSPKAKIIQVDICAEEIGRNAGTAELGIVGDIELVVDQLVSSLSNWR YDPSPAEGRFPTLLAESAKKNEAKAQKAALRSTPQNTPLTYQRAYHIIKSTLNSLTPF EEGNIVYVSEGANTMDISRSMFPLNHPRQRLDAGTYATMGVGMGYIVAAHEAYNASPG STKPKKIVALEGDSAFGFSAMEIETLARYKIPALIYVVNNSGIYHGDTTTEDAWKTLQ EQTVANDTKSDDGKKGLRSTSLLYETRYEMLATMCGGKGYFVRTEEELEAATREGFSN DTVTVVNLIVEPGIGKKIGFAWQGTSAQDGQAKL AOR_1_1092174 MDSPVLSQLFRQLFRHPACQSLRSSSALAGRRAQCIQLSTPRQQ CRPFLTRRSAARRKNVDDGMNWNKRGDYPKDIEQELKEFPLVTAKDLRHRRERPRQVK MLTREFIDDSLYNPHYGYFSKHATIFSPGEPFDFNNIEDGPAFHRMLGDRYTEFEDHL DEVQPDIARQLWHTPTELFRPYYGETIARYLVSNYKLTLYPYHDLIIYEMGAGNGTMM INILDFIRDTDYEVYQRTKFKIIEISSNLAGLQMKNLMDSINAAGHLDHVEIINKSIF DWDTYVHSPCFFLALEVIDNFSHDAIRYDTATELPQQGGVLIDADGEFHEYYNAQLDP VASRFLRVRQAAARREYPSPLGPKLTRGLRRSIPFQRSFTLPEYIPTRLMQFFDILDT YFPGHRLVASDFSSLPDAIPGINAPVVQTRYKRRTVPVSTPFVHQGYFDIFFPTDFNV VEDLYRATTGKLTQVMSHEDFVRRWAYIEDTETRSGENPLLTWYKNASMLMTV AOR_1_1096174 MNDHSRVYIGTAFIAGALLTLGFKDLLYPELEQRLREYRARRHD QSTSDLQDLPAGVLAARPGPPVIVEGIEGCIGNTPLFRIKSLSDATGCEILGKAEFLN GAGQSSKDRVALSMIELAEEREILTPHSGDTIYEGTSGSTGISLATLARAKGYLAHIC MPSDQAIEKSNLLLKLGAIVDRVPPAPIVEKDNFVNRARALANVHTATSTTKSNLEPL MPDPPEFSGPKAGRGFFADQFENEANWRAHFKGTGPEIYAQCNGRLDAFVAGAGTGGT ISGVALFLKPRIPHLSVVLADPQGSGLYNRVRYGVMFDLKEKEGTRRRRQVDTIVEGI GINRVTANFEAGKELVDDAVRVTDAQALAMARWLVEKDGIFIGSSSAVNCFAAVKTAM KLGPGHRIVTVLSDSGSRHLSRFWARAGDVGGAVDTKLEDVLNAKDDH AOR_1_1094174 MVMERPLKRPRLFFATDADDEPGDIDLQEARAQNDQRLKSIFEG IFEKYGKDFTDVGDEIDLQTGKIVVNNGHIQGMEDEDDTGEKGRWLFDTEESAPDDAA TVHNISQYSETGADGDLLKEDDTYLQHSQGATQLQAGPDLDGPWESRESEALIAESDI DEDRSSVDSLLDTALSVQNGPDDPVRRENLIYTHEPVTKKAKPTAETSTQSRILQKHS SIEAVDSVWRVPEISGKFSTPPLSRSRPTVPFNVVRSASPPGAGSIWALPGTSRRNTD VTKRRSAKKHETSARKWKPQSSPIMCDWSFAQTPDGNESDDPLQENYQPSPTPKGGLK IRGKRLGSDTPSSRKDLVDNSKMSLLQDDHVTRLRQTSLDSAEDQRHTTELTNQPQTT VDDLAMDTQLETKSDTNIHDLLPETTQDSKITPIGYQSKPQDSTSPSKRARTTITPDE ARLIVVLRHVQGKKWKEIIDRLPWKKLAQLVQWNQLHWTERRANPPPLSMHWSSTERE TLHDLKDQRDLTWHAVRARLPGRSIAEIEFELLRLWVGDDVWNTEQQDGTPASFSLD AOR_1_1098174 MEVHLQTTTNMYCQKCRTQLKLDGSLDSLNPAAFDLLTSSTGKT VPEQGTASSSRNSFPQERRDLYDRVSKKVTSPVYRRSIPAPRQVGSNQNNPPRLGRGD SGNMSFIMLTESQVGPPHATIGVNGDNTPKGKQPLSTQNADREIDDGSFADQVERTSR LFEIISSRSDIDHPICTECTEMLVDGLQKRLVDATKERDAYISFLRNLNSSVPTAEEL EAAEKSLKETLEAEEAAFAELVALEQEKAALDEEIADLEEEARQLDVEEERFWRDRNT FSLTLADFQNERDALNMRYDHDSRQLERLQRTNVYNDAFCIGHDGYFGTINGLRLGRL TNPSVDWPEINAAWGQTALLLATIADKLGFEFQGYQLKPMGSMSRIEKIEYPRGSPAQ STVGGGSATPSAPPKITTLDLFSSGDLPLNLPWLHRRFDAGMVAFLECLRQLGEFVEN TPAPMPSNRRGHTGIAAPGLKLPYAIKRDKIGDASIKLGFHNNDETWTRACKYTLTCC KFLLAHASNVASAGSNNSADIAAAAGPANK AOR_1_1100174 MDGSIPPPSAISPISPSPSTSSAIFRPRRSDDWHEYRPIIEQLY RDNQLKLRDVKRIMERDYKFHASEKQYKDRLAAWHVRKNIKAKEVHVMIRKQQKRAAR GKQTGFRVAGQEVDSKRIARFVRRYGTNWDNKGRAESQSQSPPQLQLQSQPETQPQTH RSSPEPDTPSDMSCYTPEPDARDRSSTLSPLPETMSPRSHDKLKIEQTPASEIDDTQS LPTTTYSPSLPNETPKTTDDVSWKDLDSFQNRLLALHETLEASMAGFIYPNEEENRTP LL AOR_1_1100174 MDGSIPPPSAISPISPSPSTSSAIFRPRRSDDWHEYRPIIEQLY RDNQLKLRDVKRIMERDYKFHASEKQYKDRLAAWHVRKNIKAKEVHVMIRKQQKRAAR GKQTGFRVAGQEVDSKRIARFVRRYGTNWDNKGRAESQSQSPPQLQLQSQPETQPQTH RSSPEPGRYSV AOR_1_1102174 MASVSPPKPWERAGATAGGNALSASPTGGSVASSAMTATAAAPA ATTSTTASTTSAAPDLPSRPNSLNTVVNRTASNYSPYGASRLGASPYGGYGGYGSYSS PYSRFGSMGSMYGGYGGYGGMYGGMGGMGGMYGGMPGDPNDPNSLTNSFSQSTQATFQ MIESIVGAFGGFAQMLESTYMATHSSFFAMVSVAEQLGNLRTTLGSALGIYTMIRWFK TLIAKITGRPPPADATSLTPAAFAAFIHGRSSPATLPDGSPAPPKPSKKPFIMFLLAV FGLPYLMSKLIQSLARSQEERQKLMIGPNGEPVQQAPLDPSKLDFCRVLYDYTPETQE TNGIDLAVKKGDIVAVLSKSDPTGNASEWWRCRARDGRVGYLPGPYLETIQRKPQQQA ITSGGEASSRTNSMQARVSDEIPANEKKPELKGKMGDISPESFQKSAFYS AOR_1_1104174 MPSAAKVFYLAVFAFLRLTNAESQHHDQNTCAIDPKATVSDACV SYNSIDSLNDKVYPLLQTITQDTDFFSYYRLNLFNKVCPFWSDENSMCGNIACSVTTI EAEEDIPLPWRAEELSKLEGPKAGHPGPGVRKERPGDRPLQGMLGEDVGESCVVEYDD ECDQRDYCVPEDEGASAKGDYVSLLDNPERFTGYAGMGAHQVWNAIYRENCFLKSTPE QLELSANPQFGELQAANDLRNVLQKELKRTEGLPLDNECLEKRVFHRVISGMHASIST HLCWDYFNQTTGQWNPNLQCFKERLHDHPERISNLYFNYALVSRAVAKLRKHLESYTY CTSDPAQDADTKEKVSLLTSALANRPQIFDENLMFQDPSAIGLKEDFRNRFRNVSRLM DCVGCDKCRLWGKLQVNGYGTALKVLFEYDETKNGENPPLRRTELVALINTLGRISHS VAAVKSFHRAMDVQDGETLTIPADVSSVKNPDGKTTRRLVKDGGTTFYYEDDEDDFQY LTEKLPWERAPRGENDGFLDELRAEFEVFWNTFFYVLRSWANIPKTLFEIIVLEFNRL WSYWLGLPVPPRMWRIRRPSEPGMESRDEL AOR_1_1106174 MVSTGEAVYMTPYSPYPSHHQLDSDLNMNSSCCRFLSSSPSRQS LRRQRSSSIPSIYFDGYLEHLPVEPKKKRECTSHYNNHSRRHSRGPRRTMRYSRDSQL VNPDVIDRLDSASFFQYHHEGPYDAVYPERNFDSKLSPIEAVKRTNEEALKATPEDKI KDSINSHRPLDGVAFYPPGTTDREGQTYNYEEGTNMMNDYGNFMRCPGLKFTEEDFKN DPFYNTPLPKPFASLRRVLSLRRRNRRSTQ AOR_1_1108174 MALVQYSDSESEEDTSHSESSPRPAKRLRQESTATPVSSLPPLP KTFHDLYASSTRISVKDDPSLHGGRKRAIPHVEGNWPTHIYLEWYPSKGELSTLSNVI AQIEGKLRKSKVKLNSLLRSDLGAQLPLHISLSRPVVLRTEQRQSFLDTFKSAIEDSN IRAFNATTEGLYCVSNHEKTRWFYVLRVKKPENDALNRLLKLSNRSLAFFNQPPLYEA SPHILTADAGLSSPMKWQRGGSADYSHCFHISLAWSLTEPSPDERDQIANIKLRELSD LSVYFDCVKAKIGNNITSMPLACLLG AOR_1_1112174 MSTPHIPPVTIEDLQAFQAKHFPGSTRSLVSEYTYNENVTDELA VDDDGLGYYPDGVKRTLTDEQIEIFRHSEIHSLMRERLLKEEEEVYQKAAEINEIKDN QADDKDTSAKRSGVVGETDQSTGEDQQARTLQSDTRQSVARNRTVDNSSDPTLDYDED ASEDTCKPAPSGYNSHIAGRRIISYED AOR_1_1110174 MAETENGHIAQDGEGSNPEPSFYEQKDARQVIGRIISTNFAVMV AGLNDAATGVLIPYIQPTYEIGLLQVSFIYLVNFAGWLCACFANIHVCSRLGTGGTLL LGATVQCLGYALMFWHPPYPLFMAAFFFTGMGVAFQDAQANAFTITVKNAHRWLGILH AVYGMGTIIAPLIANTIASRTPEWHHYYLIVFCVGVVNILLLAWTFRRGLFRPNVRNA KDTAGSELKATLANRSVWILNGFFFLYAGAEVASGGWIVQFIISVRHGDPKKVGYIAS GFWTGFTVGRVLLADITHKFGERRMVFAYLVLALAMQLVFWIVPSIPVNAIAVFLLGF FIGPFYPVGLYVLTEIVPQELHVGAIGFTASLGQAGSAAFPFMTGAIASKAGVQVLQP IMVGLLIGIGIFWAFIPKQGTIRLEDDDDENARLIN AOR_1_1114174 MSPPQIVSLSPATNRVEQVEQQEKHMPADQGSFNIDEKKEEIPP YMQDAFGDEEFAEVKYKVLKWWQCGLLMVAETVSLGVLSLPAAVAGLGLVPAIIILIF FGALATYTGYVIGQFKWKYPHISNMADAGEVLAGKFGRELLGITQTLFLVFIMASHIL TFAIAMNTLTEHGTCSIVFGVVGAVVSFVLSLPRTLAKMSWLSLVSFISILSAVIITM IGVGILAPGAGSMQVTVKTDLVHGFTSVTNIVFAFAGHAAFFGFAAELKDPRDFPKAL CLLQSIDISLYIIAAVVIYRYAGVDVASPALGSASPVVSKVAYGIALPTIIIAGVING HIAFKYIYIRIFRGTDRMHKRDWVAVSSWVGIAASLWVLAWIIAEAIPVFSNLLGLIT ALFASWFTFGLSGMFWLHMNKGLWFSSPKKILLTFVNLMAIVVAATLCGLGLYVSGKA IHDDPSSASFSCANNA AOR_1_1116174 MAVRSSLRVSVRQEEPPNDHDDNRPSPSKRPRLNPPPPSSRRRK SSPDLLDTTTVDSPSKATPNNKVAHIRRAPSSLPRRSSARRPLLSPSSHHHPPSDTPH ATHLRLHRNESPAAAPSLLSRESPDPLDTISPATTDIRRYFAKATPTTTTTPSTRRRR VADSDPPPESATQNRVQAPSQPAESPNEKTATPSQQPTSAQTTPAVRERRSLRSHDGG SRARSELALYFPNYEQLLSLEPPKTEFLAAHTAIKLIDDHSESPISSSDLPAPDTDTP FGNPLLKLHNCESISLPEPQPSELSDTPEEDPLNEGTYFKAHRRNERQEKQLRNIERE RAQHEKQQLDRLLDELQNHDWLRVMGITGLLSDQEKKQYEPKRDYFIKEISALIQKFK IWKEEEKRRKVEKEKAAAAAANPASIATAEGQDSSTQQLQHNQGPGIPDSEAEDSPSV SLSDVPSYSEPPDINDVDAWAARQLIQEARSATAGKKPKSTASEARKKTKPMEPEMPQ HLPPPVDDKKPFTSFYAKRHLRDTALSAHRKGRTRFAFGYPVPEMEEQDFDLPPEILT PEAIDSCRRKRRRMKRASRGSE AOR_1_1118174 MAPIGTSAKRALTPGFYVPTVAFFAGPDEDVDVSTVEKHAAYLA QSGITGLVVQGSNGEAVHLDRDERKTITAATRRALDAHGAESMPVIVGCGGSSTRETI QLCKDAGESGGDYALVLPPCYYKSLVSTEALRDHFRAVASASPVPVLIYNFPGASSGL DLTSDDILALSEHPNIVGVKLTCGNTGKLARIAAQAKPEFLTFGGSADFTLQTLVAGG AGIIGGLGNLIPRSCVYVMKLYNEGNVKEAQAAQAVVARADWHAIKGGFVAVKSALQS YRGYGAQPRRPCVEPSAEEAAALKEAFSEAVELERRLEKA AOR_1_3088174 MRISQICTVLSTVTSAVAVGVNPLPAPREISWGSSGPKSIAGEL QLRTDSDSADGIVADAWNRAWETIVALRWVPAATEAPISSFEPFPTPTAGASKKSKRA SNSLQYVNVQVKDIEADLQHGVDESYTLDVEEDSDTITINAETVWGALHAFTTLQQLV ISDGHGGLIIEEPVNIKDSPLYPYRGIMLDTGRNFVSLPKIFEQLEGMSLSKLNVLHW HIDDAQSWPIWVDVYPEMVKDAYSPHEIYSRNDVRNIVNYARARGIRVIPEIDMPSHS SSGWKQVDPEMVTCTDSWWSNDDWPLHTAVEPNPGQLDIIYNKTYEVVGNVYKELSDI FPDHWFHVGGDEIQPNCFNFSTHVTKWFAEDPSRTYHDLAQYWVDHAVPIFQNYSQER RLVMWEDIALSADNAHDVPKNIVMQSWNNGLEYISNLTARGYDVIVSSSDFLYLDCGH GGFVTNDPRYNVMANPDANTPNFNYGGNGGSWCAPYKTWQRIYDYDFTLNLTETQAKH IIGATAPLWGEQVDDINVSSMFWPRAAALAELVWSGNRDANGNKRTTEMTQRILNFRE YLVANGVQAQALVPKYCLQHPHACDLYRNQAAIQ AOR_1_1120174 MQGHSDHPDFVLFPPQYNIESKMLAFEPSRQQQTPYFQHFTMDP ALTEPFPFHVDLSGFGQSPNSSRLPQSSYYDTPSIDAYSDNKATSFPPMPATPPSAPI SHSSEPFMPGLSTASGPSIASASSSAIGSPYSGTAPAIHENWVDTTHGLGLPAALMGD LFPNEYTGSSLDMEGFYSKKNSNNYVDPSLIETLQPQPNIPQSSMPYSEQPNYGYGYL PPSPELPYVPQPEDYDTKPAIAQQLNHIPMSSPLINDRRSSISSEHSRRSQLSPAASN ASLDDETQEKGRCPHPDCGRVFKDLKAHLLTHRSERPEKCPIVNCEYHIKGFARKYDK NRHTLIHYKGTMVCGFCPGSGSPAEKSFNRADVFKRHLTSVHGVEQTPPNCRKKSSAS SNKAIAGYRNEKAKCSTCAVSFDNAQEFYEHLDDCVLQVVQREQPSEGINQRCLAEVE EDEEVKKTMEKHKLLDTAGTVDQYDDEIDDEEDDSNEFLSHRRSAKSTVKAGRGSSST SARATLGNSAVTKRPTASKRRNNRDRYPQSWGCPRSSINLKRRVLCVFDGQRNLWKDE MLLQNDLEVRIKLPGGAGDGTNREAYVTDLDVETLKRAEGILNATEEERGPWLDGPST KMIGSPAMPLPSLSRPYEGEVDIDEFVS AOR_1_3090174 MPRLPPPTAAAGFNLAIDSEPVINKMQLGLSESLPALVSKRFTA AKGSGHLLFSQTHLTTIQTAGIPYQLRYCPALANKPSGAPNTEKSTTKPKFDPFQDPS PELLIAQIPRENPTHLLVLNKFPVIPNHFILATKEWRLQTDILEKEDLDAAYACVKAW GEENKANGAACKQLFAFFNSGNDSGASQPHRHLQFLPVESMSQSDSGNWQPLIDVVSG QPTSPGSKYLRCAQVPFAHFALPLPPDPSADTLHHIYLSLYRAALAAAQGSTGSSAPT TSGPAAISYNLAMTDSVMMICPRRSESAQIPVDSATSAEISGAGIVALNGTILAGTLM VKAEAEWDELRRNPDSLKEVLTTIGYPHPDLRKISLL AOR_1_1124174 MVHVHVDPDKRKPRLAFDRDLVSPNLAPNHSFLDQFGGAFPTNL CAPQTESILCSKEATEREESQMHRWLEPNGCHAMGTDDIANKTVMAHEAMGNDLGCWP EALTSTDFEKSKVQIYGCRVQSSLQFQGPLIQSVREISLPRAHDQHAQYVADSGSQER TVGEKVETDDSTFGIAHPIPRLPMLCMTGSSFSSFSGPDPESVVTSPFSTPDNSCEPL FIGQKAQNQEWAIREVESSEIFRTSAEKTPVPNINYFAPASGPSTPPPWSTAGVRPAW NVQQQSASHGDALWVDVPCGSSMHGRGDHVEDFDHAPTVNDDLQSSPLHDSLTTNRAC FLRGQRNSRQIACHSDGRDAFLVECKRRGLSYKDIKRLGGFKEAESTLRGRYRTLTKS KEQRVRKPQWEENDISLLCQAVKACMEDDKQSCSDNGSSCRPPTTNQPPKVSWKRVAQ YIWTHGGSYHFGNATCKKKWCDIHGVKLWN AOR_1_1126174 MTRMPFFYFQPLRRSLHTDGTTHRRESIPFIETPIDGNHGTRLH RHFDATPIELFFDLFFVANLSTFTATHEIHNVEALGAYIGFLGVIWFTWLQVTLFDIR FARDSVFERICKALQLAAMVGFASAGTRFTTRVNDENVWAFQSLSLFLGGSRILLALQ YTVNNVVFIRKRMKPAAKGVSIIAATLFVSSLIYLGMFYIFGVQDGIRSYIWTVWFAL FGLEMWVIMGVSCVTPGIGLQDTHLNIRMGLLTLIIIGEGVISVTRIVNRTVRPGGWT KWSFVHILGVTTNVYFLWQAYYDLSPRGILGKYSQQLWAQLHFPFHVALVLLLEGSQI LALTLDITLKLTYLEETIMFACEEPRPRPEIAIGLLRNTIEDMEINYSRGAIKEKMAI DAILEDLPNHPLCPGEKVIAFFVTNDHLNDLVGNVTAALFSSMGIIPSEETNIGQLTS SQLLRMYMQLLGFVYIYFFVVASLVMFLFAAFAVLARRHNFYTSIGITTRIILGVLLA SLVSFVGKFALAYEFMTSPTILYAFTFVLLTVSGVDRLLDLYKSHHETNVNLADAGKR SQSDTP AOR_1_1128174 MSSKFANRRKPRKIGGDDEEDEGGQDIGPVVKRPVSSKTKQKSK SRLSFGPGETSMAEDGEQESEVVIPKRQGLGRRALENSALQRSLTPSGSGGQLPIRVG PEQDRPSYSNEYLKELRNSTPSTPKNATDDDNEKTIDVAAKFGEVMKVTAPAAIPSEA EIREKKERRARLAKEQQYGISTEKDFISLDDTMEDEEWDSKNEKEDLRDTRLIRDDED FAEGFDEFVEDGRISLGRKAERERAKKQREEMRELIEDAEGDSDEDDSDLEEKAAYEA AQTRAAMGHSGKDYTDRPKTPPKMTSLPRLSTCLDRLRTTLAVMEKSKTQMIDRMEEL RKEKADIAVREVEIQALIKEAGDNYEKLKQEAGRTPGSDEDTAAERGLESIGNSMAVS TGNSEDES AOR_1_1130174 MPQAQPELKKYMEKRVFCQLNGNRKVIGILRGYDVFMNIVLDEA FEEKQGGEKVAIGMVVIRGNSVVMLEALERISDK AOR_1_1132174 MPGVVDAPAGPAWQGLGFTVAHQKVELELDFANKSLKGKTEITI HPHYKELRVIRLNFRQGEIRRLNVSGKIPSMKYADPYESLQLYGPHYHQRLSSKIDGL LKCPPEPDLLLSVPKSVRIEELDPFSVEAQDQMALRATGAADDSEGPLSSKAPDTTLP RFTALTVNIEFTIDNIKDGLQFVGVENGDRRYPHAFTTNSLGYGAGCPLFPCVDDPSS RCTWELSIKCPCSLGDVFDRKIRDPVSSNASGRPKPTSNLGRYISPDDEALDLLVVCS GDMTDEIIDPKDTSKKTVSFACTSPLSAQQVGFAVGPFEYVNLAHFRESDQDEQLGQN AIPLHAFCLPGRGDELRNTCFPMAKAIDFFSLSYGSYPFSSYKMCFVDDAPEDTLSTA CLSICSSHLLFPEDIIDPMYDATRALVYGLASQWIGVNIVPKAPTDTWVTMSDRVCEL DYERPSIFDMGNILQLDSSEIDFIALKAPLVLFILDRRLTKASGKATMSRIISRLFLN ARMGDIPNGAVSTMLFQKTCERLGHAKLDSFFQQWVLGAGCPRFQATQRFNKKKLVVE MMIKQVQSEQPSTRDLDKNTFMRDVKEEIRGIYAGVVQPVFTGSMTIRIHEADGTPYE HIVEIKEGVTKFDIPYNTKYKRLKRNKRQKERAAAASGGDPNAEVQEDVLLYCLGDVL QSEEEIQEWKLADWSKEDEERMGQESYEWIRMDADFEWICKLSLVMPGYMYLSQLQQD RDVIAQLESLQYMAAQREHPLISTIFVRTLMDRRYFYGIRVAAAKALIKHAKEEINWL GLFHLERAFQELFCLPGSPMTRSNDFSDRAAYVLQLVIPEAISKVRDNNGKTPMRVKR FLYDKLRFNDNSNNEYSDNYYVATLMQSLCHAMLGRVESRSHDLDDFDMEGVLESQAE EKLEKDAIAEIDRYRRMDEWSSSYQNLYSRTTLRCQMQLMQAKITELDIMQFLPYTRA GTYDLLRLEAFECLVETDIFQSPELLRWFIFTMSSDSSSWLRRRLHYLFGKALAPVAF GRGTSDKTPASGDGLIIEQESSTEVRQADLARRQTVPGAIEALKGELKGDQLLKESLW AACNSPCIGILELSEFTDLCRILYDPATSVKVALKYPRYWQVKHLGKGRLHFTRSSKF RTSLPSKDPNPAKRKREEPGMAPPAPRITFKQSKLGPSTPSANPTPRPQPITKLHIPN RSSPVPQAPSRTPATPTTPSTPGGGGFKLKLKFGQKPK AOR_1_1134174 MNAIFERIQAIQDSLSDDALAPLKSYKYQSVDKSFISRYVLKHY WNAFVELLPMWIAPNMVTLLGFMFIVGNVMLIEMLMPDLVGPGPSWLYYSFAFGMWMY STLDNVDGKQARRTGTSSGLGELFDHGIDSLNCTLASLLETAALGFGSTNLGAWTALV PCLAMYFSTWETYHTHTLYLGYFNGPTEGLLIAIGLMVASGWYGPEIWSRPIVEFLNI PQIFGNNSVKDLWIPLLLSSFFLGHLPGCVYNVISSRRKQNLPISPIFKEWVPMIVFT GCNMAWLFSPYSRILADNRLVLYCWTISFVFGRMTTKIILAHLLRQPFPHWTVLQTPL VGGAVLVNLPWIGLPGMSAWVELLYLRMYLLFAFVIYMYWAFLVINRITTFLGINCLT IRRDKSTAREQAYRDLGERNFEDANTGGDTNAGRYKSH AOR_1_1136174 MYRSTDTAAPGMKAPDSRESAVIHVQDADELRLAQMGHKQELKR HFSVWSLIGLAANCTISWTGLGLGLITSINAGGPGALIYGFILVFILQCFLGTSLAEF VSAYPVEGGMYHWIAAIAPKRYNSLLSFLTGCSTVFGWIFTAASTNLVYASNFMALIA LYHDDIKLQPWMTFVAYQVLNVLTSAVVMFGNRFIPGINKFALVYLQLAWFVITVTVA ATAPTHNDSKFVFRTWMNNTGWDSNVICFITGLVNPLFALGGLDGITHITEEMPNPGR NAPLALACTLIIAFITGLSYLLSLMFSVQDWSSLADSPTGLPLAAIFGQATQSRGGAF ALTFLLWIAIGPCMIGSQLSTGRMLWAFARDDGLPFSKVWARVNPRFGVPLNAQLCVA VIVSLLGCIYLGSSTAFNSMLSSATTINNIAYLVPIFTNVVLNRSTMHHGPFCLPHIA GMTVNIVTVVWLVFAIVFFSFPFYMPVTASNMNYTCVCVGGFIIVELIWWLIAGKRYS KTVQKAREEENNVMVRVDSKNL AOR_1_1138174 MERANEETSLVPRPQPLPSPPLHPSTASSKVSDTDALVTTSLSN GVSVGNGTVFPHARNGGPKDRPVSGIVPPYWSHHRNASRTSQISLEQPAITLEDHTED PDSETSRGLWARSVSVDDHVVVQGKSGIGAYVVWSCTIQTLEGGPISVRMRYSEFDDL RQKLISSFPHAKNALPALPPKSVLFKFRPAFLESRRVGLEYFLNCVLLNPEFSSSPVV KDFLFGRMC AOR_1_1140174 MVLLSSLAVVATVASLATCQNTSTIDPSTVLDSTRKQWCESQTS ACPLICLQLPGASGSPKENKCDFKSLVYSCICSNNQSPNASEYSQTIPYFECTEKNNQ CVKNCDGEQQCQYDCRSKNPCGAQDPKRVNTTTSATTSTAQATTSLPPFTGVPDKNGV ASRPSADLNHIYGLAVVMAGFFAGFATLL AOR_1_1140174 MVLLSSLAVVATVASLATCQNTSTIDPSTVLDSTRKQWCESQTS ACPLICLQLPGASGSPKENKCDFKSLVYSCICSNNQSPNASEYSQTIPYFECTEKNNQ CVKNCDGEQQCQYDCRSKNPCGAQDPKRVNTTTSATTSTAQATTSLPPFTGVPDKNGV ASRPSADLNHIYGLAVVMAGFFAGFATLL AOR_1_1142174 MASELNDRIRRPVPIRVYRVNTHRRHYRPPPRRGHGREPPEHDR GRNYTGRHGLPPRPAHVNSELNYDDRGPYHRDVHSRPARPPADDKENVRPAPPANPAH LRTSVAYDRHDVNMSSSVLATGTKMETKSGNPVEHLDSPHFVQTNV AOR_1_1144174 MESMPPDAFDSHPTSPYAGQLHGAGNPDLDSINGVTATTAPFVK VDDPPKFELESYIANYTGRTKFNRLFLIGTCSTYLSVEALKAAIVEAKSSKDVSRYER AVRALADVAPYEAEATPDKEWIDRTRKVVKAETDRLEHELRGYKNNLIKESIRMGNED LGQHYHQIGDLVSASKAYSRMRDYCTTPSHIASMLFKIINVAIERGDWLSVQSHVQRL RNLQSKQEEQAKNQPKMSAAMGLSQLHSGAYLDAANSFLSTDPTLGDSYNEVLTSNDV AVYGGLCALASMDRNELQRRVLDNSSFRNFLELEPHIRRAISFFCNSKFRPCLDILES YQTDYLLDVHLQRHVSTLYTRIRTKSIQQYLVPFSRVKLDTLSKIFAPGATSGQAQPI HSKSPFVQELIGLIQDGTLDSRIDLEKMVLVSNQTDKRTEVQEAVLESLSNYIDEAHI RVLRTNIIRAGLEVRPLGDEQRKQGHGPKHSRPPTGMFQ AOR_1_1146174 MASQRALFTASRTQTLTYLLAVCPFSIAFLVYINSSISFVVTEL IGLHDGEGDAVGTLGFADELLALVACPLWGVLSDRIGVRHVCVAGYGIIAVALVVFVQ AKNVYPQLLLGRLLFSIGGSAVSTMVTAVLPTVTGNSDEQESTETADTQNDGEANTPS SRLAGFVGMCAGCGALVSLVIFLPLPAKFQTLGLSPSEAIQCSYYVVAVVALLVSIWC LFGLRDLPGEEKKTWSSLWSTQDEDDYPCTVGGKSHLPYLDQFGAAIVLGCCHSDILI GYIGGFVARASSVGISLFIPLFVNHYYRVLGACNDSRNEGPGDIKHSCPDAYKLASIL TGVSQLVALIAAPAFGYWSDKSRRHHLPLLLACLAGVIGYSTFPLLPTPKFSGEGGSP SVFAIMSLIGISQIGAIVCSLAVLSNGILGISLNQEIPKQAFDAETEVYNEDSASRTS EMDSGADRQPLLARPRNHRQQQLSHLKGSIAGVYSFFGGAGILLLTKLGGLLFDVLSS GAPFYIMAGFNGALLLAGIVGYLVKRSR AOR_1_1148174 MLFIKPSPPIELSVSKLGTDIYQMGSKFLCKKVISGIPEATVAS WKERDGHYCLLEGTIRNSSSPEAAEGLIYQAGMSSAVWEIGSEAICKVKTWAEGMDSE SNTLAFVASRFPHILLPEVTYSWVDEQLERTFFI AOR_1_1150174 MRLAAYTGVSVALATGVFLKALHQRANFYSACVYLSQSSANLMI LTNVCLLAVGFLLFWLQRLLYGPLRPIETEQLYEKAWFAVTETCLAMTIFRGELGGWF LVMFVCLLVGKVWGWIGEGRVEYLEQQPPANPRLFHARLATSLLLAVLFNSFMLRYCV RTVLEQARPDMMVMFGFEFAVLTILSSSTAARYSISLVEIYVTHQQLKARVEERRQEI RAERQEAIRRSAQAGELSPPTNLPDENDINEMELDVPGWEEKGRWVFYLDLLTDFLKL TVYLTFFAILFTFYGLPIHILRDVVVTIRSFGRRIMDFLRYRNATRDMNERYPDATAE EIAREEVCIICREEMAQWQQPADGAGPTRGRVSERLRPKKLPCGHILHFACLRSWLER QQNCPTCRRPVVAPPRHRGQPGVGVNDGQGNGGAGGLQGGPAGNQPPGRNAAADDLPR ARVYQFGPFRIGFGAGRGDMFHNLHQQIHQGNAPLQPANNGNPGARQIGFGFGFGRPP PPPTPTAHPTPAPTAVSDMQSHLSQLEQQIMQEISNLRVTADQLHLVRLLQTELQRLR SLQNPQANPLDLPNTLSQNPTIPSSSTITTTRRQFISDPRAPAMTAGDARLPEGLSLP PGWSLLPLHSAEQGSSGAANVTPTPTVPVTAPPAQTPAGSSESQAPVLAENGVNDSQD EQGPSQVNSGSRTLPGWGSARSPTTATDDVEPVSEQWTEVTTEVQSEKSTSIPVEHGE AVHHGEDAVVEDGSSSSPSKGKARVATVEDVGDDET AOR_1_1152174 MFAIIESKNADTKGKMENTDTTYLRGIKLYSILSGVMIATFLIS LDVSIIATIVKGASSIRSGIMLLPSVSTQIFGSIISGVLAKYIKYYNPWFFIGSSFLC IATGLYTTFSAFSTTSRDWIGFQILQALGCGFAAQMPLLTIQSVLKNDPKLVPVGIST VLFAQYFGSSVMQSIGGSIFQNKLDSQLQSYAHLDSDQIEMLLAVGTSKVQETAQQAF PDRLSAILIAYNDAITNVFYLAVTGSGVAFVLALGIEWTNTRESTGDDEKDLPVAYDI REP AOR_1_1154174 MIFSRRAIPLLGLAFFIVFLYTVSSLSRQWRNMPQVVGLGDLVA TPYPTASGYVNGSGVVDKPSREPYAPRPHYAPGVPKPPGSTYTKTLVVPQAGEEDTEW IKLEIPEWQPAVYVVDDLSAPLHPPKNKGHEVIVYLSYIIDHYDKLPDIIAFMHSHQF AWHNDEIFNGNAAEMLQRLNPARVVRQGFMNLRCTWAPGCPDWLHPGTLEEDEHKQEE TMLARSWGEIFPDDPIPDVLAQPCCAQFVVSRERILAIPKARFVYYRDWILRTELSDY ISGRIWEYLWHVVFTGENVVCPKEHICYCDGYGICFGGEEEYDEFRRLRSEKGELEED LKFWRGEAEAIEIERLVGTLGEQSHISVPDPGRDTELEQLILEKERTIDDMLRNATKR GEDPRARALEAGRLWKEGDGF AOR_1_1156174 MTSSASHHQHSSTSAAEFSTHQTAPSSNIDPNGNIATSGYAPNE SQLAGLVEAATAAAGQDVSEWAAAAAVAAAAGAAGHQHHLDGYPPDIHIEDDSFADAG FGTGLSTGRQLRAPGPSPNEHSQPSGLSRTVSKKRKRDGPLDPALTASGPGGHQQPHQ HNSHHYGGETLDIRSAPPQSLSEARAVGLHSAAALFRQPSSNKKYTRPPMSKLFASLE LSPENFLHLQAAAKSYMLDDKHPERRECVGQRGKGDTEMVKLRLWNCVRHFLEIEGHG ERFFGENVVNEGMGPRTYVWPRDQQKIIALVIPLLRRMVTNERQRQYAVETRKGGGSE ERRRRKTEDSLQNMNSASPPKFPVEEQLQMHAQHHPPEGYAPTHPELGATSQDMELGL TDLLPDGYPADWNAISKTYEAYNQNYELDNLWYLSGLQQPDWRGLVAAVDSHYQVFHN GSFDCPAPCEDENINHILHANSVSGLRWRVGGDRHQVARNEFASSITRDVSRIIRDNI ATKHGVQTSTDDHAPIHPSNFPPLPTGLTMPNPPTTSQAPISLRINIMQNGKRVLPRV DLPAGHCPDLETLKQLLCRRFAGQLPGLPSDPSLDPAAWMSSVGWRFRVWLPEGLTPV QNDGEWTIALLSAGNVDWMDGDLRVLVELENTS AOR_1_3096174 MLSAAIARGIRFEICYGPGVTGSGADARRNLIGNAMSLIRATRG RGIIISSEARKALAVRAPWDVINLACVWGLSQERGKEAICEEARKTVALAKLKRTSWR GIIDVIDGGEKSKPKTDKPASKQKGAASKQKDTPQSESNSDTLKRKASVEETEKPLSK REMKRRAKKARLGVIDGEDSATTPANS AOR_1_1158174 MTYVLQESLKLDRARDRLGRTHGCTVWTGTLAPSLAAVVVCPSI RIFGRDYSLITTPLYPAAFYLRHPIVRRPEICLSAAITMARVYADVNEHMPRSYWDYD SVNISWGVLENYEVVRKIGRGKYSEVFEGINVVNYQKCVIKVLKPVKKKKIKREIKIL QNLAGGPNVVALLDVVRDSQSKTPSLVFEYVNNTDFRTLYPRFSDYDVRYYVYELLKA LDFCHSKGIMHRDVKPHNVMIDHEKRKLRLIDWGLAEFYHKGTEYNVRVASRYFKGPE LLVDFQEYDYSLDMWSLGAMFASMIFRKEPFFHGNSNSDQLVKIAKVLGTEELFEYLD KYEIELDPQYDEILSRFPRKTWHSFVNAENQRFVSDEAIDFLDKLLRYDHAERLTAQE AMAHPYFDPVRPEVQAQNNRGL AOR_1_1160174 MAQPSAPQNPTGEPAAPVVDTPPQADDQTRNQNADAAAPKVKTE KELERERRKAEKAKKFAEKKAKAAAKPAPAPKAQKKEKIEKEKTTDAYDPKVIEAGRY EWWEERDLFKPEFGSDGKVRPEGYFVIPIPPPNVTGSLHMGHALTNALQDTMIRWQRM KGKTTLWLPGMDHAGISTQSVVEKMLWKKEKKTRHDLGREVFTDRVWEWKHEYHANIK NALRRVGGSFDWSREAFTMDPNLSAAVTETFVRLHEEGIIYRANRLVNWCVALNTSLS NLEVENKEVEGRTLLDVPGYEKKVEFGVLTHFCYEIDGTKERIEIATTRPETMIGDTG IAVHPEDKRYQHLIGKFAKHPFVDRLLPIVADTDVDPEFGTGAVKITPAHDFNDFNRG KAHNLEFISVMNDDGTFNKNGGIFAGMKRFDARYKVIELLKENGLYVKWEHNPMKIPR CAKSNDVIEPILKPQWWMKMESLAKPAIEAVEKGDIVIKPESAEKSYFRWMRNINDWC LSRQLWWGHQAPAYFVKIEGEENDDSDGNLWVTGRTEEEARKKAEAKFPGKKFDLVRD PDVLDTWFSSGLWPFSTLGWPNKTHDFENLYPTSVLETGWDILFFWVARMIMLGIKLT GQVPFREVYCHSLIRDSEGRKMSKSLGNVIDPIDVMEGIQLQTLHDKLLLGNLAEKEV ATATKYQKKAFPKGIPECGADALRFALVSYTTGGGDIAFDIQVIHGYRRFCNKIYQAT KYVLGKLGDDFKPQPTVSKTGRESLSERWILHKFNSAAKEINEALEQREFNVVATTVY QYWYAQLCDVFIENSKFLLAPEVPADVQESAKQTLYTALEGALTLIHPIMPFVTEELW QRLPRRPNDNTISIMKARYPEYKAEFNDVEAETAYELILKTSSAIRSILAQYEVKTKG DIIIQTYDATSHKTLSDELTSVKSLGGKFLGDLSIQGPETTTRPSGCVVSAVGSEAAV FLRVSKEVALEQEEKAKASLEKARAVVTRQTNLMSSAAWKEKAKPEVREMEEKKLKDA ESETARLEEQVREFEKLRLE AOR_1_1162174 MMLREVKPKNPRTARILKAKEPQLIEGAKRTLLLHGSKCPTPLH TVLKTLHSLTRPNSILFHKKNENIHPFESAESLEFLADKNDCGMVVFGSSNKKRPNCV TIARVFNAKLLDMCELMLLPSPDGDQIPSMNNLTMNVGMGLRPLLLFSGTPWDDPTSS AHVMLKSMFMDMFKGETTDKIDVEGLQYALMVAAEEPTEGLSPVIHLRWYKIKTKRSG HKLPRVELEEIGPKFDFKVGRIQEAPRDVMKEAMKQGKRPNEEIKMKKNIGMDSIGDK IGRVHLTKQDLGGLQTRKMKGLKRRAGMESDEEDADMMDVDEVSEDEGRKRTKTA AOR_1_1164174 MDALMSRLDAFVTNPDLAPILALAKAVRNGAVYGAKVRFPHALV MIFLFRSGTFREKAKLVLKATRQHARNLATFAFIYKSSMLVLRNVNPSGVGKEGRFDS FFAGLLGGYAVFGRNKTSITQQIVIYIFARVLLALAKLSVQPNMHPLSSLITPGTRAD IEKHAWPVFASVSWAMVMYLFRWHPDALMSSLKSSMVYIYSDCDHWDSFRNFMIHNK AOR_1_3098174 MLLEKGAAVEAKDNTGRTPLSWAAESSRNENSIRILLERGAEIE SKDDAGRTPLSWVAGRCKPDAYDFYDTSIMDALGISDGENDMNIIKMLLQAGANVESK DINGRTPLSWAAQGPSEKIPIQVLPKEQEEGHVNLGTYTSTREIRDIIELLLEAGADL NAKDHHGRTPLRWATDCGNEKVVKLLESAGAIQ AOR_1_3100174 MTAAAYAKDLLSKIPVNEAKAEKRMADYIAVLHSDNVENREISA SPDRRAGALCQRPKEAEKHSLDEQQKCELLNSLHFHQFDARHLTIKKAHAKTCRWLLR QAEYRDWLDRSKVIEHNGFLWIKGKPGAGKSTLMKFALDNARRVLKGKNNIIIAFFFN ARGDKLERSTLGMYRSLLLQLLERLPELQDVLESLELTTSTQAGRPHKWVMESLKELF EQAVQRLGQHRLICFIDALDECAEDEIRDMISFLQTISADTTSTGIEFYVCLSSRHYP HITIRKGITLVLEGQEGHRQDITNYLDTELNIGDTDLARQISIDVQNKALGVFMWVVL VVGILNKEYDSGNVCELKERLRAIPGDLHQLFRDILTRDNRDNGRLLLCIQWVLFAKE PLRREQLYFAIHSGIKPLSAWGPDITPAVMDRYILDCSKGLAEVTKGKTQTVQFIHES VNDFLLRENGLMEICPDLGSDFQGQSHERLKQCCLTQMTIAARSNLGHSLPIASSPEA TQLRQSVHREFPFLEYAIQNVLHHGDAAEGGGVSQLGFIQTFQLDRWIPLYNLFEKHQ VRRYTPDVSLLYILAEHNLANLIGIHPSNLCCFDVGEGRYGTPIFGALATNSGEAVRA FLTAQVQEIPPASLLHTAYKQYYEDTNKGAGFGRNFTFRRGKGVPYHLLEQNEEAILL VFLIRSDQTHTGSKVHYSRKLLLDAAEMGRQVVVKWLIENGAELELGLGSRTPLSYAA GNGHEAVCKLLLENGAEIESKGSYNRTPLSYAAENGHKIVCKLLLESGAELESKDSYN RTPLSYAAGSGHEAVCKLLLENGAEIESKGSYNRTPLSYAAENGYEAVCKLLLENGAE IESKSSYNWTPLSYAAENGHEAVSKLLLKNGAKLGLKDIYNWTPLSYAAENGYEAISK LLLGNSAEVNSQDSTS AOR_1_1168174 MTSPSSTLPRKLWEHANPQSTEMWAFKQKLEAEKGLRFSTFHDL YQWSINNRAAFWAFCWDYFPIIYEGSYTTVVDELARIDSIPTWFEGIRLNFAENMLFT GERTPNGDRQITTTNKEDGKIALTQIREGGSEPPISITWRELRQRTGRLIQALKVAGV VKGDRIAVVASNSIDTLVVLLATTALGALFSSASTDTGVKGILDRLLQLKPKWVFVDD AAVYNGKRIDLRPKIRDIVGGLGGVEEFRGVVAVPRFAEPADLSGMPKTQSLATFLAQ ARSDELEFVRIGFRDPFLVVYSSGTTGKPKPIVHGVGGYILNGNKEARLHRLHGPESV VLQYTTTGWIMYLSAITGLMFGGKPILYDGSPFLPDVKFLIRLLGEYKVTHFGTSPRY LQELRKNNIRPRDIADLSKLSIVTSTGMVLSETLFEWFYDEGFPAHTQLANISGGTDL AACFGLENPLTPLYVGGCQGPSLGLPIAAYDQADEGASGVKGKPVPDGEPGEIVATAA FPTMPVKFLGDDGPQKYFDSYFARFDNVWTHGDFISIHPITKQIFFLGRSDGVLNPSG IRFGSAEIYNVIDTRFADEIADSICVGQRRPQDPDESVMLFLLMRPGREFTPELVRRV KEAIRRALSARHVPKYVFQTPEIPTTVNLKKVELPVKQIVSGKKIKPSGTLLNAKSLD FYYQFAEVERLIEPKSKL AOR_1_1170174 MSTRLFEPLRIGNITLSHRVVMAPLTRLRADEHHVPLPMSTNYY EQRASVPGTLLIAEATLISAAAGGVPHAPGLFTESQIQAWKKITDAVHAKGSYIFCQL IALGRAADPSTLRKEGGFEVSAPSSIPMENGGAVPHALTEDEIHGFIRDFATAAKNAI AAGFDGVEVHGANGYLVDQFLQDVSNQRTDQWGGSIESRARFGVEVAKALVEAVGAER VGFRISPWNTWQGMKMADPVPQFSYLVRRLRDLGLAYLHVIESRVINNVDCEKKEGIE PFLDIWGPTTPVLVAGGYTPENVRQAIEDEYRDYNVAVVFGRHFLANPDLPFRLQRGI PLQKYDRDTFYTPMQCHGYADYPFSSEFQARLKN AOR_1_1172174 MPNSEHGSSLVDVSHTIDERQETLVDNELSSSTKVTYPEGGRDA WLVVLGAWCGLIASLGIYNTAGVFEVVVSKVLLPEYSQSTIGWIFSVYAFVNWVCGVQ VGPTFDAMGPRALIIAGTVCTLIGIFALSVCTVTHRAPSSHSSFLYGVKSTKIYCRPT CAARIARRANVVFYDTVDQARRDGFRPCKRCKPDNASFLGEKEEVVARVITLLRVNKN AVAMKRGLKELAGEVGVSQSYLCRAFKKTMGITVGAYMMEFERKDSLGEMEGLIQSSD MVDVGTGLLTPAVTARNSPVPLKGLEGGLAVEGGVGNVEENLDLNFNIDEWVWTDDFF NDSTYGWPIQGNS AOR_1_1174174 MKGVRIRQQGDPANMVIETGLPLPTVKDTDVLVKLHYSGVNFID IYQRTGVYGVQVPFTAGREGAGTIVQVGAKVTSSYGLKVGDRVAVFTQGAFAEYVAAP AEGVMRLPPSVSTKVGAAVMLQGLTAWTMVQESHKVSSGQIILVQAAAGGTGGLLVQM CKYLGATVIGAVSSVEKAKVAEENGCDHIILYKTTNVEEQVMRLTGNKGCHAVFSGVG QSTFNADLACTRRKGTLVSYGNSSGVVSNFSILLLSKKNVKLVRPTLANYIAEREEFV ERSTQLLKLLEDGAIHMRLGGEYELEDVQKAQDALTGQKTMGKLLINLQA AOR_1_1176174 MQNHTLRQHVPSANIRQAFAVAMSAMYAKEVPLYGDLLSLVQQS NQRVLESDPNLRQKLSTTDNLDRIHWERHGAIRVGRPDELNQVRRVLQVMNMLPTGYY DLAPANVPVHSTCFRAPELSELSKNAFRMFVSLLRPELIKSDEVRQRVTSILSRRSIF SERMLALLAIYESNGGLVEAEAEEFVHEATSSFQWHNSAAVSYVEYQEMLSESAILAD IVAFKSPHINHLTPRVLDIDAVQSSMRDWNIPVKDYIEGPPKRKCPILLRQTSFKAIE EPISFPVEITESANTKQFVPGRHKARFGEIEQRGAALTVKGRQLYDSLLAIATRDSIT AGDTTAFSRVFASFPDSWMEMRELGLAWFRYYINPNKLHAQPEPKPFNLSQLIEDDIV RYEPIVYEDFLPISAAGIFRSNLDKNGDSATIYLNSCDLCSKCDFEKALGVEVADEML LYQRIQAESIDQCKAWVENAWGGL AOR_1_1178174 MSGTTHPNGVPYLRPAGNAQGLANYPHARTVTAGHGASYLHISG TSSRRGDGSFVGAERAHDPNGNPSLKLDIRLQTAAVLKNIAAIIDGATEGRAGMQNVV EVTVFLTNMKDDYAGMNEEWNKVWPDRTTAPARTTVEVRALPREEILVEMKCVAWLAG AOR_1_1180174 METLNTLWQLNTEQKAYEFLASQCDPIRLRNFVGNGFLPCATSN KWVNSFNPRDGKVLVQVPLSDSTDVDVAVETATKAFPSWSRTSRKARSQMLQRIASII SDEKELFAVWESIDQGKTLARARVEVERAIDNFNYFATYILHEESAARYVDGPPSVLT YEHRSPVGVFGLITPWNMPLYLLTWKIAPCLAFGCVGIAKPSEVTSITAFLLAEVFKK AELPAGVMNIVFGDGPGVGSALVRSTRVRGVSFTGGPATGVQIRRDTAADIGKHVSLE LGGKNPVLVFDDVDIPNAVSVAARAAFENSGQICLCGSRIYVHRRIYDNFMSAFVAYV EKNYRLGETMGPVASLQHYLKIRSYLLQARVESAQFHIGGIPSEEPDGYWVPPVILSG IDTNSPVIRDEIFGPVVTVTIFDTEDEAIALANDNVNGLAAIVMTNDIARMRRIGERI DAGLVWVNCWLVRELGTAFGGLKASGVGREGGAHSRDVFTNLRTLHVPSAW AOR_1_1182174 MTNSCGCLCGCSSHDSDLGGVDGQTASPSMRIDVHTHIMPPELP SFPPLDEDVSSDRSHEWITLRPHNDQKPRTNGISNPKTPKKVDMYVGDRFFRTVEANC YDPELRIKEMDATGIDVQVLSTVPILFSYDKPAKQAASMARYLNDHIASVCQEYPQRF MGLATVPLQDVDASIAELHRAKKDLCLNGVEIGAEINGRSLDSAEFEPFWTACEELDF PIFVHPLGYEWEKEKTSRWKPYWSAWLIGMPSETALAIHAILSSGVLVTHPRLRFCFA HAGGSYLPLLGRIQHGYNCRPDLVAHSAQGVSPADFFKQHQSNIWLDSLMHDADLVEY ICRKIGVGRIVLGSDYPFPLGEMPQPGELLSTDSQIQKFLCATSRERIMSKNVLDFLG IDKIPA AOR_1_1184174 MSTTNGLPIPGPLQLTAWLEKNSASLQPPVNNKCLYSGEDFILM AVGGPNQRKDYHINQTEEWFYQIKGDMLLKIVENGDQFRDVVIREGEMFLLPGNIPHS PRRQGDTIGLVMERKRPVGSIDRLRWYCENEKEHGETPALIREEQFFCEDMETQLKEV IEDWMRNESSRECKLCGSIAAA AOR_1_1186174 MYERQRVWRACQACRKRKIKCDGEQPCQSCCRNNAQCIYTDLSG NARLFDPKYIMSLESRILSMEAQLRAPSPPRTSEPVHQPADDPAVLPANVDTTDLVKL TMANSEKPDQNQGDLPLETLSSAPNMASLHTGSLAPARESPAEHQEGLLNSGTFVSKG DGQLGDGRESARSLTHLKPIPDEVRTLLVGHYFRVIHPIFPIIPEKDFREQLRRSGLG HDDDNSQLSFVMNALLAVAVSGLKSTHPISEDPCLRAYDLANLGHLFYSNATREILLF QSKEKLGLNSIIGHGLLSLYLAETGKAYEAWVTTGHAIRLYQGLDLSDDPIPSQDPHM QRGLWWCLYVLDRSLSTALLKPLAIDDTEYGLEDKFKAPTHDTDSETDFWFSVIVDFH IIMGRIYKTVRYIRKAARNSTPNLEDKIQADVRRHDEELGNYFTEKVLPWIKESPRDF EAIALQTVAISSYYASLILLHRVFLEKYTVAEPAMFLRCAEAASGCIKLTPRLIATVP GSHFLIQHGRALFASAKVLLHCIRLAWNPIFTAKALNDLEAAVGMLRNLSIQWPEIET YQTLVQEELKSIKAEFERRDKLSEALDYPTSMHISCYHYQGQIYHDVICASRTIAITV FLSPRSNAACLRPEFSTREQENQRPRGSGKEMMFM AOR_1_1188174 MTISLSQENKKCPETGNIALDGPQLGEASTEETERGESREIFEL SQGGVEFRTVSWQRATVVFIKISFAMSILATPGALAALGSVGGSLCIIGFTTLNTYSA IILGDFRNKYPQCHMLPDMMAFTWGRIGRELVGIQVIIAQILISASGIVTTATGFNAL SSHGTCTVTFALVSTILVTICSSIRMFSRLGWLTWIGFFTFLLAVFIFTGAVTQQDRP PAAPPTGDFDLGWTPIAYPSFVVGMLNVENIFISTAGSSMFLPVISEMRRPPDYRKAC ISAGFIVGAMYLSFSLVIYRWCGVWLSVPVFGSAGKLFKQISYGIAMPGLVIGVGIYQ HVAAKYAFVRLLRDSKHLQANTWVHWLTWLGMNFILGAVAFVVAEAVPILDYLLALAG ALCFAPFSLIFPALLWFHDHKDYKSGPRIQKVKYGLHAGIVILGLFMTVGGTYAVSIS IKDAFSSGDIAQVFDCRDNSGSSV AOR_1_1190174 MASNPPAACCATGFKHEGTPVGEVKNIDGVNTYITYPKDNKTPE KAIVFLTDIFGIFPNSQLLADEFAKAGYLTVIPDLFQGDQINVADMESGKADLPSWLP KHQPANVDPVVEASVRYIRETLGAKSVGAVGYCFGAKYVTRLLMTNKVDVGFAAHPSF ITHEELGAIQGPLSIAAAEIDSIFTTQLRHESENTLIKAGHPWQINLFSGVAHGFAIR GDMNVRIQRWAKEQAFVQAVSWFNQHL AOR_1_1192174 MNLPKDLDFFVMLSSASNIIGLTGQSNYAVWNSHMDGLARYRIA HGQKAVSLDLGTMIDDGILVETARLLDKVPAYGSLVPVTRAQLYGILNDYCKTSKQIL DPDTAQLEVTSVREAREIVRRAVIGKMVHSYHLMPEDAEVDAYAPLHTFCVDSLLEVE LCNWIGKEVAVDIAVMEIIKVGTSSRAASNGNHLVGYNTSANESVVEATPKTLPAGIS PGNTVLPITQGPDVTFPDPPSFYDPLVDFTSFIDSMGLALDSESLIDLRSVPLEHAPL SNEVDNYHTRVSLNSRPDYDEEQSEGAHRAQSPTLLPIPLNPAAVQAAVYMPQLKITE AQRTHLVQALGPFQHLLPGFALPSRDSLTRFLNAYFDRVYPHFPFMHGPSFLPENYSL ELILSMAASGAQYRYEHRKGRLLFYASKAIFHERQRHREATSCKSDILPQPIIIHTDP YSPSPTITDDIRCLLNLAIYATWQQDPEVVKGVCGLQSTLVRLLRESGLVEVNIPDSD ELDWRTWLCLELDRRVKLFAFAFLNLQSIAYNLPPILLSHEINLRLPCTCEDWRAVDE SNWRQFRRDIHREQSLFQDALAFLLAGKDAPSSLKPIPSPSASIILIHGLLHRILLSR QASLSGVISSDQLDIFE AOR_1_1194174 MWMRDRQETAKGAIETVLKLIAESQLRVQTAMGKTVIDLTPKVK APNILEIMVLFKLKPDATYVIAGRLGGLVGL AOR_1_3104174 MATTNASAFNPRTQLLPHIVDHYAKVKPEAIYAEYPISLMTYED GYRPITFRLFANAINGIAWWLTEKLGPGNGEILAYVGPNDLRYPALVLGAVKAGYRMF LTSPRNSVAAHSSLFTRLECSKLVAPVPRPPPVKAILKAQPTLEILDVPSVDDLTSKD YPHFEFLKTYSEVAGETLAVIHTSGSTGIPKPIFWTHDTACKHMHMTFLDPPEGFESQ DSWLFGKRIFLVPPPFHAAGLAYSLFISIPVSTTIIFPASGGLPTAAALVEARKKTPI DILLGVPSIIQELSQSPELLDYCSRHMSRLIYCGGDLPQPIGDTVAAKIKLTNLYGAS EVGMISTIHSKTDRNPLKDWRYLHINPQMGAELRQVTDREHELVLVRDPEFKAHQFSF TIFPDRQEYHTNDLFVRHPEKPDLWRWSSRADDVIVFLNGEKTNPVSMEQYVAVSNPE VSAVLVAGARRFQASLLVELEPGKQDLNITERAAMIEKLWPSIEQANAVCPAHARVAK THILFTKPGKPMLRAGKGTIQRAGTLALYAAELDALYTDADRLSQADNEQQASTGRVD DPQLLADYIRQSMISVTGWNQLSNTENFFELGLDSLQAITVTRIFRRGLNFPTFSPNL IYLHPSVKELTQTVLRLQQHHEASAEATKEAQLQQRDQLLLELSAQITPRASEKHIVM LTGSTGSLGTYILDTLLKTPSVAHIHCLNRKDNAVDIQRQKSEAYGLNLDMSRVSFWT SDLSKPGLGLQSDVLDILQTTTLVIHNAWAVNFNLSLASFKPNLAGVVNLINFCGKSG QNPHLFFISSISSTMGHRTDNGLTPETVIKTTSPAPNGYADSKYLAEQLLDQAARQDP VHVHSSFARVGQIAGPVRSPGLWNKAEWFPSLVMSSLHLGALPNTLGPVLNRVDWMPI DLLAEVLVDLALRDHGVSAGGSVKVYHPVNPRPLDWEAVRPFVVEALSKTSGETVDTI PFQDWALLARNPAAKLLQFFEGIMSQTERENVLDTRLTVQLSEKLQAVDAVKPEWIQK WVEEWLQ AOR_1_1198174 MSNKPFNETARDLKLDEAAEENDDYILCGELQNDEGEWVAAEIN LMKSLGCLNRLVPHVAWGGKDFSKSADCVEFSVNPIPVPTSEDDIHGQLQERPMLSVT IQPDWSEEQVEACAGLSDGIVSNNGQFEFRLDRIPQDQRIMKAY AOR_1_1200174 MSIIDTTKDLSALFTKQVQATPDAIALEDENTTYTYAELDQEVE TLTRRLRGYGVGRDSLVGVLLPRSADYVVACLAALRAGGAFLVLELAYPPSLLADVIE DGRPTVVITNRAEVGKIKASVPVIIQDEPAPTANGHVSEPSPLPSDDDLDRLAFVSYS SGTTGKPKGIANPHRAAVLSYNLRFGVSDLQQGDRVACNVFFVWEILRPLLRGATVVA VPDDASYDPAALVDLLAAKKVTETLMTPTLLATVLARHPHVGDRLPDLRTLWFNGEVV TTDLARRASKAFPQTRLLNCYSACETHEIACGDIREMLQQIDSDALYCPVGPPIDPEN TYVLNESLQKVDVGVSGELFLAGPQLARGYLNLPETTAKAFITNPFDSDPSSRLYRTG DIARLLPSGLLEITGRVGAMIKLRGYSVVPAKVEYEIVTNLAVSRCAVVAYGEGLERQ LVAYIVRDKEKSAERPEVEINDSGHSPAARRTLAPHLAHYMIPALWVELDSLPTHEVT GKVDLKNLPPPQSPQLVNGNGPKPAKDSIKLDEIASIWAAVLKTSRTLLKQTDDFFDL GGHSLSLADLSSRLSRHFGFRVPITRLAENTTLTGHLETVRAIRDGHTAAVQADLPAV LRADATLDDEITPSNATACPIDKADTVLLTGVTGFLGAFLLHDLVENTSARIICLVRF NEPANDDQPGGIARIRRNLLDLGLWRDSIMERVEILPGNLSRTRFGLSPAAFDELASR VQVVVHAAATVNLVYPYAALRGPNVGGTREVLRLACKGGATVQYVSTNGVLPSSGESG WPEDALLDVDQVPDKLLDGYGQTKWVAEQLVLEAARRGLPVKIHRAGTISGHSATGAA NAWDLLTALIVESIHLGYAPDVDGWRAEMTPVDFVSKAIVHLANQTHADQTVFHLGDP NPLSMNSVFAELRELGYPTQPLGWDEWVALWTQKRGPVKGGDGAFTVDILRSGMPTVE FLRGIVVLNNAATQPSLADLDRPQVGRALLETYTRHWFARGWLTRPPSRQNALASSPK PKGPLHGKVAVVTGASSGIGAAVAVALAREGCHVALAARRADALESVKGRMTAYGVKV IARSTDVTNSGQVEALLSAANEELGPVDILVSCAGVMYFTMMANVKTDEWDRTVDVNC KGLLHCLSATVPGMLSRSRGHIVAISSDAGRKVFPGLGVYSASKFFVEATLQALRLET AGTGLRVTSIQPGNTSTPLLNMSTDAEAVKKYGEPSGAQILDPEDIANSIVYALRQPE HVSVNEVLVEPRDEPI AOR_1_1202174 MGLSTASPSSGDPGQRPVRSNEVLNLGPYTGNEPNYSNDGFEMG RLPSRPENEAQEPCSVEEKSRGNWRIAAIMLALSLSLFISALDQTIVATATPTISAEL HSGTGYVWIGGAYLIANAASSNIWANLSDIWGRKPILLTAVALFFGASIICAKAINMP MLIAGRGVQGIAGGGLIQLITIIISDLFSVRLRSLFLGLIEFIWAIAGALGPIVGGAF TQSVSWRWIFWINLPVCGTAFVLLLLFLDVHNPKTGVLDGIKAVDWFGSFSILGLTVM VLLGLDFGGATFPWSSPKVICLIVFGCLMSLFFIYSEKRLAKYPLMPLGIFKNRSNMA CFIVAFTHGFAFLGQEYYLPLYFQSAKEASPLHSGLLILPYVLAEAALSLAAGLIIHR TGHYLEVVWTGTALVLLGSGLLIDFNATSSLAKIICYQIVAGAGCGLLFFPPLLALQS NVPQDENAAATATFGFIRNMAMAMSVVLGGVIFQNGMDTRQSDLLAAGLSDSMVKELT GSEAAANVMVIQSIADTLQRSVVKDAYAWSMRNIWILYTSLGACGLLVSLFITRQHLS VEHVETKTGLKEKQPLTEPRQNESVSEP AOR_1_1204174 MHLKTLLLTLALTTPTLIHAKDDTTTTAPYFGAEVSIWQPTYSG IAGSVAGINAKETTYHISCTKDAPKSLCQIDKPWTMIQAQESWSLTGVYTAWSSGKDA VTATQDYSCTFTHWSEKASCALTVKATGTLEGGKWSSDASTKVSVASDKVTTWGLLVT GGVESFTMPQATQTPGVAVGGVMGNARAVVTGMPIAGAAAVAVAAMF AOR_1_3106174 MNTWQAIAKSKQESLRAAIPSEWIIPAHILPPEHQTDVTSFPRQ SGWFTNRELEILSTSAPRILAHLETRSWTSEEVTKVFCKAAAAAHQLTNCLSEILFDE AIARAKELDDHLRKTGKPKGPFHGLPISLKDNFNIIGKDSTVGFTSLVNDPATYNSTL TDLLLQGGAVLYVKTNVPTAMMIAETVNNVFGRTVNPLNRKLTSGGSSGGESALISFG ASRIGVGTDIGGSLRIPAACTGIFTLRPSFGRFPNFQTRSGLAGQEAVNSVNGPMAST LEEITFYSKTVIDQEPWVNDPKCLPIPWRPAEPKHRLKLAVMWNDGIVTPTPPVTRAL KETVDRLRKAGHEVIDWKPTGHKEARQLLQRKFVADGGKSVRGLLAPTGEPFREEMRD YEKATELGVHEMWQIHLERNTLQKDYLHRWNASGIDGILCPTTPYSSVEHGKFAYVGY TGVFNILDYSAVSFPCGVKASKALDVSYHGHKALSDVDARIQHDYNASAVDGMPVSLQ LVARRLEEEKVLMMTGVVLQAVSTGWKSKL AOR_1_1208174 MLANIKTYLKGQESRPGEAKLLRKLDFFILSFCCLTYFFNYLDR SNLSNAYVSGMKEELNFQGNQLNVINTVFTVGYILGQIPSNLALTYIAPRIFFPTMIV FWGGLTMITAAVHNPQGIMAIRFFLGLAESSTFAGTHYILGAWYTEKELGKRSGIFTA SGLAGTMFGGFIQSGIHSSLDGARGLSGWRWLFIIDGLITLPIALYGLFLFPDTPATT RAPYLSASERALAISRMPEASPATSRLDLTFAKKIFGTWYWYGFVMLWIIAGETESFS TNTLLALYMKSHPTNKYTVSQLNNYPSGVPAVGIVSTLFWATLTDFMGGKRYLVGFFI GITGIITSALILTQFSSTATVFGAYYWAGAVYACQATFFAWCNDVMRYQDGRLRSVVI ASMNLGSNAVNAWWSILFYSATFAPRFTRGMWAMIGYSIALILWTGGVIYMSMRETRE GLDGVGHGEEEVTEGSIYKPKE AOR_1_1210174 MAPILTTTPTLQVTPSPLTKEAFAPFGTAIYSPLPRDLNQAPAS TTSLTPHNPTPVLANQNSALKYSPISPLLDNYTNKCPSNQPSSARMTMFSCFPRQLRS LPGKNTKAFDVRILECHPFTTQTFTPIDLSSQSTAGGQEEPYYLVVVAPTLKGQTVTA MTPSGPVTVRDPPDLKNLRAFVARGGQAVTYGAGTWHAPMVVVGSRRVDFVVVQFVNG VEEEDCQEVAFGEGVVVEVGGRAVAKL AOR_1_1212174 MPVCGSRISLLIPSRISSLCKTDPILPYHCKVHRTICHHARRPS LRIAMPSPAPNFTLQRGAKTRSTVKLKDLPQGALKLEPYHDTVEDAPRYPPVVQGHRN NMEKFQNCVILTRVGGFYELYFEQAEELAPLLNIKLASKKTSAGPVPMAGFPFFQLDR FLKTLVQDLNKYVAISEEFAHGVEDKARTGGLLFDRKVARIITPGTLIDEKFMDPAEN NFLLAIYIDEPSLKAQLEQHGVSSHQHVLSSASQPVGLSWLDLSTGDFFTQSTTAQML PSAIARIGAREILVDRGIQDLIGQELQLLVGHDHRLMTFFPFPQDILPMSQWDSMLEA PVSPKSIKSFTPEETAAGYNLLEYIRVQLQGLDLKLQPPRRRHLSESMNIDRNSLRGL EILETARDGFGKGSLLHAVRRTSTKSGARLLRDRLSSPSTSLRVINERLDLVSGFIAN SELRDSVTQLLKRSYDAQRLVQKFTLGRGDPDDLICLSRAIEASKEIKRVLSATGFDV SSSAQANDSLATMTDRLHLDGPTILADKILAAIDEEGLIQRQRIEDDTAAEAAILAQE VTMNEGLPTDLDALPKKVRSKSSNRAGTAADEPPDVDTWIMRRDASPALRKLHQVLTK LQDEKISLTQRLRDSVGSSTLTLKWTPGLGHICHVKGTKVSQQALEELGVTRNVSTTK STRSFYLPAWTELGSRIDQVKLQIRQEEQLIFERLRREVILNLVKIRRNAAVMDELDV ACSFATLAQEQRLVRPILTKGTSHKIVGGRHPTVKLGLEEQGRRFVSNDCFLGDEERI WLITGPNMAGKSTFLRQNALITILAQVGSFVPAEYAEIGIVDQIFSRIGAADDLFRDQ STFMVEMLETAAILKQATSRSFVIMDEVGRGTTPEDGTAVSFACLHHLHYRNRCRTLF ATHFHELADMTHDFDALGRYCTDVKETASGSFSFVHRLRKGVNRESHALKVAQLAGLP KEVLEMARSVRDSVRSGQKTWPAEVNWNAEAAPKPAVS AOR_1_1214174 MADQNISQYKYSAMSNLVLQADRRFISRINDEPTGDPESLAGRI SIREMGGRMARDDAPKTKKKAGLTDIERGSIREGEDVLAREQKKRQRGQPAQLRGQGI LSAADAVVEGLKYRPRTPATRATYDLILTMTANNLGDVPHEVVRSAADAVLEYLKDED MKDFDKKKEIDDLLGSSMNPKEFNELVNLGKKITDYDAQDEDEDMDGGLQGEGEEELD ERQGVAVVFDEEDEDDERMGTVNEVRDDDELSDEDEADQQDAPGTDEPTAEKGDADGL DTEEMVIDGGMDRGDDRGGKALRVSAREIDAYWLQREIGKAYSDAHIQQEKATEALEI LGGKGEDGADKPLRDVENDLMELFDYDYPDLVAKLVTNRDKIVWTTRWRRVAEDADAR NLVESEMVEAGHRAILDEIRGKTARDDGSSRPEKKIKLDLMDVDVPNAPTPEEKPADG GLVRGLQPKRLINLENLVFHQGNHLMTNPSVKLPQGSTKRTFKGYEEIHVPPPKAKRD PGEKNIPTTELPEWARIGFGSSKELNRIQTKCFPSAFHDDGNMLVCAPTGSGKTNVAM LTVLREIGKNRNPQTGEIMLDDFKIVFISPLKALVQEQVGNLGKRLEPYGIKVAELTG DRQLTKQQIAETQIIVTTPEKFDVVTRKASETSYIKLVRLIIIDEIHLLHDDRGPVIE SIVSRTIRKGEQTGEPVRIVGLSATLPNYRDVGSFLRVDPVKGLFHFDGSYRPCPLKQ EFIGVTDKKAIKQLKTMNDICYNKVIEQVGQRRNQMLIFVHSRKETAKTAKYIRDKAL EMETIGQILRSDAASRAILAEEAESVDDASLKDLLPYGFGIHHAGLSLADRDSVQALF ADGSIQVLVCTATLAWGINLPAHTVIIKGTQVYSPEKGSWVELSPQDVLQMLGRAGRP QYDTFGEGIIITSQTEIQYYLSLMNQQLPIESQLISKLADNMNAEVVLGNIRTRDEGV DWLGYTYLFVRMLRSPGLYSAGADYENDDALEQKRVDLIHSAAVVLERAGLVKYEKKT GRLQSTELGRVASHYYIGHNSMLTYSQHLQPSVTTIELFRIFALSDEFKYIPVRQDEK LELAKLLGRVPVPVKEGIDEPHSKINVLLQAYISRLKLDGLALMADMVYVTQSAGRLL RALFEISLRKGWSSVAKTALDLCKMAERRMWPTMTPLRQFPSCPRDIMQKAERIDVPW ASYLDLDPPRMGELLGMPKAGRVVCDLVSKFPRLEVQAQVQPVTRSMLRVELTITPNF VWDEALHGTAQDFWIVVEDCDGEEILFHDQFILRKDYAQSEMNEHLVEFTVPITEPMP PNYFISLISDRWMHSETKIAVSFQKLILPERFPPHTPLLAMQSAPVKALKRDEYQNLY SDWQYFNKIQTQTFKSLFDTNDNVFIGAPTGSGKTVCAELALLRHWAKEDSGRAVYIA PFQELVDQRHADWEKRLSKLSGGKTIVKLTGETTADLKLLERADLVLATPTQWDVLSR QWQRRKNVQTVQLFIADELHMLGGYGGYVYEVVVSRMHSIALQLENGMRIIGLSVSLA NARDIGEWIGANKHTIYNFSPHARPIPLELHIQSFSIPHFPSLMLAMARPAYSSILQL SPDKPAIIFVPNRKQTRSTAMDLLAACATDDDEDRFLNADVNELAPLLNRVQEQTLAE SLSHGIGYYHEALSATDKRIVSHLFSIGAIQVLLASRDVCWELNLTAHLVIVMGTQFF EGREHRYIDYPISEVLQMFGKASRPGEDKIGRGVLMVPSVKREYYKKFLNEALPVESH LQAYLHDAFVTEISTKVVASTQDAVDWMTHTYFYRRLLANPSFYGLTDVSYEGLSTFL SELVENTLKELSEAKIIDLDEEDDSVSPLNAAMIAAYYNISFITMQTFLLSLSSRTKL KGILEIVTSATEFESIQMRRHEDHILRRVYDRVPVKMSQAAYDSPHFKAFVLLQAHFS RMQLTIDLAKDQEVIVSKVLNLLSACVDVLSSEGHLNAMNAMEMSQMVVQAMWDRDSP LKQIPHFSPEVIKVANEFGINDIFEFMEAMDPSENKEYATLVKRLGLDNKQLAQAAAF TNDKYPNIDIDFQVEDPDDITAGEPAYLKVKIEREVEEDEEPDMTVHAPFYTNKKMEN WWLVVGDEKTKSLLAIKRVTVGRKLELRLEYIVPTPGEHELTLYLMSDSYVGVDQAPT FNVTAAEGMEEDESEEEDEE AOR_1_1216174 MTIRPMKFTPEVLLGAPRRSAAVPNSSGTLAVYTQTSYSFESHA KTSEIRVLDITTGRSSLITNDPSASSPQWLGNDDRLIWLKTKANGNTSFIVGHAREAG KTYTAGTVPGPVANLKVTVIESGKIGFAVTGKANPDGSLHNPQDAKKPHTTGRLYSSL YVRHWDSYIEPQTNSIWYGLLQQAPLTPATRHAGKYSTSALTNLISVCGLTGVESPIP PFGGSGDFDISPSAIVFVAKDPNENPATHTSCSCYYCPMFSWTSVTAMESQKIYAVKG LQGAMSSPVLSSDGSSIALLAMREDGYESDKNRILYVPNPWSGEMIEAFASPDGEGLW HLSPSAVTFANDDQSLLVQVEENGRGVLYQLPIANFRHSRPSALKKLTHSGYVTDVFP ASANSSKLLVASNSLVENSRWTIIDPQSPESPKVISSLSRGGAAFGLSPAQVDEIWFR GAKDHPVHAWVVKPSDFKPGNKYPLAYLIHGGPQGAWNDQWSTRWNPAVFAEQGYVVI TPNPTGSTGYGQAFTDAIRGSWGGLPYIDLEKGLDYIEKNLDYVDTTRAVALGASFGG YMVNWIQGHELGRRFKALVTHDGIFSMRSLLSTEELYFPVRDLQGPYWKVPENWDKWD PSRFTGNWQTPHLVIHNELDYRLTIAEGLAAFNVLQMRGVDSQFLTFPDENHWVLNPE NSLMWHRTIFNFINKYVGLPSESRADLENGVANMSL AOR_1_1218174 MADPASSVTPERPASCLSRPTTRSTVAGPTTDEKHRRHHPYFIP TLTHLRTPLYPLVSSTTALAHPEFPTTLLAYHLLTSRQLDELAIHYHQVWPPTPATSY YPVVISPWVGTENERDVDIETKRRRFGRFIGLQRCETPVEEEESYSWALEQDTDTELL DLINQEWNGS AOR_1_1220174 MSNSNPPLITHIYTADPSAHVFNNKVYIYPSHDRETDIQFNDNG DQYDMVDYHVFSMDSISGPVTDHGVVLTLSDVPWASKQLWAPDAATKDGKYYLYLPAR DHDGIFRIGVAVAEKPEGPFTAQKSFIAGSYSIDPASFVDDDGKAYLYFGGIWGGQLQ CWSKDAGAAGEWVFDASKTGPQEPSGENELALHPRVAQLTDDMLGFETPVQELVILDQ DGVSPLKADDHERRFFEASWMHKYNGTYYFSYSTGDTHYIVYATGESPFGPFRYRGRI LEPVLGWTTHHSIVEFGGRWWIFYHDCELSKGVSHLRSVKVREIGYDDQGDIYLVD AOR_1_1222174 MTLDVDRNAIPGTFTLVDLEHTLATRHLNAGNSDIVLVPQPSDD PDDPLNWSPRRKLLSTICVSSYTLFAGIASAVVYSVLVPLSEETGVSVGTLNEGTGYM FLLAGWGLLFWQPFALQYGKRLTYLMSLIGMIGTLIWGVVTGFFLAPIEALPEITVTD VYFTHERGTYMGLYAFFLAGSNYFAPVICGFIAEYQGWQWVFYYPAIFCAATAAFLFF CMEETNYMRESVERTTFINPVNSATSTSEKGEQEKSDPVDTPRHTDTEAGEVHNVYNK KTYIQKLSLLGPRQPKNHMLRRLWHALYYLSWPVVFYAGFSYGSYLIFFNVLNATASI ILGSAPYNFSTAIVGLSYIACCLGVALGAMFTGRFSDWLTIRLARRNNGTMEAEHRLW PFLICLVILPGSLILWGVGAAHEVHWFGLLVAMCLLAMANTCGVTLSVNYLVDSYREL SGDAMTSIILVRNTMSFAIGYGITPWINNMGYQNCFISAAFIGLACAAVFLVMVKYGR TLRIRSREKYWNLVVENWEKGMAH AOR_1_1224174 MTKPAKQTERQLLHNEIEDIFDPKVHLNYTPPASLLTMKDLGLK GSNTSTSIAGSVPFPFLSETGVRAYRRSLMRPHILKSCAKSYGAGTFILRNLAKHSKF ISDLWTHPETMRIVSEVAGVPLTIIMPTEIGHTNIQTAGGTVDDLMRELDVEPRANCV CVDEQNGYDPLRESAVIPWHYDSYPYVAVLMLSDTTHMTGGETYIRKGDGSAVKVEGP SLGHCVMLQGGQVEHLAARAFRTTERITTITSYCAAIPGLYDDSYISNVRPYCNLPEL YTEWSNYRLEKMKQEIENIQATIIQHVSRDRDSFPLDEVYHFAEQQISYLKRTARQMV DQTLCAEVRRHFGVREINATSEKWVVVRAHQRFKDLLPGVMAQTLVWRPVCLYLSDWE ETKYMIRSGNVSFVYSQQGTFSWDQYRFEEYLFGDELLRQGLKEVLLAWLHRFDLLNL EKDS AOR_1_1226174 MTTVSNTTLADKNQAYWNGEAKSVFKDKWNDTATQAKLMDYACG NGIVTMSLHHLFSKCIGIDLSDGMLDKYRATAATLGLPESRMMAVQGNILAPMVQTTS PPLDDEELDCFDLVEICMALHHLDDIQLATQRLASRLHPGGVFLIIDWATRGSLDINE HASPDAVHPEHHAAHTISHDSFTGEQIVSLYEQAGCGDVRFVLADRLSDVPDARSGKM QLFWARATKL AOR_1_3108174 MAARSPSPDISLSDRTSDTYDFSANSPVSSDSRPSTLRSQSNES LRQGANHATADVSDEPQRRDHHSRFREEGDENSGDVRVKNRVPEINIVPAPNHPASGS QISLDQKKQLLSWLPLTLQNSFLGLLSLLALVLCAVTIALLVTSRTNYGLGSDDGSSK ILFGWRFSPTLVAVIYIQLTAMLVDDVKRLEPFARLAGPEGSEASSSILQKPGAWWNA LHDGFSKRKNGGSSRGWVLLCATLVNVLGFLLISPLSSALLVSVDVTVPLQTDFLRLT PRLDAPLSLSQSRIARFRTISHFAQNVSTSPWVTDKWTMLPVWPSARESPQFGSLPST QQTWTAETTVFKSNHSCNKMKLESSSSKEVMSGNDRINATFMANTTVFSSGDCRLEFT AIIDNGTSSIWTDGGASWSNVTGFNASTGLDMQSAAQSKECTGHDYLMLVEGNSTLNV HLCATTYSMSNVTALIDLSGSEPNISFNESEYIQNQQTVPDALMSTKMMRDQAVDPDW KNSTLTLDFDNSPWLYGPARLLWVIYKNNLTAMIEDKDMTSRAAEIQQRFFGEMIQSS LTERGASQYLPIQGHVRTLERRVTTTAGPAITLIILLFLSFCLLLITWRCSRIQHRPL NLKTDPASLAGATSLLVESPRTRHNFKDFNRKSDKELQSLLEGKRYYTDPGILHETIP DQSETVNQTMTESRQESTSRVNWIPGVLRLPALLVLLVCLVAVVTGVVVLYHFAQLSE LYGKAFVYQANISIFDKQLSTVGPFSMIPTIIAVCIGLWWGVIDSNFCRLQPYLAMAK RYRPLSESVHLSYQSSYWMWATIKALKNRHWMLVWVTLGTAISPIFTTTMSALFQRDT GVTIQTKVLERSLEIRQIPHVFKTEEYTVRFEDSFMASIIAQLHGNLSSHWMYTAANQ LTLNASEPAWSKDGWSFVPVDLSTVSLPIPEKTLDINDQGGLGKSSQINVSLATPAIR GRVECSPYEGLLNLSAWLTPTDVSNSSTWSLSPSAEDLKMAYELGVGYKLNGYRPSMI FPEPSDNYTSCVQCTPIFANPSMITCCGNGTDTGADPMAAVGYWSPNGNPGRWSVRTW SRNFTTKWIHGHARSAIELIEPQGTELPHLLFTNIPSITAMNCMPLVETANAEVTVDP ATGEVRAFEITEKPKVADNAFSDVFLPHRTSDQVLSEIRYNATVSYGVLFMTQMLTAA NVQKLYGASRLVGWTVEDTSDSTFNIRDEAHGLNMDFMSYSMYSLAGNDPAALLDPTV FSRLSSKTFSTFFQHFANSNISMKTGSWAYQPINASLPSDLTPAVTDATLLEDAPLTA YQDEIHPISHTNRTVVVRVSQRVEMLKMNAVAVWLSVSILAWLIIATLIVTIFHRQYL RRLIRNVECLGDMLILTAGSESLVHMIREMQAGKLTESEERRLCARLGWFQDGDGNAR WGVELLEGYMGRPPVRWLNDDERKNNRGEHAADTSSV AOR_1_1232174 MPTNSVEKDALPVGRVTELTEAVESVLEHVAPFLRASEDDYDAL ESERHLSHGCYSTGNILESYLSHPDQLRRRLLLDLPPTGQGLEAIASSSSTLLRYSVN TSSPGFMDKLWSSPSVPGIAADLLLSALNGNDHVFRVSPALTLIEKHVGEELAHLFGL SDSESGGVTVPGGAAANSTALLIARNVRFPHLKEVGLHGISSPRLVILASEAAHFSIF NAAQVLGLGSHSVRKIPTTTDGSMDPRALKHSLDATITAGEVPLFICGTAGTTVRGAY DPLESIGKLAHEYNAWFHVDACWGGAAAFSDKLKYKLAGCEFADSIAYNPHKLLGVPQ ICSFLLGKDLRTFWYANSLTAGYLFHQDDSIPPSDLCPSPTTAASMGKLSNNHVEKPF YLNSVRYNWRTSRAIQNAPDPREVYDLASFTPQCGRRPDAIKLYCHWRYYGTEGIAKQ VEGAYDGARYLARLIEEEPSLHLVGDVDVPCTQVCFYYVGASLKLTVSAPDMATQNTH FTRLISTGLMKRGWMVDYAPGSGRQEELGDFLRVACNRMTTPCVAEGLVQAISEVVNS DIETITPPHK AOR_1_1234174 MTPKSPTTLAFTPSEMVSQAQILDRSLPTQSATSSVSRHSGRRH RSSRSHHGGLIHQPQNDFPIFTHTGDVEIIIRASGQERRYLLHRLILAQCSGFFDTST RDEWSRQAAASRPPIPDPAVLSRISEDNSSLSNGSTLAQSESGALFSPEKRRWRFELD WENKAEDEEPILVQKPPSFSSTFGNNLGQYPPSVTKPSGTQAGFIRSMANLAGMQSVI NIPHADTGNAPIDPTIRDYDNLFRLFYNHPPALNSVNIATAYAECRSLLALADMYDAL PVTGPRVDHHLLGFGSRLFKQIAKYPPSYLKLGYLARSRVIYSEALIHVVGQWPAGLP HLRNGAYSPLPDTVLDIIEDKVEDLEYMKSRIDSKLLRLTLTTSRGERVTPTNAYLDW LAVSLFRQWLVDSTTPPPAPILKNSSANAANTSSHSRPSQSTAHRGQEHGSAASKAAI AAPLSSARVYRLIGSASTQAYLPHDELKKFLKVHPTPSSDSLYSRDVLKRFERKMDEL KRLAREIVRPLMRNFLELDLKGGELDSSSGGLPHLTCIKIEDEDIPWD AOR_1_1236174 MASTRTPYVCLNCRLTRPLTRRAFSASTHRAEALRPATAPKPTP DVKHIRQNAELYSKNSVDRNYPTHADYPFQIQNLSEEARRLDQDLKTPRSRIKQLEKA IGKLAASARQEGGNGENTASQEELTALRLEAQKLKDDSQEMTTRKTACTEEINRLALS LPNLSSSETPVGDDPRLVEYLNFDPQSPPEWIANPDPSRSHVAIGTSLGLIDFTSSAT TTGWGWYFLTNEGALLEQALIQYALSVARKRGWKPVSPPSIVYSYIAEACGFQPRDQH NEQQIWAIEQSEKDKSKPQRSLAGTAEIPLAAMYAGRDIDAANLPVKLVGPSRCYRAE AGSRGVDTKGLYRVHEFTKVEMFAWADNFPEAAGKGLPTSDDLFNELLSIQTEILTSL NLPCRVLEMPTTDLGASASRKRDIEALFPSRLRAGADLESAWGEVTSASICTDYQSRR LGTRVRGGATKDSRFPHTVNGTAMAVPRVLAAILENGWDEKRKVVVVPEVLRNWMGGL EVIGESS AOR_1_1238174 MASATGIPQEHPQTIEAREDEPLLGRPGDVVQKEDEYIIYNLFT GTASLAQFGIWIIAILVWAGIFSHPLILFSAHPLLNSSALLLQVQAALILQPTATPQQ KLKGARIHYLIQAISVAAFIAGFIVIEVNKGSHARFTSPHGVMGLVTYILIIIQAAGG VIQYFIPVQVLGSVDNGKKLYKYHRLSGYVLLLLELATVAAATRTTFNLAVLAIPLWA VVVGAVLVISGVGARVKKHKLGL AOR_1_1240174 MHVEAPELPKLVLRGTPKEIGLQHGYRLQEQIKSQLGIYEEMFE YTTKMDWPTVLKLAEEFRAPLERKTPSLYLEMQGIAEGAGVGILDIVALNCRSEISFG SFSDGCTSLSWKKNENARVLAQNWDWTTSVQKNLALMDIEISGKPRICMVTEAGIIGK IGFNSAGVGTCLNAIKARPCVSSKVPIHIALRLCLESTSVASALQTIASLGGVASSQH ILIADSTTSLGLELSPLGDVHLKEDEDGFIMHTNHFIENKNVKEPSWIKGSPARLERA QQLAHELVNNGIKGDLITPSLLREQVFSDTCNAPQSICAQEDPSTHHTRRTSTLFNIV MNLDKQDLGAEVVVGQPGSGKESPVIKMPWM AOR_1_1242174 MATIESLPTEIIDMIVSYVVHDEFNEGRILKNLRLVNRPMFKSA SRLLFRHLRFSQESFPTSSDLDKRFHLEEGYQPASSDYTSYQKLLKSLKSLKALIMPP RSTHQTSLPEGDGVTYDESDMALFRAKLAYHSTIEERMASRDNNLVSIAEHQGRLLKR WDMLKVLEKEMAEKGKSLEPAERQQLAQYAWRFKRLENLATQNAS AOR_1_1244174 MEDSNILGEDLPLPPTRLFERLAQLPGYTWDQSIEPFHSTYSHW HVYGLRHSLESDVSTPAATSSGPSSLARNSPRAESRPPFRHHWRSSLSESSSEISLSR GDHEPIWTPVIARVSSHVVRLEREFHMQRSIVQTSDPDCNHTIRPIDLIRLPRDPGDA GPLLVAIFESPGQNMLKELVAFGPAWFAMGTKGDSSEPTPGEQVSLPVFLDFGIGACD CLELLHYGLKTVHGEIRGDAFHFSLETGSVKLINTGNGARSFDNILSEGWSSTARELG VKNKLQFIAPEQTGRMPTEPDSRTDIYALGVLFWTMLVGKPAFTGSDPVEVVQNVLGK KLPPVSAKRMDVPDAVSAVIEKMTQKAVNERYHTISSVKRDLVQISQLLGDGDSEALK DFQIAQRDVSSFFTLPSRMFGRKEEYKKLIEVVEKVYRRQQSAYARATTQSTSGLGST SSISDGRVDSFEIASGSSDSGSFHIPHKPNSNGGPSNLGRVSTHESIHSTESSLSTPK PGYVSNKAKSPVESRASWDNTDRDGTQSHADSLGVNRSKTAHKLRRSGKCEVITISGA AGIGKTDLLNRVQPAIRRFGYIGIARLDRARRVPFEPFAKILASLLRQIFSERDVTTD YHESVRTALRPMWPTLHRVLELPEQLMSSGGSEKDISPKLSAAQHIFNKDVSTKGEPS KRIALPRFDQGQTSVDFFLSNAALKNMRLMETFLEILKTLSQFKLICVCVDDLHYADD ETLDLIMNIVKAKIPCVLILTSRKAELESDAIKSLFEAENPSVTRIALNPLGEDEVMQ IVAATMHQEPNPMLTPLAAVIQEKSVGNPFYVRLMLETCYSKNCIWYSWKNSVWEFDL DRIFTEFVAPRYGEGLGLGFIARRLQETPPAARSIMVWSTLLGSPFAFSLVQKLLTSE FLYSSDDDEAVDLTCPQNANLIRQSEADIVVGLQYLVQANLLVPGKTDDEFRFANDRI AQAAASLTEGRNVEKMHFIISQAIMKYYHDGRSRYAMARHVALASRIIKNRVLQRLDY RKILWDAAQTAAQSGARPTALWYFRHCIALLQENPWDDNNTDVYYDETLRLHIATAEM AWSQGHNSEALDLLDKVFVYGKSAVCKSRAWIVKAKIYAQMGNHLRSMDSLLTCLDEL GVHLREFTTFEECDAAYRNLRRYLEKGDLEAVVRKPVSKDINMITIGAVMAEAMAVTY WDDPLTFYRMAIEMMNLHIFKGGFVQISIGCSHLAMISFSRFRDLDLAVKLSDHALTL LERCPEPWTQGRGSIVHNLYVSHLRVPLASTLPALEASVETSFSMGDPYITLISLSSM AMTRLFLGHDLTHLEGFCNESPEDIPEWVNDTRGGASLLAVRQVARALQGKTGYRNAD TIMADEHHNTSEYIAFLENNASNADRPRDIYWGLAMIPLFVYGHHSKAIELGVQMMDT MPRLWSARVSYVVYFYLALSLLTLHNEYPAGGYLDGNMKTVLRYKAEVDFARSACDAN YGMWSLILEALVFEVRNDHSSAIRAFEAAIDHCQIHGWPLEEALALELHGDFLIRRGA KRAARSVMQDAIAAWAAISAVGKAAQLTEKHEWLLKTATSTRSVDVGCQTVDSLLGIN RSSEPEDIGVSQHMEEDDRQHRWIEQNGVTTGERSLDISGVGLDIIDLSSILESSQVM SSELQIDKLLTKMIEIVLESCNGSDFAVIATNFDDNFTVAAAGDLENGQRSYVDGLPF SVVEDKMAQQISHYVMRTREEVLVHNVLEDDRFSNVSDAYQGRYPLGKSVIALPIMQA EHLLGVIHIEGKPNSFTQRNLVVLHLLCNQIGISLSNALLFREVRKVSATNASMVEAQ KRALAQAREAEQKAKVAEAEAKHNVKLKEDAAKAKSIFLANISHDLRTPMNGVIGLSE LLKATKLDKEQDEYVESIRVCADTLLTLINDILDFSKLEAGKMKISTVPLNIKETISE VVRALRYTHRDRGLETIEDLEKVPPDLVVLGDPVRLHQIFMNLLSNSYKFTPKGSVTV RARVTREGKGRIRLECSVSDTGIGIPEEQKSRLFRPFSQADSSTARSYGGSGLGLSIC KAIIEDVLGGAIWLDSTPGVGTTVTFHLSFNKVKDASAKASGLKKGDPSDKTTSPSPT ARDLTMIPRDQIRVCIAEDNPINQKIAVKFVTGLNLQCEAYSDGRQAVEALRARSQEG NPFHVVLMDVQMPTLDGYNATREIRRDPDPNVNEALVIAMTASAIEGDREKCLEAGMN NYLPKPVRSTILSEMLDQYLAPVPTFTKTRLAMRERGSVSHDTGTPNSSSPSPGSDSQ GAQLTPESDKQVQQNSSEMN AOR_1_3110174 MGEVHSMRTTPLPSPAEAPSPVAAPHPHLRGSPQSTSDLVSSRN VGCTADGNVPIENNIWKANSQSPSALHEQTATPYCASPPTKKENSDSGKGPQEDQGRS LQTLKELRRQMEELLVYQQMQQSQTQNASATREPTSSQADHVSSSYESSRKRRISNVS SPRIAPLPTDAMPSASYSDSTGSGGTIRAADLTPENIPGQTPSYPFPRMQHQSAPRST QDPTHSHNPFKLTLPAERLKVHKTSSQPADERQPTSMKTPTSHSVFLPPQYKPVAEDP TYSTPNLYDLTLQLNADPGLDAWWANVVHILQENYGAERASLAIPGDATDLENVPWGQ KAVFDRNLEGYERETIQNQQSQNEATGTSEGSTKGVANPEKRKGESTTESLANGSGAA KLLKRPSLLARHSFAGFGKERKLSTWQDSETLPQEHKAKNESKRSQASATTYQGHTVP FTQSFDPISPTQYNHRQVVFPTSRPLEVETDPLIKRTGVVKLFGRTGPVVLTREYSEN TAAKQSDGDVQTPEDVVQVTPTAEPVRPSNKEHAIRSRSVSNPAASGLHAPRVPLMEF YDEYEQIPPSPWSQSPAPSPAPRAHAEQNPFFSSHTVDEGAFAKHPPQHDYSNLNPLE AIGVDLAKSVIHIPLLHAGRSKPTPPSTLRFPVAVISILSPIIPYPANLRQSLACLIP HLTTSFCLAQHYSQLERQFASRLEAPRYGHLLGLGGTFSDESSELELVAGLSGHVNYT IADDGSLEARASLSSPDERSNSAKPSPSGIGTPGLDLGSIGAEVPSVLGESPGFPTKL GADAVDSYFNVQQLKGLRDALTHHRNRLSKPRQNATTSTPTSPGRLLGKLPTDEDGTT AQDPTAAQASPSQEFRAPPV AOR_1_1248174 MLNSVPLHIFLAKPQSGEVIWTNSKFDAYRRSQPQEQKLRDPWQ NIHSSEREHVSQEWANALRTGSQFTERVRVKRFNDESAYRWFIFRANPLLSSTGEVLY WIGSFLDIHEQHIAELKAAQEREKFAIDAKYRAFSNSIPQIVFEATEFRGLIFVNEQW HLYTGQKLEEALNFGFAKHLHPDDLEKCGVLSVYLSESQKENTVSGLDTTLQERHLAN GVTPALEELVRRGVASVQKDENGRVFYSTEIRLRSKGADFRWHLVRLVRVETSSFGSG EASWYGTCTDINDRKNLERELNKAMQQLNNQMESKTKFFSNMSHEIRTPLNGILGTIP FILDTHLDTDQRRMLDTIQNSSTNLRELVDNILDVSRVEAGKMSLVNSWFHVRSVIED VIDTVSSRAIDKGLEINYLMDVDVPPMVIGDRFRIRQVLINLVGNAVKFTSQGEIHIR CSINHGTATLSKETELLLNFDVVDTGKGFSARDAERLMQRFSQLGQNGSQQHAGSGLG LFLSKQLVEMHGGRLTPSSKEGQGAKFSFYVKVDAPPPPSPDEPRLVRQSSSMSEGLG IQSKPSSLQKMLFSSRDSIDSKGPDTSDISSVLDSPLSQPPSSSDPSARFTSNSFSER SSVSSALPTPELHAVDPLTKVDTAKLINTDVSSQARPTTTASSSSDTIRPVARPSSST SRELSLSTTSAPVDAGSDAPVSQDPYSILILCPLDNTRKAIKQHIEQVVPHEIPFSII SLPDVEDWKDSMNDESSAKITHLVLNLPSVEDVQDVIQYVLDCDSASAPTLVIISDLY QKRQINSKIKELSASGGRVYTVPKPVKPSAFSAIFDPDNRRDLSKDRNQDMAREINNN FKTMSKMVKEVIGNKGYRILLVEDDETNRMVMLKYLDKIKVMAETASNGQECTEMVFS KEPGYYSLIICDIQMPMKNGYETCRDIRGWELKNHYPQIPIMALSANAMTDQIEDAAR AGFNDYVTKPIKHNELGKMMMGLLDPSRPLLLLRDRLRRDNHRED AOR_1_1250174 MATQHYQAPPLPINVPSKAPAPVNLYPISRVSGSPPDVSDTSTT AGSRTSAGFSYGSGSISGDYESSSASYSGVDVVDVLSDRMQNVFDPTPLDKGLARQAQ ASGQLNAKQRELLELQALAQRRLQGVRANFSDGIKVARETKRDLEWTQKRVSALKAKA EAVHPDEYRRATKKYTYDDDY AOR_1_1252174 MPSRYTPKRILKKQFSQQEHSLLEDWAPGNRNKYRNVELDAPVL TEHQAPSSELVNQGGYTAPNGTHLSDHDLTELSNGIEDSESMCVIYFQPCFIEDPWKD LPSVKMEFTTRFWFYNAIVSVPA AOR_1_1254174 MFNRNNFSMPFGSRPSREDGHSSPSPGQGPPPYPRREYNTNPAP TGGVGYEYRPHPPDYDTVMTDAYNISRGYGAPMGRPSQPPQMPPRAPVGGSSGRTWTL RPAKSPDNNYTFGNLVAVSPQDFPPTRDGLDLFLLVNDLYVFSARPYDGFPPGHISMS DPQRTWAGVAFTDSVNVQIYDPFSQGGQAYIGSTDIEIGFAGKKRVETPYDQDELGSV VVKNFENQIFAPGQKILMDHRSIPLILTVKTVQRVDLSSEKADLSSGQVETDPSARGI LTRHSQINFFKDARTGINLKASNRRPAANSIIQPDFKFENMGIGGLDAEFSTIFRRAF ASRIFPPGLVEKLGIQHVKGMLLYGPPGTGKTLIARQIGKMLNAREPKIINGPEVLNK YVGQSEENIRKLFADAEAEYKEKGEESGLHIIIFDELDAVCKQRGSGAGGGTGVGDSV VNQLLSKLDGVDQLNNILLIGMTNRKDMIDDALLRPGRLEVHMEISLPDEKGRAQILK IHTQKMRDNNVMDVDVNLSELALMTKNFSGAEIAGLVKSASSFAFSRHVKVGTMAGIS DDVVNMKVNRGDFHNALDEVKPAFGVSEEELSSRIQYGIIHYSDQINEILREGQLFVK QVGESTPLFSVLLHGPTASGKTALAARIAIDSGFPFIKLISPEDMVGFSEMAKVQYIS KIFDDAYKSRTSVVVVDNIERIIDWVPIGPRFSNTVLQTLMVFLRKQPTKERRLLVLA TTTQRAVLKQLDVYNSFNSDIMVPNVMTCAELEYIMKQSETFNDQEIAQVLGAIGGID QADKAPQEKVIGVGVKKVLLGIETARQDADKVERFVRVIDRAIEEERSFD AOR_1_1256174 MPLHLLGKKSWNVYNPENIARVRRDEAQAQAREEEQERRMQEVD AERRIQILRGEQPSTPPPPPRSPSPISRHDRKSHAEDTVRFRKRRRLAGENDTDRDIR FAREDAQTALAKREELASARSSDAPLYDSAGHIDLFPSQPSQKHTEKNPEAEKESAER KKAYEDQYTMRFSNAAGFRQSVGQKPWMEIEEQIVIIAMAVTQAEIARVRQSLIRMGI RTVVTIVVVTILIAAVVRVTITDARIEKHTTQGTDEDSDWRFFSFFFPDHCILHLRYP PNGI AOR_1_1258174 MSVSIPSDRPPKDDDSCSTHSEDSDISNEEGWEDVEPDDETQPV VGLFSEKVYPDVLSMLQETKDKYNFDLRRIQKELDLDFLGTIKLVNYIRSQVKAGNLS PDVSSKSNFEDEVYLKPVLEDDALLYSLDDIEDQDPEVPGGTEAERRVTELQEDLERL QSQFSEYRIAVQKSMEEQLSKEDEKLISAASSAQKSTSKAEAIDSDYFSSYSYNGIHE SMLKDAIRTDGYRDFIYENKHLFKDKVVLDVGCGTGILSMFCAKAGARKVISVDNSNI IDRAKEIIHENGFGDVITCIRGKIEEVTLPVPQVDIIVSEWMGYCLLFEAMFDSVIFA RDRYLAPGGLMVPSHATLRIAPFADPDFIDSHISFWNDVYGFNMRSMLTGIYDEALVR SVQPSTIPGDSSVFLPLPLHTITVDELSFLKEFQVTLNEDVDSLDGWAIWFDIFFMPS RDSPISDDAIPSEMQKKGFVAFTTGPDGPETHWQQGILLIDHGKKSALPLKKGQTITG KVGYQKKSKESRSLDISIEWNVQEGEKGSQEWSLQ AOR_1_1260174 MAFFFNRGRSRHPSDIVRSIKDQLVRLREASPTAAKVEDELAKQ LSQMKLIVQGTQEIEVSPEQVHALVQATLQEDLLYELARSLYILPFEARKDTQTIFSH ILRFKPGNSNQADPPVISYIVHNRPEVIIELCKGYEHSQSAMPCGTILREALKFDVIA AIILYDQSEDGEPAIRLGEVQPGVPQTGNGIFWNFFHWIDRGTFELSADAFTTFREIL TRHKSLVTGYLATNFDRFFDQFNSVLVQSDSYVTKRQSIKLLGEILLDRANYNVMMAY VESGENLKLCMKLLRDDRKMVQYEGFHVFKVFVANPNKSVAVQRILINNRDRLLKFLP RFLEDRTDDDQFTDEKSFLVRQIELLPKEPIDPTRSAREPSRPGVNTATVA AOR_1_1262174 MVEDKRSASLPGAVMSESSSMIHAVPYEETVAAEAPLAVRSASL PTPVDYPLCSGKKSLAVNVQSSYPGDPSRPPLSSHRNERPQTKDGRMLEPDAAKSVVS LRSLRSIPNIVVNDAGSRPNSRPGSRPGSRPGSRWSERKFSGLWGKKSTELERDSDSP PPVPPIEAPFNGLSLDIPNTSFDGLAPQSMRFSKRGSLIKEETKQRLRQLDQNDDQED TQESQNRQEDNEKDPTPTSTAPTEQNGTEAELLEAPVRRSKLPPSLRVRRSAMPSRVI SADEDMLSRRVRLMYEKGDENVTDSEVARALAVENGVLWEEAGQTSEATSRFSGASVS GTETKSIVSSVGPEPAPSIKKEPNELAGGIEYWHNIKAGDVDRYGFIRSPTSNSNDGT EPSPIQRVSTSLLLASESPRRKHSIRPPSALGSNRSFAGRSPNRKISAPAVRPSSSQS AYSSPVRRSTSRFRQATNHLPHNRDRRFKDEAADMLTHPVDANGGSVSTAARRAARKR EWRREDKWTKMARPTKSSRAGGGMTFEFDTKSSKLIERTWKGIPDRWRSTAWYAFLEA SAKKRSDSPTVEELIDAYNEYQLISSPDDVQIDIDVPRTITSHIMFRRRYRGGQRLLF RVLHAMSLYFPDTGYVQGMAALAATLLAYYDEEHAFIMLVRLWQLRGLEQLYRSGFEG LMEALADFERGWLEGGEVSMKLNELGIPPTAYGTRWYLTLFNYSIPFPAQLRVWDVFM LLGDAEDPAGPGVKTEGPGASAFGKGLDVLHATSAALIDGMREIILESDFENAMKVLT SWVPIKDVELFMRVAKAEWKVHRRKKALV AOR_1_1264174 MLLTLKPSSQNGIKGSHDYAIVRQSIPTPRSSPPSAPDPAAAIV TSKRPSVDHSMESSRGGLPPPSTLALPPPDVGFSSMNSVNQSLPRPAAQRQSADDTSQ YWHAKAEEDRRRQEEERTHQESLRLEQRKIEQSMLRDSLQAGVPPHMIPLIFAGISQN GVPQSVIEWAQQHMTQAPAGPRAPPPSAPALSHSSHRRSLHARGESRSIPPGPYAAPP PQHVIPPPGILLSQPLPPSGPSPAPQPLGRSPLPNGPADPRGPPMPRPNPGEPLTQQQ PPINLSNVHYAPGSSIPHVQHGGSKPDSHHRQSPSLYFHHWVPPNQSHPNTPSGRIRQ ESPFASQVSRRPENISSPGRKRKATGPHQPAPFPSSRPSETIPGTYQVSRPSSPVPHA DVSVHSRQHSGASAMYEPRGLQQSGFERGSRAASPAHHAQSVMPPTEDSGLRKDYNRV DAPERRGEAAPTESSVQYPPAASQPQYSSSIGTAPPDSDLDSSPGPSPTSGIARAPTR PGDHGAAPPR AOR_1_1266174 MDSTASLRGASDELDRNGSDPDLVHTHKKQLSQELPDDLPKSLD DRRSFPVIQPETEMYDGWQGQSQFLTTPVAAKPLSFSLALDDHSHDDEHSLQAQYGRG LAAAVDDDNESTTTARLEDSDARLMEMLAAQAAHREVDSLGADEESIAMDEKLSATEK KDILQRSLNMAASNGDVERVRKLVQGKAKDYVDVNLPDEEGTVPLIYASCFGHQDVVS ALLNAGANVDQQDRNQWSALMWAMTNRHKTIAKILLDHGASPDIKSSSGGTAFDFAQP GSEISEYLHENGYHFGPTVIEDDFYDAGLAHGRFEEELAETEMKRRMMMEESAINLEV DLSSLGLDEKYDALDEEELEEEQQEFVWDRCLNDQMFVFQESELERILDIIITNMTPQ RSVSQKPVPANLLFLSARYAHYHASPELLAKLLVSATDKINDVVERHQWDMTILAFWM SNATLLLHYLKKDGGLVEATVEFQLHLAELINEIFILIIRDAERRMNKVLDEAMLDHE TIPGLEDVHFQNEWKLFRSKSKAKAPEPAEKRFRPPSPRRRAQVSPRNITSLLSSTLF VLDLYDVHSVIITQILSQLLYWLGAEIFNRIMTTKRYLARTKAMQIRMNVSTLEDWAR NNNRQPEHYENGSMTSTGESTMDAARRHLAPVIQLLQWLQCFSSLGDDFESLVTTLLQ LQQLTPAQLLHAVKSYRPEVGEKGLTKPAMKFLIELQRDPDLLFREQAKLQGGKEQTA EPEAGQTEGRPRTPRQDPPDEHASAASPKSFMTSPRMDERNGANGIFLDPSLTLPFSL PTSTDMLISYGAGWGGTNRERARKYIPTVPPEVLSRFDRDS AOR_1_1268174 MELNTSLVESPGGKPGHAQGKSITALLERNFGSNTQSSLEDKNA TCTLRRAHTVAQGKNVGEKTKVGSKTARERIATSFLRVKDSHELLRKRSSKRLDAPAS PRDTPAAAREPNHFTVGNVGQNGKIFLRPIRNQSLKEPRPQPFAPSVDQSKGEHVHSR GLDAHGVDEPSRWSNSQLSELRPELIPEETCDDGQSIKTESTRSGPYRSLRRPRPRAR SFSTISEQGSTFRAGANGEFRIFIDRPEDRPKSADGSLRPTLGTSIPHYGWDFSYYGA DGSTPLYNSIYSRASLSDNFLAARFLRESMNPGPISDVYFSQPDRPSFAASMFSGTPA IELTRGSTATDKPILYELKEPIEPSIFENLVLQMDDDTVVRYVPRTKDISAATPARIV AQISSESFMDYELVSDFFLTFRSYLSASSLLALLLARLQWAINRLQDDGRIIRIRTFA ALRHWILNYFVDDFIPDYDLRVRFCETINRMYDNVKAREGGGTSDSKILIDLKRCWYG RCSAYWDFQDQHIAYHTPDYLIVPGGDEQLATSCAESQLRMDLRRSFEAAIAQFAQIP DDDDGGVESALMKLEGKWTGGSTDTAAEPQQSTQVQGSAREQEFYDTHTRAVWDAGVH RRQTLASESSTYSHFRGRSVPRRPYSESLAESEDSYSSIPLLERGLSDESMKKPSQSR TSSHQAAPGRVQPSDASSKYTWDTESSHPSFDIVKESESIKRIPRGSTFPAPHGFSHR LSGLSEDSADLIDSHEAADQRLSLYTDSMSRSSLGIPRHPLAHPPTPPMTIQHRSVAS CASPLNPALFQAPPLTPDPSPSRNIEPVHVRAIDTQHVSSDVLSRSEWDRQHQYPGPD HVPFILSCESQTLAQQLTIIEMAALSEIDWKDLVDMRWSSGSPSSVSWVQFLMEEERR GIDLVVGRFNLMVKWILSEIVLTRNIHERARTITKFIHTAAHARRMCNYATMLQIAIA LSSTDCSRLQKTWGLVPSEDRGLLKDMEVLIQPVRNFHDLRVEMETANVQEGCIPFVG LYVHDLTYNSQKPAQVAAHGGEPLINFERYRTTAKIVKSLLRLIDASTKYTFAPVQGI IERCLWIASLSEEEIQTHSKQLE AOR_1_1270174 MHGLQDSMPSSPLTSDEELKSTSLLRDISTPELEKSRPLLGYPE DAEAHVRELREGPLRNQASWFSLARCTTFAILSFISVSYYFWSIIPDGPSESVPPRPV TTSICETPECVHAASEILYNLDPNYDQINPCTDFDQYVCGGWRERHDMRPDQGAIFAG TMMSENAQTRLRHLLSSSKPSDPADSNNFEKLKAAYTACMDESTVTKRGSKPLDNMLA EFEKIYSRDSISLKGSDADLTKAILYLMESGVEALVSPFVASDDRDPDSVAIFVNPLG EIGLPAREYYNSTETVKEYTTVVEKVLGEFASSSGTKHHLRDVVTFEAKIADVTPDTQ SQEDVTKSYNPRTIEETQSLLPQISMSDIISALAPSDYRSDRLIVGSPSYMKALSSIL NDTPREVIDLYFNWKIIQTYADEIEDPKIQPLREFNNRLAGKDPQATEERWRKCIKSL DSSLGWTLSRFYVLNSFSEASKELGDQIVSDIKERFVYTLRQTSWMPSEVRDLAIKKV GNIVQKIGYPTKSPNVMDPGDVEKYYQRLRVTNETFFENTVAAAKFDLHNEWSKLGKP TDRNEWGMTAPTVNAYYNPPGNEIVFPAGIMQPPAFYGPSAPLYLAYGAFGAVSGHEL SHAFDSTGRHYDETGNYTDWWDDKTVDAFEERAQCFVDQYSDFTAPEQGSEPLHVNGR LTLGENIADAGGIGAAYHAWKKHEQVSPDPQLPGLSKFTKEQLFFISYANWWCSKTTA EAARKAIYNDPHAPKPARIIGTMENSREFKEAFNCPMKKPVCKLW AOR_1_1272174 MGCMGSKPVDTTDKDALQRNARIDKVLKNDKKVMDRTIKILLLG AGESGKSTIIKQMRIIHSGGFPDDERRQTRAVIYSNVVIAFKVLLDIMRTESIEFEQE KTKPLADYMDTLESDVGSDEAFSDLKVRDAMRDMWNDAGVQKAVARGHEFALHDNLHY FFDSLDRIFAPGWLPDNQDMLQARLRTTGITETLFELGQMNFRMMDVGGQRSERKKWI HCFEGVQCLLFMVALSGYDQCLVEDQNANQMHEAMMLFESLVNGEWFKRKPIILFLNK IDLFKGKLSVSPVSKHFPDYNGSNTDFDAAARYFADRFRGINRIPDREIYIHYTNATD TTLLKATMDSVQDMIIQKNLHTLIL AOR_1_1274174 MLSSSLRRAAWAPIAPITGIARSSSQALPASSNTLLGAAQHVRQ RRYSSSSSSKPSDGSRKVDASSQTPAKGVNASEKREGKASRRRGKDSSGRNGSKSNQH TVFSRLPSVPSTQHLQPHDVHVASFFSIHRPISVSTTVPPPSSPEAFDAIFTAKKSTK HESDDVIFTLSSTVNSMENPAYHLGEQEGSLNHFDMEGNQLDGMNMAELKVSVEELTR RLRPFHPPPPPVPFDEAKDAGAVESENFSPRETSYSTVLTIHESTHADGRKTYEAHTG PFVRSPDMDAPGAGENEAIIDVPSQPGTTYIERLRNNRTMQAISTKRRRKLKMKKHKY KKLLRNTRTLRRKLDKA AOR_1_1276174 MATELCPVYAPFFGALGCTSAIVFTCFGAAYGTAKAGVGVCGMA VLRPDLIVKNIVPIVMAGIIGIYGLVVSVLIANDLAQTVPLYTGFIQLGAGLAVGLAG LAAGFAIGIVGDAGVRGTAQQPRLYVGMILILIFAEVLGLYGLIVALLMNSRAKIDAK C AOR_1_1278174 MANSLLQTPDDVLSSLPSIPPSLAGPDHIPILLLTPSLAQWADT THSFFEQCINRLYRKAPTSDSPEPVHAVVAIVDRLPDTPSAFKDITDNGAVTESEGIS LLFARTANIQGRAAAPRRLRSSETEEPALVFSFQAGILHGSDNACLQRAVHEVGLRLA NTLFINGNENTLFGTRWSYDPSSSSFTLDRSVELSRCRIASTANSVHNAFGLPLHPVG QRREVISSMGNIIRQLAKHPTGTSKDPMPASSELEKELPRYIEEHNVTDHRVSVWALV EPPSSNPQGESDDFQTNLVRSVQAGGKLHRVMSGGGGWGKKQGLLSLDYETRFLGPYE RNEFITLDKILSPFGRSTAQTAPPFEEKSIVDDLSSLSQVARAGDYIQFYVSVEPDHA QISRPKLPDSREGAISYQFGVVFDNEMPIDQAGKRVSHKDLRVKPNYFGALSEKAMTY SQPIVPMRPEQETLESGTKLDIPGCRVNLVVS AOR_1_1280174 MPFTASDICKILFAIILPPLGVFLERGCGADLLINICLTILGWI PGIIHALYIIFKY AOR_1_1282174 MLSSLLQQLPAAFLSSVPLLLALGAYGQKLPASQLDTVPGCALD CVESFINTNYPNNACSSGSDISCLCKTNTKSGYTLGEAALRCSISYCSIEVAINSSVY SICDSIPGALPRTHATITATVVSVVPPTSAPTTETHLPNPSSTTPTYPTPPKTGQPST TFTTFQSPASATDTEQSTSSTDSSSQTSHNATSGAAAGKESSLNAGAVIGVSVSSGIA GFFILGVIVFFCCRKMKRRYQQPKNQDFFEIGGVMAEPPDFSLPPRRPTPGPNLPSCA GHGDTETSRLMSPFQSGPQNPAVIVTGPDDDYHYGSTGIHSPGRIGFAISSSSDIEPS VSQSSPRTVSDLLPDKPAYTLCPEPLRPSQQKYTRPNSEDTLFEEDVTRPRSFLGGNK QYPKYSNMQVPYSDNWQYKRPQRVGLPDNPRALFHAFRARNDTVIYPKTSAYVSAGEG VQTPYQNDVYSKSSPLGPRPYNAKRNEYIGDYWRSPGMGARALPEPPVSRNAARHPYQ EADTKGSLLDSSSDEFETININESSESHRTSRHSGNFRPLTPVREIRTPNNEGQQRNY FDENAPGKYPRVPFSRTVSPAREIVSRPRIVRRDDIKRVQIRRGKPQPKQLTVPYSPD DYWSGYSRGSPSGPRPYRLSGDRSSTEIQGRMAKKKRSSSERNLTPSRRGSDLILRVD AOR_1_1284174 MTTAAGLGSQTVRRLSSLDAVRADLYGGPSVVVPPKHLMASSDS HETAMAMEMAKQHLEDEVSSDEGSPRVASPVLRGPLTTTDEFALAFDIDGVLIRGGEP IPAAIKALKYINGANPYGIKIPYIFVTNGGGKTEEERCLDLSQQLELEVSPGQFICGH TPMREMAARYNTVLVVGGVGEKCRIVAEGYGFKDVITPGDIIKTRHDTTPFRSLTEEE YKNSRVRDFSQTNIDAIFVFADSRDWAGDQQIILDVLMSKNGRLGTRSETFDEGPPVF FSHNDVVWSTSHEHSRIGMGALRASLEALYKAVTGKDLHTVAFGKPQLGTYEFATRLL RQWRKDTHGINCPPNTVYFVGDTPESDIRGTNEFDKISDSHWYSILVKTGVYQEGTIP RYPPKKITDNVLEAVKFAMERELSKQANESAIADDVDSGIDSESAKNH AOR_1_1286174 MSNNHEDADGIPRKADHLCVLIHGFWGNPSHMDHLAVSLRQRYS EDRLHLLVTERNIGNLTYDGTEVGGERVAHEIEETLNTLADKGCPIRKLSIVGYSFGG LLARYAIGLLDARGWFDKLEPVNFTTFASPHVGVRIPRKGVWGYIWNNVGPRQGSVSA QQLFLVDSFGDSGRPLLSIMADPDSIFVRALAKFKNRSLYGNVVNDRTTIFYTTMLSM VDPFRDLGDAQVNYVKGYEPVVIDPDMYFLPAAELKESLPFGSRVWGQITRLFTTALF WAVVVLFLSIVLPLFFLHSISQWSHSRERVRLHEEGESATLFREYRLPHVMVKEMQSA VEEAYEDVGFHQDPDYLSTTSKSSPADSIRRRNSPGLKAKEAAEHKPSSSSITIQEAS SPTPEHDQPNSTREAATDDAISKKRRAYPMLALSPAQIAIIESLNSVGFRKYPVYIHN HRHSHAAIIVRAPKPGFDEGKVVIRHWLDTEFQI AOR_1_3112174 MFAPLGLFRDIPCPQGQECALLTCMFSHETINPPSEVDKSAKST QSEKEPATLQESPPLKKQKLQATAEADRVKKEAKSSPSLQYETSDPRAILTKKASTKA QAISQGPAKLQSISRGVSPPPSKAEPSTAKRDVPASAKSEQRPPLRKAPRESLNPRML AKAPVSHSVRLSILTKLHAAMSALNDKIAKDKENKDKSIVLTPNELITMALDEEEKTA KGNASVYGNVIKLRIVKLTKMSKEDWVKDVKAHLNERYYKTAPSEADQKPAVLTTGLS TKEEIAIAGRLVTPLEGLEQYGYVTKPPTEEEIEGARKGVIESKGWEKCDRCGGRFQV FPGRREDGSLTTGGQCTYHPGKPFYPPKKRTDHITGHKEAYYTCCNETLGTSSGCTKG ETHVFKVSEAKRLASILQFEKTPAQPDKGPLPPVCFDCEMGYTTLGLELIRLTAVSWP EGKELLDVIVRPMGEILDLNSRFSGVFPEHYNNAVPHDSSAAPGTASASENGEVKLAP LQVVESPAVARSLLFQLLQPETPLIGHAIDNDLNVCRIIHPTVIDTVILYPVGAGLPN RMSLKTLSRKYLDRHIQTGGNRGHDSKEDAIATGDLVRVKAAEAWKVLKTKGWTIQDD KLVPPPGATEDTGPVWRLGPGAGQKRTSSDLG AOR_1_1290174 MSPATARGRKAQKVTHKFTINASQPVSDKIFDLSAFEKFLHDRI KVEGRVGNLGDKVVISQVGDGKIEVVSHIPFSGRYLKYLTKKYLKKQQLRDWLRVVAT SKGVYELRFYNVASEEADEDEE AOR_1_1292174 MGSVVLPHLRTAWHVDQAILSEEERLVVIRFGRDHDVDCMRQDE VLFKIAERVKNFAVIYLCDIDEVPEFNTMYELFDPMTIMFFYRNKHMMCDFGTGNNNK LNWVLEDKQELIDILETIYKGAKKGRGLVVSPKDYSTRYRY AOR_1_1294174 MIDRTIFESLQSKIDEEAAVRDELHEIVQTLARNGRSTTAILSR AHSTPSDQLKPVLDEATKEILAQKEQVTRLKALADKHPFYKYNGVWTRELQNLVSSIE LCAYLGGLEEYKSNSAASFLTIEEVGKFLDIPVNLKEQDAFHLTIEEYLLALISMVEE LSRLAVNSVTLGDYARPVQIGSFIKDLFAGFQLLNLKNDILRKRSDGIKYSVKKVEDV VYDLSLRNLIPKGSDNA AOR_1_1296174 MRSTLSSASIVLRALSSQKPLIYFLAHITSPVAKSPRRSSSTLS SSAKLLLNHQQPRLSAFHTHTMASATTFFDFEPVDKKGSPFPLTPLKGKTILVVNTAS KCGFTPQFEGLEKLYQKLKSKYPEDFTILGFPCNQFGGQDPGSNDQIQDFCQLNYGVT FPVLGKLDVNGNEASPLWTWMKEQQPGLLGLKRVKWNFEKFLISPDGKVVGRWASTTK PESLEDTIVKEIEKAQKAGTAASVQAKEGESAEQAKLS AOR_1_1298174 MSGFKSLELSQGWQFRDASDSSPEAWRSVSNVPTVVHLDLIEQG VIPDPFIGMNELQVQWVGERDWIYRVEFVPPKLDAGQRCDLLFEGLDTIATVKLNGEL ILKSDNMFIPHRVDITKHLMPKSSSVMTLDILFESALLCGRERVKQHPEHRFITHQTE AGRSSVRKAGYHWGWDWGPILMTAGPWRPVRLETYTARVEDVWVESEVSQDLNLCRGR LKAQVSGRSGNCVHFKILLRNKVLFESEVSATGDDYAETEFVIQNPALWYPRGYGRQD LHEICVKLIDNHEVQHEVSKLTGFRSVELVQEKDQHGQSFFFRINGIDTFAGGSCWIP GDSFLPRLTPDKYRQWLGLLLEGNQNMIRIWGGGIFEPSAFYSICDELGILVWQDFMF ACASYPTYPSFLSSIEEEARVNVKRLRHHPSIVIYAGSNEDYQIQEKYHLDYNFETDK DPQSWLKSTFPARYIYEYLLPKVVEEESPTTPYHPTSPWGGGKHSADPTIGDIHQWNI WHGSMLPYQNFPEVGGRFVSEFGMEAFPHRATIEQFIEDEDEMYPQSLTMDFHNKARD HERRLGTYILENFRIKSDFQAYIHLSQVVQSDAMKFAYQGWRRQWGHGRLCGGALVWQ LNDCWPTTSWAVVDYYLRKKPAFYVISRALEPIAVGVSRAHEEWTSGHAKPAESTRYE LWAVTSHLKPICATLSLRCISIRTGADVQPEVSHEVVLVPNGTTEVASEEMSLKEADA FVLTATLSIDGRVISRDMDWPQPYKYLSFRDRGVEVKHLPAENALSITARKPVKGLVF EEADGVWLQDNGIDIVPGYEHIIAVRGIHSSSDIPRWTYIGDDRL AOR_1_1300174 MIEPSEVAKHNSADSCWVVLYGKVYDVTNFLENHPGGSAAILAL AGKDATEEYDTIHPSGLLEEYLDPKACLGVLSASAPEAAEPTRISSQSASKEASEETP LSSLLNLAEIEQAAKRKLSPKGWAYYSSATDDSITKIHNNLIYRSILPRPRVFIDCRE CDLSTRFLGLKLGLPIYISPAAMARLAHPQGEAGIAAACRKFGAMQLISHNASMTTQQ IVANAHPDQIFGWQLYCLKDVKRSEKRIAEINSIKEIKFICLTLDAPFPGKREIEERQ KMEELRAAGAVLSPQVWGTDASLTWERTLNWLRMHTSLPIVLKGIQTYEDAILAAKHA PQVRGIVLSNHGGRALDTVSTPVHVLLEIRRFCPEVFDRLDVIVDGGIQRGTDVVKAL ALGAKAVGIGRAALYGLAAGGQSGVERTLQILADETATAMRLLGVQHVDQLSLQHVNT RLVDSQISDSESSMLNAESVEGAFPARAKF AOR_1_1302174 MAENKSEDCTMAPPEPEHLESAGPSNEKVQGDPLTLAEVIERNR PDPWGSGYRKLYLMSALVFLCSTMNGYDTSLMGSINALPNYTEYYNLPTKGSVSTGIV FSIFQIGQMAGALFIWMADWRGRRTHIFIGCLGVCVATVITSTAKKLSVFIGGRFLLS FFATCAHTASPLLLVELAPPQYRGSVAGMYNTLYYLGSILATSSVYGAHLHLAHRGHL DWRLPLWLQMVCPGLVCLGIWFVPESPRWLIAKDRHEEAQAFIVKYHANGDASHPIVV LEMNEMTNNLQEEGITHWRNFFDLSVLVKSRSRRYRLMLNLVFSWFGQFSGNNVISYY LPTLLKNVGVTNTDTQLLLNIIYALTGWIAATIGTRFHDIVGRRKMFLGSTAGMVICL AVTAATAAVFVHSSSTIASSASIAFIYIFGVVFAFAFTSMQPIYPGEVMSNDMRAKGM GTFKLTAGAAGFINTFAAPVALADIGYWFYVFFVFWDCFEFAFIYFFFVETKGRTLEE LEEVFEAPNPRKASLKVPSRPRRVENR AOR_1_1304174 MPGKRANSDSATQPRQPKSPKTDKNSSDASSQPQPRSNRWSKPC VSANLDADYAGFVAKDYDRAFSYVCFCPPNSAVEDEDDEWETEDSADEEPEDSVEDTN SKPKCDGGKKCLCNKLPSDHPEYPWVATRAAVRKVSNQHVHADIRCPDVFNMEVFNDF TGYALIEVAQNLVLDFVEAEGDWKEQWAVCEAVGISIMGDMFMPLAYVDYGDLANDTF CLFFAMFLTMLAKLESQGLLGPDSEVKNLGMVMALYLCTNSDMRAYGICEGNEDKKNK VAEFYNSDERILAYANKYNIDLRGPSNIESCVEELDDVELPPAQNDPWGWADVLKQYE KLYGRERKKPKIGGIQYDITGMSSAERRESSYNGKDPLKKAEIDAIKQGMILQLA AOR_1_3114174 MPTEIYIIVYRSPIEGRDYYKNRHAALWVNSSDGFYTLLGLEGI HGSFELVERPFNNPWESDIKEHDHKVTTVAEEIASKDRIIDVLKRTEVNNDPKDTGDY DCRKWVLDALMNLETNGYLTEAERKAALDAMIGFVMGAKDEEGAFAFN AOR_1_1308174 MNCYEILGITPNADLKDINSAYKRLALKYHPDKTGADDAHLEFQ KSLNSVYADRIMDLKIQQAVEILRDSSRRGKHDAELLSRRIIKEEAINPSKQDTSSSW GWAALSPDLSALRTMAGRYMFSYANSVHMDPYSPESQEEIRRCERERQYGEQLRRESE QWEAYEGDQDFSRYTWTYDPETEEVMRQDRRKEAMHANVMRDEEQMQRGDGLDEEGEQ VGYAEDDMAGTNVRSEFGEEVGDEEYQQYKGGEEYEDEEEYAGYEGGEEYEEDEGYEE YYEEEGYAGRENYEEENGCDAYGGSDQEEGEKEEEKDDPAFSITSTQQTQAEYESARQ ALISDSEDLLDMTSIGEPDDSVNEIGIISEKEPDTDENETFHSFCDEGEVCSNGDNAT SQAMPTDGSSPSPRSLLDPLIPHFREKLNHPSGRYTEEDVHTELRGLVMESFCGWLET LRQEFSGAVPAATVRVSPEHCRHLGYWSKEFGSSECEMCHRWMPIYTLSCPGCGIRAC VGCRFHYEE AOR_1_1310174 MFSRAVRPAVRAGSAVVTRTAPPNAANFATLREIEGRLKSIKNI EKITNTMKVIASTRLTRAQKAMDESRTYGQTSNTVFEKAETKPLEDKKTLLVVASSDK GLCGGIHSGLSKATRRILQEHADADIVVLGEKAKAQLSRTNPNAIVLSFANVCKDIPT FADAQAVADQIALLPADYASVKIIYNKWLNAQSYEPTTVEAYSEEAITKSANISSFEI DDQALANLREYALANSLFWAMAEGHACEISARRNAMENASKNAGEMINKFQILYNRQR QAAITGELVEIITGATASADM AOR_1_1312174 MSSSEDDTPLVKANGRSTVTPNVTMGDATETNGDVNPGISNRFG PVQKDNDVQMTDAEAQDNGALSKRKARTSVGQRQSYAEPESSEEDDQPLSKRRRTSVK HEDPETDEDVPLALNGRKLPKAAETSIIEESDSDVPIERKLASQKKRIQQKAEKDAQA SRKQESAKAAANKKPTNGIKKEPANDKKPVKRASTAKVKTEPKSAQSTPVKKGSAKAT PVKKEESEEAEEAEEEEYRWWEDPTKGDGTIKWTTLEHNGVVFPPPYEPLPKDVKMKY DGVPVNLHPDAEEVAGFFGSMLNATQHVENPTFQKNFFMDFKDILKKTGGATDSKGNK VDIKDFSKCDFQPIFAYYDMKRLEKKNLPPAEKKRLKAEKDAEEAPYMYCMWDGRKQK VGNFRVEPPSLFRGRGEHPKTGRVKSRVQPEQITINIGKDAPVPPPPEGHRWKEVKHD QEGTWLAMWQENINGNYKYVMLAANSDVKGQSDYKKFEKARELKKHIDKIRKDYKKNM KNELMVERQKATAVYLIDQFALRAGNEKGEDEAETVGCCSLKYENVTLKPPNKVVFDF LGKDSIRFYDEVEVDAQVFKNLKIFKKSPKKEGDEIFDRLTTSALNKHLSNYMQGLTA KVFRTYNASHTMSTLLKDMKATGTIAEKVKAYNDANRQVAILCNHKRTVTASHANQME KMGERIKGLRYQRWRLKQQMLDLEPNLKKKKGAKFFEMDEDIDMEWIKEHQAFLLEEQ RQKIQKKFDKDNEKLAAEGEKEMKAKELENRLEVVKDMEKKFNKENKTGKVEAEGKGP TVEKLENAIQKLEQRIETMELQAQDKEDNKEVALGTSKINYIDPRLTVVFSKKFNVPI EKFFSKSLREKFEWAIKSVEQDWEF AOR_1_1314174 MASDQSKKPAVLIVGGLGFIGRHLALYIHENNLASEVRLVDKVL PQLAWLAPEFQEACSKDKFVQADASREQHFPRVFDRANGEQFDYVFNCGGETRHSQPD DVYELRNYNLTVALAREVARRGIRSYVECSTAHVYKSGSSPRKEDDKLQPWHKLAKWK MKASDEISKIPGLNYCLLRLPHVYGEYCSGFFAMGVCLARVHLEMEKDLELLYTGDVK MNTLYVKDAASALWKSAEWRATAPTDGSAPIAFNVVDHGNTRQQDIADALSTIFGMKC SFLGSLASQFAKLNLDDVIDDINEECLQVWAELMEQKKIERPGPISPFLERDVFKDQD MSLDGTLFEKTTGWKPTRERFNADSVRDMIESYKRMGWWP AOR_1_1316174 MEPHPMKCDLDEGRLNAKCRDRKFTTFLDLKIDYHNEFINRLRE HVQVTNHSWNELQAFESKRRSCAEKFVGKYGVTYWGAETRKMYLLPEAFKEPESLCTY PERKEEIIRTVALLLERKANSAMRNKDKQPTVELDTPTRRTSASVPPLWGNSPYATSP SSPELFRPTMNQTNEGEDKLKRRASDRRRASTDWGKEASEPPSQKPRLSAPSGIRPFS SIWDHGKENNGNVPREPTDLKADWTSTGDKTSATDDKVGNLEGNYPNDTKFLVEASNQ EGMAPVWVSFQNFPSASSFLGHMAAECRVDEWSPSKQILTENSNWHPGQLVLAASVKF EWSEFGIRVRQGADHDLTIVFQELQKAWKAKELNLDGGSVQQFRVKVMLHVG AOR_1_1318174 MATNIPAALKSADIGRFAVRAAQLERVKPVVAYWCNFWIVNQII EKGLHTSDDEVKLYTTELVDKLENFKNENPDNETVTDAVAANAYVEQFGLEIFGRAEA TMRANKVTKQTADTFQAAATFLELCQIWNRLEPETAAKIKFAKYHAVRIAKAIRAGED PNESNPVMKDEDEIEGDSLDVQKGDPEVQAIVGSLPTQSRQPSVEDVPEDSTHPSGPS PSLPQPPTGFTEVPTASHDAARSPTQDMDLDAEQRAPLNLPSAPATLTSSSTSVPNLP DTPTSVGTHQSPGASDAFQSFPPPSAMPPSSPPAASRDPSSFYSQPRATPHHQPVRSP AAAPVMPHPTPQPVQTPTTITPTASSSGYVNSQAVDDNSIALAQKHARWAVSALTFDD VNTAIKELKNSLKCLGAE AOR_1_1320174 MSRPNASAQKSFITQALKAERDVSSATSQRQALEAAIDAAEHYM KALNLASVQKDKHALDAKCKEWLTRAEKIKESKDWQAAARFHDKTVPEPRLPVSTRKL TTREEIILLEGAKLNGFIFPPWSTSPGSDEFKREDGESPFTDKPDLHLSYPQRKVFDG WKRPSELLAKDTEDVYTKVVPVMSVPGKTDLVQDMLTDCSVVASLCATTSMLERGQCT HFLPMIYPSRGSSQPSPSGKYIFRFYFNGCFRKVIIDDRLPSSKTSRSLHVIDRKNPN FLWPALVEKAYLKLRGGYDFPGSNSGTDLWVLTGWIPEQVFLHNDDVTGDQLWKRLYR SFHQGDVLLTIGTGELTEREQRELGLVSEHDYAILDMKESKGRRQLLVKNPWAGADTA PGDNGSLSASQDLPHNPPSFEPGTFWMDCEKLLQHFENLYLNWNPEIFKYREDVHFTW DLNNGRGVAGCFVNNPQFAVSTENGGIVWLLLGKHFRTTGQPERPLDEYQANEESAFI SIYVFNADGKRVSLSDGALHRGPYVDSPNTLMRQSIIGSHPVGPSPVLMTVELGQGPY KQILATSEDGTHSDAVSGVRVEDFDLQPGLEESGGIWIVIERIGGPGGQVEDHFEVEA LAEERVEIGEWILEDA AOR_1_1322174 MAGQSKPALSPWGSAVAGATGAVLANAIVYPLDLVKTKLQVQVK NAPESKSGDVVHYESTLDAINKIVEKEGIEGLYSGMVGSLLGVASTNFAYFYWYSVVR SLYMASKSVSKPPGTAMELTLGAVSGAIAQIFTIPVAVITTRQQTQPKSEKKGLIETG KEVVNSEDGWTGLWRGLKASLILVVNPAITYGAYQRLKDILFKGRNNLKPWEAFLLGA LSKAMATIATQPLIVAKVGLQSRPPPGREGKPFKTFGEVMRYIIQNEGALSLFKGIGP QILKGLLVQGLLMMTKERMELIFIVLFAYLKKLREQKLKKVVDTAAASAKTSLPATLK AOR_1_1324174 MRWPPWASESQARDKQDEQNQKNWDKSLNAIDWAAFTEPRTLIP TLILTTGIIGALQIHRRYLRRFPDAVSISPSYFRKRTILGQVTSVGDGDGFRLYHTPG GRLAGWGWLPWKRVPTAKKDLRDKTISVRLAGVDAPELAHFGRPEQPYAREAHEWLTS YVLNRRVRVLVHRQDQYQRVVASAYVRRAIDFPIPFRRRDVSYEMLTRGLATVYEAKA GSEFGGPELERKYREAESIAKRKGTGLWKGYRRNRKGWESPREYKTRMGLEEQSQGKG N AOR_1_1326174 MTLATRQLSKAARIILIGAPGVGKGTQTERLLAKFPQLASISSG DLLRENVRKKTPLGLKAETAMQAGNLVPDSLILELISSEFQSKGWLSASSNSSISSNA SFILDGFPRTATQASSLETLVPINFVVQLVTPPSIILSRIASRWVHESSGRVYNTDFN APKVPGKDDITGEPLTQREDDSIDTWKQRLRKFEETSEPLLQHYERKGCLWRVEGNTS DEISPQLFAEVEKRFC AOR_1_1328174 MIRQDFHQVDPKRRATLDHKKKQFAAPVYKQQDYPHRLNFYEVP PTAEITLEQFEQWAIDRLRILAEIEACSYRNKSPAETEAHITPLLQKYLPLSSNTSSS LGATDQRLKNERQKDHYSHFILRLAFSATEDLRRRFARAETMLFRFRFQKDDSRERRA FIESLNLDWEPVSDEERRELSEHLVNATPGLRRVDEESWYKVDWERVPELVERRSVFL YRGKAYVPGREQLSMIIAEFTARLERSLELTSRALPRLDEDDRLTPILNHLSKNFGSA ESVYSEGEGFVDGAPITAQNIDQLSQHFPLCMRSLHMSLRKNNHLKHYGRLQYTLFLK GIGLSLEECILFWRQSFKGFTDDEFNSRYKYNIRHAYGDVGGDVNRRGRGYPPYSCQK ILGDSNPGVGQTHGCPYRHFSVDNLIGLLQSTGVSDRELLRGVREDVEKTRYHIACNR VFEWTHKAEIKRVKEDGTWNQTDLDTIVHPNTYFKRSYLLKQVGRAPKKA AOR_1_1330174 MDYEALKDQWSDVEDRDGIRLSWNTFPSSRMEASRLVVPIGAVY TPLKDKPDSPLLQYEPVTCKAPCRAVLNPYANVDVRARIWICPFCLMRNPLPPHYKDI TESTIPPELHPLSTTIEYQLARPAPTPPIFVYVVDTCQEEDSLKALKDTLVMSLSLLP ANALVGLITYGTMAQVHELGYTECAKSYVFRGSKEYAAKQVQEMLGLLSAGVRPNMPQ QPARPPLGPAARFLLPVQQAEFQITNVLEQLQRDPWPVANDKRPLRCTGVALSVAVGL LETSFQNAGGRIMVFTSGPATEGPGHVVGPELKEPMRSHHDIDRDNIKYYKKAVKFYD AMAKRAANNGHIVDVFAGCLDQVGLLEMKNLVNYTGGHMLLTDSFTSSQFKQSFVRIF DKDANDNLLMGFNASLEVLTTKELKVTGLIGHAVSLNKKSSSVGETECGIGNTCAWKM CGIDPSSSYGVYFEIANQGGPAAVQPGPQRGMMQFLTYYQHSSGHYHLRVTTVARNLS GPAGDPTLAQSFDQEAAAVLMARIAVFKAEVDDGPDVLRWVDRMLIRLCSRFADYRKD DPTSFRLEKNFTLYPQFMFHLRRSQFLQFFNNSPDETAFYRHVLNHEDVGDSLVMIQP TLDSYSLEHEGSQPVLLDSASIQPSHILLLDTFFHILIFHGETIAEWRKAGYQDQEGY ENLKALLEQPKEDARELISDRFPLPRFIVCDAGGSQARFLLSKLNPSTTHTTGGYGGG VTSQTIFTDDVSLQTFMDHLMKLAVSGTS AOR_1_1332174 MPPKFDPNEVKIIHLRVTGGEVGAQSALAPKIGPLGLSPKKIGE DIAKNTGDWKGLRVTVRLTIQNRQAAISVVPSASSLVIKALKEPPRDRKKEKNIKHNK SVPLDEIIEIARKMRHRSLAKELQGTVLEILGTAFSVGCQVDGRSPKDISDEIKAGEI DIPSE AOR_1_1334174 MLPSVAPFPPVQPHHHMNHYHGADTVDGNHDFDTTPFAATLPHN DLNELSFHSVPRTRLQYHSVQRLDIHDTTGMLLNPKNPGEHALRRKTPNGTLAAGYDG TPGDTTIQPPASKHILVSPLESGQMVTQTGFPMDSWSQLSLEQPSQAKPMNFPPVYKN ESSRTNTAPGEMIQGMNGASWVRSLNYVPGIDSMLNQSLPMPGSQQRFYWHNGAYVPT VLPATLQPCLGPTASAGTGPYGPYWPDGVYIPYRPAAFREPRYNSPNPFAKPVNPSGL QFFDAGQQPFNHNPVPSGSHTDLGSTWSATPLGIVSHDPSVKNNFPPRHSDQKALDTS QRTLPESGWEWIDGMLLGGCLAYGLGDYPKAMRWYSRIIARDPQHVEAISNLAATLLA LDRREEALNHWLRAVKLRPSFFEAVEHLIGLLCSSHRGKEAVNIIEFVQKSLRFPKNG DCFTADEHASETESDAESGASSASDLGSYEKASFDYDDDLNRSVFTNKRSAETGSTGF GTSGYAIPGCDNGRMLALVHAKGNMLYALGDNAGAAAAFEDAILIAAGRRRHGIQSLI KQIFAAFSYGSRHDYPAPSEQHSSNETILLYPDKALQTSKLVFPSCGTPPGIKFVGEG LARKAAISTTSNSLLSLAKIYQDGMSSISSGGMPRAAPGVRDILALYYLSLSLQPSPS TANNVGILLAGIQNNAAKGLPRSSGEMQHPEIPGVVFGSGVSLALAYYNYGLHLDSRH AHLYTNLGSLLKDIGQLQAAIRMYEQAVQCDSNFDIALANLANAVKDAGRVNEAITYY KRAVKVNPEFAEAVCGLANALNSVCNWVGRGGIANGHGFRDRWHVNEQGMLRDAYSVD TGAGWIKRVVDIVDRQLKEGETWGRGLLTPNTIEQLCAQLAPALGNRRFAPGSLNTIL QSWAGQKWEGSRIVKLVERAIRAITWQWYQDLYVLRKEYPLSKYRRPQLPPGLSAPNA PTVLPFHTFTCPLSAKQIRQISQRNGLRISCATLRSPWLPATVYQPPAPPNPYLKVGY VSSDFNNHPLAHLMQSVFGLHNPSRVKAYCYATTASDKSIHRQQIEREAPVFHDASGW SVDRLVKQIVADGIHILINLNGYTRGARNEVFAARPAPIHMSFMGFAGTLGAEWCDYI LSDSISIPQETLAPGGRNLSIEDRVLEENHGEDLEDWVYGEKIVFTRATFFCCDHRQS APDSQDLRLAWEQEREKRWRMRKELFPNLRDDAIILGNFNQLYKIEPTTFRTWLRILA RIPNAVLWLLRFPDLGEQNLKETAVAWAGEETASRIIFTDVAPKNTHISRAKILDLFL DTPECNAHTTATDVLWSGTPLLTLPRYKYKMCSRMASSILSSALPDSDDGQKAREELI ATSDEDYEEKAIRLCLSLKFEPGSEGRARGRLPDLRKMLFQERWRSKLFDTKRWVHDL EDAYEKVWQRWVNGEEGDIRL AOR_1_1336174 MAPTHRRGPWVPEEDQLLLQLVREQGPNNWVRISQHMHYRSPKQ CRERFHQNLKPSLNREPISADEGLMIERMVNEMGKRWAEIARRLGNRSDNAVKNWWNG SMNRKRRGLPTSSTTHISRTFNGRIEAPYARASVSLSSPTSRSRFTSISTDRPLTSWS RRDSTSTTSLDGPRQLTPIYTLPALNRPVETPLTSPAYSEASHTPSLEPPSMVSDHNS VSSASPRTVSSPQLLPFPVDTRQQYGEFRKQSSLDDVYIWSSSKKSDNFADLPFKPRW VSDNQPWSQHSTSLSQTWLVAPEESHPEAPKAESPRDSRMGLNNLLN AOR_1_1338174 MLYAEDDKLIFRFDDHLLWIQSWGENAFRVRATKLSSIPTEDWA LSTKPSASEPVIETPEGKEASIYNGKIKAVVSQRGKIIIYDSKGNKLLEEYARHRRDP KDPKCSALEVEARELRGILGGDFHLTMRFESLDPKEKIFGMGQYQQPSLNLKGADVEL AHRNSQASVPFAVSSLGYGFLWNNPGIGRAVFGTNTMSFEAYSTKALDYWVVAGDTPA EIEEAYAKVTGYVPMMPEYGLGFWQCKLRYWNQEQLLNVAREYKRRQVPLDLIVIDFF HWKHQGDWSFDPEFWPDPDAMIKELKELNVELMVSIWPTVETTSVNYKEMLERGLLIR HDRGLRIAMQCDGDITHFDATNPEAQKFIWQTAKKNYYDKGIKVFWLDEAEPEYSIYD FDIYRYHAGPNMQIGNIFPKEYARAFYEGMEAEGQKNIVNLLRCAWAGSQKYGALVWS GDIASSWSSFRNQLAAGLNMGLAGIPWWTTDIGGFHGGNPDDPAFRELFTRWFQWGTF CPVMRLHGDREPKPEGQPTASGSDNEVWSYGEEIYEICKKYINIREELRDYTRSLMKE AHEKGSPVIRTLFYEFPEDKAAWDIETEYMFGSKYLVVPVLEAGQRKITAYLPSGASW KSWGEDEVYEGGKTVEVACPIETMPVFVKA AOR_1_1340174 MKIDEDVSKEHSAHIEHAEETTVPTKDALQASTDEHEATVWEAL RHNYKAVLWSAAISLSIIMEGYDVALIYQFFTYPAFQEKFGSYRPEQGDYIVSGPWQA GLSNGANVGIVIGGFMNGYLSTRFGYKRVLLAALFFMNWFIFILFFAPSAPVLLVGQI LCGLTWGVFATSSPAYASEVCPLALRGYLTCYVNLCWAMGQFIASGALYGLLKIESEW SYRIAYALQWIWPVPLFVLIMFAPESPWWLARNNRMGDAYKSLARLDTRGHEAHQRTL AQIMHTLELESKLESGSSYLDCFRGIDRRRTEVVCMSFAGQVLSGSAFAYTPTYFFVQ AGISTENAYQITVGGTAISFVGTIISWFLLTRFGRRQLYVTGVACLTGFLLIIGITAA ASESSSAKWGQAAMCLVWLFTYSVTLGPVTYTIISETSSVHLRAKSVCLSRNVYNITN IVAQVVEPYLINPTEANLKGKTAFVWAATAAVTTVWAFFRLPECRGRTYDELDVMFHR KLPARKFATYQVNAHDADALKTSPAECVVDNSGDKA AOR_1_1342174 MEVAGVQQPSASNGDHAMDASMDIDMDLDLGPLPEVEPIETDPM GGTIAFQDGAVDPQSSEAQYEKVHVRGVDELTTDNIKQFANEHFTLEAPSRVEWIDDT SANLIYSSPEIGLQALSALTQASEEEDTSELPALRLRSAKLLSSHPDSVLQVRSAVKT DRKKPRAHEASRFYLMHPEHDPRERLRREFDDRRRQGGGDDGDYRRRRFDGRELRRRR DRDGDEIISANMYDDSEAASTDYSETARGRDERGRRRHRDRELFPSEEGRPSGRLQNR SASPGRDTLVESGYSEQDRRDSRRHFRERSPQIDRRNKRKELFPSSKPSGPDADESSR ELFPNKPATSYLKKELFPSKHSNHRRSDAIDAADETADLFSKRISVPIVDGSRDQRRN KNVELFPDSEEKKVNIRGAAGPDQGFSIRGAANGLSIKGRGASVRELFPSKYKSNAGK ELFSEKIEGRGGPRRRAEDMFS AOR_1_1344174 MAPISQNPPLASILVARFLRTNNYAETLNAFIREAGLPANAGQV TDQEENNWTIESVLEEKKAFDQTQHFERYGQSEKDEWSVPAPSNPKIIETPTSSNILA CSVDPWRHPKGNEDNQDTSSRLIATGADKQLHLFKTEPNNALLSSLPGLSESPILSYV SIRNGEYVCLTNMSGQLLLQRDAEILDKRKDHAKYAVKVVAFEDTDLPQRPIWIATAG WDSKIHLYRLRLPEVEGAEADLDSDSVAIGEPIASIALASNPESLLFVQHPDTKELLL LVSRRDSTHLYYYQVPQQQQQHQEQPSTPYECPLLGKQNLAPHSNAWIAFSPSCLALS PHDPGLLAVATSTLPHMKVIIVRLLFPSTDSLLDAREPETQATQALAALSLQNREDAA IVIQANTFAPQTAYSTPQVVWRPDGSGVWVNGDDGVIRGVEGRTGKVVTVLKEGHVVG SKIRTIWAGWVDVGRDGDVVREEWLVSGGFDKRLVVWRA AOR_1_1346174 MAKSKNASQHHNSQKAHRNGIKKPKTHRYPSLKGVDPKFRRNHR HALHGTMKALKERKEGKREVA AOR_1_1348174 MSSLRFARSALRARPSAFRAPLQRRGYAEAVNDKIKLSLALPHQ TIFKSTGVVQVNIPAESGEMGVLANHVPSIEQLKPGLVEVVEEGGATKKFFLSGGFAV VQPDSQLSINAVEGFPLEDFSSDAVKNQIAEAQKIASGSGSEQDIAEAKIELEVLETL QAHLK AOR_1_1350174 MTGNSDLTAPGSSTYASDTLHVGDGTWDAGRDTFLLPNLMGVNF DTMRYNGMGNRFKDMPYYHTLIVVHGVIGTIVFLGLVPISILIIRYYSRWNPFVAFKL HAWFQVLTLLLSTVVFVCGWFAVGPERSLTNPHHGIGLAIYVMVVFQILWGWFLHKVE SKRQRYRVPLKLVIHRWIGRALAILGLIQIPLGLTLYGSPKSLFILYSVAAFALLVTF FVLSYLYDDEGYPPGPDLDSRHSYVSGPPGPGPAGHRQSSFGRMAAAGAARAGLASLF RRRSRSRGRDGYEDSQTSYLSEKYSDEGAQRKGWGDKLLKLGAVGGGAFLAKKFFDRR RDRDNDAESGRYRRAHNRSDSMTETTMSRMEDGRRPEPSHRTPLNRPPSRPPSRPQSP GSSYYYNSTYFTDNDHGRRPNQARNALFGAGAFAALKNMFTRRKANDDDRRVEDMRRR EMEEERLARADSKRRYTGDGYPPRRRTDSFTATDISSTELTRPPRGPSHGESALTGDP AMSGAIDGHHSDLPPGAPTSEMPGQAPSRLDPSDIAAGAAAGSALGAASSHRRRSSSR HRSSSRHRDDHTSSPPVSVKVKMHNDGRHVTLRRLTEEEAAASREARRRERRNSRRRT GSASSLSGNEGSRDRWRRVEELERQQHERMQREQEAAAAAAAAGMSTAPSGSVPPPPI PPPQNMSHMAPPPPPHVPSSLPYGPGSVTSPGTFTGTEASGDYASNRRRRRAERARAR QERQHSVDFT AOR_1_1352174 MSSQLEIFLKALSRLLGWIYTLCWSASFYPQPISNFRRRSTVGL AIDFPTVNALGYVCYTGYTAAFLYSPVIRHQYAARHPGSEGSTVRFNDFAFAVHAIIL SILTYTQFWPTVWGFKVSRFQRVSKPVAGLFWGSIAAIAILIFIVLGQSPDGGYDPST WGWIDVVYGLSYVKLLVTITKYVPQAWVNYKRKSTQGWHIGQILLDLVGGVLSLIQLF LDSSFEEDWSGITGNPIKFLLSNVSILFDFLFMIQHYILYRGADEKVAKHQDPDVTTP LLTESNGARRTEDV AOR_1_1354174 MDPSTTFDLPAGDYPAIDIQNGLGISILPSKRQPAYTPEACSCP PYPPQQHLYTPPTLQHYVLPDMSDMADLIPYTMERVHLREPPEVENNMFYNTPFRRAG DFSSASSTSTASSTQYSTCDSFDSTTNSTGHLHPNDYYQGGAEYMHTPSSISSSPYYP PDNHTTAYPSPTSATAAVVSASASSTRSLSSPPQGSANQKDLTNYGIQNADQTWRCAY PGCTSQTIFRRGCDLRKHYNRHRKHLFCRHRGCPQAVAGGFSSKKDRDRHEAKHNPVV CFQLAITFDS AOR_1_3116174 MTESYDPDEDRRSPGLEATKPDYKPHKDPTPPFLIKETKEPEGE FKYSPGEGTPDCSRKPQSNPYRPESSEANSVLISYIDPNRPDIANYERSDPLRPEHFR DILCDDLLERPIVKPEKPKLESPVVEPAKPREPQSETDASEAAKRALALLELPKPIDE PLEPPEIPVKSHIPSPERRPGVKTDILSPKISQPPPPALPSISEKKPFSPPLSQYTIS VHPSPDVLPPFHSPDNTTTLPPIQTALRGLAHVNEPAVRVNGSSPYSLPPVTASSPPG LRSDPVWEHQRPSPFVPPPQIPPSPYSHLSPASTKDLSAVSSPATQSSYWRPQKSDIP YITSTYDITHCEAKSPATSYPTPTDQTPGGTCERTPYNPSPQHNAAVASGAYKCRHPG CTAPPFQTQYLLNSHANVHSQDRPHFCPIEGCPRGIGGKGFKRKNEMIRHGLVHNSPG YVCPFCPDQQHKYPRPDNLQRHVRVHHVDKNKDDPQLRLVLSQRPEGSARGRRRRINP AOR_1_1356174 MAGAVRQPIDIPSLERYLNQNVPEIQTPLDVKQFSFGQSNPTYL LTGTDGRQYVLRKKPPGKLLSKTAHKVEREYKVIHALEQTDVPVPKAYCLCVDSNVIG TPFYIMEFLDGRLFTDPAMPGVSAEERNALWKAAVQTLAKFHRVDPKSVGLETFGKPS GYYDRQISTFSTVSKAQAQAVDVETKEPVGELPHFMETVRFFSNKSTQPKDRGTLVHG DYKIDNMIFHKTEPRVIGILDWEMATVGHPLSDFCNLTSPYYLDGTDHTTDQFQPGRI PGLPRREDCVRWYREVGGWDPTPDLPWGDAFFAWRLSIILQGVKARYALRQASSAQAH EHAKKTTPFALAAWERVKAVQNTMRQKGKL AOR_1_1358174 MTKETTPPPPEQQTTREPPSLPTSPLAKRPKPNTTEDQSKMTNS TPVTSISQPLPPLLIKKLNEGGRAPTRGSAFAAGYDVYAAKETVIPARGKALVDTGIA IAVPEGTYGRIAPRSGLAAKHFIDTGAGVIDADYRGEVKVLLFNHSEVDFPVKAGDRV AQLIIERIYTPEVMVVEELEESVRGAGGFGSTGTN AOR_1_1360174 MSADSLVPETRVLAIASHVVYGYVGNKMATLVMQLLGCDVAALN TVHFSNHTGYRQFKGTRATAEQITELYEGLCQSHLTDFDVMLSGYAPSAAAVEAVGAI GMDLQRKAEKNPGSFFWVLDPVMGDQGRLYVNNDVVPAYKKIIPHADLILPNQFEAET LSGMKISSLSTLAEAITAIHATYNVPHIIITSVDLSKFTQSSSPQTTPPDSLTVIGST TRSDGSPRLFRIDVPALDCYFSGTGDMFAALIVARFREAVFAADPQLRTTKSWVSPDD VAATELPLARATVQVLASMHCVLEKTMEARDAELRAADTRGDELLGEEERLKREHLRK SKAAEVRLVRNVQYLREPTVVFQAQEWRKEDLPAGSQ AOR_1_1362174 MKFRLNAIVRASESRTMQISNMCPRRRTQLPSLRQLLLTVIAVP SVVASVIPSAVRTDLDSTNALDLPLSGEIVSDDLQDVSDSPLKERAAWDPVQLDSSPE EADATHSPILIALEENSQTSENNDNNSQPALSQRSTSSSSSSSSETPTPFDTNLSTNF TSDSCPKFFKNFLSDTKFTNCYAISMLLRDSSSFFQTLKSAPATSHLLDLSCAADVDQ CSSFMTDLASRITKSDACGKDYDLGNPVVTDAYTDMIIYEPMYRASCLKNPSTGDYCF VDAATNSSNPSDYDVYFIPYGSAITNAPYPTCNKCVQASMDVFGEWAQKSGQPLAHSY LPSARSINSKCGVSFANANITVAGDGESSAATWSGRRPDGFLMVWVVALSVGVSLWGW V AOR_1_1364174 MSLTLPHRPSDDAARSLPTSSSSKSNGIPRLSPSPSFVHNRSVS NSGLSVRGYEPNLAAPPAFRPRKCKSQYPRDSSERHVEYILVASFHIDRGPIMEHQYP APISGDESMLAELMLPDQTHVRSQDWTIFFLHKDSSADQDDDDSVGAKKKKKKSKASH GGDGTGGSDEDQDESGKEEESSDDEDEGGEGPPLMYVLNLVNTKQDHTVKRGAVVKAM AICTRHSFLHIYKPLLLLALEDYFKNPYLETLASLYNALNAMDLSLLPKLSLLERQIL QASNCKDMFIEKFEQMIRQRIESEGESSDSDSPASPRKPAAKYTLPRDTHEFESKIVY NDIPIPVKVPTVIWPEVVGDFSLIKLIQTFSGPHSTSPQPFPIHPHLTTSGPYTHPII ILVNAMLTQKRVVFLGHNRPSGEVAEAVLAACALASGGILRGFTRHAFPYTDLTKIDD LLKVPGFIAGVTNPTFANHPEWWDVLCDLPTGRIKISSHVEPAPITEGLLYFQQQAAL NHLHASNLNSDPTGDNLFMEDVQRSITNRHGENAIRAKWRAYILKFARVASAFEETVY GASNLYIIGPNEELSPESPSGVQADPLDPTTLRGHGHVWPDEISKQRELMASVSRIEG WRTTRSYYSFIQDIAAIYYPTRPIIKPDLHHHHERLRTLKLSPPEAGAIYIAFSYAVK DYAGICQLLTVTPENQAGLFYLSMGLFHPDQTVREATVDLLERISKHPAGQHFWNQLN RFAKLAFFRVKRERDASQSPISGPEMGFGAPQSLVGVAMGDGLR AOR_1_1366174 MPPCCFYSGLSSPHVPIDRSGRLDADVFVTSNTPFKPSPLSFSS PRASPFRRPSTPNSPPTGIRPTTPGSSPSRGYTPVVSPSKLNQSYTVEHEDASPTSRD RIPQPRFSRESPSASPTREAISPDRSPRVGARLTGMTAGSSDAASKLAPAQLREIREA FQVLDRDNDGSVNKDDVADVLVNVGQDPSMLHDFFPPGSPETINFPTFLNILSSLLAP LSSRQELMNALAAFDEDDSGQINVGELRDALLHTAPEDGELPLTEREINEVLNGFTGR RAFGGKSSKGPGGAKRGEVFRYPDFVDGVLGGTQNGQANGRQEA AOR_1_1368174 MASQPTQAQLNLAALAGSPSPRTMRSLRKIQSHQLLSSSQLSQP SSARSSAGPEELSQPTQLDSPLRLRTHRRARSNSDASTREPPAIGTQRRSGRKTGSGF GIKRSVLEALLRDGPQQGNVREGLQELRYLILSTRVEADADGMSSYRVYLWLALLDIP PVPTDEYLSLIHRGRSPAYTKIRNDTFRTLATDPLFKRRVTEASLIRLLNAVAWKIHD AKNKNKARKPRLSTSRRREMELLINTPPSIAEEESSPEVTTSSNSRSSTITSDSAIYV QGMNVLCAPFLYAARSEVEAFALFHSFITRECPGYIRGAMDGVHRGLRLVDRCLEIVE PKLASYLFSKGMQAELYAFPSVLTMCACTPPLPEVLHLWDFLFAYGPHLNILCIVAQL IRMRDTILESPSPNKILRSFPPLDAKEIIALTVLIVRKIPEPLYAELIDHAK AOR_1_1370174 MAVLLKRQIPAHCRWQELKDLVRQTALHIRQAVVYDDHHGFPTG LGQIIVKNEDEAWRTYHRLSTNGWEGQSLVVTLARTSSPTRPIAGPTKSPHCVIPSDY VAGYSTPPRVSQNMAVPPSPISPEPMIAGTSPTYPPPEYGHVPVMGLPHQSFFPIYPD PLSQPMSGIPPSPAQRPSYCDPLTFTVYPPYPVSPMPVFQDASQRRTPHKPSYTYTYT YTPTPIPIYAPQSNGNPRSPPRRTIFIQNLSPATTQSELHSHLQDAGSIESCEVPLDP TTARCKGFARITFRTAEEAKRAIARYNNTIFLNARIRVKIDRAVPYAASYRLSSPSTV PVTIVSAPHPPSTTYTPEHTIITTLPTDESPKSEPTSTTEEASQTKCQPGPLVVNGSG IGRKEITT AOR_1_1372174 MSSPSVQVQPQPSGGAKGVSHTTKEAGAMNFDIVRCSRCQRSMS LENDSSPGVVRFGMNSYYCSRCASMVGFIR AOR_1_1374174 MASRTPNLYSFSNSDALAQHLRTYVLKNQNAALARHDTFRVAVS GGSLPTVLAKALLAQGNGTPEDTAQFSKWDIFFADERAVPLDHQDSNYKLLKDELLSK IPTELGAPRVHTIDPNHVNDEDPQELADLYQEELMRIFAAKDSVKLPVFDLILLGCGP DGHTCSLFPGHELLREKDAWVAAISDSPKPPPKRITLTLPVVTHAVSIAFVATGGGKK DIMKQIFDAEEGRELPSALVNQGAGEKVSWFTDHPAVEGVSFPRRGSL AOR_1_1376174 MPIPTRSLSLREPRKQPTTLGRSASIRTVSTSTKAAAGGTPSNL AASNENVSTRSKSLLPVRDDSRSTSPLRPQPPQDSRIAQRASKPPQDKLSAAPTLFCA SPTLSSEAKWASNIAEEDRNASPAPPVEVGFFATACKFEFGASYTKGKTDPPSAPSTP RSRTQFSTYQQQPSPKKLAKPTTATPLASASTELDPSMIPSLSPEVAALQTELLQLSL LHLSLLREDADWKAKAEKQLRIKYNTVAEKHRCVVKEEKDYQQRLNGQALHCWLKNSI EHNGHQGFAVQIQVLSEVAQEVCDLSDIQGGRFTLAVQDFESWFRKVEEIKTCRHYQG GSDLDVFIDPLDRAWQEEVHALTMKLELCSRQLQSLDIMGYGEVEQLEGSSLYRTAKG LDDMVNSMIEELNTICKIEADVVRSERQWVSQLSQQVVSTRPLEKRIPRVGMWRS AOR_1_1378174 MKNSLYDRLWRRELGEPSHRASIRGIYGSKEWVDDLDIVNELGG HTGCVNALCWSRSGQLLASGSDDHYVNIYSYQPESSSAPFSLNTTLHTGHKANIFSVK FMPHSNDRTLVTCAGDHQVRVFDIEYSSSNGNLEATSAFTASARSRRFNNFFTNTRFL TAENTNSRVYRSHADRVKRIVTESSPYLFLTCSEDGEVRQWDLRQPSSAYPKPLGGQG PMAYRPGVVHDDSNVPPPLISYKRHHLDLNTISCSPTQPHYIALGGAHLHCFLHDRRM LGRDLLMERGDPGSSPRIGSDREDELMSQATRCVRRFAPNGKRRMKTRDNGHITACKI SDVNPNEMVVSWSGDHIYSFDLIQSPDAREAESARQRSAQETQSPHDDEPMIRARYGN GETGDVPLSAVSAPTSGASRRARKQARYPVLNEAQRLSMRIARALVKLRKALFSLEAT VREAGESSSQLDPTLYVDSFSTALTLASEYLPMMEQVMRTWGYPLNPSSDVVRFQQAL RRNREASWRFVQAAGTLARVLGGELRGHLSPGISFMQIMPAPGEGNTIPEEAQFGYDF LRAILLFLEGGREALISGFKSSNEHRRNVPRYPLSDEADDSAIESELVPYLQRLAGDS PVVNVDASRFEHDSTRVLFPNQRAAVTAFANVVKLPLEDLENAAARTHDCEGRSDTSH IRSLDRTAVRRFWGLKVARGILMEAGTGVNYSFANRAFGGLRTVLEEESESERETGPE RSQADIQPDFEEYQLFEHLHMLSAMNSTEEALNLGSGGPEATSGSHVSDSDSDENHSD SDESGSDVAVEEEEDGESSSDDDNDDDDDEFGFDTSSEEGYDSDEMLEPEDRMLRLNG LRTSRREDVGLDVPCSSHTKVYRGHCNVKTVKDVNFFGLNDEYVVSGSDMGHLFIWDR KTCDLVNILEGDSEVVNVIQGHPYEPTIAASGIDSTIKIFSADRNAQENAQRGINVLN PENPANVLGPSVSNIGGLKSCKRMHDSYQIMSQNDVERQGGMADASLTREMLSRIAVS IRHGGGQGIVVDENCSMM AOR_1_3118174 MTGFRTLATGAPRLGFGNFNISFVNVAAVDVKLDEERRVTLDIE RHGRVLESFDVVDGYRPALDVTVTEGVELSLISLVALVAIIASDSVFGSE AOR_1_1382174 MKFFAITVASLASISGAFASANGGNDACSKYIYNTLYNDASVDV YHWREVIDKTNPNPCKSASGSCGADLYGIFKLDQNQDFYNWGQDMRKLSTCTATNDAC GKFLWDTFHEAKSTDMTNWKQIIHAKGSNPCE AOR_1_1384174 MKDLLQLRSETTARLYADPHNPHLHLERGLFHEQLGFADLASAD AYRALSLLESVVDPDGCEFHARRKIDTQPQGDKEGEQENEDDEDNDDSCVATTQDEYD EIIGTVYALLVRSLVKCRCYRDAYEFCMRGLSLLGSMEKCDGKAVDTLKEQLSAIQKV YISRRPDSVKDNGAADVDINPSALNAQGFARRVLYPWNEHEPDRKAPETLKLLNDRLK DVAPKCEVRAVALPALHGTTDEGTSSEGEVSIQLGLFAKEDIAPGEIILRENSLLTAT NRLHDDLCDACNAPLPDLASENPPVACTDCDDTIFCSQTCHDQAQETYHGALCGLMEN LESIGKDIPDPKDKADYLYLLLLGRAIAMAATQDVHPLDLPEIKYIWGDFHDLEDSSA DSVTSDDPTATLPFSFHLNILQPMRILEEMELDPYEVLPRYDTWVLNTLYAKFRGTAS GRLSTWDGGPELCAVHPLWCLANHCCDPNVRWEWGGEITFRARTESERPVWKRTSTGE EKTPLRNEGIKADEEILNHYCDIGLSVKERREWARGALGGLCLCERCMWEAAE AOR_1_1386174 MTDPVVLQSAVRVPTPPPGTSYSPNASGSRKRSPPSRSPSPNRR RSPPGDSVREDGDAPRIDPERAIERERQLAERVRQHEKQEAARKPMTEEEKQASAKAE YEKLLNMRSGGTYIPPARLRALQAQITDKTSKEYQRMAWEALKKSINGLINKVNVSNI KHIVPELFGENLVRGRGLFCRSIMKAQAASLPFTPIYAAMAAIVNTKLPQVGELLLNR LIVQFRKAFKRNDKAVCISSTTFIAHLCNQQVVHEMLAAQILLLLLHKPTDDSVEIAV GLTREVGQHLEEMSGPIALAVFDQFRNILHEADIDKRVQYMIEVLFQVRKDRYKDNPA IKDELDLVEEEDQITHRVGLDDEIETQDTLNIFKYDPQWEEHEEAYKKLKAEILGEGS DDEEDEDETDESSDEEAEEERQMDIKDQSNTDLVNLRRTIYLTIMSSIDFEECCHKLM KISLPPGLEPELPSMIIECCSQERTYSKFYGLIGERFSKINRLWCDLFEAAFAKYYDT IHRFETNKLRNIARFFGHMFSTDAIGWHVMSVIHLNEEETTSSSRIFIKILFQDLGEH LGLQKLQERMRDEILRPSFEGLFPTDNPRNTRFSINYFTSIGFGILTEDMREHLKNLP KPTVPALPARDATPESDAESVSSRSSCSTCTGPRHSRSRSRSYSYSRSPSPDRGRRRS VTSIEHSPALCFLQSIPVTQKVVGLSYTPQTDPC AOR_1_3120174 LLYYVYPIDLSTTMLGQLVGSAMLLAATAIFLYYTAWTLLMPFV DLDHPLHDLFPPRVWAIRIPVILTLLGSAVVGTFIGIVMINSNKKKAAKAKAAAAKKK T AOR_1_1390174 MPPAASDDLFRQQSRSVGDPSPPLEDLSQTPPENDQSGKKKKGK AGSKAKTKAAKAAAKTPPEDLITASPPLQAEPSSYPNPPLASERPNNIVSPVLDALGC LNDSPENDLAYRTDSWAKSIPFGKSPPNDVSDGEIANGSPFAFPSNPEKGGFSHPSST SPLPRARPLSYGNGYMSGDLSRQQSVDRHKSHSVSGPFSNPIPLPHLPQAHFYGAPDI DLPLLSSQNRQSTGEKYSFCSFDTIYSPTSKSSRMGTSVLVVGSDGSLEVLAVEDRKT RLIGKLAGLNGRVIDAKVLACNLPDDSCSASRPHVAVIIHGPCVQPDDDTHGSSVGSE VNEVPPTPIGRRSVKDDTKYYQTRVEIYSLRTGDHITTLFTMKPSPYFESIPGLSAIA PSPAGNLKLFAGGNYVVLASGASGEVFIYSMNGYQCLGKTWTSIRSRESRRYSTSSTS TDADGSRTDSPSGIANTDSPIVALKGRWLAIVPPSSTYRASLPGTVPPSLIQGKVYGL DNRNPPARPAITCATDVGEGPSFFDKVARGVTQELVRGARWMGDQGLQAWNNYWNKDQ LPTSAPRRSPNLGDLPQAYSAFPPTHAQDTHATTPAEPDLVSIMDLKRLEDGNETKAA LFNPVATFQPPEGCSFLSFSPNGLMLLTASTNGDVQHVWDLMQAKYCRAGAFLSEDSV NTCANVRQIARYTRLTKSYIVDVIWCPPSGDRLAIITRKGTVHVFDLPRSAFQWPPFR RARPASSKPPVTDQATDELSDKAAPVNPLSAAFKLVGGKTQPIIAAVRGRTASAGGAL PAVGGFSSSAGVRGGKVVAAGLSKSMGAATGTVNTLRHAGENRLHGLARDPAASRVTW ITNKDQVFLGLVDSGHFRLYRVRRTMSTQKNRQLQSVVGGKEIEFRLPPVLQTPCGPM PVNAFNAETTVRASLALPSSHPRPSLASKLKCQPLSQAEIETNAPYQPFHTDQRVNLY VYPETGHADEPSNLPTGNWVFGESLPMTRIHVRPFSAGGDDDDDETLHEHQLGSGGEM ENLISLGNSTGLPEEVVITTRRKKRHGSSFPSAGSGGDDGFFEDDCDFLDFARDRV AOR_1_1392174 MSPSPLPPNLIPEISAFVTKCMAAHDPSHNPQHVHRVVSLANQI LARETARNTSSSSSSSSTIYNAEVVHLAALLHDIGDRKYLSQVAAISESIQGKQQEAV DPERLVYHVLLAHGVGEDVAEKVQMIVSHVSYTTERAKPEEVKRLIADGYPELGIVQD ADRLDAIGAVGIGRCFTFLGAKGKNFCPEGMWVMDNAIEHFEEKLVRLEGMMKTETGR EMAKVRTARLREFQEWWADEMKDAV AOR_1_1394174 MLGPCYPHEASSLPILDLCLGVLPGWWLGESDSRPFRPYISVER WDRELKATGFSGVDIVRHDRPGLLQTNAIMISTALKEEPSFQPDDVTLLLSQDPGHWE KDVQRQLTQRGHLTQWAKVNDPVPQNPIIISFLDMNSSFLHSMSERRFVELKGFLQGS SAITSSQCIWITPATQLQCANPSYGLIWGLARTLRQEMELDLSIVEVDSFDEVSSNLV VEIIHKIRRRRRVKRELLDYEFSIHNGIVHTPRCTWESLPRFISKPIGQHSAFRLDVS SNRKLQWIAADTEPLGEGEVEVEIVFVGLNFRDLMVAQGVLGAKEELGLEASGVIRRV GSNVSNVKVDDKVLVLGFGLLRSSAVIPAELCLRFPDSLSLEEASTMLTAYLTVIYSL LHRAVLDRGNSILIHSACGGVGIAAIQLCQSIGAQIYATVGTEEKAQYLSKTFGIPRN NIFDSRSSSFHADLMHETNGGGVDVVLNSLAGKLLHASWDCVAEFGQMVELGKRDFLT HGTLSMSPFLKNRSFIAIDLVELTKKRPSLTARLIRQLVELYKAGKIRPILPVKTFHA QEVQEAFRYMQAGLHKGKVIIRMPESSSELHVTSNQCSITFRSDVSYIIVGGLGDVGR VLAQWLVKQGARELVILTRSPGRIERESSFIKELEAQDCQIITVAGDVANLADVKTAV SSCTKPLAGVINLALSLYDRTFLQMSHAEWTSALAAKVSGTWNLHQAVQGQPLDFFLV LSSLTGITGNVGQANYAAACTYLDAFTQYRRQMGLPSSVVDLGAVAGSAAIQDQNISR RMDTVGFARLSKQQVIASIQLGIFESQAQNTAGLHYSSELMAGLQASSETEMLEDIVF GRDARFSMHRTPAVGAQNRKTNNQLKLLFDRIDKDHRLLSDPEMETALVLELAKLINH HTSQVSEMGLGQAKAVTVDSLMAIEVKSWLNRQLGVQLTVEEISKVNTVGALAQLTMK RAIAKYQGGEDGVDPTKES AOR_1_1396174 MSELDLKEAQASRPPIAIVGMGMRLPGGIRSATDFWDMLVEKKT GHSEVPESRYNAKSFCDPKNPRQIRTRHGYYLQEDSAYFDANFFSISSAEASTTDPQL RLLLEVVWECLEDAGETDWRGKRIGCYVGTFGEDWLTLSTKEYQHIDRYYVLSTGAFA LSNRISHLYDFKGPSMTIQTGCSASLVGLHEACQALYLGECSSAVVAGTNLILSPNIT KCASANMVLSESGTCRTFDESADGYGRGEAINAIYIKRLDDAIRNNDAIRGIIRGTAS NSDGWKPTISAPEVLSQERLIRAAYRNANINDISKTTYFECHGTGTVIGDSVELSAIA SVTEGGSAFIGSAKPNVGHSEGSSGITSVIKAVLSLERQVIPPVALFETPRPGGPLTE GQLTVPTDAKPWPANRLGRASVNGFGIGGSNAHVIIDRGNGVVGSTNSTFPADSQLIV TSASSVPSLKKRIRQITQYINNHPSRLGDMSYTLGSRRHHLNVRAFAVVDPGMPLDDT VFQFNEATNHTELVFAFTGQGAQWPGMGIALLEYFECFRRDVEEMELALKGLDHPPQW SLKEKLMHLTDELNKSMDKSRVMEPEFSQPLCTAMQIGLVNIFAQWGIKPTAVLGHSS GEIAAAYAAQAISATDAIVIAYYRGKFASSKEGLGGMVAVNTSRGMVHPFLREGVTIG CQNSPQSVTLSGDKDQIDRTVEDIEKNMPGVCRRLRVNVAYHSLIADHMQDIGPSYET SIQKHAGRGERMLPMHSSVTGKSILCPQELGASYWRRNLESPVLFSDAAHTLHWLLLY NKHLVLQMGKYLEEIVYPGAAYIAMAGAAVLQLHSESDSYTIRDLLIMEPLLLDERSP TEVITSFQPAEIADNVYSDYYSFSIMSYQGSAWVKHCRGRVRPSYEIPPRKQPVVSYG LSCTSDEWYEATKACGITYGKSFRGLKDITVDPKGGGRASAIANSSSGLDHHAYNIHP ASIDQGLQVIMAGILFNALDGIERSIVPVSLDRIYVKQGGPLMTISTSVKSHTQSSYY GNAIGLVDDHVVLEIEGALFGALDNGNRLTSANTNLLTRIVWRPDFDLLSKDNFLTLQ SFPESMDLIAQALGHLFILCPLEAAKRLEAVTTEVIHLNKWKAQIYEKASSCHQRDER LTSMLERNGVRTQSLFNMTSEQQQVTKDACMGVMRASGSGWASGVADCTSIIVDNCLE IMCGDVSPLELLMKDGLLERLYEEPLGCVNCSAFFAHMTHSNPNLRILEIGAGTGSCT KVVLRHLKSQAGVRRYKSYTFTDISPAFTQAAVEKFLGQENLEYRVLDISKDPIKQGF EPDSYDLIIASNVGILLIPTARKT AOR_1_1398174 MATLMDQRLDTNYNMVFTHSTENVPSASLRYDDVSLSEALAPDP QYERDFEVIDNKFAFSPGQLNKLLNPKSLAAFHAVGGLGGLERGLQTDLAAGLSVDED RLSEYVTFDDATKCAFSKLDSQPRLLNADSQTPIVQSSSSQFFDRFRIFGRNTLPEPR SKSFLKLLWDAYNDRIIILLTIAAVISLSLGVYEAASGQSQVDWIEGVAVCVAIIIVV AATAGNDWQKERQFAKLNRRKIDRDVRAIRSGRPLMVHISDITVGDILHIEPGDSPPA DGVLVSGHGIKCDESSATGESDHMEKVSGHEVWYSIIDGTATRELDPFIISGSKVLEG VGTYLVTSVGCYSTNGRIMASLQTESEPTPLQVKLARLAGWIGWLGTSAALLLFFVLL IRFLVQLPDNDASPSEKGQEFMDILIVAVTVIVVAIPEGLPLAVTLALAFATTRMLKE NNLVRVLRACETMGNATVICSDKTGTLTQNKMTVVVGFLGANERFDQQPTESGSPSTS PTILETLKLFPTIFKKLLIDSIALNSTAFEEELDGGREFVGSKTEIALLQFAKDYLHM TDLTEERANAHIEHVFPFDSSRKAMGVVYRAGPTGYRLLVKGASEVMLNTSTQTITTG PSSKSQIATEPISDGARQVILDTINDYARKSLRTIGVVYTDLLDWPTGLSRDSGKGLP DFESLLRDMTWVGAFGIHDPLRPEVSGAIKTCHSAGVQVKMVTGDNINTASAIASSCG IKNGDGIVMEGPEFRKLTEKQMDAIIPRLQVLARSSPDDKRMLVKHLKRLGETVAVTG DGTNDGPALTSADVGFSMGISGTELAREASSIILLDDNFKSIVTAMAWGRAVNDAVAK FLQFQITVNITAVCLTVVTAIYSNSNESVLKAVQLLWVNLIMDTFAALALATDAPTEK ILQRPPVPRNAPLFTVTMWKMIIGQSIYKLAVCFTLYFAGDHILGYDTRIHQKQVELD TIIFNTFVWMQIFNELNNRRLDNKFNIFEGVHRNYWFMGINVLMIGGQILIIFVGGAA FGVTPLDGVQWAICIGCSIFCIPWAAVLKLFPDRYVAVGLDISVKVIAFLAYPIRKLY QVISRGLSMVGMVVGGQWQSLKSILMRMVKNSRSGGDEEKGQSTGQA AOR_1_1400174 MDYLSQEILQIIITHLLVVPPIKHPTAWEPQPVPKVAQYATISR NWQYAVERFTMADIKKYSSDLDMLRHVFSTPRRRKLLRKLEYEIDLPTYSKNRILCLE RRRESKANDEAFNRGVIDLFDEMSSWETQGMDLTLTASSPMDRHRRSSELGCGLSDKR WSFEDNYLTLDNEVSLPQLTFVGGLTIANARRSLHPSAIGKIISSLPSLERLTMELNA PKAKRVEMQNEHRISLANALESPSLSNLRTLNIYIEQDIPYNHNFKNQPTDPQYPDGD VLNIAIRKLAENTHLRTLNLTGWWLVSPALFDTDKTFPYLQKVQIQGALITYDGRWYY SGNPTNVEASYDPIRYGADDEDSSDSDSNSSFNSEFQDSLPEHREALLNGERPYHMWR TQPDLQMFDPLMKVMATAILRMPRLRTFSFSIGWSYMDENAILFEYLKPGEKPESFEY RPHELDMTRCYVTPMPEVRWEVPGDVATLWKEAVGDKGVVAVEPY AOR_1_1402174 MPPSLTSRALGSIWGVCVGDALGGPVQFMDPGAFEPITGLEYVQ PFQQPAGSYSDDGSMTLALAQSIIDSHGQYNHALSIQYFVDWFTTGRFSTIDRAWDVG ASTRSALQSWRKRGTSDLQRVQDVINQKLDVEQSSGNGSLMRIAPIGLALWRDPGEAR RVAREQSRVTHPVLGCLEACEVYTLLICGVLSGKNKEHLCTIINEYNFTHSTLAQRLS NYKTPSDWQVKSVPEIRSSGWVVDTLEVALWGFFKFDSWAAGALAVANLGGDSDTAAA VYGGLAGAFYGFESIPTEWVEGMQNKGFIAEVAGGLAEVVSLGGVLN AOR_1_1402174 MPPSLTSRALGSIWGVCVGDALGGPVQFMDPGAFEPITGLEYVQ PFQQPAGSYSDDGSMTLALAQSIIDSHGQYNHALSIQYFVDWFTTGRFSTIDRAWDVG ASTRSALQSWRKRGTSDLQRVQDVINQKLDVEQSSGNGSLMRIAPIGLALWRDPGEAR RVAREQSRVTHPVLGCLEACEVYTLLICGVLSGKNKEHLCTIINEYNFTHSTLAQRLS NYKTPSDWQVKSVPEIRSSGWVVDTLEVALWGFFKFDSWAAGALAVANLGGDSDTAAA VYGGLAGAFYGFESIPTEWVEGMQNKGFIAEVAGGLAEVVSLGGVLN AOR_1_1404174 MKLNLIALTSLVALTAAQSTDSTSDSATGTTVAPSATVSLSPQQ SCAKKCDATDLCCIAGCFEVPCPNDSQANDTNTCVAACPQGSGTPSDTERYAACQSSC YSSHFFPITATGNSGASKTSASNSDATTTGTGKDSSSTGSSESSGSRSSGSKSSGTGT STGAAATGTETNAASVAKLQMGVSAAGIAGLALGIWAL AOR_1_1406174 MTGSDDSSVDYFREGFDDITDDEFPDATRNAVGSSPRPAKRRRR DGTASDVRRRPSENRESQRYSDGGSPQTGSDSFVVDDDEGNYDELQSPSQDSYFEDAE APSKYKVFIPKRSNIQENIFVTQLTQPPSPPEMIRGPRWKKPEPSIARASTIPTQTIG EGRAAPNAPAASVDDYDDEDLNAAIAASLESFENEQSRPPPSNTKAPTHQPITRSPTV QNEGATDTSFLLEDIPDDAFDSDISLSPPTRAQQQPATRQFGQSSNRPLGVRQTSLFD MTSRNQTDQPPIGEQVWSPPQKDEPPTQHKLDHDALSTWVYPTNLGKTRDYQFNIAQK GLFHNLLVALPTGLGKTFIAATIMLNWFRWTESAQIIFVAPTKPLVAQQISACFGIAG IPRSQTTMLTGEAAPGIRAEEWQNKRVFFMTPQTLINDLKSGIADPKRIVLLVVDEAH RATGGYAYVEVVKFLRRYNQSFRVLALTATPGSTVESVQAVIDGLDIARVEIRTEQSL DIREYVHSRNTEVQTFKNSEEMVLCMDLLSKTLQPLVDQLRTLNAYWGRDPMMLTAFG LTKSRQQWMASDAGRNAHFGLKGKVNAIFTVLASLAHAIDLLKYHGITPFYRHLLHFQ SNTEGQKGGKYQRQVVQDESFKKLINHLQPWTKNPEFIGHPKLEYLKSVVLNHFMDAG EGSNGEASDSQSSTRIMIFVHFRDSAEEVTRVLKRYEPMIRPHVFVGQSSAKGSEGMG QKTQLDIVQKFKKGTYNTIVATSIGEEGLDIGEVDLIVCYDSSASPIRMLQRMGRTGR KRAGNIVLLLMEGKEEESYIKAKDNYEKMQQMIASGSRFTFHDDISPRILPAGIRPVA DKRHIDIPDENAEQSLPEPKRRGRAPKRPPKKFHMPDNVETGFTKASSLTAGPKSKAE KSRKPRTPTPEPVEIPALEEVVLTSAQQRELEQHYRNIGAASPQFIRNPRNDAFPRLQ LVARPTKVVKHGSLTRRMIGTLQKMNNVGPDCGDRFKKILALESARQGDSVIPNRSPR HERRRRLSKTKPRYNHLSTSVDKETLSTEDSQLVTPEHLLSSVVKGQKQQPFYSSQRS KDDDSDDNFDPPDLATLLTRSAERNNAHKTSRFVVSDDSDD AOR_1_1408174 MKSAQYVPQLVASLSPTPTIEIRLTSKQTVFTTGETLQGELVLY RRNAPQSARVSITLIGRTSTTVTKTTPFPNSGSIVDYTFLQMHHIETQGSCHDYRIFP FSFLIPGALPSDPCFEHIPPGTAAHHGKNHLQLPPSVDYNSDKYCPEMCNIIYYVHAE VDIGNQRLHATRQIQLLPLYPEQPPRLWPEGEGSEIQMADSTGLRTSLLGAKTGHVTV RAREAKPLYLPPDQRHGSTMAPIRFDLSLESVLPEPTLPDSCQVKVTLEAVTYFTLLP MDQLPNWQSTVNGQGQFFRASVCSWKNKSVGLQWIEKADYSHTTSIVVPIPVSGDVAI VPTFRHCYVAREYFAKVEVTVGYSRTLRVKVPIQIYNSLEC AOR_1_3122174 MWQKVFGHSQNKPMGMSQYTYRPLAKGTTKIRLLRLLPHENKAA RVECELIEYTLTDSVGQHPYEALSYVWGIGGGPQSILIDGRAFRVTQNLHSVLLRLRN HSVVRLLWIDAICINQKDDREKSHQIQLMRTIYGEAWRVIVWLGEEADQSSEALEAIR KAAEDASVDDLPKGYSRDRPDREKHLPSSLALVQRPWFQRIWVLQEVALARDIIIMCG PVEMNGYAFISGLQSLEVLRTAQPKLQGLVQSAYYLIKDAVFRPSYRFNTRGTLSIGE LIDMYHTRHASCLHDKVYALLGMCSDDPSTDALRPNYQLPWSKVFERVIKHIISQDIS VKTWPDREAAVIRGKGYMLGIIKSVATPGSRYDRQEVKVLFRDTVEALYLYMTSGVQW LLQTPAEPIRAGDIVCLLQGASAPTIVRISDSGLRIIMSSVVPRHKAIHGTSKYVPQG PPSEASVLHDLVLQWDWAPTLDSLQEKNRATAPREPHNSQPSISRSHHEDGLHNPTVL HDILTIAVPSKYNVLTSDVCGIGGAPDGASAMKALLDQAGDILPITEDIVRKAADHHD LSADLLKILFEHRGDTLPVTEQVVKAAAGNPDAHFVMTLLFKKRGDSLPITEEVVKAA AGKPESGGRILEILFKERGDILVITESVVIAAAGNQKGGASIIQYLFEQKGESLPITE AVLIAAATNPGIDAPSSILETILEKRGGRLPLTEGVIKAVAANSSRIRVSTLLQLLKQ KSDDQQHRALDLSTMQRLFHNLTEILILRHESDITKAQYRNLAYAAIDAIY AOR_1_1412174 MADAQFDSALDLLRRLNPRDTKKNLQAITSIVPDLTEDLLSSVD QPLEIRRCSKTKRDYLLCDYNRDGDSYRSPWSNEFDPPLDDGTVPSERVRKLEVAANE AFDVYRELYYEGGVGSVYFWDLDDGFAGVILLKKGVTPGAKSSGEWDSIHVFEATDRA RMSHYKLTSTVILHLANETESLGEMDLSGNMTRQVEVDLPVESDASHVANVGRLVEDM ELKMRNLLQEVYFGKAKDVVGELRSLASLSEASKERATQREMIMSMHR AOR_1_1414174 MADSKEAGKPDQIFTSADRIRQLNEVDKDVARLIHSAGLAIQAL TNARSSDSAGDNSLDSHKARFKEATSQYFALLSSIDVRLRRQVYALEEASILAPDSSS RTGDLPGASGGTAAAANPLDVSWLNSRKDTVGKDKEAELWAAARGFVEQISNPGVKAE NTREGMEVD AOR_1_1416174 MSAPGGAPSPAPRSGSMGPGGGMSMPPQQSMGATTPVAPTPGPP PPPPSGAMSQQNLNQIVIDYLAKKGYSRTEAMLRMESANQEIDGRPLPPLGEDARPKY RLGFDLLKVWVEDNLDLYKPELRRVLWPLFVYSFLNMVTSFYPQDAKQFFDINKNLFL PEHTEDVRALEPIALPEHVQDNSTAKLYRGNKYRIVLSNPAFSNLMQFLESKQKEGGS VMSAILSSYCTIITKERAVDDRFSFAAMLGQAGAGQTFPTEDEGIPGHHPGSAYTGDN PAMAGTLPRLRLGKLPMEQTLEADVRGELADEDVKNPPAPGQNTLLQEFDQMIKKEEE DEAPTRADIPYPPSTARDVAMEVQKVKENRDRFRIEGRTGGVGPAVSVCMFTFHNTYD GINCLDFSDDNMLVAAGMQESYIRVWSLDGKRIPSTYESVEDTQPSNSRRLIGHSGPV YAVAFAPSITRTDNAIAPTNARWLLSSSADKTIRLWSLDLWQCMVVYKGHDQPVWDLQ WGPFGHYFATGGHDKTARLWVTDHIRQQRIFVGHDQDVDCICFHPNSAYVFTGSSDHT VRMWAVTTGNAVRMFTGHTGNITALACSRDGKLLASADDHGSILLWDLAPGRLLKRMR GHGKGGIWSLSWSVESTVLVSGGADGTVRVWDVTGPAQDSTQGRVVGEGGAGTKVDGG NAPASGTQASSSVAPKKKGKDVVVTPDQISAFPTKKSPVYKVKFTNMNLIIAGGAYLP AOR_1_1418174 MSELQKSFAKAKLAKLPPEAPPFSMHPPRDEDDSESASSTGTVV PSPSRQLFARSRGSNSVETLNWTDFFTQELFLIQETDSARITHHVYLTPPTNSGPLFV MHHGAGSSGLSFATCAEEIRKILPKAGILSIDARDHGQTSTYTETGEGKVELDLSLET LNRDLVFIVRETQSKMGWESLPDIVLVGHSLGGAVITDVAKKGELGPKVLAYAVLDVV EGSAMDALQSMEKYLSTRPTRFPSLASGIEWHTRSRTIRNRTSARVSVPSLLYEEAAP TDPSKPWVWRTNLAETKPFWENWFIGLSKKFLEARGGKLLLLAGTDRLDKELMIGQMQ GKYQLQVFPEAGHFVQEDQPVKTAQVLVDFYKRNDRSALVLPPKVADMQASAAMKQGA EAGAVPPFGRGQGSSHKP AOR_1_1420174 MVALWNKKKDDPDREESQTRDEESSSRNHREPQYREPDERTRLL PRDHAAYLRPDDPAVSPYNLWSVRALRALSSLFLVISFIWWAFLLVSIFVSPPMMHTR GSGFFSFAYTSLTVGYLIIALLFFSVPSKPMTICGAILFVFLLVDMCIILGVAHIRVE EGWVGIASVVWATFISIYAIGQNRYVAWGKKEEEERLTGREETRRPLREWLAVLIATI IMVVLAIVSILFTATLIIRIQDSSLAAPGKKYYVNGNHYQVHLDCVGNPGRKTEDGDR IPTVLLEGNHGPVEHTLQPFIDDAYRNGSIERYCYWDRPGYAWSDNAPSPYSAGMAAD SLSEALALAGEEGPWVLVSAGVGGIYSRIFASRHLLEVDGIMLIDTLHEDYLDSLGSP GRGFILWIRGVLSPLGLDRLAGAIFKGRTREDRVIGRSAYQTAKVIRAKLQENLVAKS MTASEIQTARHVQMPDTPLTVISSGVEVRKSEKWRKAQEELTKVTKNLKNWDIVRGAP HEVWRSAEGRSIVEKRLKQMVKGKGKK AOR_1_1422174 MASRPIVLRFEGRNGQFRLTVSPQELFPSLKQKILEHLPPDTEP SSINLSNKPIGTGGDERLLDTLDGIALGTVGLKHGDKLYLGYQEKQSLQDGSANGHIT NVSSRRLNGAPVPQTETVSLRPQPTSPTAVIKNPWDVVQQSPLDDALDKKDGKIKRNR DMKMCKHGPKGMCDYCMPLEPYDPKYLAEKKIKHLSFHSYLRKLNAATNKAELKSSFM PPLSEPYYRVRRDCPSGHPSWPEGICTKCQPSAISLQPQEFRTVDHVEFSSPDLINSL LDFWRKSGAQRLGFLYGTYEEYTEVPLGVKAVVQAIYEPPQVDEIDGVTLHEWHNEKE VDEVARLCGLEKVGVIFTDLLDAGQGDGSVICKRHIDSYFLSSLEITFAARLQAQYPK ATKWSRTGRFGSNFVTCVLSGDEEGAISVSAYQASVAAVEMVRADIVEPSAEPSVMLV QSEEDDSENKSRYIPEVFYRKINEYGVSAQQNAKPAFPVEYLLVTLTHGFPTESSPLF VEGNFPIENREVIGESQELRHVAKKLVSHGDPDKAIRAVSDFHLLCFLHSLSTFSKDE EALLGRVATKHDPADGVQLISTPGWATLVTILQESGS AOR_1_1424174 MIGPGDAGGAMDIRLDPRLLNSGVERWRGSPNPSWSTIFSKERD FTYISVLTLSGRYFNSSTISRLRLPPIPPLIKSKLLTVAMAPKVAIVYYSMYGHIAHL AEAEKKGIESAGGQADIYQIAETLPKEVLDKMHAPDKKDHPIANPEKLKEYDAVLFGI PTRYGNFPAQWKAFWDHTGGIWATGGYWGKYAGLFVSTGTLGGGQESTAIAAMSTLAH HGFIYVPLGYKTMFAQLSNLQEIHGGSAWGAGTFAGADGSRQPTALELEIAEGQGKAF YEHVARVNFA AOR_1_1426174 MSAEVSHAASQILASGSERDPSYNDFSFIPFLRNSFGFGLACDV PVCKAYSEGHCPLGPACPDRHPTPSRVTTSTTTASGLAPSTTHGSLVCKHFLKGLCKK GLKCEYLHEYNLRRMPECQSFSRSGYCPNGDDCLYQHVREQARLPPCEHYDRGFCPLG PLCAKRHVRRRLCQYYLAGFCPEGKGCADAHARWIENLPKPSIRVEKTEEELERERIL IREEQEREKEREREWRSERGRGGGFMRGRFRGRGRGL AOR_1_1428174 MPLSGGIFPHWRRKAAERSSNSSYEVPYYINAAYYPNWRIYRKQ PPSSLRLGFVSHIFYAFAWVKEDGTVYLSDEWADAQMPVDGTQGCIRAFTQLKPQYPK MKIILSVGGGGKGSENFALVARSQSRTETFVRTARALVDQFGLDGLDIDWEHPADPQQ GMDYVRLLAKLREALPLPRFVLATCLPAGQWALRNIDLSKASLYLDLINLMTYDFAGP WTNESGHHAQLYSPSRNPGAVSCQSSVQYVISQGVDPKKILLGVPAYGRSFLGSEKPG QRYAGTGGEDGVFDYSDLPRPGAKEHHDDKLGAAYCSGGDGGFVTYDTPRTVQQKARF ATKTKLGGLFYWHIGGDARGPRSLIETGYNTLHEM AOR_1_1430174 MGVNFKVLALSALATISHASPLLYPRATDSNVTYVFTNPNGLNF TQMNTTLPNVTIFATGGTIAGSSADNTATTGYKAGAVGIQTLIDAVPEMLNVANVAGV QVTNVGSPDITSDILLRLSKQINEVVCNDPTMAGAVVTHGTDTLEESAFFLDATVNCR KPVVIVGAMRPSTAISADGPLNLLQSVTVATSPKARDRGALIVMNDRIVSAFYASKTN ANTVDTFKAIEMGNLGEVVSNKPYFFYPPVKPTGKTEVDIRNITSIPRVDILYSYEDM HNDTLYSAIDNGAKGIVIAGSGSGSVSTPFSAAMEDITTKHNIPIVASTRTGNGEVPS SAESSQIASGYLNPAKSRVLLGLLLAQGKSIEEMRAVFERIGVA AOR_1_1432174 MSSDKPEVTEVGMVASPAATKPSFKSRVAAHFKKWWWAHLIAFV VVVLVVALPVVYVGYPNIAQDNINDSKLEVKSMVISEPTPNSFHVDQQQVIWTDSVFH PTIYSFNASVGLLGAAAFGVATIPQLKSRDGVEVHVDQRLDLTDVSAFGDFATAVMQN EYVDLNVYGKPDLKQGALPKITVTYNHTATMKGLNKLKGFSLSGMHLTTKASDGTNTQ GQVLIPNPSVMTISLGNVTLDLSVNGTAIGESYIQDLVLKPGNNTFDMRAKVDQLAII GMMKKYPGTVVPVDITGSETNSSVYDGQALSYFSRALASNKLRVDLNITEVVGSSSSL S AOR_1_1434174 MDARPRFGELRSPSHDTLRSGLPSPRIEHFDGEIPPTLSPLDAF AAQGRLLAKQLEESARRDRRMSRLPPASVARSLSQPRPGYFRSPSSNDSSRSGRGNDL TRQPTLKANPELEEPKFRPQSEHPRLSGVPNFANGDALHDDANRTLENEPQVVSSEED FLEATQTGSSQEDTAKGVVPEAPTRFYAASPPSMALTGSPGNSLTDAASSRLNIPRGL APPVSPRSRPSSSNRALQPESSDDDYSSSTAGSTFSKPRKLSSCSATSLPQSPMTSMN RSHRRSPSLNSEASNNGGHPPRPSYNFSRPLSRSSTSLSAPVPTGTSEQTQGTKPRGS KPQPIVVPSVADMAKSMREEPSSAVSYTYAKYPLPRGRQVSRDSVVFSGLHTPHFEWQ EPLFESPDQLSATGPPRSSRTPSPPPSRHSVSSKKARSMYDSPAFGRQLLTPEMLPSA PQVPASPEARREPALSSSPTPSSAPAPEAPTNEEATDVTSSADSASTVRPQTAKTNAS SAVITADEHVTKGIECHEKGSLQESTYHLRVAAKQDHPTGMLLYALACRHGWGMRPNQ QEGVRWLRKAVDSVGLELMDDSNPAMPSRVRELQKAYRAQFALSIYELGVSHLNGWGI EQDKSLALRCFEVAGQWGDTDALVEAGYCYSEGIGCKKDLKKAAKFYRQAEAKGVNMV GNSWIHKDKYLSDENPNTGSRGRGRHGGTPDKKQRSKSRTRSLFHRKKSTAAEA AOR_1_1436174 MEKTAKMRRLPPFNSLMGADPSLDSQHCFETSWLLPPLAYAIFR GAIALYIFVTIFFIWGWDGTHGNREAIGQSFSYFTWLTYWGLGFYHIFACIHTTLYAL TGRSVLFDRWPRGLRALHSLYYTTITTFPFLVTIVFWAILHPSWFDVTFNAWSNLSQH GLNSLYALLEIILPATNPHPLMTFPFLVLLLLLYVSLAYLTFHTQGFYTYSFLDPGVN GEKSGKVAAYCFIILAAIVIIFGITWGVIWLRRKLTGGKVKRSRFDHERPIEMRETEV AOR_1_1438174 MTSDDQFFFDYLASIPHDVRRYSLDVADSIDRQFDHAAKVIRDT LSHQSWLPSSVRPTPPPSRVRASQSLVDRAHDWILRNRAWSAAILAFVGTTSLLYFGN KKLGGRRRKARRAGNGARKEIVVVAGSPHEPMTKAIAVDLERRGYIVYITVSSADEEQ LVQSENRMDIRPLWLDLTATPSSTSEIHPSLTEIHSLITQPQWPMPGVPPHTCQLSGL ILVPSPNYVAGPLATIPATSWADTVNTRLLSPILTTQLFLPLLTARNTNSTIVLIYPS ISSSLSAPFTSPEVTTARALSGFATSLRRELCLLQHSNIDVVELKLGNIDLGPQYRNA QSHITGTEVLTWTTQQRSLYGSQYLSSIEQRPVASAGPSMIRGSAARTLHYAVLDALE PASKDIFGRKKSKTPVIYAGRGAWSYSIVGDWAPNFLVGWMLGLRSGPTTPSHSPSGS SSETSWEKV AOR_1_1440174 MREIDPLVFEYQHDRHRPRESEALTMLKKIASLVKPIMRRRTWK VGTLSEFYPHQQNLLGLNINRGQKICLRLRYPYDERQFLPLEQVVDTMLHELCHIVHG PHNREFHALWNQLRDEYEELLMKGYTGEGFLSEGKRLGGRRIPLHEVRRQAKAAAEQR RALSAGSGQRLGGAPVLRGTDMRRMIADAAQRRIDVTKGCASGAENSNELAEEASRNG FRTKAEEDDANEQAIMQAYIDLIEEEERERYGPSYVPPSHENPAGPRSTLSPPPVPER TRPTMTPQPREPIDLTVDDSLFEASWTCPTCTLENPANFLCCDACTAERPRPSNRRSV SGPAKIQGSSGSQNKNKRRISYLDSQPTFKNRTNAVETLAALERNTAKRPLGWICQFC ETFMEAEWWTCSNCGTVKASS AOR_1_1442174 MVKSYLKFEHSKTFGLVASATSNAIWARDDEFAGSARQTGSGRA IVGAGEEVLCWDIKKGELLGRWQDSSCKAQVSVVTQSKTDEDIFAVGYEDGTIRLWDS RTATVMISFNGHKSAITHLAFDSAGVRLASGSKDTDIILWDLIGEVGLFKLRGHTDQV TSLHFLHAGFLLTTGKDSLIKVWDLSSQHCIETHVAQSNGECWSLGLSPDQSGCITAG NDGELKVWSIDESALIEISKEKVGSEDRRILTERGTFYRNGKDRTIGVSFHPRADYIG IHGSEKAVEIWRIRSETEVQKALARKRKRRKEKEAQRAAEKEGVAAEADNEKSDDISS APVTEVFVPHTIVRTGGKLVAATTNNQLEAYTVNTAKGKKDEDEEDYNRNLAVDIPGH RTDIRSLALSSDDRMLASASNGSLKIWNVRTQSCLRTLECGYALCSAFLPGDKIVVVG NKDGQLEVFDIASSTLLDTINAHDGPVWSLHVHPDGKSVVSGSADKSAKFWNFQVVQE EIPGTKRTTPRLKLVHTRTLKVSDDILNLRFSPDARLLAVALLDNTVKVFFTDSLKLF LNLYGHKLPVLNMDISYDSKLIVTCSADKTVRLWGLDFGDCHKAFLAHEDSIMGVAFV PHNRDGNGHNFFSASKDRVIKYWDGDKFEHIQKLSGHHGEIWALTISHTGEFIVSASH DKSIRIWEQTDEPLFLEEEREKELEEMYDNNLVDEEDEEGEKAEAVDAGKQTSETLMA GERIMEALDLGMEDLEIVREWRAMKAAQPNIAPPDRNPLYLALGNISAEQHLLNVVQK IPAAALQDALLVLPFSKVPALFTFLNIWADREWNISLTCRVLFFMLKTHHRQIVASKM MRPMLDSIRSTLRRVLARQKDEMGFNLSALQYIGNQVKEQGTKDYVDEEQWEEQQKTT ATGKKRQFISIA AOR_1_1444174 MLLLDYHNVLIHALLTERFSGSPPVSIDQIASDFDGVTFHLSTP ESKTKILISINVKCFRELVQYGAQQVLEREYGPYIVAPEPGYDFSVLIDLENLPAEQE AKDDLIMRLALMKRNAMAAPFERAFDEFAKLSEEASKYSTEAAPQGVKEGGEVMAIHY REEEAIYIKASHDRVTVIFSTVFREETDRIFGKVFLQEFVDARRRALTLQNAPQVLFR NDPPLELAGVPGLKDSTEGQTSYVTFVLFPRHLTPQRRYENISHIQIFRDYFHYHIKA SKAYIHTRMRKRTADFLQVLNRARPENEERERKTASGRTFRVQS AOR_1_1446174 MSTEQAAVQPIDPIAEYYDLGSFRRTITTKSPEAQEWFNRGLIW SYSFNHDEAKRCFMQAIAHDPSCAMSFWGLAYATGPNYNKSWRLFDPRDLEDSLKICY NASRKAKELLSSTTVTPVEQALINAIQARFPADHPASYDFPTVDKAYANAMKEVYQHF GDDDLEVVTLYADALMHTALRKMFHVQSGLPIAGSPVHEVRAVFDLGLRHPSADKHPG LIHFWIHYLEMSATPAVALPAADRLRHLVPDAGHIHHMPTHLDVLVGDYRRSIDSNTA AVLVDEKYLAKNGAKNFYSFYRLHNYHSLVYAAMLAGQSKVALRTLDQMESSLTDDVL RVKTPPLADWLEFFKAVRIHVYIRFGLWDEIKNLPLPHDQDLYCVTTTMTHYGKGIAW AATGNIPEADKERELYHAAAERVPPTRKDFPNLISDVLKVATAMLDGEIEYRRGNYHR AFESLREAIHHDDSLMYTEPWGWMLPTRHAYAALMLEQGHVEEAATAYAQDLGLDDAL TRAHQHPNNVWALHGYHECLVRLGRKAEARIIKQQLDVALSVADIQITSSCFCRLGVP GAKEEVPACHR AOR_1_1448174 MSNIHKLKRALPWVQTPILINAPMSGAATSDLAVAVSRAGGLGQ IGFLDSKRSLAGQLERAKHELHDIMNAQKDIPEPVLPVGVGMIVFGSPVAHWLSLFSK YKPAVVWLSFATTAEFKVWAEGIRKASPYTQVWIQVGSVSAAVEAAQACRPDALVLQG SDAGGHGHALGASVISLLPEVADVLRDRGLDDVSLIAAGGIVDGRGVSAAIMLGAAGV VMGTRFLGAEETKLPRQFREAVFDASDGGQATVRSRVFDEMWGPSPWPEVYDGRCLRN SSYEYVKRGMSMSDMRLQLHRDLQGTQGQQLDFKETVTVWAGTGVGMVKKSDRAADIV EQVQCEARRRLQDASSWL AOR_1_3124174 MLPIKAPVKLACLPCRAIKTRCDGQNPCTNCYNNRRECRYRPSR RGGARKGNAFKAKKRAIAATNTPSPFLSLDPIETQGLPYALANIQDVRDLSVGDLTSD ENSNPAFELGSLAIRAYGCEADLINAYYVFIHPYFPVLPPPAVAQYEDRPFAISLRTV QANQTFLPYWPTSPFALVLSAILVLFPLPEDPHSMSETAASARRSYAELYANAAMSSI ENWSDLPEPATRLTSTAGGPTRWSSLHPDLPWKLESILALVLLGMYELCQRGNFSKMR ARTNQALTTAVDLSLHTADPDEPGCSDAQSRAWWMTMFLSYHSSILSNSSPIILIDDP RISTPYPVFRGCLEPWPLLAKAQDTLLQTCNLAKELEKEDSRSSQSPTLIDRIRELNS RISSIAAESDRYRCVTNRQGAECFAPRNLWAIASAIIHSARIRLHRFRAFLDHPLFLG EHCGLTSINRIDFLNSSHPLSPSRITKIHSTFPFTEQESAAICLKSSLAVSRVFRHLT PPNLYYTDAPSDNEASPRSSTSRFCPRSLPYMACCQMQSFYALTMLLRRVRTSLSSGD LQACYHLLSQPEPGSEVQDAERLVEELRNGLESLSASMRADVAFEGVVGMV AOR_1_1450174 MGSVENSPVPASAEYYDLGSFGHTITTTSADTQTWFNRGLTWCY AFNHLEGAYCFEQAISHDPSCTMAHWGLAYALGPNYNKGWDRFDPQDLAVCLKRAFAA SRRAKELSVHALPWEQALADALTLRYPSETPLADYSVPSAEYAAAMKSAYEKYGSDLD IAVLYADALMNLTPWALWDLFTGQPVKGAPTADVQRVLERALEQDGGYQHPGLLHLYI HYIEMSPTPELGMNAGDHLRDLVPDAGHLHHMPTHLDILVGDWRRSIASNYNSTLADD KFLRRAGAVNFYTFYRLHDYHSLIYAAMFAGKLKTAMDAVARMEASVPEEVLRMKSPP MADWLENFMTVRVHVMVRFGMWEEIIQMELPHDQDLYTVKTAMVHYAKGVAYAATGRV GEAEQERELFQRARTRVPETRRAYNSKCSDLLAVASAMLDGEIEYRRGEYEQAFDSLC KSIELDDRLPYSEPWAWMQPTRHAYAALMLEQGQIEKAESVYRADLGLDNTLIRPRRH PNNVWSLQGYHECLVRLGKTEQAAMIEPTLRLALAVADVPIKASCFCRLDTSQAPEVI KKGCGDKGRCH AOR_1_1452174 MSDRLSSLPLEIVLQILSYLPFNSVLAFGETCRTNHRSHILCLR CLRLGVFEKRTHSIISCLQAGWIKADQVGAELGETGSDYTISIIRPKVKPVKQPPPSK HLSKTKDAKLRYPTEPPVMQEHIVRTQNRIFSRVVNRYGPSLVKLEFMAYDIDIESAM ALGTRCRYGLRHLALRFEHQHIRDGHIKPSTWRYPAPCSVAWNLLTGIGQYKNIGISG LQTLILERSGITPWQLTMLVKKNPNLTVLKLKTCHGAQPDFLNWLGGLEEDPDDPAET VNGYAPGYRLEVFWLENCHEILTHPVDDYEDFPKEACDSGLEWVRGLRNLKSLSFSKC MNIPSESASHFWDSALNKIFPKRASRSRPDERNTFLSFESSTASEHTDGFYMYSQALL EQENRRREALLFRQSDSTEASYSSALMAPIPRPALPYTISSPEWNIFSEAVKALEDAI DDDASDWLHSVLSVFSKVYTVAKIIVTILMYYGIEVEDIPDSVPAHIRRAEQIVTSNS ITDLIEAAESLYYSVYARLIMEIANVDLSTYFNLELRRTSRKDGFTLPEPDHLLKILA HCKDTLEAPTVCNHVNEDLIKFHQDEFIRRAMERAIGTGFFLDDLLGYRRYTMGIVSD TSSEFRSKWNHDTFLYQIPPEEILTVQSEKYLSFIPKPEAVLSFSGFDLPFIDRNSVD PGLWEGELVKDHRQSALAKMEGKGIGDVRRVDERRRPSDYMKERKCVCRSSCICSWEC TSDPERPCPCADRMMQIMLAKRRKAPGTRDFATRCGSLAKAIFECASLIKRDIDDIEI AMELDRAFTLVDSEIEAQRRTPLKTNGVKSSSEDRSFDMG AOR_1_1454174 MVPKTVSTSATVLISSSFSSPLSRNPQWIANFRRPRTGVRRYNR AAWPSYGITTPVPDRPPQFPSSGSRKSPFCFQTGYALCAKRPSRPFPPPFLSPPSSSF SDPLTTHYHSQDKRLSVKGELVRGLNNGDDAVLVADNFLGVDDGVGAWATKPRGHAAL WSRLLLHFWALEVERGVNNNAPLDPVEYLQRAYEETVNATTAPSEWYGTTTSVTAILH WTCDDAGNEKPLLYVTNIGDCKLLVIRPSEEKVLFRTKEQWHWFDCPMQLGTNSVDTP RKDAVMSQVALEEDDVVLAVSDGVLDNLWEHEILSITLESIKKWNQGRHDNTDLEWAP PEVLAEERMVFVARELLKSALAIAQDPFAESPFMEKAIEEGLAIEGGKMDDISVVVGS CKRRAS AOR_1_1456174 MRAKRSKKYRKLMHQYELAFGFREPYQVLVDSNFLNAVHSFKME LLPYLERTLQGKVKPLLTKCSLAVMMANQPINPRTNNPVRPAQLPPPTVLPLRHCSHN EDDTPIDEAECLLSLLSPSADVKRNKEHYILATADPATPKAAPQNDKKRKRGVDEAEV ALRKSRMFRSAARAIPGVPIVYVKRSVMVLEPMSSLSEELRDGYESGKFRAGLNDDAV PKRSGDGEKKKKGFKKVKGPNPLSVKKPKKRDTESARPAKKRQAEDGEGKVSAERTED GDSAPKAKRRRRHHNRGTKNEGEDGGDAAPAVTMEE AOR_1_1458174 MHSHLHTPYNANCEAIMTALDECHAKGFLHKALGNCNDIKRDVN KCLAAERYERAKRNREAARENRKRIEKIWADEKAFEQGFSSTPAGVGAEKKE AOR_1_1460174 MSSMITTTSWVRRGVAAQMPIKYEIDEEEMNRISTLAKMQLEDA QSDLKAAQEGDEDDDDEAMEEDDKKDVMEEDSGKKTEATTADDDDLKEYDLDHYDSDD VDEDGEKATMFGNVKSLAYHQPEEEDPYLVMPPEEEDEEREELQILPTDNLVLAGKVE DEVAHLEVYVYEDHDANLYVHHDIMLPAIPLCTEWLDMPVGKTAEGRTTGNFVAVGTM EPDIEIWDLDIVDCMYPNAILGQGGEGEAKKPKKKKKTKANDEFHVDAVLALAANRQH RNLLASASADRTVKLWDLNTTKCAKSYAHHTDKVCSLDWHPKESTVLLSGSYDRTVVA ADMRAPDSKARWGVDTDVENVRWDMHDPNYFYVTTDGGMVYRYDVRNVPANPKDSKPV WSLQAHDDSVSAFDINSAIPGFLVTGSTDKQVKLWNVENDKPSMVVSRKLEVGKVFST TFAPDPEVSFRLAVAGSKGVVQIWDTSTNAAVRRAFVSRMPSLEGEVQERTVGVQADQ NESDEEDAVQEVGAAAVGADGWESMDED AOR_1_1462174 MSSLTRAFTKRHKRPEVSAPMPYREGQVKFSSGTINRGKISAPV ELLSTTNMLAYNAPDLHSAGSSSTSSLQSPDDSELSFAHQSFGSPVTTPSDSPIEPNP LSSYFPKRSATVTSHPRSSTSTASSTDAPLVPKRALSHTKRSHQELARQRSISRLSPP PLNSVRSSPAIRPAQEYSNPEPHPFGKELEQVNEVVEEFGGARVLDEEELVLQNKGLM KFTVDEYLVEIEDLYGSIFDDRMGPIACGQWL AOR_1_1464174 MVALATPSRNPATGIRQPSRSKMLATSSPVPILKTAKETPTAGI KHKMEDMNLSLSPSSSGSSDGEGLPSPRKRARVQFKDVEMVSFDERVQGNTGAPEKSA AVVREEVRRAIQRHISGTDSEAYDRIKEIFSVDPRRGEEDGMFSYDVPTHTTLRYHLL GLLSNVASLDRSCNGLVNAVLNSVWLGRDESYVKLYIRFLGNLAAAQGSYLGPVLKML VTYLGELPKGTGKLPGYAPVLVPEIYTRVHMAIRHIVHLIPSGSGSLSPVLSMQFPFD TDSPKANIAYTQNLIRVIGYAPELQADILALLTEKLVKIDVQIQVDMDDLDDEEEEEV LHAVSPGAIVFGEDDDDDNASVASDDSIDAESQRLKTIKDNVLKLDGMIDSLFAYYAP TFASGTLDDKENTLDLLLSHFQSIILPTYRSRHSQFLLFHFSQSSPDLVDRFTTACIE IVFNKMQPAITRQSAAAYLASFVARGAHISGEIVRDVFGLLGGHLRDLRDLYEPSCRG PDLRRYGPFYSTAQALLYIFCFRWRDLTTAATEGDSIEQVDELEIEDITFPPMVKEAL HQTIYSKLNPLKVCSPAIVSEFARMSQHLNLMYVFSILETNKRIRMTSFRNLAALADP RFSLVERETRAGDDLGYQLDAYFPFDPYQLPRSRHWVEADYIHWRGIPGDDQDESDSE VDDFESDDDLSDETGTDDE AOR_1_1466174 MDGVKRYYSSLETRLGNWIVYGGRAHLGYYPPDVWWPFPVHHAL IAMEDQVFRSLRLNPGARVLDAGCGDGQVAIHFAQKGLQVHAIDILPEHVQQAQQNVR EAIDKVDWNAKHNSDTVTPLDALTVQQGDYHDLQTEENGSLDGIYTIETLVHATDLNR VLSEFYRVLKPGGRIALYEYDHWGDDDIQQTNQSEKEAMDKVRLHGAISKTANELSQS LLEDRSEHVCSQKGLAGMLNKAGFEDVQERDISPNVNPMIRFLAYFLYVPSMIVLTLG LEAYFINTVAIVANYQRGWKYIAVTARKPATVSG AOR_1_1468174 MASSRWWLLVQAVFWRFLMRIGMFIHHISFPRPPRRSFVRSIPS GSSRVDLYFYCPPEYSRDIKEGRRFPVVVNFHGGGFTLGCPTDDSRWAQSVLAEVGAV MVSVGYRRAPEHPFPAAVDDGVRAIQYLSAHAIELGLDVSRIALSGFSAGGNLAVTVP LRLRSLMCQESRDPWLDRAESTEQLVDASASDVNIVALFCWYPILDFEESREHRRAAS IMPDKTLPEFFTNLFDEAYLPDLAERRSPYASPVRAADALLADALPHDIFFYICEWDM LLNEGQQFVRRLQNINKHVRAMMIEKVPHAWDKSPNPWRDQEQVDILYRDACADMKAI FNA AOR_1_1470174 MSIKRALSKIVPNKIDTNDSSPAPSRRSGSMSPRRSILSGFLRD RGGYASSSDDFSDDSSSPTTGTMSKNQQKRLARQQRRQERSRLSEEHHSEESERHKEA VAAAAREETAEMKARYGELPLLQSRSRPRELRTKFEDISVDSVGKEVLFTARLHIIRR MSAKLVFLVFRQQLYTFQGVLHETPGKNSIAMVQWVEHLRVGSIVQVRGTIQAPEVPV LGCTIHDVELAIDAVHVVVRREEPVPFSVYEAEIQTPEEERVEGRRSHIPDRTRLTNR LLDLRTPTSQSIFRIQSAVGNLFRTALDEQNFIEIHTPKLQGSATESGASVFEVNYFG RDAFLAQSPQLAKQMAIAADFGRVYEIGAVFRAENSNTHRHLTEYTGLDIEMAIEEHY HEMLEVLDAVIKNILKGIYGRFRREIETVKQQFPSDDVVWLEETPIIRFSDGIKMLND SGWRDEEGNPLPVDDDLHTRDEIRLGELVKEKYGTDYYILDKFPTSARPFYTMPDPDD NRFTNSFDIFIRGQEIVSGGQRIHDPQMLEENMRRVGINPDTMEEYMEGFRWGAPPHA GAGVGLERFLMLLLKLGNIRLASLFYRDPRSFPAKPPTLQLRHPESSTVEPSWVRDRK GHLAPDESHLQPIEHLIANYGDATSTSWGDERFKIWRDLATGAAISYVPSSSNYAVIP GDPLCDSGQYSRVITQFLQWMRRETKYKPIWLLCSPQVEAILGEKLGWRSLSCIAEER VDPSRNQAASDGEIARKIRHSESEGIKLVSMNQGEMVPDNIREKIDQRIQDWLSNRKG TQVHLSEIRPWRDHAHRWYFYAVDKEGNICAFVALATLSPSHGMQVKYSFDFPGSPNG VIEHIVTHAIQTAARSGVKSLTFGAGATTTLTPGHNMHGAKVKMLQHTYETLAKQFHL VRKSEFRAKLGAQDDPLYIAYPPHGLGSKGIRAVLHFFED AOR_1_3126174 MNHDRLAAASIEHSELSVMMLLQYLLLLCTLAFPAIANVEKTIF IAPQPLIIPTVDPTLDDLGLDRLSSSSPVLRTRINATFPTNEFPGTDSWYFLENLTPG QRYEVRVCWLATQPTAFTLTTHTLPQAIDDPALFSSISLYSQAHLASPQSNAVPRKSS SFHDQAPTSDSVLFLRVTAAADYFSLNKALMENVPPVAADIILDPFLWNIFPQSLVPT ACYICVVGCLAVVIAWWVLGELGRVVDYMNSQHPDNKKDK AOR_1_1474174 MREVISLNVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKKED PDHGFSTFFSETGQGKYVPRTIYADLEPNVVDEVRTGTYRTLFHPENMITGKEDASNN YARGHYTVGKEMIDQVLDKVRRVADNCAGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKSKLEFCVYPAPQNATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG IERPSYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYAPVI SAAKASHEANSVNEITMSCFEPNNQMVKCDPRNGKYMATCLLYRGDVVPKETHAAVAT LKTKRTIQFVDWCPTGFKIGICYQPPKQVPNGDLANLSRAVCMLSNTTAISEAWSALD HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVASDSLEEEVEAEY AOR_1_1474174 MREVISLNVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKKED PDHGFSTFFSETGQGKYVPRTIYADLEPNVVDEVRTGTYRTLFHPENMITGKEDASNN YARGHYTVGKEMIDQVLDKVRRVADNCAGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKSKLEFCVYPAPQNATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG IERPSYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYAPVI SAAKASHEANSVNEITMSCFEPNNQMVKCDPRNGKYMATCLLYRGDVVPKETHAAVAT LKTKRTIQFVDWCPTGFKIGICYQPPKQVPNGDLANLSRAVCMLSNTTAISEAWSALD HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVASDSLEEEVEAEY AOR_1_1476174 MADNTRHPNLNLTPEEKRIFYQLFQAADTTNLGVITGEVAVPFF EKTKLAPDTLGLIWQIADRENRGLLTPAGFGVVLRLIGHAQAGRSPSEELALQPAPIP RFDGIQVDTSAPTREAGATSPPPTTGPSIRVPPLNPDDVNKFLSLFEKSDVSRSGILS GEIAKQIFERARLPNEILGRIWNLADTKQRGALDATEFIIAMHLLTSYKSGAMRGIPQ TLPPGLYEAAARRGSSRASIGSRPGLDVPPVPAIPKQFTGPQRTQSPINRPFGSPVSA QSTGADWLITPHEKSQFDSIFSTVDSAKLGKITGDQAVTFFMNAQLPEETLAQIWDLA DIDADGQLTKDEFAVAMYLVRQVRSGKEPLPQVLPPALIPPSMRRPGSAQMAPGPIPA QATGIAQAPAPAPAVRSAADDLFGLDSLSAPTPAAAPAQVPQSTGGSNVPFQMPASPT SRASPPSTSTTFKPFVPTSSFGQSLQPQTTGASSGVGPTTRSPASPSDDLLGDNDPEE SNKLTQETTELANLSNQIGSLANEMQNVQAKRTSAEQELSQTSQQKRDFEVRLSQARA MYEQEVKNFKALEERLNASKAETNKLQQEYALIEGSRQDLQNQYDQVSAALTADQQEN ASLKEKIRQANAAVSQLKPALEKARSEARQQKGLAAINKKQLATVEGEKEKLQEEMDS LSKEQTYEPDESAAAASAIPRVSSPAASTTSQNTNPFFKRQMTGSSESNALSPQVSND QQRAFDSLFGPSLGAPVNTATPPPPTSFRADSHPTSIKSATSGAPTPSASPPPPATAF FNEPPAPPQSRQLTPNVLPFGESQSATSSTMVSPPASRYDTPDLSATSQAGASEAGPT STPAFDESEELKQKFPEIPGATEQFANAAVSPSNEEQPVEKKDPSFDELFGGPAHQRS KSQNKNDFEEAFAAMKQGSVPNKPNGAPAASEFPPIRELDDDDDDDDSTDSEAPMGFD DNFTPVAPPQSQIASKPPAADSQQSPPKYEESLEKEAAGEAPEFNGLLPKREDPTAFP DAPHSVESSTGAPVVHGEPQREPIKETAVPPTQKPSAPDFEAAFAGLNLAPAKESEDD DDDFETPDNKNNHDFDFSFDSPTQRKASSPGPSAGNAASSDFFSFDKNVAHSSPGGAA SPSNSNEKPQTHDWEALFAPLDNVQSPGAANGTNTATATSPTGHDGKAPGWALQTGTE DDQILQRLTGMGFPREESLAALEKFDYNLDKAVDHLTSKS AOR_1_1478174 MPNIQHSLDTTGAPHGDGASSSQDYVDPKEQFVTRASAEYKSFT ENVEDGEDVENIDTLIEELESLDGNLDYNDSGQDEKSTPCCISEELLQTDPSTGLTEP EVLLRRKKYGLNQMKEEKENLILKFLSYFVGPVQFVMEAAAILAAGLQDWVDFGVICA LLILNASVGFIQEFQAGSIVDELKKTLALKAVVLRNSHLAEVDASDVVPGDVLEIEEG TIIPADGRILSSSVLQVDQSGITGESLAVDKADGDTCYSSSAVKHGHARLVVTATGDY TFVGRAAALVSAATSGTGHFTEVLNGISIVLLVLVIMTLLVVWVSSFYRSNGIVTILE FTLAITMIGVPVGLPAVVTTTMAVGAAYLAKKKAIVQRLSAIESLAGVEILCSDKTGT LTRNKLSLAEPYTVPGVTSEELMLTACLAASRKKKGMDPIDRAFLRALKGYPEAKKAL TQYKKLEFFPFDPVSKKVTAVVQSPHGERIVCMKGAPIFVLNTVKKDHPISEGVETAY MSKVADFAVRGFRSLGVARKCSEGEWEILGIMPCSDPPRHDTAKTIHEAKSLGLSIKM LTGDAVGIARETSRQLGLGTNVYNAERLGLGGKGTMPGSQVYDFVEAADGFAEVFPQH KYNVVDILQQRGYLVAMTGDGVNDAPSLKKADAGIAVEGSSDAARTAADIVFLAPGLS AIIDALKTSRQIFHRMHAYVVYRIALSLHLEIFLGLWIAIMNESLNIQLVVFIAIFAD IATLAIAYDKAPYSRTPVKWNLPRLWGMSVLLGIVLAIGTWVTLSTMLSGGEQGGIVQ NFGKRDEVLFLEISLTENWLIFITRAEGPLWSSVPSWQLTGAILVVDLMATFFCLFGW FVGGQTSIVTVVRTWVFSIGVFCVMGGLYYLLQDSKGFDNIMNGRWPGSKASRQRQKE DFVVSMQRTSTLHEKSSLNGTDTGTELPR AOR_1_1480174 MNREIPGFYYDPEKKKYFKIEASHKAPAGSQYSKDAVKRKRKDQ EKRQRKVHLTRRIAKEKIKRAAFLANPLIGAEREIGTQLVTKSSRQDQRGLLYASQLR RNQLHQFEPWPDEYSIKHVLRNQRSGILVASGHRGGESSVSICFPDCDQEKWTYNRTM ERVLFKEPYRLSSISLSHTGYLLATMDSGPNGDSFLAPRMLPDPDEGGDYRWPPSFSH PVRLRMASSLWCSAPCPVGTMPFFAIGTSDGLHTLEGFGSYWALSKKSFANDVYSGKP ILHRRIDSSHALVTSVEWLSAQVIAAGLKDSAIFLHDLRSAGTATRLQHSHAVTKIKS VDPYRMVVAGINSLKMYDIRYPANGLQRNPNPNSKHHTSTKPYFSFSDYSPEIIPDFD ISPELGLLASASDERKIQLFSLRTGEQVPSPLSKYQYDHSISSVCFESGNGSLHGPQT PSILVCAKDTVDEWIW AOR_1_1482174 MLPIEIPRALSQNWPCRELQTRQLASLLGPLGPSPATLVVHGIS ATCKSTIVRAVLSALEVPHAIVRSTECITGRHLLTKILWATLEALGKRDEWENYGKGR CEHVSTLAVLLSECLASRSGKAIEKFVLVLDGIDKQREAPQTLLSALARLGEIIPSLS IVLILSATPRPLFLQAAGVPHISFPPYTRNEAISIILNSRPPTVTGLSAEVPPKIYPH FVSAIYDSLVGPTASSIPTFRSICEKLWPQFVSPITNGETPPGGSEEWDFSRLLLKNR GLFRHHGEAALVHHIVTEEPTSFTNGSMSKSMLPAVSTPSPLPSLPYFPTLILTSAYL ASHIPQRLDTIFFSKFSSSSLSARNKRAHHRRRLKLLSKAQAEDARTASNDPSTPSKK GKRQKTRITKSTLESAFATSSATTSAAGATGITGPSTILTARPFPLERLIAIYHAIDP NPPANPILQAAVSDAIYAELATLRRLRLVVPAAGRESGGRMGLGSGGLNSGNTTSDAG EKWCVNVSGDWIGEMAKGIGVEVGEWLAGGLD AOR_1_3128174 MAFKNGLSERLDELRFPSPRSPTSESPFPGYNSISPGHSNLASA FSRPSGDVRANLQRRFTTDSSKLSSWSYLNHAGNAPQMPDPLDLLSSFKKKRQHIEYM REQKRRFEEDMKLLDMQHEKEKLEMDRIAKDLAKAGISGPVSEPTTPPEYRENSFSSG FTRPTRFSTSSVTSSPGFFNVFAPSQATTPQGQVNPSAAQTPTNRFSVHSVPGSRRNS EKEDFGQEPTSPFRPGPAIHRYSMPSAGFGSQLRPNISGFNNTSGLESFSTSKYLFHN EDDRATLKEEDRIPTPDIKSYLKLTDPDDKFPTLSRRDDSGLLSANSDALDLANSRTP NPETWNSHSRHRSSHQSMPQNALNMFRLEQMGSPTSEGHSNTSRHAARHSLEANLLYS AEGSHEGMTATSSNRPNSLQSSYSTNDLPTVKGDGFNPAITPPKTHAEHFQQHNANMG RIPASAVNTRQQKDSPERDDPNMQGSRSQQTTLQPNATPFGPQLTSAASISTAAPASL GTFQQPFYGYGVQPYMGNPLPVNGQLQSYNPGASYGAFPAYGNYRLAEGPAKTMGSRR SNGEGESAQLSRFTNFPLEHYRGELYGLCKDQHGCRYLQRKLEERNPDHVQMIFDETH LHVVELMTDPFGNYLCQKLLEYSNDEQRTALINNAAHQLVKIALNQHGTRALQKMIEF ISTPEQTQTVIHALEDHVVELVQDLNGNHVIQKCLNRLSAEDAQFIYDAVGANCVVVG THRHGCCVLQRCIDHASGEQRARLIAQITSNAFALVQDPFGNYVVQYILDLAEPHFTE PLCQTFRGNIPALSKQKFSSNVIEKCLRTADGPVRGQLIEEMLSGSELEKMLRDSFAN YVVQTAMDFADSETRTRLIDAIRPILPSIRQTPHGRRIAGKMMAAEGSGRGSATTSGQ VTPNEMNSAQLPGPLQGNQKPFLYQHPSFPIGSAQFGVGSTTNTPSGGPNETPSGIFT PPVQHSNGSLNAQGQLYAYF AOR_1_1484174 MAGIPGIDLNEHQPNPRYLFRQPVALQWFENGRLMKRHEEERQA GRFELFLDLLYVAILANFAETLAEDISGAKLVKYILILAPTWHVWADLRELMNSFYND DLLQRALILWIMAVLVMYGNNASLVDEDLGAMRATVGSYMVARISCSAAHLLYSFASY HHRKQQRLWVSLTLISMFIYIPLYFESVSLRGKIAAAAVGVVFEECSWVFCYSPAAKR MLKAKYTTAVDIAHEVDRYAAFYIIVLGEYLYQIVVGSPAAVGFNLSLLHAIWVLIIA FCLNWLYAHNDGALQSTHPFRYSVYTAFGWAVLHLPLVASLLASGHVAAASAAEDRFR DPERWLLCGSLGTGLYCLYGLALLYEDRDAPGTLMLSKHLRMIMRPVIGLIIILLGLA KDLDITSMMSIIMALIVFCLIWENITSLHCHAGIWEPWNNTIYPEQRVASDDSNVPVI RDESSDSARA AOR_1_1486174 MGLPRKLPPYVVASMLCSCGGLLFGMDTGIIGPVTTMDSYVSQF GSQSATVHGLIVSSILIPAAVSSFFAGFLADKLGRSKGISIGALIFALGAALEAAAVH IAMFIVGRCVEGIGEGLYLGTLVVYICEISPTSVRGVLTTGPQLLITLGLVVGFFTCY GTARIESSFSWRTPFLILACLAVTFSVVSWLWLPPSPRWLRIHGRETEATAAWDRLGV THAEREKMEVEEDRETNMQRRAAPETGNASTVERIASGPRPQIQSVKDKLFDIFSKDV RTRTALAVFLMGMQQLSGIDGVLYYAPLLFQQAGLASTDASFFASGVSALVIFGVTIP ALIWADRWGRRHSTIYGGIGLSITMFLMGALYAGNAVHSSTGAGRWVVIVSIYIFAVI YSLSWAVGIKIYAAEIQPQRTRASATSLAHGSNWTANFLVALTTPILLSRSSFGAYFL FGGCTLITALICAIFMPETKGRSLDEIEEAFKSKSLGSQSFVKIIRPITRQTS AOR_1_1488174 MPPRRKPQAPAARSADATTASRRAATGPSSTQKRPSVSKQASNP TQPEPSVEEQSNQADEHPHVPYRHRDPFDALLEPFYYNKSLTDPINTAKDKWNLLPAF LKVKGLVKQHIDSYNYLVEVQLKKIVESSSIIRSDIDHNFYIKFTDIYLGAPRRADEQ QDVGPGFTGSTVSPHECRLRDTTYAAPIQVDFEYIRGRQRVKKTGCSIGRMPVMLRSS KCVLSDKTPAEMTVLDECPLDPGGYFIVNGTEKVILVQEQLSKNRIIVETDPKKEIVQ ASVTSSSNERKSKSYIVLKKDKLYVKHNVLSEDIPIVILLKAMGIHTDKEMLLLVAGI DKVYQEDFAINFEEAIKLGIYTQQQALDWIGSRIKINRGKQNNYRRTHVQEAVEAIAS VIISHIEVKDMNFRPKAVYVAHMARRVLMAKNDPSLVDDRDYLGNKRLELAGQLLALL FEDLFKKFCFDIKMNVDKVLNKRNRAEAFDAWTVMSMHSNHITQGMNRAISTGNWSLK RFRMERAGVTHVLSRLSYIAALGMMTRISSQFEKTRKVSGPRALQPSQFGMLCLADTP EGEACGLVKNLALMTHITTNDEEGPIRNLIFMLGAEDISTLGGKELYGPGCYTISING TPMALTRRPKSFLNAFRRLRRMGRISEFVSIYINHHQRAVHVATDDGRICRPLIVVEN GKNRVRSHHLKKLREGTMSFDDFLAQGLVEYLDVNEENDSLIAIYEKDITEATTHLEI EPFTVLGAVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIASNQFLRIDSILYLMVYPQK PMVKSRTIELTKYDQLPAGHNAMVAVMSYSGYDIEDALVLNKGSVDRGFGRCQVFKKS VANLKSYSNGTKDILMPPEYDNDAPIRKHALLESDGLAAVGEQVNAGEVYINKSTPDQ SLASGFPGSDMGRPVKYTPTPMTYKLHDPAYIDQVLVSTTENENQLIKVLTRQTRRPE VGDKFSSRHGQKGVVGIIADQADMPFTDQGINPDIIMNPHGFPSRMTVGKMLELVAGK AGVLAGQHGYGTCFGGSPVEEMSQILIDKGFSYGGKDYLTSGITGEALPFYVFTGPIY YQKLKHMVQDKMHSRARGPRAILTRQPTEGRSRDGGLRLGEMERDCLIAYGTSQLLLE RLMISSDRHEIDVCEQCGFMGYLNWCQRCKSSRSVVKMAIPYAAKLLIQELMSMNVTA RLKLEDEFPETRGR AOR_1_1490174 MSQPNTRENPLSAYTKLSPSIYIQEPQSQSESNGAPKTIILAFW MNAPPRALSKYVTEYTRLAPTARIIIILSSSKDFMTRSGKKAQEARLAPAVQALQAFF SSSSSSEEKTKDQEQVFVHMFSNGGVFSTISLLTTYRKTVGRPLRISSTILDSAPGVA TVSGAMKAFSFALPGPWLLRLLSKVALWVFFVLGALVRRLVGMSDAVSVARKAMNDQR LVCGGEGGDSGKPRRCYIYSDADELVDWRDVERHAVEAEARGWVVTREKFLGSPHVSH MRADPERYWGIVKRYLLVGSG AOR_1_1492174 MFSSNYYEDDPMDGVFSSSMLRHGGATRRFDEYYRCYPVAMMPG PERENVNHGGKVIMPPSALDKLTRLHITYPMLFELHNGARERLTHAGVLEFIAEEGKI YLPFWLMQTLLLEPGDLVQVKSTDLPPGQFIKLQAQSTSFLDISDPKAVLENAFRNFS CLTKGDVFTFAYNDQVYEMAVLETKPATNSNAISVLETDLEVDFAPPVGYEEPQRPSG TSTPRSGVSATKLPAGGLLHPHGTMAQSINYAAIAPESTDAAAGAKAVSSNFLIGGQR LNAKKGSKAPTPKASTPTPGATNPQHPPPVRRTNGPMPLRLPPNQLFFGYAIKPVQKR DESGQVVEDEKPRFQGSGQTLRGKKKDTGGSATPT AOR_1_1492174 MVSFRGFSSNYYEDDPMDGVFSSSMLRHGGATRRFDEYYRCYPV AMMPGPERENVNHGGKVIMPPSALDKLTRLHITYPMLFELHNGARERLTHAGVLEFIA EEGKIYLPFWLMQTLLLEPGDLVQVKSTDLPPGQFIKLQAQSTSFLDISDPKAVLENA FRNFSCLTKGDVFTFAYNDQVYEMAVLETKPATNSNAISVLETDLEVDFAPPVGYEEP QRPSGTSTPRSGVSATKLPAGGLLHPHGTMAQSINYAAIAPESTDAAAGAKAVSSNFL IGGQRLNAKKGSKAPTPKASTPTPGATNPQHPPPVRRTNGPMPLRLPPNQLFFGYAIK PVQKRDESGQVVEDEKPRFQGSGQTLRGKKKDTGGSATPT AOR_1_1494174 MSDFQPRPKRAGEDFTRTHQHSEDDPNEPSGKKARFDLRNPSAL APDALEDDAVLDADEIGRRGQKVRRKAVNLDGYDSDSDNEGFDARAEVLAKKKQKENA ADDDDMFAELQEDFGAEEVDADDALRKNKKSVRFLRDDEIEGQVASSKGGGTLHADLT KGPNEIDDDEAESESDVADEDRARIDEDMDEELGAGSKKKHAPLLDAFNMRTEQEEGK FDDQGNYVRKAIDPDAVYDSWLDGVSKKDIRRAKEAAEKREADRKEKDRMDDSVLTGD ALKTIITHLDRGETILEALARLGKGAQKKPKWQNKRNKNRSKQNGDTEDTEMTEDDPK EVARKQAIDAITGAADILMTRGQADIYDSERELLTRQYRRETGEDWVDPPSQDTDPVS SEQTPAMWEFRWSDARDGGIVHGPYDSATMESWKNAGYFGEGVEFRRTTDEDGWNAQA SFVQ AOR_1_1496174 MAAQISTVAETKELRGLNLIAAHSHIRGLGVDADSLQPRTSSQG LVGQEKARKAAAVILQMVKEGKIAGRAVLIAGPPSTGKTALAMGMAQSLGSDVPFTML ASSEIFSMEMSKTEALTQAFRKSIGVRIKEESEIIEGEVVEIQIDRSVTGGNKQGKLT IKTTDMETIYDMGTKMIDSMTKERVMAGDIISIDKSSGKITKLGRSYARSRDYDAMGA DTKFVQCPEGELQVRKEIVHTVSLHEIDVINSRSQGFLALFSGDTGEIRSEVRDQINT KVAEWKEEGKAEIIPGVLFIDEVHMLDIECFSFINRALEAELAPIVIMASNRGQARIR GTTYSSPHGLPLDFLDRVVIVSTQPYSADEIRQILAIRAQEEEIDLSPDALALLTKIG QESNLRYASNIITTSHLLSQKRKAKEVSIDDVQRSYRLFYDPARSVKFVNAYEQRFIS DQGNVTFSAAANGGDAMEIS AOR_1_1498174 MSSEHTFTSNARDPRLNRPPPPYGTTSQDTQSRRFFTSPSASRQ YEPRADAPGDQFVQRLSDLIQAAVKTASLTSEKEVIQKKQSTTEGLLKRTKAQQGFPS TTAFFQQTWNDEGGHLARIDGALKEHLSRYDQLEKVLKANWTSSIIAGPSLVDKYDQL KEEIETTKQDAKRSKDEAISLRDQNRSLEDTLKSLQARMTILEKSLENHGTSLSQQTK DQSSRLDEISLEFEKRLESVSTKVEESVSTKFHVEVDEWQKQRTALGTELESLRTCQK SFSVAIGKVDQTTKEQQQKISDIESLGQRLDMLDTRLGSVESTRTAPPAVTATNDSNV DKVSASHLQTKVESLENILKQLQGLQEMKDDLHFSEVEDLKKSLVQASEELVIVKNNC NVLSSEVKTLRELNPSTALQQVANLSGSLQSTQQLVETVRVGLHSLETRYNSLTTEPL ARNMVVALQEMYPSASQLLEQYSGLKTVVDNLCQSQNTVIRQAQQEAALRLDEINKLR NDHASLSGSLAPLWEHYEQQKRQNPPPSHDDIGKLRVELQSLCTKFEESISKYDSQFR SQKQSDDRFLDGLREERNKLDSRLSQVASSLESLANDVEKVRSVNTGSLEKLANDIEK VRSVNTSNLERLANDVGEVRSVNTSSLAKVETHASDIKSLQDDLHELKKATSDRHQAF LDQLGDITKAHSAHDTNISSLLDRISELERSEKLRHKELHEQLDELKKAVEAKVFHPR AVSPIVVEDQAPNPTDDSPADPEEAARILNVAETNPGLALRERKKKKKRPRPSGLSED EKTPTIARQESPRSLSSGASPFEQGASTENKRPKKKKKRKMASTEPIPLD AOR_1_1500174 MLPFRVTLRGTSSIRAFSTSRVLRNDLSYQVFGPEKDETSQSPI VFLHGLFGSKQNNRSISKALARDLKREVFILDLRNHGQSFHSKEHTYSAMAEDVVNFI HQQKLNKCVLIGHSMGAKTAMTVALDSPNLISALIPVDNAPVNAPLKSDFGKYVRGMQ QIEAQNVAKQSDADKILKDYEESLPIRQFLLTNLVRGDHGAMKFRVPVSILGDALSEM ANFPYAESSSATYDGPTLFVRGTKSRYVSDDTIPAIKKFFPKAQIADVEAGHWLISEN PEAFRQKVVTFLQETP AOR_1_1502174 MSAPKGPFRLVTVNTAPERAKRLIGRMVEALKDRYTIIYEANCE TIDEVEPKVKEFMPDVLFSASMWTAEEAQRIHSIAREIRPDIKTHAIPEGLQVKYGPD AIVDHLLEVVPPLLDA AOR_1_3130174 MSKIASTFSRLVRFVPKSNPSKVLIGEPVDAQLDVGLALYQGKE VSVRPFTGASVLNPGQKTESTEIISRILSPLSQNEVGSIRCIGLNYTSHAAEMKLSIP DVPTLFIKPPTALSDPWPAPTILPKITQVDNTGDYESEMVIVIGRDAKDVSEADALDY VLGYTAANDVSSRTSQMNQSQWCFSKGFDTSCPIGPTLVSAALFPDASKFQIRGLKNG KVLQDCPLTDLIFSVPKLVSFLSQGTTLPAGTIILTGTPPGVGAAKNPKEFLKNGDEF AVELLPHVGTLVTKIENHK AOR_1_1506174 MSAFPFPIKPVFCEGHTPCECDETYFQALRDWVRLAAAFGWPET VRKVALSYLSRVKSLEDTVIMIDSVRICPHGQMAMLGAELPLEYRERYATINVAWLNN VPLVYTLFRWFNGDTIHRICLESAAEWVAYEEHMLDNEASSGLFKRKDFKCLQDPTSV AQIMQDGNRTVESVVSDVRVVTIF AOR_1_1508174 MTASMEMQRPHQNDDVQDATQPGKVDVDFVESTAGAIPTSYSDI VESRISKAHRDYLLERHGTLELDPIPSMDPADPYNWPSWKKLANLVLVAIHACMGTFA AAGIIPAYATIAEEYNVTVQKASYLTSLQIAILGGAPLFWKPMSNRYGRRPIFLISLM CSLSFASIFHLSSVCAVVMETYFKRDRAKYMGVWTLLVTLGIPLGPFIFGFVAYRAGY VWIYWVLAIVNGVQFVLYLFLGPETRYVGSNVNPNQAAWKREYLSLRRIDPTPFSWWE FVKPLTMVMYPSVMIPAAAYAMVFLLSNVLATVEVPELLQEKFELNTEQLGMQFLGPI IGSVIGEQLGGRLSDLWMNTRAKKIQRKPEPEHRLWLSYIGFICSIVGLIVFLVCTQE SASGHWNVAPIIGIAIGAAGNQIVTTVLITYAVDCYPTEAASVGVCITFVRQIWGFLG PFWFPPMFESVGVAASAGVGCALLVGVSVIPTLCLHFMGRRWRPDINA AOR_1_1510174 MNVAPLKLYDWEADQGINTQDTGAKPFVCKECRRPFARQDALAR HEKLHVRAAANTKPSRGQHVHEIPQPTSLEALPPWDTTKAPVDAASTVPAPSQTWDTS QPEQNSGLQHAASDLDFTLIWPDSESLFQSIMSSDTTDQWQMPLGALPFPPVVQDVNS MSFGSPNSFDDRSSSIGTIPSGGSHQAVRDVTEMVASSSSSVTAAIKATSITSVFLDE CLHMFFVRFIPTFPILHRATFVFRECTHPLLLNAMALGSLYLGPKDAVAKGEALWRLA HTAVATSWQSLITHRGPYDACKGVQLLITALLGQIYGALSKNRLIRTTSQVFRPLGFL WARHCGMLDSEPFSVESLPFPNASMEEKERQWRTWAAREIQQRALLAYHVLDGLVAQM SGDGTSTRHVANPLILPSSEAAFDASNVDEWLAHMRSQRFDQPSFRLVFRSLFPPVSS FRPLDYQLSAFSLRVILEGLQSLVSDSDESDLVAVGVPGRSDVRRALAQVHETVSMSI HLSAEERLEVLLRWHTICLDTMINSTVLCRYVCSCYDIAQHVSGGSRTVKPGFDMTKW VYTPDARRALLHAIAIQDIIEQLPRGRAHVIHMPSSLFAAVTIYVVFSLAGVATIHLP RTIAWQDALLSHADLNIGCDSSRASTGSETRRFVEEGHTDSPPGLGAVRNLLYEMNSM QKLFRCLISQWGIAHDMEEIVNQWITLCH AOR_1_1512174 MPHNFWIRISAALQEQTGRRYSWQSCRRRIIGYITKRKAYWAAY KYNHIPECDMHSEVADDVDSWMGSCDRKLGRPVRHEVALKAAEKPEEAPPLRGSVQQL IKYTRVSNWVESLPPPAEMDPLPTKFGIPSLSWCPPFSSHQSFSHTQSPSQSPVLNEI SMYRQRAGAPRGIHLAPSNTPSYRGQPTEQQERPKLSPLTNGAVQPQASPGVVPETSH NTQAGNKRPRDDDDNDDAAHDRPAHRLRQDEPSHPSATITLNQESALNGTTAVDSSVE NTFGKLWERVAPLFKDPVLAQGPAALKSESIMRDLFSEIGTALTKAFTRMREDNDGHE KI AOR_1_1514174 MASHPISASPLLQAVSSIALEKGTRDQFVANISPEWCTQHSVLG GYLNALMLSAAQKLNALEFGEERFPDPIHVFVQFLHMVSPGQVVVTCKHLRVSSRQCV VRVEVARTTASGKPSTPATVGIVTCANISKEEGLTQHSKPAFAVPLPNRRIECVKIDD PVVDSTPVTSKLNWVSPKAANGLWGHRVGGHHREVWVSFRDGSNISDLLHLALLSDMP LQPPATHQAGFYFKYALSTLCMTVEFKKRPDPSTQWVMIRSNSHLVANGRYDVNIQIL DEEGNILALSNHVVYVSELRTRGKGAKL AOR_1_1516174 MVVNWKNHESIDRLIASLLAAHPDLKLDYHAMALFFGQGSTYDS IEGRFRRYRKIADELRDEAHSRGITGIPRNAGRNYTSGRSATSTPRTPRGPRGITKST PSSSRSRNCHQTPAKRNTKPGRTAMDAIYVDDVDTEEESKIEPEIPSVPSDSGEDDVK VVDSPSIKIKKERVEHNTAGLFSAMTPKKEEQTSGFGPSAVSTPARGIQGHDGARYPV AMNEDPFSMIRDYLKHEHGGNMDDIYRGAA AOR_1_1518174 MPMRWTPENDQLLLLKILETHDLSVDTKRVAEAWPGTDSSNRPT PRAITERLVKMRQMVKAANNGADGHFSIGKGAGTNPGSATATPCKRGKNATAIPKTPT SAKRKNTSKFDDVFGDDGDVLVKEEGEGTPVKKPLRSASAGVNQGHAGVSLKIEPLDD DDAVLMDESPSKRVRRASVLPPGMVSTYGEEEGSQTELDSEISEYFPEEHIKVEEDFL MA AOR_1_1520174 MPINWTDPQADAKLLVGIITLHNVKLDYKALAEYMGQGCTSSAI QHRVQRIKEKFRNDPPAEGTATGTSPGSAPQPDEGSAPDSSPTKPKRGRPAKRGAKGS PSKKAKASVEHDSA AOR_1_1522174 MFQDSARDVRVEDNRFLAAELRQTDGNWRFARVDLNEHIGNENG HFQWGGRNFSETARNVHFGFEGGAHVPVLRAELRTPAGTWEPRDVNLDERVFNNNGHF EFQY AOR_1_1524174 MASSIGSSHRRPFKQSRPVRSSRTQVQYHEESSSEDRLDEDLNS DHEQSRRLSLSLRPRDLNRMPVSYREDSTDDNFEGGASDVEPETSVPVETSNRTYSLT EPSSYRNSRRPRRKRTVETRSQTKRSKRTSNNKRLELGRPLNKRRKVEEDTSPLVGSG VIPPWQALPYHILFDIFYSAAYPLVDEKTATRNSSVQWLVNVSLLCRTFHEPAMAALY HCPPLIPPAKAHGLLNLLSKPQESLSTNYVNKIRELHIDAEILLSYKSGPTLGYFELP RLIDRAPQVKTLRLYHSDDYVVGLPLWQRTKWAYPESLFTSLISSSIRLRSWDWNSRF METNALLPFMMEKHLQASFSGLRELRLLHIASEDSDVDDPADVSNEREVVLATALKEL PELHRLEFLECSIVNEHLLPNLSPTLTSLTINNCDEVTTSNFSAFLASHGQHLRDLAL SHNRHLSLSFIVGLAQSCRSLEKFKMDISIHDLSSYHDVEPHFRELLNSSEVPTWPST LQDIELIQLRKWDDTTADVFFTSLLDAAPELRNLRRLVISAILKIGWRDRASFREKWI GRLEKVFLRRSALPDATLSTIPRASRPPVPGSSTASIVGDNTTTRPDSLGSGFSTPSK RKSARLAQQKLSELEDDARLSASRRPTPDSDDNKKPLAIQGMCDVVMVRIDNQRPSET QFNEEDFLDDEVSGDEDWNGNDMDAGDTAHAW AOR_1_1526174 MESSFPNVMNPSERSDIGAPPMVVQQYARYPPTLEPGLQSASSL GSARSRMAASKSPPLHRKATPRSIQSSPTVPRPAIPPSSAARSGPSMSPPVYDPLRQR QPPRESLDQAESRSLPSRDITDETIDDAYVMFIFYCNPNVPLSVDTSELRKTFRCPPR SDGKSFSIFTLWELIRKLDSKELKTWISLATELGVEPPDLEQGQSTQKVQQYAVRLKR WMRAMHVDAFFEYCLGHPHPYYTQLPANNAVVSESRDGVPLEEDLALRALVPQWKPKR GRKRAEERESINDRLSKRPQLDTSVGILHTSTFPAHSATFPQSAIPFSAFPDEIEPND PWIAATSSFGANGPSGNPVPQQGQDLRWRSVEREASPSSYPHSAILPRGHHPSDVFLP PTEPRSAVTPSSEKSRPKRRHGPAVSSAWPTNNGSSTGKTRGRPPGRGTTSGPFSSFP VNPSRSEPSHLHSSNARPPSIILDQDPSGRYSNAQYQQSPTPFPGGNRPNKLQLQVPQ HPGAPVRLATPPTLMVNGVNNASFKVEGQQRNSTSAPPHDTTGAATNAPTGAHRSTNP NADISSDELVRVLSSDLLHGRVTGRPTPLSVEEARTLSLSMVANLTASYSQLPLGMPV LLSALHLGLGQHFGYPGVTESAMTIDIKAPTAPSVDASVAPSTEERSSNFTYTIFQEY KHGLHFSTKVTYGGFTINKLEANKTGNAPGVTEDLDNSLADVNSSTDGEFEVDGAENA VPEMTWKQRYIKLRSQMQKKERALSQYKRKILESVMADI AOR_1_1528174 MAPITDSEQIEFLLSCVRHANSGKVDFGEVAKECSIVSRGAAAK RYERLSKGRNNAPGAGSSADSPVPSPKKTPTKSSVAKRKTAPKTAASKKAAAKKGKAM KVFAVALAEVLVKQRTDLAKVEEHSSGEDTEIDEAVLGVSDDALFDQFCIAD AOR_1_1530174 MASGRSTTPEEGEFLNQQDARFIIECLRNIDESRAVILANVGAA LGYTNTASVANRFRALRKRYGFTNLEATTKPTNASTTSTTSPTAPSGQGKRKGAGAGR KKNVAKTGESEDPFVTDNSEAETIIAVEVPKVKSTPKKGVRKGAKVTSAPIPATDLGP TKGDKHPKPTLEPKIKTENVKDESIDVNLLDAVNDAMVKYEDSEGFEMA AOR_1_1532174 MTTVRRSKAMPTDGPTVKFLYTIIKQLDLKSIDWGLVANQLEIS NGHAARMRYSRFRQQMEGITSTPRASRTKKSPNRSKLSPCKADLLKEANSPDMKPVLK QEPLASSSEPTSYIKADPHAQGFPALADIPGVTYHIISDSPARTITPPYAQMTVSPTE LTTYSATSSFLSPTIGFERQPSSAYSWPPTKLESDEDHRLSDVFIKVEEPQVEVVNAS SGEVEFCGMFAPGLNIAREHKG AOR_1_1534174 MSLVAIGACYVDTILTTPHYPGEDEKLRATNISRRRGGNCPNTL EVLQQLTVHSLSQTGVSLNLIAVLPAKSSIATQQIQSAFEPRVQLTHCIYREQYAEPA SSYIFKSQSSGSRTIVNYNELPEMTVEEFKRIADDLGSKATWFHFEGRIPDVTLACIQ YLRQQFPSLIISVEVEKPGREGLQELAMEADVVFYSKSWAQGNGYTSAKECLQKQSLI TRKAQFLFCTWGEEGAVALETRSGDMIHSPAYTAPDFKVVDTIGAGDTFIAGMLYALI YRGDAWGLSQKLGFANRVAGMKVAQEGFSGLERALNSYP AOR_1_1536174 MAAVYKSVSKKQAKQLAREQEQDDSDAEMADMADLLADADDTSD SEEEEEEDLESAKKQLAAGYMPKTRVLMLTSRGVTSRHRHLLADLAGLLPHTHKESKL DTKKKTAGYNLLLNDLADLHSCNVIFFLEARKRGQDLYLWLARPPNGPTLKFHVNNLH TMGELNAGFSGNCLKGGRGVVVFDRSFDEQGPVMSQPGNEYRGLVREMLRGVFSVPKR GVKGMKPFIDRIIGVFGVDGKIWIRVYEIRESEAGGKKKSEDGEEAAKPVPKGKDGLP EVSLVEVGPRFVLTPIVILEGSFGGPVIYENKEYVSPNQVRHDIRISKAARHAKRRDT QTDRFAKRTNLGLGEGQRKPGPLDNKQLFA AOR_1_3132174 MSFDHLATELLLHVFRSCETISDILNLASTCRRLRTVFNRSNKL QIFTDIAEREYGPLNEIIQIVTQNASQPAHLIRKPPMTNPLFKQIVQVGRVAQKWETI YPVKKWKVDYENRRSLTNDERFRLRRAIYRLWLYHRAFHTRTHDRFSRKLPHVVTERA QLLHNWSTQELADIEDVRLIIGDVVQNHICPSNGTIQRKFRKRFPESHHQLAFNIHLN YPAPGSLGSPGLFDNLNSVDQYYHTAHPPNLTESPAKYRSRFRNDFFHDPGAEGWGDE IPHYYVVQDMMKLDPGQVLWLREHAPLKEQVEDYVHSLGDWFRENGETFGDTLEWVMK ERGDDIEEFRAAISDREVGIIWA AOR_1_1538174 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDTKSTIGV EFATRSIQVDSKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYDNVNR WLKELRDHADSNIVIMLVGNKSDLRHLRAVPTEEAKQFASENNLSFIETSALDASNVE LAFQNILTEIYRIVSSKALDSGDSGQAGLGDRRPVVDINPSQDPETKQGCC AOR_1_1540174 MTEVSDDDDVSLTSTVPSPPKENYYVDTIHAERETSQGIEYLVG WEDYPIERSSWETAAQFDDEQTLLDWEDKKREIAAGRLEEFDLTDFNRRLHEAEQACQ KRKHKRHLKREKLAKGQLEPSNTRFSSTSNGPRFTDANVSINPGTGTANLYPSRATNQ SQVGPSRSQSIRGGLTRPPLVGFGTGRGGRIRSRSRRSYDADPSAPPKMFKLLSTKHR YEKARGYEPAPNVNQLELMRPSEWSSTTAAYSSNPGFQQNANFKEQGPGESPRRTISD VPNIKPLFACF AOR_1_1542174 MDMDQDSKDPTAAVNSDQRQPQLGMRLPFDLDYEFEKRFGVTFQ TLATIAEKRLAGSFYVLFPQGSGGIEEECQAVVEFLKAHHSEKNKAIVYSNRTPEDWE KFTQAKNGVVLIHESSLDFYKLQGLNNLCRQSTFNFWSFILNKELGDNRPYFQRMFQT GGVILITADYMLSDPRGTVVVLSWFEDYAKSRYPGTWKLMLRPDVLNWLQKQIEVAGN SSYLWLAMYHLIMQITFIGDTKSRDILTGAEAGYTPNTVISPSKLPGYGFRTDDEIPD IPKDRTLTQEQRNADHLVEFYAGWALINCYQFRKFYVLTASALPRWDEWHHLQIRVGS TAFMKYFEINYRWYWEKLKHSAARSNYHSERSSQTPFTPQTPKAGSSESATSRPTPSY IPPLSHHYPQPYQ AOR_1_1544174 MPASGKGALSSTKSSLFNDDFRTSKKDKRQIKHAALMSKIEKNS QKTTKRRRASKKLVANLESLADALPETEVEMNDPNNQVNVIKQKTLKHKPGAMKHFSN QPWSGSYEPGLPTAGPLGSTPAFGAPRITPKTLKQYLDQFVVGQDRAKKILSVAVYNH YQRVQELQRRDEEAVELLAKRARRESVDHSSTIQLEKSNILLLGPSGVGKTLMAKTLA KVLSVPFSISDCTPFTQAGYIGEDAEVCVHRLLAAANYDVEQAERGIIVLDEVDKIAA AKVSHGKDVGGEGVQQALLKIIEGTTVQVQAKQEKNAPRAGGTPNTYPSNSPLGNSPY PPSNGGGMSQKGEVYNVRTDNILFIFSGAFVGLHKVVMDRISRGSIGFGQPVRTPSNS DERPGQSTTANNQPVPIVPGSEEEALYKKHLPFFTSASPESPDGEPTYFNALDLINPT DLQNYGFIPELVGRVPVTAALSTLTQPLLVRILTEPRNSLLAQYTTLFSLSGIELRFT TPALHKIAANAFTMGTGARALRTEMETILSDAMYETPGSSVKFVLVTESVAARKEKPN YFSRGQGGRFHAMIAAEESQWEERQRREKKQRAWKAKAQAASEEHPSISNFREYRTRA AGF AOR_1_1544174 MLWLPLRPSAPLRAHHHLILRYRRAPSSIVRLTQRGFASSSATF PTLSQFSRSDFSNQPWSGSYEPGLPTAGPLGSTPAFGAPRITPKTLKQYLDQFVVGQD RAKKILSVAVYNHYQRVQELQRRDEEAVELLAKRARRESVDRHPVEDEFPGQQRTSRP PFDPSSSLNQTELTDSSTIQLEKSNILLLGPSGVGKTLMAKTLAKVLSVPFSISDCTP FTQAGYIGEDAEVCVHRLLAAANYDVEQAERGIIVLDEVDKIAAAKVSHGKDVGGEGV QQALLKIIEGTTVQVQAKQEKNAPRAGGTPNTYPSNSPLGNSPYPPSNGGGMSQKGEV YNVRTDNILFIFSGAFVGLHKVVMDRISRGSIGFGQPVRTPSNSDERPGQSTTANNQP VPIVPGSEEEALYKKHLPFFTSASPESPDGEPTYFNALDLINPTDLQNYGFIPELVGR VPVTAALSTLTQPLLVRILTEPRNSLLAQYTTLFSLSGIELRFTTPALHKIAANAFTM GTGARALRTEMETILSDAMYETPGSSVKFVLVTESVAARKEKPNYFSRGQGGRFHAMI AAEESQWEERQRREKKQRAWKAKAQAASEEHPSISNFREYRTRAAGF AOR_1_1546174 MLSPIHLLSRRTCLRSIATAIDQPRFPVFLQQQSLSTPFSSSLV YSKALKFPRPTSTRLISCSFSRLYTTSVNDLAKMSSLTPYQRKHKVTVIGSGNWGTAI AKIVAENTASNPAIFEKDVQMWVFEENVEVPKTSPHYNPSSPLCQGPQKLTEIINKTH ENVKYLPGINLPTNLHANPSLEDSVKDSTILVFNLPHQFIIKTCEQIKGKILPYARGI SCIKGVDVREDGISLFSETIGKILGIYCGALSGANIASEVAQEKWSESSIAYDPPHLD SKAPSPNRSPSSSTVDVVHFTHKDVSGQLSRVKLQALPSEYPPIDHAVLKTLFHRPYF HISVVSDVAGVSLGGALKNVVAIAAGWVEGMGWGDNAKAAVMRVGLLEMVKFGEMFFG ATINTRTFTEESAGVADLITSCSGGRNFRCAKLSIERKQPIGKVEETELNGQKLQGTL TAIEVNKFLKNQGVEEEYPLLTAVYKILEGTMSVEDIPSYIERKPESVSHESQGVNGG GASQAVLAKL AOR_1_1548174 MPVPRQVYLLPLKDDGSPDVPGGYIYLPPPTNPPYSVRFVIEGS SSICREGSLWINIPEDGKPFNRSAFRSFRLHPDFNKNIQIDIPITSPGSFAFYTAYSP LPDFSVAPVETSEPVKTPTHYIDVAPRLLLGGRDLPLNALSIFSVISKFMGRYPTDWE NHLNGISQRNYNMVHFTPLMKRGASNSPYSIFDQLQFDETLFPNGEDDVAQMVKKMEV DHGLLSLTDVVWNHTANNSKWLEEHPEAGYSVETAPWLEAALELDTALLQFGEDLQTL GLPTEFKTADDLIVVMNVLRERVISGLRLWEYYVVNVKADTRRIVDKWTKCPGIDLES DQWSRFALKDFKDLTLKEQASFVREHAIPTSKSILGRFTRAVDDHLGAAILTALLGPA DSNHDEAESRFTQLLDEVNLPFYTEYDADVSEIMEQVFNRIKYLRIDDHGPKLGSVTK ESPLIETYFTRLPVNEVTKQHKSTALALVNNGWIWNADALRDNAGPDSKAYLRREVIV WGDCVKLRYGNCPEDSPFLWEFMTKYTRLMAKYFSGFRIDNCHSTPLGVAEYLLDEAR KVRPNLTVFAELFTGSEEADYVFVKRLGINALIREAMQAWSTGELSRLVHRHGGRPIG SFDLDLPTAGSSHAIASASTDSQEETIAHIRPCPVQALFMDCTHDNEMPAQKRTAVDT LPNAALVSMCASAIGSVMGYDEVYPKLVDLVHETRLYSSAFSGPSKGELGSEGGIGSI KKILNELHTMMGADGYDETHIHHDGEYITVHRVHPKTRKGIFLIAHTSFPGQDGHSIL APTHLTGTRVKPLGAWTLEVEAGEDARAEVLADKDYLRGLPSTVRETNAIKVEERGHD TIISVLESFATGSIALFETSIPTAEHSAGLDCYITEGADQAFSKLSLVDLNFVLYRCE AEERDSSDGQDGVYAIPNHGPLVYAGLQGWWSVLESIIRYNELGHPLCDHLRQGQWAL DYIIERLEKVAQKDGHNALNDPTSWLRDKFNAVRELPSFLLPRYFAIIVQVAYNAAWK QGIRLLGESIQKGQEFVHQLGMVSVQQTGYVKTASLWPTRRVPSLAAGLPHFAVDWAR CWGRDVFISLRGLLLCTGRFDDAKEHILAFASVLKHGMIPNLLSSGKLPRYNSRDSVW FFLQAIQDYTAMVPNGIELLGEKVPRRFLPYEDTWFPFDDPRAYSQHSSITEVIQEVF QQHARGLSFREYNAGPELDMQMKPEGFQIDVNVDWETGLIFGGSQFNCGTWQDKMGES EKAGNKGFPGTPRDGAAIEITGLLYSALTWVGNLYEQGLYPHEGVDIGDGKSVTFRDW AAKIKNSFERCYYIPESPNDDSRYDVDTKVVNRRGIYKDLYKSGKPYEDYQLRSNFPI AMTVSPDLFDPYKALTALALADSVLLGPVGMATLDPSDLNYRPNYNNSEDSTDFTTSK GRNYHQGPEWVWQRGYFLRAFLHFDLARRATPEGRTETYQQVTRRLEGCKRALRESPW KGLTELTNKAGAHCSDSAPTQAWSAGCLLDLYYDASRYALKTA AOR_1_1550174 MSMTEAAAAAIITAAVINDKPGGGHEPTWGDQTRGQRDLYTQLI ISLTLGLSAFLSFCVLRPKWTELYAARRRQRCAASHLPELPDSFFGWIPVLYRITEEE VLHSAGLDAFVFLSFFKFAIRFLSAVFMFAVVIILPIHYKYTGKRGIPGWDDNDGNAL GRNKDKEPVTDPDYLWMYVVFTYIFTGMAVYMLLQETNKIIRIRQEYLGSQTSTTDRT IRLSGIPQDMASEEKIIEFVEGLQVGKVESVTLCRDWRELDRLVDERLQILRNLERAW TKHLGYKRQTEDDSTLPLAHHRPRGSSLFSEDDSERIQLLSESGRDHVADYAHQRPTI RLWYGPLKLRYKNVDAIDYYEEKLRRIDEEIRVARQKQYTPTELAFVTMESIFASQMV VQAILDPHPMQLLARLAPAPADVVWKNTYLPRSRRMMQSWSITGVIGFLTVFWSVLLV PLAYLLELETLHKVFPQLAEALARNPIAKSLVQTGLPTLVLSLMTVAVPYLYNWLSNL QGMTSRGDVELSVISKNFFFSFFNLFLVFTVFGTATTFYELFKHLRDAFQDATTIAFA LANSLENFAPFYINLIVLQGVGMFPFRLLEFGSVAMYPINFFKAKTPREYAELSTPPT FSYGYSIPQTILILIICVVYSVFPSSWLICLFGLVYFTIGNFIYKYQLLYAMDHQQHS TGRAWPMICSRVLVGLMVFQIAMIGVFALRKAITRSLILVPLLGATVWFSYFFSRSYE PLMKFIALKSINPDGGGNLSPSPSTFSSPSGLDRDALPIQIGRQGVEVRLNKYVNPSL IMPLDGAWLPGRSVGEYPRSI AOR_1_1552174 MSFFIENPNVGDTNHLEDSRIRGYNPLTPPNLLQHEIAMTETSR QTVLQARQEASAVVHGTDTDKRRLLVVVGPCSIHDPEMALEYCDRLLKLKEKYKDELL IVMRAYLEKPRTTVGWKGLINDPDIDNSFKINKGLRTSRQLFVDLTNKGMPIASEMLD TISPQFLADCLSVGAVGARTTESQVHRELASGLSFPVGFKNGTDGSLDVAVDAIGSVK HPHHFLSVTKPGVVSIVGTVGNPDCFVILRGGKKGPNYDAQSIAEAKAKLSAQGMEPR LMVDCSHGNSQKNHKNQPKVAAVLAEQIAAGETAIMGVMIESNINEGNQKVPPEGKAG LKYGVSITDACIHWEDTEAVLENLAQAVRTRKEKLAVNN AOR_1_3134174 MDQKGIREASSSAGNRRRPLAQRTGSAIKERGCISKAVSYDVSV NKAIEVPGYKRGLRNLENIGIRNEDHSSSSDSEHSVSGKCATDDGNLIEILAKGETSW LKIEEHSQHAPVPNLAVHSGDDHGDDAHAHVTDSDASGPSQTPPKPRLLGAKRIGRGQ SIGGKLAAAFQLEEALSRCKRYHNHGHDAPPDRKSQSNPEAATSSAPSIEVPPREKLL AEPILSENDEDEDGHYVVPRSSDTDRLNLFIGQKRPEEVRETKQNNSQGVLRETEMIS ASIETVALGDGRWETRAGADGFMSPTNSRQSPRHAQPRCGDTPIIRSDHSSSISSSEA ARSKSCSGSDESALGPESSGIMRAVISGHSVPVSTKSLLPHDSQQAQERTAEHQRTTP RKSIVDPYGSPRLLSHMENKLIISDGTVHCEGQSGQLTQDVKQSPARSIVEESGYDGS IEESFVEVPDARNTLATTTCGESYGVRIGDPFAQGPILPKSCEGQTRSVRRNFFRAAS EPHRQHSRVDIAGQGPYQSLSQEELSSIDPPWDTAVTSSTHAKALKETNGDTSLQELQ RYTQSMLLDSSNRLKRGLETEKQTVHGVLETYRRQCHRVLDQLFEAQEERMKLCKQQM NMIRDHHANFAECRPRPEGPVAAP AOR_1_1554174 MGVSYPENQLKEDGSDVVVPSASSIRGTFERLWELIPGARSKNT TSEATSCHLPETTSNRRRASVAEHSLERDEHLTEHLPKVLSRHDDQSEPYPPQETVLY LAYGSNLASKTFLGMRGIKPLSQINVIVPELRLTFDLPGLPYLEPCFAASQFRDASCK GTDTADITDSDLEGDLTSEKTVLISQREHDYLPDDPLVGVVYEVTLTDYARIIATEGG GNGYKDIVIDCYPFAESYDPTEPIPDCPESKPFKAHTLLAPVTSLDEISRRAYAQRTH SFVPRSGPAVRKPGYSQPSARYLNLILTGAAEHNLPVSYRTHLSRVPTYRITTVRQKI GKSIFLVTWGPLMLLMLRLSRILAGPDGRSPHWLMKLSDIIITAMWGSYETIFRPLFG DGERTLE AOR_1_1556174 MLARTVSRSVPFRGIARQSLNRASTRASSSAAGAESASSPFHLT VTASVATAVAVGSAAYLYGQEAFASTPAEEGLHPTNYPWEHAKWNKTFDHAALRRGFQ VYREVCASCHSLTRVPWRSFVGVMHTVDEMKAMAEENEYDTEPNDQGEIEKRPGKLSD YIPAPYKNEEAARAANGGALPPDLSLIVKGRHGGCNYIFSLLTGYPDEPPAGATVQEG MNFNPYFPGTAIAMGRVLFDGVVEYEDGTPATTSQMAKDVVEFLNWAAEPEMDDRKKM GVKAIALLTGLFAVSVWVKRYKWSPIKTRKIVYSPPVSRR AOR_1_1558174 MEVFQQPILHVEARVKNNRDGIIIRTDLIREEVTKWLIANFVVL SLGQEISSFEGLSDSHAQVIDSVVVTECSGEDMESGAYRLQQVELDVQAYQLRAAFEQ ESSQQTQPLEESMDSKDEDSKARVLNLPSRELDGLWESLLFDQPLKSMLLRAITRMVS YSSRKLNRWTINWNRLILLWGPPGTGKTSLCRGLSQKLAIRIGKPYPQSKLVEINAHS LGSKFFGESGKLVSKTFENIESLLEEEEDTFFCVIIDEIETLAARRERALGGNEPFDA VRAVNALLTGLDRLKTHANVVVICTSNLVTALDQAFLDRVDIKQFIPYLSNRAIYGIY KECLEELSRCGIIEGTSFDVVQVNPENPQTALQYVEQATEILLLPTFDEMLLNYQMFP DAVPKQLGDAALESMNLSGRTVRRLPALSLVLYSKRARCNTREAVHALRTGIMSEIQA KAEAQ AOR_1_1560174 MPPKVSNGTGVGGKPRRDVLASVRMASMDEVSRAALPAEIMSSV LDYLSPVDLIRVARSSKLLREIAYDDTRWVQWLRRMGCWNELEARKHVEEAFGTIADV ESVRQKEAAEQSQRSSLVGAGTENKPQISLKTLSDGFDQITLSTPATTGKSNELEDDS VLGALQQVRSVRGEARNEYGKVHAALAPFYNDITTEGASPDNLLFKKYTDPQHQARIL FQLQSFAKCDLTEGWHERLSHLQEAISMFETAAIKEFRHGYETEDIDNRMRQYAHVLY TLNGGAAAVELFIHHNHLVTRRSDYGKPGDCIDASSQSVKLDQTQAFFTRLSVAYNEE VAIINRAFPPSLKVALPFIAKVGQDVLYPFLTAIFDELHRINKESYLTAVSSTFAQCM NLSDTLLPIQSSAVNFEEFLEHVIAKVYEPHMDLYLAEELDHFRKCSEAAVDEWDRQL SEQAASTESFLMSNINRQADKKDFLTSFKKVIMAPVNLLPSFSGTKTNESKSDEETSA GDASALKGQNRFSTISMPTTPVTEAPTTELAAKAAIMKSKMEGIRSLFSIEVALSLVH AAKSGLERAAQFVQIGGDTGALAKQQCEAIFVALVRILGHRHLIVGFNKAVDHLSNYR PREQGERDQSGVEPLVTFLELVNVGDLILQMIDVFYEQELIGKKLTDRNDFVDPAVKE KKKFEQNLDERVAAGLNKGIDVLMEEVDYILATRQLATDFNPSVSTDPYRQTMDVSVS EAAVAVVDVVSSHTQMLVGGTDKSTLDVFNQEIGLRLFTALCKHLKRQRISVEGSLKL ISDMNHYFKFIQRFKNNELLVYFKAFRELSQIYLIDPSDAKELATIIADSGRFQGIWT VEDVCEFAERRADWYQVKRDVERAMYGIGCNLM AOR_1_1562174 MFIIPSFKHTNPIRCSTPCLQLMLILILANLANTQQTIQTTILV TATPTSPHPPSYTSPEVFRDTILSSSNTYRKEHNASDLVWNETLTRYAKDWAEGCKWK HSHGPYGENLAFGYQNASAAVFAWGDERRMYDFKKPTGFTEETGHFTQLVWRATTDVG CAAIDCGYGNGTDENEKRGDTGSYTRAQGWYVVCEYSPPGNVMGTSRTAGGENGLFRV NVQSASTYSGPYPTDSGSPPASTGASGADRTFMACGWIWVWVGVLILMVMG AOR_1_1564174 MAPSFDTLSEQDLHEEEEEEIDFSDLKEQFEVKLEEGLDTFIVI DGLPIVPEESRQKLIKFLLRKLNAVGHTSEDAVFMPTNDKNMSEGFAFVEYETPEQAI AAVKQLHGTPLDKKHTLAVNKLMDIDRYGREGRIDEEYKPPTVEPFKEKEHLRSWLSD PNARDQFALYRNDKVGVFWNNKNNPPENVVDRAHWTQLFVQWSPKGTYLASVHPQGVQ LWGGPAFSKQKQFPHPFVQLVEFSPGESYLTTWSSRPIQVEEGHPVLSFEEDGKNIIV WDIVSGKPLRSFVSHDLAGGPVEGDAAPKKKVQWPAFKWSADEKYVARMLQGQSISIY ELPRMNLLGKTSVKIDGVMDFEWSPATVTRDGVKQYEQLLSFWTPEIGSNPARVALMS VPSKEIVRTRNLFNVSDVKLHWQSQGTYVCVKVDRHSKSKKSMATNLEIFRVREKGVP VEVVDSLKDTVINFAWEPNGGRFVLITTGEAPSGAAVLPKTSVSFFAPEKKGPQAGNF KLVRTIEKKTSNAIYWSPKGRFVVVATVHSQSNFDLDFWDMDFEGEKAEGEKDLAANL QLMKTVEHYGVTDIDWDPTGRYVVSSASVWTHSMENGWNIHTFAGQTLAEHPTDKFKQ FVWRPRPPTLLSKEEQKQVRKNLREYSKEFDEEDKYAVDIANTAVVETRKRVLNEWVA WLRREKELMAEEKDAYGIPEDADDAKVAKDAPPVSEDQGEAVVEEIVEEIVEENEEVI G AOR_1_1566174 MSLSPEARDQYVPIIDSILAKSDLNTISEKRIRKGLQEEVGYDL TPQKAVIKQLIMERFDIFAENGGIEASPEAAVATAPATNGHSSATPVEASSPAQSSKS QKRQADSVERESDKTPPMKRKKPDHDVDADALFAAKLQAEENMRARPTRGASARKVQP AKKKTTKAKTSKKVKAEDDSDVDSSSDSKKVNRSGGFHKPLTLSPALSALLGGEESLS RPQTVKKVWAYIREHELQDPTDRRQIRCDEPMRAVFKQDRIHMFTMTKILSQNLYSPD E AOR_1_1568174 MSDTQPPQGSGSQGPKRGGRRGRGRGAGQPSARIENSQQSTEGS GKGSRSRGSGPRRGGGGRDKQNRSAPNKDSGPEPSGQSTQGPTTVAEDKGKKAAAAPA DDADDGEICFICASNVEHTSVAPCNHRTCHICALRLRALYKNKACAHCRTESSYVIFT NDHVKPFQDFKDSDFSQKDDNLGIKYENNEIFEDTVLLLRYNCPDTDCDVACLGWPDL HRHVKSKHGKVMCDLCTRNKKVFTHEHELFTVAELRKHEKYGDHVPGAVEQSGFKGHP ECGFCRQRFYGDDELRSGSRQQQYYIDYNALEDHFQKDHFLCLDKECLEKKFVVFESE MDMKAHQLECHPNGLSKDARRDARTVDLSSFDYRTPYQPQRQRRGAGRGRDPNSEPLP VSSAQSLTRAQIAYQRQMAIQSAQSVSTRSFGGQLTRNDTQTVHAPPRTPASRTPPVA STPVPELQNLSLGHDSGSATPEEQARRLRHAAVIERAANLLGNDQNKLNEFRSKVSTY RTSALTATELIDAFFSLFDTSTNELGKLVKELAEIYENDSKRTALLKAWNDWRAINED YPALPGPGGVLPGMSPSTVNGSGAGGKRVLRLKSSTAQSSRSAVGRSGALPSGSSSSN PFPPLSSTVSKKSTAATNNNTPWATASPAPSYAGPSSRPAPKPSNTPRPVNTRNAEAF PALPAAPKPNVLMAGLTRGTVRVRWDGRDAPNSNAWTSGGSGTSTPGEPESDFGESSA GGGKKGKGKKGKQTLFHFG AOR_1_1570174 MSVLMSRASSLGATDSFVPLSRQSSGPLRTRPPTTATSVASQEI ICAVSESRGISSTVGLTFINLSTAEAVLCQICDSQTYVKTVTKIGVFEPTEILFMNTA KDSKLFYIIQENIQETTFTFLDRRDWSEKTGHEYVDRLAFPEDIESIKITLGGNYFAA CCFAAVLRYVELELNKTFTSHSLRIRFEPSQGSMLIDLSTIVSLELIQNLQNARSKDS LFGLMNESLTPMGGRLLRANILQPSTEVSKLSARYDAVEDLFTKGEMFVSIRQALKGF IDADKVLTSLILVPTKRTFQYVEQSVNNVIMLKTYLCAPAGHRAVEELIESTLNEYVT YQTRPLDLRNQRIYCVRAGVNSLLDVARQTYKEANMDAADLITKLSALDLKFDTARQY YINISATEVNTLPEVFINIYRKKNRIECQTLDLVKMNQKIIDAHNEVINMSDQTVHEL IEDIRSEIAHLFKISEAIAMLDMLSAFAQLATNYDYVRAELTDVLAIKSGRHPIREKI HTKKFIPNDAYATQQSHFQVITGCNMSGKYTYIRSLALMTIMAQIGSFIPAEYACFPI VHQLFARVSTADDLEANVSTFAAEMREMAFILRNIESRSMVIVDELGRGTSTTDGLAI AIAIAEALVESHALVWFVTHFHDLAVVMAERSGVVSLHLAVEISPDTTKMTMLYKIAE GPETNRSYGLALAKLVDLPPGVLEYAQTVSEKMNQIAQRRHSKSRALAVSRKRNLILS LKEQLLQARDGKLEGETLRKWLKRLQDEFALRMAAIDEDESVSCGSEDGEEQERSFSE LIEVTGPNTVDHQSDREESSVPLLNSSVEERSIIEISSDDVSENSSLEE AOR_1_1572174 MSTLVPPPTKRQKTEIAEKARLQQEIESIPDNLGSVRVQFFDQA TGSATGPAVSVPVADANVKNLETLLNTLQGNEDDERVPYRFTYQSQDKDNQAIDILAD LYHSLLQPGLRTTEDTISLYFTPQAVFRVKAVSRCAASIAGHGEAILATAFSPVSSST MVTGSGDSTARVWDCDTGTPLHTLKGHTSWVLAVAYSPNGAIIATGSMDNSVRLWDAK KGQSLGGPLKGHAKWITSLAWEPYHAQETGRPRLASASKDSTVRVWDVVSKRIDIVLS GHKGSVTCVRWGGMGKIYTASHDKTIKVWDAKQGTLIQTLQAHAHRVNHLALSTDFVL RTAYHDHTKKVPEADADKVTAARKRFEQAATVNNKIVERLVSASDDFTMYLWEPESSN KPVARLLGHQKEVNHVTFSPDMAYIASAGFDNHVKLWNGRDGKFITTFRGHVGAVYQC CFSADSRLLVSSSKDTTLKVWNVRTGKLAMDLPGHKDEVFAVDWSPDGEKVGSGGKDK AVRIWRN AOR_1_1574174 MKFSMVPSLLLPLLAAAAPAAPENPPFGVMSARSASPIHLLPMT ASGQKFYLGGKTQSYCPLPESKDCPPGTETVFSPGGYGLNVNVPGGQQVYVDPKGALS FTQAHSAYIPEGSAVGPFTYKPGEQWGYYSFNGWGASGFMACPTEDNRWQVFAAVQNA TVPKGNVADCLGFDALAPKAAKEAAAWQYI AOR_1_1576174 MYAIEERAHPPPPPPPLSMDRIPPPSSSYPTPGSGGGMVSAGIP SSSHLAPLSTVHEGRIWSLQVVQQPIRARMCGFGDKDRRPITPPPCIRLIVKDAQTEK EIDINEIDTSFYVLMVDLWNAEGTNEVNLVKHSATSPSISTAMSSSYPPPPQTLSPTY AQYPQNAYGQPVPYPQMNSYYPGNPQLQYQNPYGASPQTSYYQPYYPTGGHMPQANIS PAQPVSTGPGGMFTRNLIGSLSASAFRLTDPDNKIGVWFILQDLSVRTEGVFRLKMSF VNVGTQSSDSPNGGVSVINHGSAPVLASVFSEPFQVFSAKKFPGVIESTTLSKCFALQ GIKIPIRKDGVKGSRGRNNDDDDGDDYD AOR_1_1578174 MCSRTQAHLYTSSASSYTEASSPTASRCLASQYRIMMEDIDPVS APKELNMEELELMIQWCTETYRSISRDDTVEWVWRVAVPREAMRHPFLMHGILALSAL HLTFNSSGTTKENHLMIARSYRSQARVGLEKVKGKLNDSNSNAVFALYHILIVSAFAL PLIIEPHEDQTALDELCEVFRFTKALGDSIPAIIDRVKIGEMKELIESSDPPPRMPDT SRLAIMALLRMNAALTRQNPEHESDVYNPAIKYLGESLDKLARGGEIMVVAFQWIFQI PPRFVDLLRERQPFALTVLGHFAVILHSLREHWWMGEWGARLIRQIGQHLDTESKQSL NWVLDATGCYIPPR AOR_1_1580174 MSRYVSHGRGGAGNIFSGESHTTPKDLVTPTIKQDIYTTGRGGS GNMVVNDPQRPEIARESQDVEAPPLRVEEAPHHTGRGGAANAYIPSPEEEKKAREEEE EQLRRIRTASRDRLKDAERAAEKRSESSSS AOR_1_3136174 MCFDVLRNIISDISAIILLLGSLFLNLLALPGAFWRTLYDWNQS IRGGIRYFLVPRLNANGDIYNPPAINRSHNESRRRRRRLVNGTRNETAGDRSPFVVTH YDNDDSHDSEQTVDETLAADVDELIAAERQRAAERQREERGQRAEQGLQEQGPHQPGP QQSGQQQSGQQQPESHGHELQQLEPQQQSSELPPREPMPRERVAPQQGSEEPGEPLQP IRLRA AOR_1_1584174 MRLLNRKQRLSLITFAVLYFSLFFICRANSARDPGSYFFQPHEG YRPTYSLTRIQESLRYLSRYNQTTTTPADPYNQPPPTTKEHVDLCVGIVTVKRPLTQN IDTTIASLIDSLSQHQRSQISIHLLFALTTPTDHPDYNHPWVHNTVNRVLTYETQNIS YTTSYLRTLEGNKKFTPEKSLIDYALSLRSCYDTTDAPYFLMLEDDVVAQRNWFPTTT QTLHSIEEWVRRGIINPDWLYLRLFYTEKFLGWNAENWKQYLSWSLAATVAVAGLCLC LRRTVRPAQEILSNAFLGLVCFGAVPAVILLYFASGRVTVQRPMRPGVHLMNRDGCCS QALVFPREKTPAILEYMKKMEDATKPKPVDSTLERLANEHGFDRLAISPPQMQHVGAA SYKEDEKKWRKGEYPVRGAHGVWSMEFEKAYSEYEAVPYGGHMVDTYWPR AOR_1_1586174 MSSNTPSIVIPQSYERLPTTHIKVSHYPAGAETATPVVVVILNR PEKRNAFTGPMAEDLERVFAMFDVDERVKVVVLTGAGNTFCAGADLEIGFPEGRDERP VDHRDSGGRVALAIHRCSKPTIAAMQGSAVGVGMTMTLPAVIRIAHATSKYGFVFARR GITMESCSAYFLPRLIGYSKALYLVSTGAVLPSASPHFGGLFAETLTEQSEVLPRALA LATDIAENTSTMANGLNRALIWRGPESAEEAHLLDSPVLYHMFGSRDNKEGVMSFFEK RKPNFKDTLVDNGPAIYPWWTEADISRKPKVVKGDSKL AOR_1_1588174 MHATSISAPVRTAMMFGRIPSKALPSVAFPANSTAFYQTLCQAR PASTSAQIKTSFKAPCARPQVQMPTYRVASMRANSTVSEATRKEAAKLTWNSFFQLRA SRRRYSLASSVVSSMASTFIGVQVLSSQDLESLGAQVMGLDPFVVLGMATAACGAVGW LIGPFVGNAAWGLVNRRYRQAFMIKEKEFYDRIKRFRVDPSSNSIANPVPDYYGEKIG SVQGYRQWLKDQRVYNRKRRNFIL AOR_1_1590174 MQYLPTKDYDIPNIDLLSLIFDSPLSLTTEKTVLHAEAANPTNN ITKAQARTITKRLAHVFRADFGIGNNGAGKDAVLCISSNQVLLPAVFFAIIAAGGVYT AASTAFTQSELSRQIQQSKSQLIIASPDSKTKALKAALACGIPVERVLVLESSNHKHL LRDTVDPERNYLFQNTEELDWERVTDRNELETRLICLLFSSGTTGPPKGVMLSHMNLV SEAIIPQLVLRESRKGKPHLEVPYRTIGHLPTAHIAGCQGYFITPAVAGGTVYWMPKF NIDQFMDYCKKYQVTFLATAPPVYLAVAESSRVTDQFNSLIRAESGAAPLPTEVQRRA EEKLGCSISQRWGMTESTGSVTTMPWGEADSTGSISPLLPNTRLRIVDEQDRDVEQGM EGEILVKGPMVTKGYFENQEATAAAFAPNGWFRTGDIGVWKDGKIYMVDRKKELIKYK GLQVSPVEVEACLLSHDGVADAAVIGVPDPSAPGNELPRAYIVLENDRIISEEELKTH VKSNMARHKQLRGGVVFTKEIPKSSSGKILRRLLRDQARKSAEPRAKI AOR_1_1592174 MASTSSTAQSLLKKHPLQRIHSPSRGFSALVHLLGLSSFVWSFK YMHENPNHANEAYGWHFQYLTVIGLSLSTLTFAIGLLADVTLSARLFLIKNLLSICSA PLEVLISILYWGLRVIDERLVVPDWAVIPLDADISFHAIPSIVLLIDLFLLSPPWTIS ILPALGLSSTIAFGYWFWIERCFSYNGWYPYPIFEQLPFEGRIGLFALSAVVMALSTG TLKLLYGRVNGYGTQSKPHSRPGAISQNGSL AOR_1_1594174 MAIGTSYPRGLYDIHETSAIPDQSLNPSTRTTGKSSVDMSPSSP GKDAAASWSGLSPNMATVVSREAVPSYPSSISHSLDDFSYPSPADTGSSIGSLAPFTF SPVTISQPSSFLRHSADVPEQPLGHPSPRQGSTPDQGYPHSQYSSPTDAVSAYATYQS QSSSDPHEGSRRNSNLMDSRLEDIQVPTLLPQITKKMPGPVTTSAIVKLTEELHLGGP APLLDGGLDHLQIPTLEPKRKSSSLATIAQVAKTSAFGAEEESVIVPWDGKIRWAIEG PSVFDDEEDELGAFYFRPNLFSRNPFSDIWMFQYGLRYVPAKGDKNVYRTVRIENLPS TLTLKDILPAVSGEIFSARLTDTTPIVGYNTAIVTFVWQSDAIHFAQTSRNGIHLGPT VAKVIPVNTPTYPISAELKRLIFKEGATRCLCVSSLRESLKSEVRRVMEKSPHSAYIE RIEDGLVLGETYIRFHSIKVAAAACDQLKNHPCFTECGFRFLKKASDMRTAVSGERTF RTKVQEKRPRIGIWD AOR_1_3138174 FATEYRAPLLDAGYPESASFEPQNYANKTMTQPPPPTNPTTPKM RPQLTPTLLSTLFTSLTRPTTKWTLHRTLKSDNPLDINGDLHGTATFTPLSPNTNTNT TTAKDLLYHEEGEMPAPPGLRANLGVGVGLRFTKKYIWRLSEKGIISVWFAKVGGGED GPDYLFHEFEFREEGQGQGQGEGVKEGEGETFVDAPTPPLVDGEDTVVFRARGNHLCI NDMYRTAYAFRVKGEDGEVVSWASRHVVKGPKKDQDIVNLYRVG AOR_1_1598174 MYSHILLILSTISSLLPRVTAQTFPQIDLGYATHTPTFINTTKS GTKVGLYNNIRFAQPPTGTRRFRKPVTPPLHEDGIQDGRDRLTKSDCVSSVNSQAPFP GINGTSWGQEDCLFLNEWVPEGVREGDDVPVLHWLHGSAFAFGSKDLFGDGYGLMDLI MGEENRFIFVASNYRMGLYGWVSSAHEDMDANVGLHDGIAALEWTKKYISRFGGDPDN ITVGGQSAGAGMIAMMLVGNGGNGTLPFQKAFLSSPGLMPRRNVTERRQEVYDQTLHA ANCSSLSCLRDLSPSALAATNKKVLDLPGGSGGGTLGPGIGIGPFPDGKYLLDAVPVM LQQGRYHKNIQAVMSGNMAAEGLGLTPEISTYEGFATLVRRLVPGASNATVQHIRDMY PYPDSQLQLVANSWTTDIVFACNARAVAKAYGNRTQRYLFSVPPATHGLDLYYYFYRE GAEFPGLNVSHARQFQLEVLKFTAGKFKQNNRTDNWPFYAPGAKMVNVTAEGIEQSVD PWARMPNCEIILKTVMDKRNGA AOR_1_1600174 MPRVAIVTGSARGIGKAIALRLASDGYSVCINDIPGAMDEIVRV VDEINATSTPEATSSSRPRAIGIAADVTSPSAVEAMVRESVEKLGPLTLMVANAGIAQ IKHLLKVTEADIDEVFSVNFKGVFNCYTHAARQMIAQGDPQSAAGVGMYKIVGASSIV GFRAFGALGIYSASKWAVRGLTQAMAMEMAPHKITVNAYAPGVVGTRMWDKIDEELGT IEGRAKGETREVYSNKAALGRTSEPEDVAGLVGGFLASQDSDFVTGQTMLVDGGIVFS AOR_1_1602174 MSQFMFFPNMHWRNLVLNFPESDHHSLPPSSWRVTQKINESIIS YTQKEAEETKELPLACAKFECETLEDSSNKAILIVYMEIPCEDTECAAEGTYETPLSV YSPGAIQYKEETQTSGDRHAFMPGGKIYYLVIGKLPGVPLGNGLISYTEVGRIFFEGL FWNLSRGERDQIRLAFQDAYSEHIRSKATIAIKTLKRLFWDKDSGKVYIQGPFKPLDL ANMGIPRSGQLDPYGPKVLEIWGLAIAPKGTVDYDIPIDCLEQFGWIL AOR_1_1604174 MIQLKDLPPPPPYSETQPSSFSDCPYDEEAASESTEPRGLEFDP RNTIRPGDTQRWWSRNVKRQIFCLFCVVLVVAATPYVVFMVLMSQWRF AOR_1_3142174 MFDSGVLNYYNYGHLYNESLGHKLVARTTTQNRMLKSAENFLAG FFGLDWTDKANLLAMIENVGFNNSLIGTYSCPNAMTVMANTSIYEPMNQWINIYLKNR TTTLKELSGSYNWTATDSHNAQALCVYETISFGYSQFCQLFTYKEFEQFSYAYDLMFT AMVGFQNPAGRAQGIAWVEEFLARVEGHVLQTTGTNANMTLATNPVTFPMDQNLYLDF THDSDIFATLTAFGFRQFAQFLPPTGPPKNQQFSTSKVVPFGGRTNIEIIRAPHKVST KRSRNETQSVYVKDTKDTYYVHFLQNQRTLPLHASFPECEYRDDGWCELDTFMKVQRK SLQRSQFEYACFGNWSTPAYGDVTDGVPPRS AOR_1_1606174 MPPKEDLSLVPNSISYRPVDGSDPSFQDDQNDISPVGSDLQSEA NEVTEDDFYHRPILHPQLRGQLLLGQLYEKKAIMQRGGNYEVTFMDMKIQIKHFELDV ERATRLAFRAMFYTKLGERVEMYPQSEKYDKKLLMQVNTLFDVLKGASLEQIIATPRR FLSIQKSADPRLSKFISPGDFTDGS AOR_1_1608174 MEHTFQLTRFRTSVSSHRSSSISGPVLRTQIEQHRTKRPHTKSR HGCFNCKARRVKCQETQPHPCANCISRNMRCIYPSKEQSLRRQHASPFGGRNRRQLPW NYESSSGYTAPQSSSESAVTLSSHHPALSHTFTADDLRFFHHFLIAAYPHLPFGSEDL WKTSLPASAHECPHLMHAILCLGATHLSLVTPDGSKYTTLAVTHRGQALRTLGSQLAK GDQCSKTELDLMLATVYALTFQANYMADGLFDFAIMVRGCSIITRRILNKYKRSDMFT LLTSDLIITNIVPQLPLTPYADSEDLNRSIETLESIEPLLLSDSHRNAYSALLNTYNA LKRSERDGFVAFTGFYDDWGRMSNREFMEFLDPGNYVSRILFLHYVVVSIMLQPVFRI LRKPRMLVFPKDELPLLQRGVYIYECLPSEVRGLVEWQFQFITVHKASLEGPGLRPVM EDSSELTTV AOR_1_1610174 MSAEEFLTDIEGGAVPVNCHNRVLRIAFIYKDEGLWNGNSVFDV VEKPHGITKTDNHSTLDIFYLTQLAAAIYRSSSDNINKIYSYLRNLKLTLITQSLPPD NPISSKTPNQPQAEMGDSIYFYNPSLAASILFTILYTIPLLYHTYLSLIAPYTGLQKK VPYFIPIAIGAATEVAAYAIRAASVHQQDNIGLYATSSSLIVIAPVLVCASLYILIGR LIRSSDTETKEPVQLFGRFSPSWIPRVFVTSDVVSFLTQAAGSGIASSNDWTGKEKDV GVGVLIAGLVLQLVTFGFFLVVVVWFDLSFASGEVEEGVRSVLRGIYIAGFFIMVRLI YRVVEFCMGMDTYTWTHEWPLYVLEAVPMLIAMMVLGWYHPARWLPAGFGGREK AOR_1_3144174 MFIRTDQERYRPCPRYNSTIFAITSANSYWVFTALEGFLKQDVQ NSTSVNEDTATDVLNRLRAEAQNGTLQRLDISQCVNAYATDFQIIYGSLILVTNDTDQ SQSVAQQTTFLPQENMRTSASAPYQWICSAQAQVKEHTCSQLLSDVKDQVSKNSWYVD RYRVGYCLAERPPQRCKLEYSVPLAIIVIVFNLVKAIIIGYTAASATKKPILTTGDAV ASFMQKPDEFTRGQCLLSRESVKTRPCRTSYKSSTFNSTPKRWHAAVSVKRYLLGLIS YTVAIIICIGLLIFGVLSMRDKTNTWTMGLGAIKTQTLITGTRWVTSLISNVLVANTP QLLFSMIYFTFNAIFTAMTLAAEWSRYANHRKGLRVSGPPEVAQPSSYFLSLPYRCAV PALTFSALLHWLLSQSIFVVGIESYTGNLQRDPTRDFITCGYSPPAILSAILVGVAMV VWLVGFSFRRLESGMPVAGSCSLAIAAACHPTLRVKGDEYVDEEGKLGAEQMKVQWGV IYVDADGEGHCSFSSEEVGVPEDGKIYR AOR_1_1612174 MARWPLAWTANVPSTSRLFHFLLITFVFSLSVRADDDTTLIPTA ASDSFPACALSCSILQQAQTGCVPPTAVSSDRATYVSCFCQSSLITQLHNSADGTCAD TCTNADDRTKLQIWYSDFCSSGGQNKGTTASESSAAASPSSSTSASTTKSQNSYPAPK SWWSTHYQWVIMVIVLAVGFTAITIVGVWLKRRHDAKYPNLYHAGSGSNSGSNSGLLY SRGQNTSPGPKQPGQFMPAPSPVNHDEYANTDSVASSSRTEVAAPGPRPSRLQRTPQS ADVGDIETREVTR AOR_1_1616174 MAAEDEKSQPLSNKRPHSAVDGDGDDDNDSSSDDDFGPALPSAD APKKKRRKLPFEKVYVNALPASPRYSKSLMHKDQLSFVTMTPHTDFLITSSIDGFVKF WKKMAVSIEFMKEFRAHNGEVRGVSVSADGRSFATIGADKTVKLFDVITFDLLSMLTL EYTPRCVCWVHRRGASLPLLAVTDEGSSTIQIFDGRGENPNPLHTVKTIHRNPVAAIA FNDAYDCVISADESGMIEYWRAGDSSFEKPDNVFELKSSTNLFEFKKSKSTPTSLTIS PSGEQFAAFSFPDRQVRVFDFSTGKLYRKYDESLSTITDMQQAGTAIHQLDELEFGRR LAVERELENPITQPKINVIFDESGHFILYGSLYGTKCINTYTNRVVRVYGKDEPFRSL NLAIYQGQPQKKGVVTVSMAASSNPLLQESEERDPILVSTGFAKVRFYLFTNETEISK SSRDVQNEKPTQTTTGREAAEKKSNELGTSAILHTTMGDIHLRLFPSAAPKAVENFVT HARNGYYNNTIFHRVIRKFMIQGGDPLGDGTGGESIWGGEFEDEFSSLKHDKPYTLSM ANAGPNTNGSQFFITTEKTPWLDNKHTVFGRAVQGLDVVHKIENTKTFKEKPEQDIKI VSITVS AOR_1_1614174 MEVNVGWRREAVAGGLRQLLSSGHIANFSGRPAASANPTQIFAD DVIEEKGENARLSAFVGAIAVGDLVKSTLGPKGMDKILQSASTGEILVTNDGATILKA IALDNAAAKVLVNISKVQDDEVGDGTTSVTVLAAELLREAEKLVNRKIHPQTIIEGYR IASRAALDALEKAAVDRSADMEAFRKDLHSIARTTLSSKVLAQDRDYFATLACDAVLR LKGSTDLSHIQIIKKAGGKLSDSYLDEGFILDKKMGVNQPKRLENVNILVANTAMDTD KVKIFGARVKVESTGKLAELEKAEREKMKAKVDRIKAHGINCFVNRQLIYNWPEQLFT EAGIMSIEHADFDGVERLALVTGGEIASTFDHPEQVKLGHCDVIEEVIIGEDTLIKFS GVAAGQACTIVLRGATEQLLDEAERSLHDALAVLSQTVKDPRVTLGGGCAEMVMSKAV EQAAQNTTGKKQLAVDSFALALKQLPTILADNAGLDSSDLVTRLRQAINNGMTSSGLD LLTPGGGIADMRELGVVESYKLKKAVVSSASEASELLLRVDNIIRSAPRRRERM AOR_1_1614174 MASANPTQIFADDVIEEKGENARLSAFVGAIAVGDLVKSTLGPK GMDKILQSASTGEILVTNDGATILKAIALDNAAAKVLVNISKVQDDEVGDGTTSVTVL AAELLREAEKLVNRKIHPQTIIEGYRIASRAALDALEKAAVDRSADMEAFRKDLHSIA RTTLSSKVLAQDRDYFATLACDAVLRLKGSTDLSHIQIIKKAGGKLSDSYLDEGFILD KKMGVNQPKRLENVNILVANTAMDTDKVKIFGARVKVESTGKLAELEKAEREKMKAKV DRIKAHGINCFVNRQLIYNWPEQLFTEAGIMSIEHADFDGVERLALVTGGEIASTFDH PEQVKLGHCDVIEEVIIGEDTLIKFSGVAAGQACTIVLRGATEQLLDEAERSLHDALA VLSQTVKDPRVTLGGGCAEMVMSKAVEQAAQNTTGKKQLAVDSFALALKQLPTILADN AGLDSSDLVTRLRQAINNGMTSSGLDLLTPGGGIADMRELGVVESYKLKKAVVSSASE ASELLLRVDNIIRSAPRRRERM AOR_1_1618174 MTRNSTIDEVTFVTILWVCQTISFFFVAIRLALQYHVDRKWHTS DIMILVAWLFSLGNGITWSAVYKQMYQVISLAKNSTIDFSEVPANIGWMQRRYLNGQL SAYLISFTGLWLIKLSFVFFFRQLGNRYRAQQILWWVVLVLVIGCYGGTLGCLDYKCE MSSLEYSIEVCATAGAMHSQQVRLRVATTLDILSDAAIIILSGNVMWRARVNLTRKLA LIGVSFLTAFIIIIALLRLFLSVSDMSIIDPIWLGFWNALEICVAIVVACLASFWTFY TKSKRSPSPSDIYRREPSPPNRNYASLEAPILLASDMSERHKIPEPAVSVQSLNSRQD ADAYHVSHA AOR_1_1620174 MTTSLQSTTDVVIIGAGPSGLMAALWMARCGVKTRIIDARATKV FRGHADGMQTGTLEIFDSFGIVEDLYNKAAPSVEMTIWAGSKDAPLKRVARFPKWSPD LGQYHLVHTSQGNTERALLDGMKAFDGLEVERGVLATAINIDEAGIHDPKAHAIKLTP YLKRKVAGKEGRTEVIHARFVIGADGSRSWTRSALGFDFLGDDGEEDVGGILDCIATS NFPYNLGWKIAHVVKGISPLSILKTYDEERGLTTKQLVAFDKALSDESPVGANFSAHG TYQQLRDNLPFSSTTAIEYEAGLLAAKQGGLIVSKQYLAPGILVGRRLPSQTVERHAN GESVDFGKSFPSDGRYRIVVFAGMISQPEQLRRLENVSQVLELPGAFLRRLGERAITP QDVFEILVVHSASRDDVEIADLPPSLFKNGDPFRQVFVDNNESRSWTLSEAYSRYGIS KDRGCLVLVRPDRHVMYIGELEDVTEMIKLLTSILL AOR_1_1622174 MHFQALPILAAMLALPALIMGEPDCPYGGYWTWSHEEARSAAAH GIDKWCNNIAPSTFKGGQEVTQCLDVDYDPKNVNLWMKNDNSGDKVLSIDQCKKLLKK IVDSCPAGGSDRTLDGWAPQAKPGGACWV AOR_1_3146174 MNISDNDRPHKLSKRVPTMETNFNHADRLERLLQKDRFKTWGFV IYRCTYRSDSDWNRFMTRLLSHVTEYLDFYNGLDLLDSFAPTVFEDQSFDGATTTLLR KHFQEWAATAPQVEQANDHSRFPQSDRYRLFLMVDQEALESVLSVSDPECRSETGFVR LVNGVWKPEEPDEEELEELEISDPSELEIHEPLEGVLWRMSAG AOR_1_3148174 MPDMSTWTLYGQSKLANTHYCRALAQRHPDIKFVSIHPGLVKTN LGTEFMSDSNFLVSAALKFAMRFTAVNVREGAFNSHPSYDTTLCRDLALDNGISVEDL VKWNPSFEKDMNNCTVWSAYSYCVKKYENSTSTQFTWTYCLRIDATEAGTVSECNYFT SINGYDAGDYTCADMAYTYGVKLDDFCTASRAVCIGVGSKSAAATTTISTVSETATST TVFMGPTQTGVVSGCQLFHTVVDGDDFPSIESDYGITLAQFYQLNPSIGSTCNTLWLG YAYCVKGPSSSATATAISSTASPNGHTPAGTVSNCNLYHTVVSGDSCDHIEITYGISF AQLYEWNPAIGSNCETLRVGYSICVAALSINAPTQHGIASDCNRYYIVVSGDSCDHIE TMYGITFAQLYRWNPAIGSNCQTLGVGYSVCVGVSS AOR_1_1626174 MPPDWLYAIFLTVFFAARGVAIQLDIQDEQSIKSAAATAAYNMM SYYHGNESGQTPGKLPDTWWEGGAMFMTLIQYWFWTGDTSYNEVTTQGMLWQKGHDDY FPANYSNYLGNDDQVFWGLAAMTAAELNFPEKDDDSSWLSLAQGVFNTQVPRWDTSSC DGGLRWQIWPYQAGYTTKNAISNGGLFQLAARLGRYTKNQTYIDWAEKIWDWSATTPL LKTADWNIADTTTSEANCKDHGDLQWTYNYGTYLSGAAYMYNLTDGGEKWKEAIDGLL GTTIAKFFPHEYGGDIMSEISCEQSMMFDRNQDCFKGFLSSWLTFTTTIAPFTQDQIL PKIQASAQAAAKQCSGGDSKTDCGRSWYKQDKWDGSKSLESDMSALSVLSSTMIAHKK EHQAPLTAETGGTSKSNPSAGSGHKDQQTGTPKPITTGDRAGASIVTFFFACGWMASV SWMVYGG AOR_1_3150174 MKFTTVAAILAFAYAAAAAPQGQEGGDGQHGQHDQGEDKTIIKD YCCPVGVNGYGQGYATLGGAVGCSELRPRGTCTEDWPVSWSCYEKPGSSKGPSGQVCF CTASDNCPDSPSFPSLLDSVSALTGAIPALSR AOR_1_1630174 MATITEAEHLITVQTSARGEGNVIPEKYLDGLDVEWKEMWTNHG KNMEGAHLVTIEEFRRCPERYSFTYPTWTGPEVHHLQDYQVPVSNPEGLITCRVYTPA GPGPFPVHLNFHGGGWVLGGLQSEAAWCRSICNGSSIVVIDVDYRLAPEHQYPIALYD CWAAVRWAHTNAQSLNVDPNAISIGGLSSGGLITAVLAHFARDCFPPLELKLQLMVVP ATDMRYVPLAIPNAEPLTTESCPYPSAIFCADLPWSPLARESWFLNYYIGTDEEVRSK VLSDWRMTPVLSPSMKGLAPAHIVTAEFDVERDEAEYYGQLLREAGNQVTMKRYAGVP HAFAHYNHPTRGLSKSREFIRDTIKLLSEVHGTDKRNQVA AOR_1_3152174 MPESTRTPDVPDLQIQSTVTHSDIPKPLQADQAYEPGLRRVPDR IPWVVGLIIVVELGERFTYFGLSGPLQNYIKNPYVPGADLPGALGKGQAIASALGNFF KFWAYASTIVGAVIADQYVGKFKAIVIASGIYIVGLTILVATATPAAINGGSAFGGLI ASMVIIGLGTGGIKANVTPFCAEQYQKGSAFVKTLKSGERVVVDPELTVERMFMWFYW AVNIGALSPLITVNVEAKVSFWLAFLIPLIVIVLAAVVFLCSSNLYIKTKPQGSPIVE TARTVYVAISERGFENAKPSSLSQRGRLEKYSVASSANYTDQSVDGVKKGIRACKLFL LFPFYFICWVQIWNNLISQAGQMALHGTPNDLLQNLDPIALIIFGPLLDLVVYPTLRH FKIDFRPELKITVGFFMASMSMVYASVLQHYIYISPAQSIHVWVQTPAYVLVAFSEAF VVVTGLEIAYTNAPESLRSLVSSLFWLTIGVAAAICIGLAPVSQDPYLVWMYGSLAIV GFVAGILFYVLYGRTSKQPVPVLDSVETTSGQREDEEAQIESGKRMVVTAKDS AOR_1_3154174 MAHNNIVVLGAGIIGLNVALELSKRGYGQHITIMAEHLPGDESI DYTSPWAGANFSGISGSDANALRWDQSGYSAMMSLIDAGAEEAKYLCKTESTEYWDQA PSQDKINSMTEYLRDIRPSTA AOR_1_3158174 MDNGTSTRRYPSSGTGPRRYMSKAQRACDLCRSRKSACQIETAP PCRLCRVHGQPCEFTDRIVRKKRRPLPNTSCLSQTAFSGEPVYSDGRMNESLEFTSLV QPAQTAAMHMTEGPMQDDAPRVVEQLYDVSLVRGLEDPPTSVLGSGDHGIMDELMLSI YEGQGLSPIQPNPALGPPSLDNHPSLSSQLCGLTGDMDPYVLRHYRFDARAEFPFSKL AIRSVQDAEMPVQFLLSKPELSNESKAASSLEALFAEEALPELSQIVAPEIGERLIKL FSRFINRQFPILSEDSLPAPRAASAHLLAAIYLITQPFTTFDDYLCIEFVYSPPSPQV LFKIAWSELNNAISQPTVQSLQAALILLLHPPLNPLLLDSAEKWTLLGMTVSMAQTLG LHLDPTMWNLPSNEVRTRRRLSWAVFALDKWLAFSFGRPSHISKDNWLITELDSSDVE PGDTTSGTTYSYAIEFSRLTTILDKVLTSL AOR_1_1638174 MAGLTSFLTDDSSSSSIAAETSSRSPSPVERVSLFEDRVDGTPI SSQDSDTMEQIQTVRQATSVVLQGSPKTCSRTLFLLPDGSGSATSYASLPRIDKDTCV IALNSPYIKDPSKLSHCSLGDLIEGYLNELRRRRPTGPYHLGGWSAGGILAYRLAQIL SGEGEEVRSLILIDSPPPRGLDRLPQHFYEMCDSLNIFGQLGKKPNVEESKRRATKKP DWLIPHFNGVIDILHNYWAEPLVDSQCLKVSLIWACTSIMDDANLPPLMPHKDDTEGI KFLTEKRRDFSGNGWEDLFPGSQLVIEKAHGANHFSMMQGPFVIELAQFIRKAMF AOR_1_1640174 MRWRLPGARSTLPASVALLLLPVLVAPQQQPEHHDLPSTLSVPL GSTGHAVGKDLYTPLNVKSTDASALATMALAGPGRAVRAPPAQVSSPSAGLSTPLNAR SLQDWEVEDFVLLATVDGSIHARDRKTGTARWALEVPSSPMVESIYHRANRSSFDRTQ PEDDFIWIVEPSQDGSLFIYSPGPDAGLQKLGLTVKDLVDKTPYSGTDPAVTYTARKE TTLYTIDARTGTILRVFSSRGPIPSGQECRKVDGLDMDSDDCESPSGTLVLGRIEYAV AIQNTETGDPICTLKYSEWAGNNRDLDLQDQYYRTMDESHIYSMHDGVVLGFDHSRME RPRYTQRFSSPVARVFDVIRPTNLDSPDAPTPLVLLSQPLQPPDPDYGSLDDRDTRVY IDSTEAGGWYALSEETYPLVTGRAKMAQCYEKDYFRHGQALMSLTPGQQRDALAGVHS LNGPRFVRHIPSLSGSSRSELSNGTPQDLARSHSDLALPPALRHSTIIRKGWDNAVDI FVTLILLFFGAFIYFNSHNIQELAKQKLDIKNIISSYQPPLSTPSTPVVGAQLKRDAS PARPVPNVTVDVSVPEDQQQGGDTTPKPKKDRNTLGADSTPRVRIREPSRGPDGDEDV DEVALDGAEKPKKKARRGRRGGKAHKRGKKPDSEGDSQTDRVVNDVNNLQPKSRLEPD VQMVRTVSNEIMEMDGVLQIGRLRVFTDVVLGHGSHGTVVYRGSFDGRDVAVKRMLVE FYDIASHEVGLLQESDDHANVIRYFCREQAAGFLYIALELCPASLQDVVERPSDYPQL VQGGLDVNMPDILRQIICGVRYLHSLKIVHRDLKPQNILVAMPRGRSGARSLRLLISD FGLCKKLEDNQSSFRATTAHAAGTSGWRAPELLVDDDKSSVIQSTESQHTESSEPAVV DPQTNRRATRAIDIFSLGCVFYYVLTRGSHPFDKNGKFMREANIVKGNHNLDELERLG DYAFEARDLIQSMLSLDPRKRPDASSVLTHPFFWNPSDRLTFLCDVSDHFEFEPRDPP SEALLCLESVASRVMGPEMDFLRQLPTSFKDNLGKQRKYTGSKMLDLLRALRNKCHHY NDMPEHLKAHIGGLPEGYLSFWTVRFPSLLMSCHSVIVDLKLTRIDRFERYFTVPE AOR_1_1642174 MVFIRLIVKVYPREQLSHAYPTPNRKPPTASSEHETKPASFLLA LPNPEETSLGELARLIRSKWAKLRPNAEPLDIKKLLDDSRDMVDLDVDMTVADVWVNQ ARAKRDEDDQVGTVRVVQRPAPYAPVRFPSVDQDWGVTKEEKFRGKFETIEEAGETET DSESGSEESEEEEEESEGEKGNLIDAQARAVNGRDNEESETDESEEEDSREESDSGSE EDDNEQVNGRANVEESADEGESEESAPDNDIRMEDSLPETRVLKRKMSTEELEPRKQP RLAQSSQASAGDAENVHGTPVSSPLGTRKRDADRAPSFSGLGRRLSFTERPALSHGLG LGITKSPPRKQPFLMADLLKDSTQSESGPSDTRVSPTSVPPSSAPAIRRSSGNQHPST PAKLQTPADKVRLLQSALRKDSPAERSPERRSVSFAEGEDHAVPTSVPVTRATSRVIH KEQKNVTNSGSKQAPPEPEEPSDKEEEFSTGINLEINEYERALQTHDLDEKSEYTRKV KLAAKKWQIMKNNKDKGRKRMQERYKNAVNDLRILHRDIVELWEFNKPPSPRPKSQIP NGTPATKKLSRDPTSSQNRKSQDPWDVEILTPRADSKKLIPKNLPPSQTSRKSSDREE AQAQQQDKGHQSVEIPPPKAGGSAERRLGSKKLSQERPPSPPSESESEAESEKSGSEE ESTAKQPERKKSSSQEKSPSPPAGSESEDESGSEEEEEEEEDNAAPNQADSLTNLTST VEASIPDPVPESGSGSDSEEQSGSDEEDEDEDEEKQGEEEEKDRPTDEESQNKDADQH EEKEKEMVQEPETQKDDIVPETEEEREQETTTPAQSNPPPTETLSKPNPAEEETESDA DEESDGEEEESDNESDKENRSLPKPASTAKANVLRRTSLNPPSSQPNPPSSQQQPTSS QSTPTGSRPTRNTLKSLLFQQRAEQAQARLKKEEEAAKRKSQPRKDIFSGPSDSESED EDESSSSSSSSSESESGADAGDILSSGRVGKLRAAIPRK AOR_1_1644174 MASSAFPPPPVSSIDWNNVGFKVRDVNGHVECHFSHSQGGKWSA PKFVNSPYLPIHGMAPGLNYGQQAYEGLKAFRRPDGSISVFRPDRNAVRMRRSAEFIS VPPIPEDLFVESVKLAVAANAEFVPPHETGAAMYVRPLVFGSSAQLGLSPPDEYTFVV FVMPTGVYHGVHAVDALILEDFDRSAPEGTGSAKVGGNYAPVLRHSGRAHSEGFGITL HLDSRTRSEIDEFSTSAFIGVRKDASGAVTLVQPDSKNVIDSVTAASVLEIGERVFGF KTERRRVPYEELQEFKEVIACGTAAALVPIRSITMRSRGDRLTYEAGDDGQSGGEVCA KLLQTLKGIQAGKIEDQFGWNFTVERPPEGWATGGGETESDGANVP AOR_1_1646174 MIDQPESGWSLTGYIVENPQDEKDVNPHGGCSITSHFFRDDVIK YCCGTPIGNGTNTNTTVCPENKTDFFIDDAKPVLGHAMLANVTSLSASSNNGSNGSVT CPVSSPCHETAVGTGVGVSLGVVALGAIAWAVYERVRANRLKSSAAVMSPNMGMGLPT AGSAPGYQGHTLPVELAQDRQVVEIMEREI AOR_1_1648174 MVKESTSQFSAVVALRHPMQIVYLGNVSAMEIMVAGHATVDACS ASLDRALELAGPDLGIVAS AOR_1_1650174 MVALISFLLLSTVTAIPAPISLTTRDQATPHAPSPWDAGATTQY PIHQSCNATQRRQIEAGLNETIALVDHAKAHILRWGNESTIYRKYFGNRPSFEAIGAY DIVSNGDKGSVLFRCDNPDGNCENEGWAGHWRGENATAETVICDLSYSTRRSLTSMCA LGYTISGSETNTFWAADLLHRLFHMPPIGQNWVEHFADGYGEVLELAAGNESTSTRDS ETLQYFALEAYAYDIAAPGVGCAGEYEESQEESEDGGDDLPANCHTHEGGEVHCT AOR_1_1652174 MLRGLFVASLAASVVHAQSYSGCHTHGSVEYCYGTDGEETPITT HAQATATSVSATTTSSAQSSAVTGCHNHGDDVYCINGEGNEVQVSLTATPTGELPAQY TGCHSHGGSQYCMDADGNDVQILKEGESGDESDSTSESSSSSSGEQNCHFHAGVEHCV GAGESEGSSEQSCGVQSRDYDMPLRIGTLFVILVTSALGVFLPMLLVKLPFPTINTMA STVIKQFGTGVILSTAFVHLYTHANLMFTNECLGELDYEATTSAVVMAGIFLSFLTEY IGHRFVAARASKSTPECCEDSPSNNVSATPKENTAQRTMQLAQLSHSHGTDGTSPNTK LSVLVMEAGVIFHSILIGLTLVVAGDSFYKTLLVVIVFHQFFEGLALGARIAMLPGRI FPSKAVMAGTFALITPIGMAIGMGVLHSFNGNERSTLIALGTLDALSAGILVWVGVVD MWARDWVMEGGELLDAPLVRVLVGGVSLIAGMVLMGVLGKWA AOR_1_1654174 MTNNIDIHYASESESAALGHINIASFRHGLVWLNALPGMDPEVC MPMKQARCLEKLASPDIHVFSAVDTSVDRVVGYARWTVPWEENKVELSEEGRTMVANA ASLRPKEMRADIWELSLKLMKEKKAVHTTKDDMMLDILAVLPEYQGKGIGSKLLQWGT EQADARNARIYLEATIEGYPLYRKYGWHEVDRIEIDYAQYGGTGQITFIIMMRDPQSS AVAPN AOR_1_1656174 MALQIVPMTKQDIPEAVECVQTAFADDPYFHWLFDSSEYNIQRN AASLAAHFQYGLNCNTPMYVAKVPISDKHPRSIVGVSWWFSPQPASKGVSWSVWAQDW LLSFRQFLFNVRFGGRGGLNLHRYKMWKEMQRKTHDVVWTDPRGYYFCNVLAVSSEMR GMGLGRKLVEVVTQQADRDGMPCYLESSKGVPNIIIYEKLGFNLVTEIDCVDGNDACK LYCMVRKPKTKA AOR_1_1658174 MAISDTPPNCVSVVIIGAGFSGLVVACQLKHQLNCDDFVIYDRA ATLGGTWAANTYPGCGVDIPAPFYSLSFAPNAEFSRFFPKQQEVLQYLESVALRYDIS GHVVGNTEWIGASFEDSTKTWLIKLRDVKSGQEYIQESKILVSAVGGLTNPNPFHIDG IERFQGSMIHTARWDHSVSLSDKDVVVIGNGSSATQLVPAIASNVHSVTQFIRSPQHY FPGNDTTIPSSWRTTFRRLPALLRFVRLLIFLYLETSLFQFDLTKTGERMREEAREKS CRYIEQYAPEEYWDLLMPTADIGCKRRVFDHDGYISCLNRDNVHLTNDPIIALREHSV LTQSGKTYPADVIVLANGFSLTQFDAELRGRHGHSRKGHWQEFGYIEAYNSVAMSGFP NFFYMMGPNSGRGHTSIIYSLERHALTVEPKVSSERAYNDQLHTALEKTVLTNACRSW YIDERTGRNWVIYPWSSFYMWYTTHVAGLGDWIYELPKERRDRFSDTHYGIDYADTPS FLFTYSLIG AOR_1_1660174 MCCHTVKYSPHVTGGFILNWATPNMKMLVFLLPIVSVAAIGSLL CSLMIAAFLRRRLILLNSHIKRDFIGKPLLFPARLTHTRRFPETERYNYWYDYFLIGI PVGLRGRVGNLLSIDSLPQRERLWEKCWFTIDPTYYLDRGSGDRSLEEKLHVFLKSVG EDPKEFPYAYLISVPRFLWFQKSAISYWYLYSSNRELTAMIMEINNSFFEKRNFFFRV TGDGMAVDSANNWSTTTTVSAKGCHDKLSLHFSPSMPKSKQYKGSWEKDIFGSPFEKV GGLMVSKSVDPVLGPSIQSNLSSNTPDGQVKVTSRLSSWGEPVDPLAAPGWIIARFIA RWTHVGVLSAPRIVKQALRIRLRGKLTYLKRPEVRPGSIPRKETEIERDLELPFRQYL SELASHTSFPVSIKYVPPKSIHFDDMTFYSPSCTTSSSQPTLTIQPLTPRFYTSFPQY DSPRAAFFTETKATPMNSDESSCRLSISDHSLLELDQVLATAGQTLDTEAAKLGARNP KDWKCKILQKVVSFLRNSPAETFMDRFVSHYAHPSLQYRYQISLTHHLLACLLPIESQ AIVQLCYISARSIVAYILLLVVYSTSLHYNVAGRMCDHFIPPGRVVGLYWCWEMMNKY VGKYYLNPFSWGEGL AOR_1_1662174 MKFLSVILLTTTAGLASAAGSAGCGQPLPPSQNPGGSSYGVNFT LSAGTQRFYRIHIPSNYNVNTPTPLIFSFHGRGKTAESQEKLSQFSNEDWNPDAIAVY PQGLNKEWQGDPHSKDVDDIAFTMEMLDYFQEKFCIDSTRVYAAGKSNGGGFTNLLAC DPTASTRIAAFAPVSGAYYQDVSEEACHPTTVPIKCSPGRPSIPILEFHGTADKTIPY GGGGRRGECLPSIPHFVREWSKRLGYGLHNTTKELYDGNVQEYQYGNGDNRGIVTHYR IGGLGHDWPSVRPNSDNPNGTYLDATPIIVKFFKQWVLPGSSEDNQSEAR AOR_1_3160174 MFNEIQAMPSEVEFLEKLKESKYSVVFKVRFRERICIMKVYHDR GPSEFDPPDREINLFVSESTAYHRMQLKGLCEKGVIPDFYGTIRNIQPSCWSDLDMFL KDRLPPNAILIEYIPNLQSIKLSNYSEQRLAKLRQILDEIHEANVLHGDPMPRNMMVS AOR_1_1664174 MSLKSDTEGDHELMNRPTQSLYKDRLPPLPDLPILKLLTHTALA SKSKKHNLKSPSNPLDLSANMRLAYLILSVFVATVAAVPNQCTPNGLPCDSQTPCCSK VCVIQGDIGSPNENGTCE AOR_1_1666174 MSRRQSSRRHACFRCIELKVKCSTQGNDKECQRCSRLGRPCVFP STLPNNPGRKSRIDELQDQINELRDQLTKRDEAAPGHGMFGDEAVPHALQQHNGPIEH TKSISPRPDLGEPGDLLTIGILTLAHCNRLLDKFRMVKMPQFPFVIIPDSMNAISLRQ EYPFLFVAIMTVSTEDRPALQKDLNHEVKRNISTRIIMNNERNIDLLLGLLVYTAWYH YHWESMLPHMYLFLQLTVTMVADLGLDRQPNFTMGNIAASLGRPSSAHQLVANHSAAG KRALLGTFYLCSVSSLFRQQLFMEHTDWIGQCYDDLRKNSEFPSDQHLKIYIDVRLLA RKSAEVFDGNVHSYTNPLLHGRGRLEVGIRELDKEFTLFQGVKDEARQHGSDTYGYVF EVKVKPVIVLGQIVYHRNNVFLLDEMEQLENLITSSESFITSFLEALPEIAIQLPLSF YTYLWYALLVLSKVLLLSDLEWERTTAFGRRIHGIARAAIEKHGELSSGNDVWANNKR VIGSMISWLEKHQDVRGPEQRLRNHPSSTMHHLTVVDKNTHYPSASASSNSTEPGRKF AYPTQQPDPNQAATEFTFDQVALEGMGDGVDGYWDAILWQQMLEGPVFPGLSSPLHIS SSGCFRD AOR_1_1668174 MLPYGSAKGSRRSHRKSRLGCGNCKRRRIKCDEIRPACSGCLRH SVQCDYQLEESSSSVSTASENAKGQPAATAPRKVSTKRHQTFISSYQTNFRPPKRAHR TRKSISAQLLQCSSSERIFPTLSCRPFEFTIIDMELFHNFLNPTDYDESEHHSAMRMQ QNQLSRLGFSFPYVLRLLLAGSGFQLARRPEIMKLQQSAIQGRDYHVVAERHYNIAIR EVAAAVPRLNKENCHAIYTAAVHIFVCSLAMGPRPGEYMAFREDGQDGLLSLFIGVRT VLEISSKLFSPDVVLKGDEGESGSESDPAAETTGPVRSSTIASEYGYWMDQLRHLIES ELVREGTLNPVYRTVFERLHECYDAVYSPFSPVTTALLSPCIFGWLYRLPNAFMFGLR QRHQPALVIFSYFVLLLDELTWNWFLQDWPRHILIGIHRNLDVYHQQYIQWPMHCVAS KA AOR_1_1670174 MPEATDKIYRSWPHGPPTYSQANPSHSRDITQNPDWNYSLFDCC DPGSLCLMSWCLPCLTFGKTQARNHDATLNGFSYCNADCTIFTGLALIYSHWIIQTIR RGEMRERYGIKGSCCGDCCATFFCSCCALVQEEKEAELRTRAELGYQMTPGMEYK AOR_1_1672174 MRRQNAARPENGDPTVLPNGFIVHKEPLTTISRSQDKPTTESDN SISILNERRWFHWHEPGTSKADKKLIFKLDWFLLSFSCLYFFIKQLDGNNISNAYVSG MKEELGFGPGNELSWMNTYFNIGQIVGGPLSNLALTVIRPRFWLPGCLVAWSLFVLFL FKCNKASQFYGLRFCIGFFESAAWPGVQYVLGCWYRKSEMARRSGLFVMSGVLGQMFS GYLQSALFTGMEGKGGMSSWRWLFIFDFVLAVPVAIYGIIFYPDTPENTTAFYLSESE RERARSRISEEGRTPVGKMDGTVFTRILASWQLYTFSLGYAFWTLTCGSYVTQYFSIW LKSLNVYSVPQINNIPTALGAVNFFFMLTSGFVADKIGRRGPVCFAVGFNMATAVGNR LLPHVVDNDAETNPNGTFGLILKDNNIPNQWIPLTKRQLAQAVNHVAWWFEQTVTEHC DTTTVAYMGPNDIRYVICAIALAKVGYKTFLPSTRNSAEANAHLLRAVGCKCLLWGGQ SQSAHGQALVPDLQVWRFPSLDDLLTSSVSHYPYHKTYQEAEDETFVILHSSGTTGNP KPVPLTHGYLSVLDRGTPPGTPSDSGFTTIIDAIFHGHQIIHYSSKPDIDSDTTGTQG ALKDRLIPCIGSTELGHIPPTKSKATPEDWKYYQWPYYPDIHMELHEEGLYEMVIRRS PDSRLLHGVFHVFPELQEWRTKDLFSKHPTEGGLWRFESRTDDIIVLGNGEKVNPIEM EAAIEGHDLVRNAMIAGRGMTECVLLVEPDWDKFGDRDLDDGFIDEIWDSVEAANKQG PGYAYIEKDRIGIASREKPFQMNAKGTLRRALVCKDYESEISALGDDDPLNPVGSSSD AFQGDDVQTFIRQVVSSVSPNLELEEDTDFFATGLDSLQVIRMARIVTRGISMGSKES RGIHIDPQKETSVTVKQKTKSKTCKRR AOR_1_1674174 MVEFVTINGAQLAYRLAGPANAPLIVTLHGGRGFDEAEAIEVLE GRMHRAPGLSTRMLRDKIFGQFDSDLEFQLIMYAAAPLYSESFNADIALGRNLDTVFY AKSHNDLYAEPEKFFDYRDDLSTVTAKTLIIVGERDWICPPAQSRVIASLIPNAHLEV IQDANHSVHVEKNAEVIGHIRKHLMRPAAIS AOR_1_3162174 MAASSPEVLHARIQWLTQYIEDNIRGAALGQNMPPKQKEASQAV DEGAGERGSPRNPVQFQHNSQAQNTSSSLDNEPDNAEQKKGTSVMIWKGIDSKGPQSL ACLNAYFHHVHRAYPFVDKTRIMQVQSANVNVVLIENDADSMMLYLIHAIGRTTLQRS GKLSPLTGEEVKLPYSIILRYCMENESIDSVQILLLLALYSLFDPHGPGPWTTVGILT RQAMAQGLTLQRTTNVDQTSPADEPSNRLFWSIYVLDRMVASSVGQPPGLFVPDAHIP LPAVTVTEFASAQRAEVSSMLLVTRHVIQLRRLESKITDAIFLRPRADVRSLTPSDRA AIISELHYAVDNWYSDGCLISRPEPSNVRIHDTMAWLNARYYQLLMLIYYPTPFNQPS HPGSHEHLLNVVQKYIHYSQALLELGQLPLNYITLTRFVPACLVLLYCFGHTTAMVFS AKQEIQSCIAILQSFSSGWEHARSLAKIMTDFASVISMYESRSASCLVTLQTTPVLRH AAKPSLYPRVLSLRAQLIEVGGRIMGKANCYQFI AOR_1_1676174 MLPPPDINISIDRGGTFCDVLVQVPEREELVFKLLSEDPQNYRD APTEAIRRALEIIEGREIPIGEKLDGSRIASCRVGTTIATNALLEGKGRKFAFITTKG FRDICVIGDQSRPKLFALKVRKAEALHSKVVEINERVTIEDYDLNPFPLDKSREIRDP NLVRTVSGEIVRILKPIDLEEARQALENLRADGYTSVAIAFMHSYVYPNHEDQVAALA KEMGFDYVTTSHETSPVIKFEQRSSSVCSEAYLFPIVKDYVAAFESGFSTLPRKVEFM SSDGGLRQAVKFRGNEALLSGPAGGVVGIARTCFDNEEKTPVLGFDMGGTSTDVCRYD GKYDYLTETVVAGRKIITPMLNIATVAAGGGSMLFARHGLFAVGPESAGAHPGPACYR KGGPLTVTDANLFLGRLVPSSFPAIFGPGANMPLDYDITRTKFEEITREVNTQTSQNL IPEEVALGFLDIANETMSRPMRNATEVRGFAPSAHALASFGGAGGQHACAIADKLGIE RIIIHKNSSILSAVGISQAELQLETSAPFAGTFSLEILPRLEAQIQTLKDKVQKELIV QGASAGDIEYEESLSMRYIGTDTNMTIVKPGDSDYGKSFVQTHLREFAFVLSRDIAVD SIKVRGVGRNHTSDTKVSPYVQVQKLKKRDQYIHSSSTQRVYIDNGWQNAPIYHLNSL SRPSKLHGPALIIDATQTIFVAPLFDAYILENHVILEKSRNQVPDASLGEDETINPIQ LSIFSHRFMSIAEQMGNTLQRTSISTSIKERLDFSCAIFSREGRLVANAPHIPIHLGS MQYAIQYQHRLWDGKLKPGDVLLSNHPEAGGTHLPDLTVITPVFIQDGDCQTLAFYVA ARGHHTDIGGRGITSMMPESKELWEEGINVRSMKIVDSGTFLEEDVRQAFLDAGNFPG CSPTRRLQDNISDIKAQISSNQRGIVLLQKLCREFTLPIVHKYMHAIQANAEVAVRKY LKGVAQTREMPLTATDYFDDGTMLKVSITIDDTGSAIFDWEGTGPQMWGNYNCPISIT HSAIIYTIRCLIDEDIPLNDGCLAPITIKIPKGSILRPNANVAICGSTLASQRVIDTI LRAFNCVAAFSGCANSFGWGMGGKNPHTGVIEPGWNYGETVGGGCGAGPSWDGEHAIQ AHSTNTKITDPEVVEKRTPVIIRQHAIRHGSGGLGEYCGGNGAVREIEARVPLKFSIL SDRRIYHPYGMNGGEPGDVGRNYVFKWNEDKTVLEKLSIGGKAALGLDAGEIMQINSP GGGGWGRIRPRQCQSGLEVGVDEPKP AOR_1_1678174 MRQELKAWGRDVKSRLRHRPKTPQPSKTGIHADPTSLPSAAPAI TQHSINQAQDLWQSAFDQLDRKEQQILSTLKYVPIQEGNGGEQNGNSPTEAIIEKVIQ GTKQQYEGYQNGGIKIRRSTGEDIDLRKLSRNIIDAALSFKDIVSAVVAFDPSCYAAS AWTVVSLGLTMTQNRLDLRDALFDSSEYLADTLARCAYVEKAIYRNDAGNRAEVGRAL IGVYKVILQYAAEVLTAQQSSIGRWIQDTITGITKQRLGELQSSVKEQEQYLHQWVQM DQHLRHNEQAELLLSQCDRIIESVQALIQNFGLPIAEGASYDSYDNQHEDKCLPETRT ELRHQITDWAGSPEGECIFWLNGMAGTGKSTIARTVAQSLREKGLLGASFFFKKGEAE RGNAKRLISTVAKQLITSKRQLAPGILAAIQCDPDIASKALSEQFDKLLLQPLVNLRL DEPTSTVIVIDALDECEQEEDIRVLLDILPQVQNSKSLHLRILLTSRPELPIRLGFQH LEHQDLVLHELPRPVIERDIRLFLQDRLEKIQKEHSLPLGWPGENITETLVSRSVPLF IVASTICRFIGEKYQVPEDRLDAVLNDSASTSGSQMERVYLPILNKLGGKAEMSNFAK ELQDLLGVIILLAAPLSVRALARLVNSQERKVHSRLAAFHSVVRVPDDCDAPVHILHL SFREFLLNTTSIFHVNEKESHRKIALHCLRVMETGLKHNICGLPSYGTQRAEIDRQSI DNCLSAELQYSCRYWAYHLNQGRDQVYQTEVLPFLKGHFLHWLEAMSLMGLLSDVVNI LNTLWSTAQSDLCLELSSFLRDAKLFVLRIIYICTDFPLQLYCSGLAFLPPSSIIYET FQESQRWVHVVPHTGGSWSAELQSLKGHSADQSGLFPPDDQMIASGSKANTVKLWDPN TGQQLRVLEGHSDSVASVVFSFDSHIIASGSYDRTIKLWDSKTGKQLRTLDGHSDSVV SVAFSPDSQLVVSGSDDNTIKLWDSNTGQQLRTMRGHSDWVQSVAFSPDGQLVASGSY DNTIMLWDTNTGQHLRTLKGHSSLVGAVAFSPDGHMIASGSYDKTVKLWNTKTGQQLR TLEGHSGIVRSVTFLPDSQTVASGSYDSTIKLWDTTTGLELRTIRGHSGPVRSVSFSP DSPMIASGSYDNTIKLWDTKTGQHLRTLGDHSSPVTFSPEKAKPGSMGRKYIFKWNCY KTVLKKLSRSGKGALTMDPKDIR AOR_1_1680174 MSSPTVQRATGTPRKLRAESGSSAGNIAEQSPSKRTPQKLQVRS RKPIEEDVPEEPATPSPKPRKTVQGEQRDAPEETQSQASGSAGGLGGLAGGLTGQVKD TAGALTRRGEQTVANATGVDLSFLKGLEVSEWGQVLGDDGNPVGRIVEGEPQDLVGYA VGDNGEILDEDGDLIGRVEALPEAIQENTDQAKKAIGGLADLNGIPVSEGGFIKDEAG ETVGKVVEGDAQDLVGYAPNEKGEILDDEGDLVGRVEPVSKAASATGSVADSQKSNSQ GTKSVGKSASEEVTEEVDKDDSATKDIEDTAEEKVDDAEEQLPPLSTLEGLKCNKLGK IINPSTGKPVGELVEGDPKKLTRLGATLDDQGQFWDNRGNVIGRAQTIPHQDYSDEPP FAGLDGLHVVEDGWVEDSKGKRVGKIVEGEPKKVLGRPVDEDGEVTDQHGNVVAKAEY YEQPDEPEPEEPEVVDLSKLDGLTCNKLGYVMGPNGVPIARVVEGNPKELAGKEIDDG QIWDGRKPIGRVELIPENEREKKPEGPFAGLENLVVNKKGFVEDTDGNIVGKVTEGEL KNLRGRTVDEDGDIIDKFGNVKGHAEPYEPPEEEVVEEDLSILEGKTVNKAGNVVDAQ GNVYGRITSGDKRLAGRKVDGKGQIWGDDGKVIGKAELVPGAEQEKPEGPFFGFDDAE VGKDGVVTSGGKIIGRVIEGDAKRLQGRKVDEDGDILDKNGNTIGRAERWEPEEKQRS VNPMAGRKVTREGEVRDVDGNLIGKLTSGNLATLVGKEIDDNGFVVDNDGNKLGECTL IENIPEEKEEEVVEEEPGPSPEELEEQKKAEEDKQLAKKMSAIIGQTLDRVRPICKMI TEHIERAERTPKEELDEEKLVKDVKPLLEEGGRILQECNGAIRALDPDGRIAATAKAR AASHEASPEEYALADQIKEMTDTVVSCIENGKKKIADMPHAKKQLNPLWALLSEPLFQ IIAAVGLLLTGVLGLVGRLLEGLGLGPLVNRLLGGLGLDKLLDSLGLSSITDALGITG KKK AOR_1_1682174 MVVEERKQIIVFGDLTCDSVAGLRTLVTVKDNPLLISFFERVTS GLREEIGLLPFSQRQRFIRFTTFEELLARVQRSTCPHPALEKALNAGLTSERNRQYTS PGHKYPSTQQTCLVGLCTGLLSAAAVGCCQSITDLLPLATHTVLIAFRAGLFVADVRD RLEPQTGAPLAWSVLIPGLDGDTASLTLQKYNEEKGLPATSAPYISTYANTGVTLSGL PSALNDLLDSSCLPKNRALTIPIYAPYHASHLYGQKDIESILRKASATEFASYQCQFS ILSSITGQSIQVDTFGALIDYALNAILREPLRLDRIVSSLGEALLSDSPIRGCTILPI ATVIGQSLAAALRKHGAPDITVDPCMNSSIAVRDDRTSTTGHLGHSKLAIIGYSGRFP DANNNEEFWQLLHEGRDVASITPSNRWDVKTHVDPTLKKKNTMGTPYGCWLKEPGLFD AKFFALSPREAPQVDPAQRLALMTAYEAMEFAGLVPDSTPSSQSDRIGVFYGTTSNDW GETNSSQNVDTYYIPGSCRAFIPGRQNFFYKFSGPSYSVDTACSSGLAALHLACNSLL KGDIDTAICGGTNVLTNPDITAGLDRGHFLSRTGNCKTFDDDADGYCRGEGVCTMVIK RLEDAKADNDPIIAVILGAYTNHSAEAESITRPHIGAQKAIFEKVLTSAGVDPYSVGY VEMHGTGTQAGDAREMKSVLSVFAPETERPRTDAERLFLGSAKANVGHGESVSGPIAL IKSLMMLERNEIPPHCGIKTKINSGFPTDLTDRNVHIAKQPILWERPEGGVRRIMINN FSAAGGNSSVLIEDAPVFEPKSKEAEPRSTHVVAVSAKSSTALIANIKSLLSYMNATK PELPSLSYTTTARRTHHPFRVMVSGPDLPEIHALLENKLASPTVQNRARAAQRAAFAF TGQGSQYIGMGESLLNFSTFRSDIERFNGIAETLGFPSFLPLLESGNGDISELPPLVV QVGTVCTQIAMARLWRSWGIEPCAVVGHSLGEYAALNIAGVLSEADTIFLAGKRAQLL QEDISANTHAMLAIGTSVEETRSLCDGLEYDIACINTPKETVLSGTNKQIDRILDILS STSLKKTRLRVPFAFHSSQMEPVLEKFKAAARGVKFYEPKVPVISPLFGEVLTSKEPF GPEYLARHCRETVNFATALESAKADGVISSALWVEIGAHPIVSGLLRNNLDSTLKTVP TLQRNKDTWKVLTSSLSTLYESGVDIRWSEYHRDFIPGLSVLRLPSYNWDLKEYWMQY VNDWSLYKGDAQFLKGTPGLSTTCVHKLVEEKKDGNKITVVGEVDVLRDDVDPFVRGH RVNNLPLVTPSVYAEMALVIGEYLRKQQTKLSGTLVDLQHMDVQRPFATKSKGKGPQL LQCHVVLDCETFQGSVEFWSVTPEGKKLVRHALASITFLDAKAAQEEVQQRAQGIMNE MDDMAARLNTDDRVQKFTGKTGYNLVSSLASYDPEYMGVSSVLLDSGRLEAVATVKFN NPRTDGVYHVNPYLIDNLGQPALFVMNVNDQADLSKEVYVNHGWKSLHFYKPLSIQKT YRSHVRMSGPDADGLYGGDMVVFEDKEVVAVYKGIKAQGVPRRLMDYIVHMRDDTKAG APAGGTLNASQSAAANPAADPSAQADSDNWQAALKIISEESGVPIAELSPEAAFDDLG VDSLLALLCASRFREELGLHYESSIFLDHPTIKELEAFWKQGAPETGTVTVSGRDAVL NSMFTEAEAEVDQDKNSSDEDRSSLGTSSYEVISPNTTETTPEITKTSSPKISATSLL LQGNPALPSTVKTLFLLPDGSGSCSSYAGLPRIHPSIAVVGVNCPFMKTPESYTCGID EVTQMYITEIRRRQPHGPYALGGWSVGGIFSYHIAQHLAAQGEQVSELILIDCPVPKG LDHLPRRYYEYCDTIGLLGDVNGVKRDPPPWLISHFEACVNSLHTYHATPFGSNNAPR TQIIWACDAIDKHCEPKFDRRPDDPEGLKFLTATRTDFGPCGWETLLPEEDMTLDRMT GANHFSMMKGEFAKRLSEMIEGFLMIGN AOR_1_1684174 MKKIYNVYFLCGFATLGGGLFGFDISSMSGVLGTAAYTNYFQVG SGQYKQGSITCAMPFGSLVGALCSSFIADRYSRVRAIQFSSILWIIGSIFMCASNGIP LLVVGRVIAGGCVGIASAMVPVYQAEIAPKEIRGRVISLQQWAITWGILIQYFIQYGA SNIDGGPNNPTQSTAAFRIPWGIQIVPGVILFFGMFLFPKSPRWLASKDRWEEALQVL SKLHGQGDVNHPKVLAEYKEIQEALALEREQSATGFQELIKPRIFKRVILGMSLQMWS QLCGMNVMMYYIVYIMQSTGAGSPLLTASIQYILNTALTLPAIIYLDKFGRRPAILIG FFLQAIFLYLEGGLQGGFGAPNPHTDPKLDAISWTVADHPAVGKAIIALSYLFVCSFA TTIGPTSWTYPAEIYPAKVRAKAVSLATASNWIWNCLLALFVPPLLWSINWKMYMIFA AFNTAAFIHMFLTAPETKGYTLEEMDDVFDSGLPAWRKLERKSRMEELEKEIIEGNLK ITPAHEATGVSATHVTPEKQV AOR_1_3164174 MDTKRKQADEEGRNIEEADMDNVGNGTHVATRDLEKVSGSAEAV WDEAFLVTFDQNEAQNPLNWTKKRKWGVTAAISGTGFVRIMVSTMMAPSIDTMAEELD TSITESTMALSVYLLATAFGPLIIGPVSEIYGRKSIFHITNIWFLVWNLVCGFAHSKG LLMAARLLAGFGASAVYSLGYGVLGDVWSAEQRGRSLSLYLLIPLTGSAVGPIVSGFI VKYSTWRWMFWSTAILQLTLDLSSLLFHESYAPLLLRRRAEELRSNTGGSRYHAAIEM REAGLSPPRKLSRSLSRPLRLLAFHPIIQMQAILEGIDYGLLYFALSSFSALYVAAYG ESVEISGLHYIVICIGTVSGSQLCGPLMDYAYQRLSSNTGETQIPELRIPLLLPGALI TPIGFLLYGWAAQYHLIWVVVDVGAALLSLGMQIFDTTLHAYVMDSYPEHVSSASAAT QVLRSLLAFAFPLLSNSLYDSLGYGWGNSLLACLSIGIALPATGILWRWGATLRGRQQ SSY AOR_1_1686174 MTRPNPTDQGHPLDLYKSDDVVVEAYTDLQTVITFIKEYFESFL SGDEQYMAITQVSDDNLLEFNKWRACTRPRTFLVRTFPNHNTLVIKFKSPLCEQVSEA MYQRFYIRKYQQHHGLTSDILSHAGPTIYTLQNGLQLEAEQAYIPLASRAPDNYPSLV MEFGDMASIGALRVDAQLWLENTSGRTKFVLVVAFDIEKIVFECWEYRDGEVECIHEV SVDYQSGRVRHAPLMIPLASILDEMPDLPGITEDATIAFSDEDLVSLMRETVYSEAS AOR_1_1688174 MYILYDTEHESNDSDSEMSSFSEDEATCERGAPTTSDPNSQPVN YAPTGSISEVHNLYESKPDKRGRTTWTKEYPDDLTVPAENTESGQYALLVRNVKCYDG RKPLQIHSIVVQSESLKEFLVRVFKDYPGLTMTLKRVEFKPPFMPFVHRWEGFQKARD EVKDPMTKSVVDLLYNILEEELRETITRRKDLILNGVVTHDMLWAIFEPGVNVYCIHG DHERVLQSLSASTNCEGVFVVSAKYVDYDGNGFGYRKQGQCIPPFQGTVPITSLPVFP LHFHPNAGGVRDNLISRGRLWEEHSGYHYKQYEGPGFTKFMGQTMQLNVKSRIIIDGE AFNTFNPNDSTRIDGCTKTLSDEQRLLATPILRGYSLKDKRWLEFYLEGVRDIVWDSQ AFDSLVLPAEQQRLKGLILAIAKAQSKQMDTFDDIVQGKGRGVIIQLSGPPGVGKTLT AESVAEVMRVPLYVLSAGDLGTSPGNVEKALKDILRMVPKWGAVLLLDEADVFMETRN SKDLERNELVSIFLRLLEYYEGILFLTTNRAESIDPAFESRIHVSVRYPDLDAKSRRQ IWTQFLGANGGFLSEQLDYLAQVKLNGRQIKNVLKTAHLLAREQDHEIGYDHVRTVLD LRAPSNANLMDETD AOR_1_1690174 MSLNNVITSLSTLPRELAHQILNDIRIWDILRLIIHNNAHINTD ILTHPTLGRLVHHDLKTLDEIRPVADLYRTVCADHGLTAAPLTSPLALNTQTYKSDYQ EIINYMHCRLRDELYLEPWKREVLAHYAHLPAVWDSSTIDGMVARWNAIQNAQEKLNK RKASQLHKAADLLEANPEILKKMIDPSQTPRKNIPHILQRLRGTEKQILRQSLLRGGA LRGMSWFAYGHFPVVPFDRALGVVLRGLEGLGVEFGLGEDGADSRTSRKETRDLGEVG GSVRVVVEGLNFVYDGQDGGRLPRIDMDEGGGSWYFIPRGPVDALLYTKDGMEGQYEA HDEREIAWLEAFVEVYRYFEGQG AOR_1_1692174 MSQTEEEDYTQFVQTQPPATIAACLRRDQLLCQENHLPSPLAMH LPTQPCELLDAISKHLIPDTTIPAPIRILQCQGIISGIKASVNQMSSIRTWTAEATEK GTGIIPTTKDFKSALRVLEYLQKYPSISMLTGTSPFPDVIASVKTQLAFEDGLRAVNH LHTAHKDSQLGSKASYKSRRPKRNCYMCHFEIRTDEAHDIYPSLCRPCGSFNLASSAI SQPPNLDLAGKTALVTGGRINLGYETALRLLRCGASVIVSSRYPRDAVVRYAREVDFG AFSSRLRVVGADFRTARDAFRLVEVVKRLLDDWEGDGRETAGKALDILVNNAAQTLTD PVRSETRAIVREEQLEGEIGELGLIPDYGERYVPKLRGGVGSAWGGIEDQVRLQIAGS AEPESVEGHGMVQKGLGPDGMAEDDSKSSWTQRLDQIPYEDVISAQAVNAFVPLILCR ELLPRMGATGKSSRPLGYIVNVSSREGILESRTKSASKAGHHVHTNMSKAALNMITET ESEAAWKRHVAMNTVDPGYMSAAPECQRADGCPIGFEDGAARVLWPIAVGEREHRVIR GRFMKHFGHHDAIISRGI AOR_1_1694174 MSYPIEKATPTTDSPQETSDVERCKSNEKNSEQSQQPTAERQKW YQWFAPTDTPAERRLILKLDGLIIVFLFLAHWAKVLDSSATSTAYVSGMKEDLKLYGN QLNYLNTVYMVGFITMQIPLTLAMTRCPVNYFLPAADLLWGVFTLAQYKASTVTQLYA LRFFVGALGGFFFPAVQWYLGSWYKRSELARRGAIFFIASQVGSMSSGYIQAGAYARL DGRYGIEGWRWLYIICFACTIPIAFLGLCLLPSTPDRCNSRYLTQDEIRLAQERMAAE HREPRQPFTIPRIITILKGWRIWILVGFAFFFSQADGVSSNSGLSLWLKAENYSVESI NTITTVSPAVTIVASIVCGVISDIYDAKVSLIAITALLNIFACLVLAIWKVPVGLKFF AFFLSGTADGIAAIIYAWANEICARSAEERALVISAMNTIGNTFGAWIPLFVWKTVDA PRYLIGYNWNLALDVCMLIMLFVLRYFWVREQRRDRA AOR_1_1696174 MAIPTAGVIVIVIVACLAVTSLGAAMWKQVYPTDHSAHRYNYSR EQELYMRSVRLKNVGGLRQESRTKEPTPRDLESAVYTEDGSSRF AOR_1_1698174 MRRAGLASFVLQSLVLHTNAVPSTQSDTYVSRVDARGFGDASFD YIVVGGGTGGNVIATRLAQKSLKVALVEAGGLYQLESVAAVPAADVLPVGSDPNTKAL HDWGFVAEKVAGANGRSIHYARGKCLGGSSAMNFMIYQRPTRESMEQWATAVNDSSYT FDQVLPYYKKSVHFTPPNTKTRFANATTGFDAAAYDAQGGPLEVSYANYAMPFSTWMS RGMEAIGINETQEFNHGTLMGAQYCASTISPKDQLRSSSQASFLASIKAPSLTTYSNT LAKKVLFDKNKKATGVRVKGLLGNTFTLNAKKEVIISAGAFQSPQLLMVSGIGPRDTL EQHHIEVLADRPGVGRNMWDHPFFAPSYRVTVDTFTKIATNLLNLVKDFLNSSIMKTG PLTNPVADYSAREKIPDSLRSQFTSQTLKDLATFTSDWPEAEYISGAGYMGTVSNLLT DQPKDGYQYASMLGVLITPTSRGNITLKSADTSDLPIINPNWLDTKSDQEVAVAMFKR IRQAFQSEAMAPAVIGEEYHPGKRVQTDEQILEYIKDNVMTLWHAACTCKMGTSDDEM AVVDSQARVYGVEGVRVVDASAFPFLPLGHPQSSVYMLAEKISDLIINGSS AOR_1_1700174 MASFTSKPDDFPKVLKGRRVLLTTESLGPINGVSRTTLSLVEYL RRNGVDLAVVAPQYQGFRYQAQDAADCRIPGYPLPYNPDLTIVYPFRLDTVYKQTFQP DILYVASPASLGFQILLQTRQLRKPPTVLLNYQTDLSAYSEIIFPAPLDRFAVWLLAT VQGFLFSHPAVHTIFYPCSAVLNYLKDAGAPVERTVRLGRGVDTSLFNPTHRDNAYRK EIAPDGEIILVCVCRLAPEKGFEFLAEATIKLAEQKIPFKLMIVGGNRNPVVEARIHR LFDAVREHVIFTGFLTGQPLARAYASGDIFLHCSITETFGLVVLEAMASGLPVIARDQ GGPSDIVQHQKTGYLVPPNDIRNFVGLVRDVSINSHLRSALSTSARRYAEETTWEKIN NRVAWQMANAFEQRSAEESLGGSDEPVVANFMLPILEKLRLTLAVGLVYFMWLIAVVP LIIHGQRIVPRALELVHSMPVVGRCIRYRSR AOR_1_3166174 MDIPSSPLGTSEGSLYEDSTPPTTPASSPLFGASRGDELASSSF PSSSATYNLSSEQRVHDIPGVATLWRSSEGQFKNVCVVGAGYVGGPTAAVLALHNPSI AVEVVDRDPRRIQRWKSRHPPVHEPGLDNVVRVARDGAEFVTASASIAAILGDAKRKP NLFFTCDSASSISRADMVFVAVNTPTKTFGLGAGKATDMTAVDEAVRQIALHAKPGAV IVEKSTVPCGTAQRIRQMFSTLRPEVPFEVLSNPEFLSEGSAIDDLVKPDRVLIGSSG TPAGRRVAAMLTSLYSTWVPASRILEINSWSSELSKLVANAMLAQRISSINSISAICE KTGAEVHQVAKAVGMDTRIGHQFLKAGLGFGGSCFRKDIASLTYLAESLGLDDVAEYW NQVNVMNVMQRNRFARKVIDRFEGNLHGRKIACLGFAFKKDTGDTRESLAADVVRLLM EERPMEIAIYDPYCQEEDILRELEVVLGTHTEKNSVVKVLADPYLACSQAHAVLVLTD CDQFRNVPTNPRGRSLLAYQSSIARADQEVYDSLAEVIMTPVKPEEETWTFNGISYRL VPQEDCAADCASCRSTSSRPATAEPLEWARIAYNLKEPKWVLDGRCFLDVREMEKLGI HLDTVGRRPGAIQSSDTTSVGI AOR_1_1704174 MTDVNKGANITLYWLEQSRSQRIVWLFEELGLTYNLKTFKRTSE MLAPPELKKIHPLGKSPVITIETEQSEKPLVLAESGNITEYLCDHFGGEKLIPKRYPE GKEGAVGGETEEWMRYRYFMHYAEGTLMPFLVFQLVMDRMKDAPVPFFIKPIPRFVAS KVEEAFLSRNIFGNFDFLEERLKTAPGGGPYLCGQQLTAADIMMSFPLIAASLRLPLK EKYPHLAKYVEMIQAEKGYQRAVKKVEEIDGKFQASL AOR_1_1706174 MAGPSKSLVLDPALQKYYEINANRYKYFRWTPRHAWLSFLYMAV IPGTLGYIAYKTEGKYDFRGKRRGDTLEEF AOR_1_1708174 MAAARDAPKPQIDRQSTTPFHLKLFYRMNNFHHLSDFAPQSSPA SYGGPVSGPNAIRARSPPPPPLPAHLQIYTWQSCSLRELSQLLTSALPSLLPDPPVGT RLCFRLIYPDTKTAAQMGPEARGRYLSKDIGSVVIGPRDSPYRDENDEENSAPTGPRT GPLRLQGHDADKTLQDVRFVIGDYVDCAILPPLEDGSAGQVGEAEVAGNAFLQAIGDE ERGYRKEGGVGGEDGVLIN AOR_1_1710174 MDFAALMSKEISKAKSGGSSSSDKKDTSNPPEKKYVRRSELEAA RIAAYNEEQERAQREREERMAQKRKLDEEEAERRQEREEKKRRLAEESRKKREEEEAA QERERRKRLGLPELPPASEKDEDKDKDEEEDIPDEELVTKLREMDEPARLFGETHRGR LRRYRRLVERSLTPQQQLSDGPIPTTLELVPEKDMKISTTLPKDTEGRKYLFRQLASY FNMVLGEWELALAKRDISVKQSLQGRQAYNAMVQSRENMKPLFRKFEKVDVDDRVLEH VVEIVHNAQLRRYVDANDAYLRLSIGKAAWPIGVTMVGIHERSAREKLHQSDQQAHIL SDEITRKYLQSIKRCLSFAQTRWPPDDQLQIMG AOR_1_1712174 MSRRECSALTGRPEAHRLPSMDTDTIIIGNGPSAMILSFILHGH VPYYFPNPPHPDPLLHAKLKDHPALLDADVDALTEHFAASRLSYSTQALPVNVLFDTL FRPSIDVEELGSVSNIEWRYVPEKAVPHLVFGNAPRPGGQWTENLVPASWEIQTLSYA SMLSLPGYSFAEHYRTVTGKDLPAFTRPSRQETAEYFRMYPEAVGIADVFKSNETLAG ISRTENGFFIESHNINCKNLVLASGIFSEVIQPRPLLQPLLSLQPVPDIPLLIIGSGF SAADVIISAPTDQRIIHVFKWDPESRPSPLRGCHQQAYPEYAGVYRLMKRAAVAAAPT NHKRPARMKRTTSSPFLESRAWDEVYEGYPNTEIIGVDMKKEFATVTFRRTDGTIFSR PVRGMVYATGRRGSLGYLERGLLSEIVGCDDKGDVSPTVSGQTLRHKAFENMEIANNV FIVGSLTGDTLIRFAHGSCAQTAGKLIRTYTGENNAKSNAAASPRPQGSSPGVMQGFD GHDVYGNGNHRAQLDKIDSCRTEYPMPEKSGLLGSIWKALTAIW AOR_1_1714174 MYHLARSLYMYATSKEEYSVLLLGLDNAGKTTLLSQIKALYQPR PEGAPAPNPGKTVPTVGQNVATINLPEMYLKIWDVGGQLTMRNLWQSYYSSCHAIIFV VDSTDVGQDPDIARLPSNRRSSSASGPSGGNADAFTEQTVGINAPGSDFGRLDECREV LESVLKNADVAGVPILVLANKQDREDSVEVVRIKEGFVRKVFEGESGGAMRDSRVLPV SALLGSGVQEAVEWVQTRVKWNKEGRPPVMR AOR_1_3168174 MSTLDKIHSDNNPKQGFHPSAGNSQPLTDKGRHILLERLPLATL YTPNTRSEVGSQAQNPNVERSHGKESVRTTADQSLQGATSQDVHTGLGHPGGGQTSAE LRHDGQKHRKNPGGGLEGVGASQEPRNERQIPGLRGLERDEAKGGQRGDKGALAAEDR QPESAETLDAEWKYEPQTQRQRFQA AOR_1_1716174 MPYNIAMVSDFFFPQPGGIESHIYQLSTKLIDRGHKVIIITHAY KGRTGVRYLTNGLKVYHVPFLVIYRESTMPTVFSFFPIFRNIVIREQIQIVHGHASLS SFCHEAILHARTMGLRTVFTDHSLFGFADAGSILTNKLLKFTLSDVDHVICVSHTCKE NTVLRASLDPLMVSVIPNAVVAENFRPLEQGEPPRPIGPNDIITIVVISRLFYNKGTD LLIATIPRILSSHPNVRFIIAGSGPKAIDLEQMLERNVLQDKVEMLGPVRHEEVRDVM VRGHIYLHPSLTEAFGTVLVEAASCGLYVVCTRVGGIPEVLPQHMTTFAKPEEDDLVM ATSKAIAALRSNKVRTDRFHDQVKMMYSWTDVAQRTERVYKGIQGDISPEEFYGYYPG QGWEASGDRVRSFALIDRLKRYYGCGVWAGKLFCLCVVIDVLIYVLLEMWFPRANIDI ARSWPKKLKQKETADSTRDSPHRIGSTT AOR_1_1718174 MAGGHSAHDVSSKKSLSTPLSAKKSHKRKRDLDGSVVSTSTPTP TKKPKKTQSPSSTPTPLKESRKKKRKSESAASGAVQEESSQEESAVSPVSRKKASSSK NDSKDKTKKKSKKANRESLRGNNDSSEELGDIEGPNSDEERNVEKHVKSKDIDAMSEE EDNAKPQKNKYSGILSKFEKAVKATESAKAKSGEEDVENESTGPITAEPVIAQGLEPL PQPEAVPEQDEKPSYSSLPSWLANPLRTSAEEKTPFSSLGIEENVLRILESNGYKEAF AVQSTVIPLLLQGSKNHPGDICISAATGSGKTLSYVLPLVTALEQVPAPRLRGLIVVP TRELVKQAREACELCAAGSGLRVASAVGNVAIKEEQRSLMRVDEVYGPENFKLRQQDK LTDNDWLNFSLQDYISDAGDQSESLPGYIRKAEPNVDILICTPGRLVDHIRYTKGFTL KHLEWLVIDEADRLLNESFQEWVDVVMNSLDARKDAGTFGFSGKFLANLGLPIQTKDP RKVILSATMTKDISKLNSLRLSNPKLVIVGSAEQATSQEDESGIHDRIGDQYTLPPRL KEYSLSVGDGSQKPLYLLRLLLTHIKVNVNKSTKRALTKTSVSDDSSSEDSTSEESSS EDSDSTSDSESSDSDTDSETSSSDESSSEDSDDSSESDDSSASEDEVSVDVKADKSRP RASVLIFTKSSESASRLSRLLALLDPSLAKEIGTIIKSNKSSASRKTLTAYRQGKISI IIATDRASRGLDLQSLTHVVNYDVPTSITTYVHRVGRTARAGREGSAWTLVAHREGRW FTNEVIQTSDNRITRSTKVQKVAMKLDNMKDLKSKYRQALDALEKEVKTGGTGKATRT EK AOR_1_1720174 MADAQNFSASGLVTLLYEAKHIDMSKITTVVKNVKLNDLSKRQE EWSHDGARSQSSGWRPFYLRRRILLIFVIAFFGIIAALEVLNHISQVNYGIASAVEGR HYMWTYGPTAILTVIAAFWSRVAPETPTSPLYTNSFFVSSTICP AOR_1_1722174 MIVLNLLRAWIAHESLLKVTIFGLLLQLSFAHSFSNDVTPDSLS PRQAHFVNGPMKFDSSLGEEAAQQVIWSQHGNSQRATIQVYVSRGFLQAQNTMQNPTP EDVCFTLSNFKLIGDKYSTTRVDFTRHSYTEVRVSIDLPTKRSADQVQAAVTMKANSL LVDMQNQQTQWGQESRRPKASFEKDGLHVQVIKHYGSQ AOR_1_1724174 MSVTFDVFRGSPEGKIVADKTTRTLEYNEVFIETTHSGLCGTDE HYLKSGQVLGHEGIGIIKALGPGVTSVKVGDRVGFGYTHSICTSCDNCETGWDQFCRN QKQYGFHDFDNGTFSYGAVWDVNCVYPIPESVSSVDAAPLMCAGATVWTVLTEFGIRS TDRVAIMGIGGLGHIAIKLAVALGCEVVVLSSSESKRAEAIEYGASEYHVFRSGEPIK DFKPVKHLLLCGSGSVSYPSLMPLMDTNGSIYPLTVAFEPSPVPMLDVLFKGVRIQGS LVASRKGIRTLLEFVARHKIVPTVMTFPLTVEGIEEAMQTLREGKMRYRGVLVKEN AOR_1_1726174 MPGAASIVAVLAALLPTALGQANQSYVDYNIEANPDLFSECLET GGTSFPDCESGPLSKTLVCDTSAKPHDRAAALVSLLTFEELVNNTANTGHGAPRIGLP AYQVWNEALHGVAHADFSDAGGFSWSTSFPQPISTMAALNRTLIHQIATIISTQGRAF MNAGRYGLDVYSPNINTFRHPVWGRGQETPGEDAYCLASTYAYEYITGIQGGVDANPL KLIATAKHYAGYDIENWDNHSRLGNDMQITQQDLAEYYTPQFLVASRDAKVHSVMCSY NAVNGVPSCSNSFFLQTLLRDTFDFVEDGYVSGDCGAVYNVFNPHGYATNESSAAADS IRAGTDIDCGVSYPRHFQESFHDQEVSRQDLERGVTRLYASLIRAGYFDGKTSPYRNI TWSDVVSTNAQNLSYEAAAQSIVLLKNDGILPLTSTSSSTKTIALIGPWANATTQMLG NYYGPAPYLISPLQAFQDSEYKITYTIGTNTTTDPDSTSQSTALTTAKEADLIIFAGG IDNTLETEAQDRSNITWPSNQLSLITKLADLGKPLIVLQMGGGQVDSSALKNNKNVNA LIWGGYPGQSGGQALADIITGKRAPAARLVTTQYPAEYAEVFPAIDMNLRPNGSNPGQ TYMWYTGTPVYEFGHGLFYTNFTASASASSGTKNRTSFNIDEVLGRPHLGYKLVEQMP LLNFTVDVKNTGDRVSDYTAMAFVNTTAGPAPHPNKWLVGFDRLSAVEPGSAKTMVIP VTVDSLARTDEEGNRVLYPGRYEVALNNEREVVLGFTLTGEKAVLFKWPKEEQLIAPQ AOR_1_1728174 MSDFFAGFQQVISPSLSQLGGEEGAYDSDGGLVLRRLWQYRTQR ISAFVATGNLHLAVVLLSCVVLLIAIGLRYAARSGRSKSRVSAESLNEDLPIRVSLPF AFLHLAAAIAACCLGWIDAQHANWKQPIAVSYAVLLGLMQFGFKNERARAHLYRHVNA VTLAMFLLACVEDLLPLLIIGATSGLSVIRGGLIACLAAVLIVAAATPRPRRLLVSDT EAEETKTVEELSPEETCSLFSYYCSYEWLTYVILRGCRRDLTMDDLPPLPSYDEPSKW LKKIKRQRLKGGKTFRTLCRLLKTEIKGMMCWSSISAVLDFVAPYSMLRLLAYLENPE DAILHPALWVALLFIGPMSRSLCYQQYIFTATRLLVRVNVSLVQEIYQTAMRSYLYDD SIVETSTEGRPKSSRSMRDSAKGAPKSSQANITSLMSYDVDAIYNSRDIFFVATAAPI ATTVAVIFLYRMLGWPSLFGVSALLCLSPLPALASRRVSRIQRSVMRATDVRLSKISE YLNSIRTLKYFGWEHAAMESINEARGVEQRRLWKRSVYAAAISMAGDLLPMMSLLVMF SVFVLFTNDTLRAATAFTSLSIMETLRSQFVWLSNISKASAQGAESLRRVDRFFDTAR EIQHHPEGPLELKNATFRRTPIAAFRLHDVSVRFRPRALNVVTGPTGSGKTSLLLSLL GETVLESGTASCPRDVAYVPQAPWLQNDTIRDNITFFSPFDKARYNTVIEASGLAPDL RQLPAGDLTVVGEKGTSLSGGQKQRVSLARALYSQSSTLLLDDIFSALDTHTTTLVYD KCFRSGLLSDRTVVLITHYPAALQDAELHVRLDHGKASTVETPSSLPQALLQRNPLIV EEPLDVPSEPTTTIAPAHEQQSPQVSRIAKETSATGRVPRTLALQYILLFGGPCYALL AMAVTIAVQLAYFAITYWLSIWMRAYEEYENANSLYYLGVYAASIILFLLLQLSGNLL YQYGSWSAAKKMHRRLVTAVLSAPISWFDQNPIGRLINRFGNDTRSLDTVLIDWLRMS IENGLRFLLRIASVASIMPIFALPAAVICTVGFIIGEMYTRTQVSIKRLTSINYSPVF SHFTDSLSGLCVIRARKDMDLVFQRLLAEKLAVHARSAETQYNCNRWISVRSDLCAAS VAAAAGCVAYFWSGPAGLVGFSLTNAIGLSQNILNLVRTMNELEVELNCFQRVREYAD IEPEEHLSEDHAKSLVVPASWPTSGRVEFHNVTARYQEDGPDVLRNVSFVANPGERIG LVGRTGSGKSTLGLSLLRFVNIASGQITIDGVDITKILLNRLRTSVTLIPQEPVLFSG DVHSNLDPFGESSETELASALAACTSIHVPDSSDQADHAHPAKTARPLALDTPVAANG ENFSQGQRQVLSLARAMCRRSKVVLLDEATASVDHETDMHMQRVLREMFPDCTIIAIA HRLRTIMDYDRVLVMADGEIIENDTPANLVKKEGIFWDMLRNTGEYDELVQMIETKPS TS AOR_1_1730174 MIMTFLMKRKNGRKPPLPYTASPLELLWADILLVLRSLWSLPGI LLPLTPSDELCELYPSTENMANVVAHVCLALSQVAFLLSVLGCFFLMVPALWISLYVA AFVWVNRVICALIFNNADEVLESKVPIEERPEHERERWIFINGVAVGHYWLQNNIDRL AYTFGRKIIGVHNPTDGIVFDVIECLIQRNFTFATPDTRDGYAITKATLLNPKYEKVV LILHSQGGIEGSLIIDWLLDELPENVLRKLEVYTFGNAANHFNNPYKSLLGSGETPAS DSTISNQDALVQAEKSVLHIEHYVNAFDFVCVWGVLQFARVPNRYMGRIFVRSGSGHQ LNQHYLNTMFTLGPDRRVLDTNKFMEMGARTVEYEKILPKHVRHKRNEVLVTNKRPSD RDRTVQSGLENQHEQRPLKVKDLSRLWQYRNGGSPVDG AOR_1_1732174 MPILVLLPGNNTEPYRHNDPEHWREETFNQGLEQFDWVFPEADI GPEPSQNPSQSDFNNFFPHPPEPIPRTVSRGSAPLSDPPVISPRSQHQSRNCQCRANM MHHVPKIECAIQEKPKPQLDKMFKVTGDVIRSCQESTRCGCYVGPVDLVCIMSVFEQT AVCFDYIAKSGFDGTAKVGIGNYCVSLNDDASLKRMLVLDLVRQADTLLDSVSVLAQN MFVSLNEPSAKSLNRSPACLNQLNLDYVREATASFKKLFRLITEYFGGKD AOR_1_1734174 MSIQTLPQNLPPSTNPTQTIDRYEPSWYQPTLPRLPETARQIFR DYSHIPEDNILEHIYRVRNKAWDVLPYPCIGVFRFLDFGANLSPIYPEVIQRLRAGQT FLDLGCCFGQDIRKLVHDGVPSENIIGADTEGRFMDLGYELFRDKDTLKARFYAASVF DEEFLSEWHGKIDIIYVGAFLHLFDIEKQALVVARLVELLRRRPGSIVFGRNLGAERG GAFRMKTLGWDVFRHSRETMRLLWEGAPEGDWRVDAELMEYRSEGWDDSRRGWVGDET KEMRFVVRRL AOR_1_1736174 MTDEKRNAEYGEQQVHNDLPLLEKTANEGNQKHDIEQKKSFPAT ESGNTHDPNEVDWDGPADPHNPRNWSAWRRGMLVGIITCVVFSTSIASSAVAPAVPQI LREFNSNNAEIGTLVVTIELLGTGVGPILMGPMSEVVGRRIIYNCANVGFSAFTIGCA LTPSLGGLVVLRFLQGCAASCSLNNAGGTISDLVPIHRRGFAMSMYSVGFLLGPAVGP VAGSFLAAAKGWRWVFWLLLILNGTMGVICALAYTETYAPVILEWKVKKLRKKTGNQA LYAKDQRQLPVRTVLKRAITRPVKMFLFCPVVTGLAVYNAVVYGFTYLLFSTFSVVFE DQYHFDQGRLGLVYLGLAIGFLVSLSIASMVNDRTHARLSRKHGAPKPEFRLESLIYG AIAIPIGFFIYGWTAQYYVDSAVPIVATGIVGFGVMFTFIPFNVYMIDAYTKYAANAI AAGNILRSLSAALLPLVGVPLYNRLGYGWGNSLLAFVSLGLGAMSLLFRKYGEEWRRK FSVRLD AOR_1_1738174 MISTVFSFLNQRQTTDEIRTTWLTNLTKEASQTTNELHPVLQEF QHLIESNQRIYMLFQSMWDQAREKTPNQNRSHEVQNYHGYAVFIDPEVNTMLKKVLDV WGTFLSSSESVSVLDTSSTGWFGPEAIHKLTTTGNIGGTRYGFDELYICDRNAPCYGF QSWDAFFTRSFRENIRPVASPDDDSVIANGLTYSLVDMFGAGFPDSFIGGTIYQGYLD TFSYHRWHAPVSGKVVKAYTLDGTYCSTPRAIDGVQIDYQVYLSAMATRAVVFIQADD PDIGLVGFLGIGMVEISTCEITVGEGQYVRKGDQIGMFHYGGSSHCVIFQKGVDVTGF PEVGTRENVPVRGRLAVVKR AOR_1_1740174 MALHTSVITTGPITPYVELKADEGGEFIVHGPTEPPLQELTTAE LLQQQYDRYPEKVAVVSRWQKTTLTYRSLFDSSREIAQALVAHGVRPTDRVVVLAGNS IEYVELLFAVGGIGSVFTIMNPTFTAEEVLATVDSIEPKAIFIADRIGFRNNAKLLKE LADKHQNTSLIVQLGTAEKVSSNVLSWHELCHVQTSKTQPDLHSLEQYWGRDPQDALC IQFTSGTTGSRKATIGTHRNLINNALLVGSRLGLTPDDILCCSPPLFHCFGLVCGPLA TVIHGSTVIIPSDVFNADASLRAMSEESCTVVNAVPTMFQAMLDHAKAKTLALRLCLR TGIIAGSSLSETLIQRLSVELGLTGLAYPFGMTELSCVSFMTTPSKVSLLNDRSSVGT PLPHTSAKVVDSDLITLPPDTRGELLVSGYLLFSGYYKNPQKTEEAIVRDAQGQPWLR TGDIVTLSASGACTVVGRVKDMIKKGGENIAPGDVEKVLEQHPDIATAAVVGIPNVRL GEMITAFIQRAPDAQGGLKSKDVKIWLRSRIATHKIPDHVLWIGEDAGVPDRLPVNAS GKVLKTELSAIASSLVRGDLC AOR_1_1742174 MSVSVLLQPREAHLDDPWKTLHGSSAELITKAHLRDLWAKILQQ DPSDFSEQDAFFEVGGDSITALDLATAAQAQGISLTVEQIFMHVTLEGMAKEALATRA KAEEYTGACRPLEPFGLLHTGISRADNIQAIGKACGVASERVENAYPCTPMQESLVTL SDGDENLSVRQAQAAELMPFEQTGISKIRSYLAGKESKATDFQTVFVVHPSEFGEAAA PALQKLGLEFEDHLGKNEQHSYPMVVSATLSTDTAAKFKMQYDDRIVSTQQAHNLISQ FQAVLSCLSNAKDDTLLGSISSLSDHDIAQIYQWNKITPPVNETCIHHLFEQQVSKNP DAEAVYSVEQSLTYAQVDNISSALALRLVELSVDLETYVAVCFGKSIWTVVAILAVFK AGGVYVPIDPAHPRGRIVEVVERVQIKVAITSKDSFGVLSDLCDLIVVLDNPPPSNTI SLPQEIRKRAQPSNTAYLLFTSGSTGKPKGVLMSHSAMSTSIVHHGPAFGASPDWRTL QFAAHTFDISMTEFFTTLAFGGCICVPSEHDRLNNLAGVIAALRVNTALVVPTVANLI TPQQVPTLKTLVLGGEPVTKETISRWAPHVNLTAGYGPSETAVYCSGHLNVAADAHPA DIGRCIGGCVGEIVISGPLLGKGYLGDQATTDVAFVPAPEWFQKLSPASPYKTLYRTG DLARYNPDGTFCIVGRRDTQVKLRGFRIELSEIENRIMDEDTITASLATLPTRGPCKI WSEVLKVPTEQIGLKTSFFSLGGDSIAAIQVVSKAKGIGLPITVRSILTQKTLGNLAN LVDQNQQDAPQSLEHGISPRGTDSTLSYAHVLQSHLRGHPEVKIEDSYPYTPIQREIQ KQWEINPAIFLLSWKMDIISLGSLPVSLDRLARAWKPVVQKHHILRSIFVKDPEGKLP PLQVVLQNAEPSIVTSSTAMAEHKPTMDELLPALDDCFLPHRAHFSQHGDRVFGHIEL DHLVIDGWSFKLIKEDLLAAYDAADATIPVASSQFKSVVNAHQPARVDRDKSYWVSIL RDIKPSLLSLPPVIKGSAHPPSPTKTIIDLPLLDIAALSAFSAKHSITPASIFDAAWA QTLSIYTGSTDAAFEYVVSGRDQEVSNIFEIVGPVMNLLTYHLHDISTEDSAQALATL AHQMQEQRLQDGLHNACNLREVLEGDLNVTLPFNTALNFQRRPLGVQTDTLRVYDRLE LSKDPWHFDVLVRVIHIMDDDMVRPSVEFDARLLDEDRMREVADVFWRKVQIAVS AOR_1_1744174 MKCLRSVASLSKEEVRLLTRVLSIGFIDPDGHEPRDKGEIMRAI KKLPSALQRHWLKDLIGRAPAVALCDLHTKLNPYIIRYVFELLRCEVITHLECLYWYH SVLHDARLDVVDLKVRDIVYSLGDIRYMWTPSRRAIQGSPVTYQQNKCEACVLARIVK GRKFLQHLRTALLSRTATRRNHRVPTFLPFVEESIACHEGFVDKIHWRSSTLATTMKR QRKHAHRALMTSDPVASLKQDLSRTVEGAVVPIGIDPEVLESFQEDPEEGGNHGVRHT ISEQVPKKQDSDTDTLAGIVGLYNEPQPLNWISSPTSCAMESQSDLSSVTYTDDSPTH ASPISPKSTSWLAPATSTPDPLRVLSPSTQKKKAPMKEPVDGSNDGQASRYIPPREMP NWKHVLCKPSSLDLFANGGQNLGWEESQGNGGCEQIAAQYRNLLSPAQPYYESEHGEL FSESEYEDNPIGNRESQDTNWSFLFRF AOR_1_1746174 MAVNASVAYDLPPLPAYTLTPRPPLLSPIPDNVVALILPIIAYW ALSMVYHYIDVYELFPQYRLHTPAEVLKRNHVSRWEVVRDVILQQIIQTMAGMAVSHF DPVECIGKEEYDVAVWAQRIRLLQRTFPRLLAVFGIDSMGLAKSLSKNGYSILGGVLA GGRYPGLTQTLVLENGVEAIAPAFAGWELSMASFIYWYFIPTMQFVWGVCVVDTWQYF LHRAMHLNRWLYVTFHSRHHRLYVPYAFGALYNHPVEGFLLDTAGTGVAFLTARMTNR QAMWFFTCTTIKTVDDHCGYAFPWDPLQHFTSNNAAYHDIHHQSWGIKTNFSQPFFTI WDRLLATQWKGDVKLRYERGREAAQKKLDDDAAFGLGAKGENVTSSATVSSDELAETT ARSRLRKRTATFDGLKGSKHGVASSVL AOR_1_1748174 MIIYKDILTGDEIISDAFNLKEVDNILWEVDCRNITIGDENIQL EGANPSAEGEDDDAGGAGNAEQVLDIKHNFRLNDYPKLEKDEYKKAIKGYMKKVLAKL EEKKAPEETIKEFKENAQTALKRILANYKDYDVLVGESFGADAMHILINYREDGVTPY ATFWKHGLEEYKV AOR_1_1750174 MDTSPTSTSPGHGPSNLGATAQSQLNNTSAPVSTPQSTASRSST AKAGARQITRNRASYSCHTCRRRKVKCDKVHPICGNCVKNGTECIYDAAPQKDTGSRN GQTAGGHGIKRRRESSRPLDEDIDDIGSLYGHLRQAGSPEQKYGSQAIEARLDKLTSM IERLSKTNGPLDAEQRLLLAQNVNAEVGKGEARPGNGAPVKSAGASRPGSPRRTDSND EFPIPAGLATDLVDPIGSLNLGHLSLEDGGRSRYVGTTYWAYISHEINELNQLLKYQS RSHQDTTANESSVDDNMTDTMAKARGSPWKTSIDSSGGVRRDRVSGPEEFQKSVLFPT GDSPSVKEKHVEPEMLDHVPTKRQSHILYKGFMSGIHAISPVIHPPTILKLYNSFWDW YDYSSYSGDSCPDPSFIPLLYAIWYGGSVTISIRTIKAEFNVSSRSALSKTFNDEVTR WLTKISFPRSPSLQGLAAYLLVQTILSKEEEPLTSSLFISLAMRVAQTMGLHRDPAKF GIKPYEAEYRRRIWWHIVHMDGVVAMSSGLPPLVSDENFWDVRDASEVKDTLLGTPEA EKYEELVASGMRPPDNPDDPTLCGGPSMVNVYYLSARGKYVMARAVRRILKIQLGTKP VTRRDMEELRSNLLDLQLKLNSIINRIPVIENYQPSSSANNRSLSFSVSPVEMRTSDM ELPGEGPGRCTEQYHSPVLVSFHKWARILLSLFIDKAFCVAYQPFLKNAKSRIWPAAR HSALRHCHGFMEKFISLATDPDFQPFQWSWPGNHQPMHAAMIMLIDLYERPYSPEAPK SRAFIDKIFSLTGPDGGVVGGEDGISAQRPLKDGGREAWDMIRRLRQKAWQKAGLDPH KLWTEQAQIQAGAASGPDEYPCASNPYYANSGSIAPTPSISTMTSRQQLADFSKMFYN MTRSHMLPNPVSTLRPSPLRYQLPQTSAPTSVPDTPPNLPTPQILQSPAAKATATVET PPPASTIPSPDRIPPLSTAIPFTSTPPPPLSFMDLASPSAHSMAAGPTPPSMMDPNLN FDWDQWDAVFGQHLPVADELMELDPVAGFEFGDLGGGMVGGGSRSGSLGGSETGLGSI PGPDWVGYC AOR_1_1752174 MSRHAQVEEVYDSDPDEVFPSDSTPSNFTNESLLSAAGISPQGA SSIPMRPAPEPRREIPKHYQCLYPVYFDKSRTRAEGRKVGAELAVENPLARDIVDAAQ MLGLQVGFEPEKLHPKDWANPGRVRVLLKDEDGKLANPQIKNKHHLYILVAQYLKAHP TTEKSPYRLRISGLPMPEKLPPAPPAPRGWKIGTILPIHSPAYSGGGVSDNPLKDAMA EMQNMQGMPGMPQIPGMPGLAAMMGGEPSGGSGEKEKKKKDKKKGKA AOR_1_1754174 MSKELNNSAVLHRDTRFLPRKAVGGKGIYIFLEDGTKFLDSTGG AAVSCLGHGHEKVKQAIIDQTNTISYCHTAFFGTGVSEELAQFLVDSTGGKLSKVYMI SSGSEAVEAALKLARQYFLELPTPQPQRTRFIARKPSYHGITLGALAAGGHVLRRQPF EPLLPQNISHVSPCYPYRGKENGETDADYVARLAAELDAEFQRVGPENVCAFVAEPVV GAALGAVPAVPGYFKAMKAVCERYGALLILDEVMSGMGRCGTLHAWEQEDVIPDLQTI GKGLGGGYAPVSGLLIGEKIVQTLDKGTGVFRHGQTYQGHPISCAAALAVQKVIQEEN LLENIRNMGIYLETQLKGRLGDHPYVGDIRGKGLFWGIEFVKDKSTKEPFSPEMGVAA HIQETGLDPTYGISLYAAAGCVDGTRGDHVLLAPPYIVTKDEIDLIVETTARVLEHVF TKVVKV AOR_1_1756174 MATKRKASALNASVDDEPVDPSDELGFYCLGGGNEVGRSCHIIQ YKGKTVMLDAGMHPAKEGFSALPFFDEFDLSTVDILLISHFHVDHSSALPYVLSKTNF KGRVFMTHATKAIYKWLIQDNVRVSNTASSSDQRTTLYTEHDHLSTLPLIETIDFNTT HTINSIRITPFPAGHVLGAAMFLISIAGLNILFTGDYSREEDRHLIPAEVPKGIKIDV LITESTFGISSNPPRLEREAALMKSITGVLNRGGRVLMPVFALGRAQELLLILDEYWE KHPELQKVPIYYIGNTARRCMVVYQTYIGAMNDNIKRLFRQRMAEAEASGDKSISAGP WDFRFVRSLRSLERFDDVGGCVMLASPGMLQTGTSRELLERWAPNERNGVVMTGYSVE GTMAKQLLNEPEQIPAVMSRAASGLARRGGNDEEQKVMIPRRCTVDEISFAAHVDGVE NRNFIEEVSAPVVILVHGEKHQMMRLKSKLLSLNAEKTVKVKVYTPANCEEVRIPFKK DKIAKVVGKLAQIAPPSEQDDGHLMAGVLVQNGFNLSLMAPDDLREYAGLTTTTITCK QHITLSSASMDLIKWALEGTFGAIEEIGPKTDVKEELVENEKVSKEISKLKEEAADEE IPIENEQAYLVMGCVVIRYFPRTREVELEWEGNMMNDGVADAVMAVLLTVESSPASVK QSAKQKHHHHHHQDTLELPNPHSQLGSEERFARLLMMLEAQFGSDISPIERPRLPTTQ LTNGAAKNETSAQLSAAEQTLKEEEEDDDESLAELEAAELARLHALGIPVPGIEIRVD KHIARVWLEDLEVECANAVMRDRVRVVIERAVETVASMWAEGPPPATVTNGETKEKLK DVLASNGAEIDATA AOR_1_1758174 MAQTQPQQFSQSFSPPGSSPSPGAASPVNGGVPPPVKRQHLSPL PQSPYASPSFGTLQLPQNQPMPVNGANLNGAGQQTPQTPAPPPAGTMGPPSRPVEKAT DAAELTDVLASSGIDVREEEAFLTSSYSAPGTQAQQPQRPQQPLPQQQPQPPLNTSFT SQASTVSTQPSFTEPSPYKPPATQESFYSEPPAAAPAPFKDPNEPTREDTEAARRAQY HLQEPFLLTKVLEQKLQRRGFELGVRIPAEGLFHPVPGRPQPIEVTGPDGSSVVRTGQ TILNQEGAPLVDILNLMSISCEERLRGVIDYSSTLARSRRAHSHGVVPVEFRDMAVSA GVPNGAGDKTPLKRPHSATEQPTAKSLAEKYRALMERDNSSEESRAAKRAKRSANAIL GESGPVRAESVDLPGSGASTPIPEKAPSIDKKGMSKKEAKKLMDAKASEAQQHQQSVE TARLATNSMLSGRMFGTKKSYSWLNRGAAATSSGFSTPSRVSTATPSGTSSDKPGREP AVVPAKRLGLWREDKDKGSGVQVRDILFMLELDGRGSRHVQKAYSKDLKEDRID AOR_1_1760174 MSTPSTATATHPTHHQQHYGYPHHPPYQPNPPYPTTTAAATSRL ATTYPYAVNPATATLPFTQSPKIVSAAPTPTTTAATMPPTHNGVSASAAPTQTGRRKK PDWGEFYKNGIPKEVIVIDDTPPPEQSKGSARNFATTSTATAASNGNLPQPAGKKRRT GVESAYDVTYYDRPSFSINPQHYGEDSSAASISTDRTTSLHTTAPTSLSQGSSGASNG VYYEDANIGQKRKRVTTRKSARDEQKRRELENAGDAFLNYIPPPKPIIKAKDVPVPVV RDYANRGEKYDDDDGHYIVTPDTPLTDRYSIIKLLGQGTFGKVVEAFDKHRKTRVAVK IIRSIQKYRDASRIELRVLSTLASNDRQNRNKCIHLRDCFDYRNHICIVTDLLGQSVF DFLKGNGFVPFPSSQIQNFARQLFTSVAFLHDLNLIHTDLKPENILLVSNAYQTFTYN RTIPSSSHAISRSARQRRVLLDSEIRLIDFGSATFDDEYHSSVVSTRHYRAPEIILNL GWSFPCDIWSIGCILVEFFTGDALFQTHDNLEHLAMMEAVIGDRIDTRLVRQVMQGGR SGSQNQSAKYFIRNKLDYPNDETTRASRKYVRAMKQMTDFIPTTTKFHRLFLDLLQRI FVYDPKNRITAKDALKHPWFKESIVDDGTEALRIGEQLQRNTQRR AOR_1_1762174 MGSSETNADLPFAFHELTIPQYHSALIHRRTTCVATITAYITRI HQYNSTLKAILAINPNALHEAYQKDQELARGIDNTDAVNLELALRPLHGVPIILKDTY TTAFLPTTSGVRALRTLTTDTSCTVVQNLLSNGAIILAKSNLHEFSLEGITLSSLGGQ TLNPYDLTRTPGGSSGGTAVALAANMGLVGCGGDTMNSLRSPASACSVVGFRPTRGQV SRKGIVPVTETQDVAGPMGRTVGDVRILFEAMRGEDAGDPATLNALRRQSPSHTRTSK IKLGILKDYFSDGSTAEGLTVNKAIYDALTRLGSNSPSIDLVEIPHMPDWDVLLLRTK ADTQAYEFRTVLDAFLNSQTVTTPHRSLAAIAASGQYNPQAMTAVFDQTLQGDEFTPT SPEYYSRLEKIGSLKGSVERCFQEHGLTALVYPHQRQLVASVGCTVQPGRNGILAALT GRPAICFPAGFSPETPSAPLGIPIGIELMGQPWKDQELLDLAQKFESVLKAKKPPILH LN AOR_1_1764174 MISFQTTRLDIRPLALSDAEDVFEMRRDPEVARWGSKGTPDMTI SQTIDWMLSSCVLASDDNDTTISQERQPKIIFAIRELQPSTTFPEPKVIGTMGIRRLA TPLCPAGSNRVRWELGYGFHAGAWGKGYATEAVKGALGHFGEIKQILEGQGTGDEAVI VADGLWAATAEGNLGSQAVLRKCGFGFVGEFVDELGRKNLDFQVLV AOR_1_1766174 MSSSIPGNRDLPPSQYDLSTYWGRVRHSADIADPRMLFVSSSGL ESAKQLISSYKQSHIPAMTPELWRAKKVVDSTLHPDTGEPVFLPFRMSCYVMTNLVVT AGMLTPGLQTTGTLLWQIANQSLNVAVNSANANKSTPLSYSQMAKSYLMAVSASCSVA LGLNALVPRLKGLSPSTKLMLGRLVPFAAVSSASALNVFLMRGEEIRQGIDVYPVLSE AEKKKREETGEPIQSLGKSKKAATIAVGETAVSRVLNATPIMVVPPLVLLRLEKTAWL QARPRMVLPLNLGLIFATSLFALPLALGAFPQRQAISAQSLEEEFWQKGGKDGMVEFN RGM AOR_1_1768174 MKLTDLFSFSFSHGEPQTPTRTPPTTVFDDSAFQTPKLESSFFD PRVTWDTSDPYASSPEFLRTPQKFGLSTPLNNPLRLPNTGAESDNGRNLKESEQETDT AKRIRAIKPNGNFEEEGPRTVESTKSAASMQTPPPSSASRRKVTGLENVSGTGRRPSA SSAIGGHLETPSRLMGASPRLFGDLQTSPDPFQLAGIDASASPFFPQQRLFWDHDLEP QGGDLGLSGSSTDFFDSHTTDSFHMNPAFSQAPHIPQLPSIEGTLDLPEFNTNTYAFT SAAPTDAALFPAPFSTSPRMPIHKAEDPAMFLSSPARRFGGPQPTPDKRLFSRSGRQP YHHQTEESKREQLRRTQSVHQKLPTYVDDDDDDFTPRQARPTLTRSLTQSAISAQRPG FGGMMSSSCGIRKSPSKRSSPTKPQRQPLQRSNSVAAGLPRRSQSVVLRIGRDGRAKA EMQPVTEAPTGLSDPLTGMDLDGSTTESEYDSTEVSEYPTVSSRNPSITFSDSGSRPH SKGSYTSTAASSQSGRASPWNGSSRGLARRPTYRQGLDDWKRTPKRQSMIIPSDMAYR SASSIPAPLADSEEESGDAQHALRKVLQERGRIPRSHTVNFGSRVNRSSRSLAHLRSS PPRFGAELDLNLQAPNTSPTTMTDPDLATPSTDRYSNPSNGTRCICNSMDNGGHLMIQ CESCSHWLHTKCVGLERANLPSVYVCVFCTHTPSRRNRIRVPVGSVGHAPTSPLAHKS YRFR AOR_1_1770174 MGGHRRNHPKTFTPPKSPQSILAQARRNSPTSRRSRGGLFGAGS NYRINRSERQVGGRTSKYSKFGLIPRSTEPFEKNCFEKEPFATGYVFVPKGDVYVTRN CRANTKESERTVYTVFDKTGKRTLGIRVPSDIYAAVLESAAATAATRANAVKLRDEKD LAHSRQILRTQFPLMPAESLEAILNHAFLKGSGRVGRTATQSDKRKADLAVEAHIRHT HTPYESMLHAGAGREEARNAVWGLVKAIKTAWEGGDSQPMDVLALRNRMVESN AOR_1_3170174 MDTLTTPLKPVKRTTSSAFDVTRKRTLKEAGLRTEEHTNMVGIY LDIDPWTNPQDPEDVILASRRELERRMTVAEARYANPRGKPPNHRIRVYWVFPSEAQT AEEVFKEAWIYNMAFEEILERGKVFGFPVLLDGCCVVDILRTENRGKMENVSVADVGH CSWEDFLSKVKPAFPDKDNPGTYVGF AOR_1_1774174 MRPLASGGTAFFCAAIDEHDRVIDPPNSTAIREFLNRSRIHPAE QRRKITLFMEGVMVDATNRNLICLFFDVRDANDVSELILSTLSVLERRYQDVAEDHIG QPHGPDDQNYFNHSAVWNCGIYPGHPTARVRDGHPDLRRGGLPIEYRGLASDWFPIPS KPLDMGPKFDCEPDKIKETAKTYGWGSRAREVERDSDEYKQCIEKAGKVAIILKRLSD QRITVDVSQYRLVCIFLDIKQSELHDILSCITACKDELVRHMFNAKKGPIMTLPLPGD QLEEGHERMCFGFKTIKHDSNSIYVELAYHLERFILKIFPNYIDNGDERNITLPFMIE NHFLAVLTTPDIRDDFALRRRRNPLGLEAKRAYHIPCKEARFADPVSKSVLKGLSSEP DVGN AOR_1_1776174 MSTSSSFEPSKIQLRHDPLYYVKPGPDEKEKLESRLHILENQEI TQCFREIEDEPGADKTTITNAIARKKALLKDGITVDASLHDLVCVFVDVSEKPLLKSR QCLLDSKEELQKKLDAVERQPVKGQPFDALIPTNPVEDGCVRICYNFYTKAENGGKVY VQLLGLVNTYLGKMLADSDLPVILGDELRVAQTEPTLWELERGYHHERNPLGYLISIF GQALMVERDDVHVT AOR_1_1778174 MAMHPQRQRKLSIGGRKLLLLLLAALIYLVLYHLPPPPKTKNNT SSNRKAPKYDVDTTPRFLHLSSFREDPDSEYEKRVSDALLEIERNALRASNWDTSAED RIWQVALGKYIERESDSLEFERENSGWKYSLVDDKKASKFITEIFSSVPDLKKMYDSY PYHVIRSDLIRYLILWYYGGFYADMDVYPARAIKECPALEPLWEDGSKSPNVSLVVGI EIDEPHASPRLMREWRWIRRYQLIQYTLYAPRRFSPILREVIVRVMAHTRRQINQSNF LRGPRYKENTILEVTGPGVFTDAVLDVLSRTLPPTHSLVESSVNADADIGDLVSPSTG VTQRRVTWAPFNRIREPVCVDASEAMDGKPMGGVCVLPVNVWGNGQRHSGAEGFSSKH ACINHRFGRTWRKGWLEYFFG AOR_1_1780174 MEGADIVESPRKRLKVDNTSTTEEATLPPSAGTPAAISESDAQA LKEAEVGITEFVSPENAGFSGILKKRYTDFLVNEIVPSGEVLHLNTLAGPQSEQNNND TANKTETPADNKQQGDAEAAVASDATPTTETPAVEFQISDEDKALLDSYFGADHAKKI VSLYRRAQSNEKARPSELGRLSTVVVTDRDLRIKMHQAIRRIFNSQMESSTDAEGLMT ISVAANRTKRKAQGAREGGRNQGRVNWDELGGPYLHFTIYKENKDTMEVISFIARTLR LNPKSFQFAGTKDRRGVTTQRACANRVHADRLAKLNSTLRNAALGDFEYRKHGLELGD LAGNEFVITLRECDIPGIDLQDRETAVKNATESVGSALRNLHERGYFNYYGLQRFGTF ATRTDTVGVKMLQGDLKGACDAILHYSPHVLAAAQDGENSTALISSDDKARAEAIHIF QTTGRINEAVEKLPRKFSAEANLIRQLGRSKNDYLGALQAIPRNLRLMYVHAYQSLVW NFAAGERWRLYGDKVVEGDLVLIHEHVDKDQTANGPATDVDADGEVIIAPQAEDSAYA RSDAFVRARALTAEEAASGKYTIFDVVLPLPGFDVLYPANAMDGFYKRFMGSEQGGGL DPYDMRRKWKDISLSGSYRKLLSRMGADYSAEVKLYSGDDEQFVQTDLEKLNGKQCTA ANADSADKIAVVLKFQLGSSQYATMALRELMKGKVLAYKPDFGGR AOR_1_1782174 MSRFCVAPGLSLSLETTEPASSLLLILTGSPNGERGHAEDRGVC CSWWLMFSAVKAIGLPSGAYIEWTCSVKRPRTPLRLSADGGGRNSRFKDWFSFGCYVC AOR_1_1784174 MSGIKGVFKEGWHPKGKEGQKESWRGDFKGINQVAGWMGKGKDK DSEREEHVSRPLSSLKDPAAFGPPPKHIKYHGAAAVPNQTTPDRRGLGGPLSQEQIRQ QDTRQQQEQAEAEAAVQKPALPPLPYRANRTGVDPSTLPPPPVRRTGSPADSAASGTK PSIPPRIPPRTNSTPQSHSPTPPPAYSPHSSTEQASDGYLNQGATSRLAQAGVSVPSL GIGNRGDSPRSASPATGGSIGQAPVSELQTRFSQMRTNSDSSSRPPPPPARGSLYGRE SSTVSASDDFREKHDDKIQAGKQKLGGFNEKYGISQRVNSFFDDRKGSTASDQAPPPV PPQPNLSRSNSSVDTESISQKKAPPPPPPKKSGMRSTPVNAPSPTPPPVPLGTKPR AOR_1_1786174 MFDLNLETASGPAVVRIGLPPSSLLKFPPDELPTTLPAPQVSEP TWNQPFNIPPQLYNQLLDVRVPITIASVYAVTVCLLNRVNKSRGYKPWGFSQTKLFKA FVILHNVFLAVYSAWTFAGMFQAFRNSWPDRDDPNGLVGVVDALCKINGPRGYGNAAT YNPLTNQWSIHNPEYKLADGGVPDPTDVGRMWNQGLAYLGWIFYLSKFYEVLDTAIIL AKGKKSSTLQTYHHAGAMMCMWAGIRYVAPPIWIFTLVNSAIHAMMYTYYTLTALRIR VPAVIKRSLTSMQITQFLVGTTWAASYLFVHYTLPPQSKAAAAASAVRSTMATAAASG VAPWLKKLGFRAAGAEGIAENVGYAPEIPGNLSQPRVGPMVTCMDTSGQGFAIWLNVT YLLPLTYLFVRFFIRSYLSRKDPSPQQPTHMHAAEKAGLDALHRVSREIQKSVEMSGE TSEATEDEAINKAQALRKKSQQPAADNSPIRTRASSKQKARLANQEPGQGFSPVKNGA KKLTKEEVEAPTDVSGVKDKNPYDVLERNA AOR_1_1788174 MKRILFLTTVPPHIVEKYVSDHDWSNEMLPSLLSKRGASVTIKR WTDEDIISSILDSDIVTFLWAEDYIQHPLEFGEFLITAKKAIETVGHGANRPRVLNHI DLVQWNMDKKYLLDMHHAGFNIPTTEIFDAEQFTCTSALHQRLQEFQSSGPIVLKPSV SASSNSTRLIPDISALSADDAAYLELCVKGRLGSSLVVQKFESAIATGEYSFVFVGEQ LSHVALKTPKNGEFRCQPQFGGENNCIPIEQIGETTLSTVNSIFDTLKDRFGNGSTGV MGYVRIDGLVAEDRAFVLMEIEAIEPELYLEMGGLEDMLSLLLK AOR_1_1790174 MIAFGKGTGISGVAINFNGLMMRHLAFHKQELIRQSIRFWRSMV TRPIAIPVLIEALGILNLAKAEDWPARSEQIGRAFREFILRYAGDDGHGKEIVRGLGA FIAVDREISKKFNVMAAFRRRSAWARWIPKLNSAAAVDSQAIERYIVGVDAKPLRQTL AKEAQKQGTKPLWCWVCGIDAIVEDWCRTCFLGHCGTQDCAKGFHAHNCL AOR_1_1792174 MTVTVKSTSNGHRSAEAPLDHDFHNAWGTIYSNSGFINDDRARQ VWEDYTVNPARVEQQYHVLLNEYTDRFQSFFKGYWPITFHAHVSDVLIPTTIASLILN TASTCPLVVEDPALSPLLKDDPEPEKVHRFVRGLLGWTDIHIKSPKLAIVDGIYGCAY GPLVEVTSRQRPHC AOR_1_1794174 MEYYLEATSFVPISTEICRPVRYGVFPKVVVRHCGQELVYKQSS FPAKKFITSINIVNLVKDGPKPGGLESIKESTLLKAAWILTLRCFQPEEVISISYDEG NVPQPNPPIAYTVRVEPDWDVRSLLQTLEMNKGHEVTSFGAKPSPSRHVCTAALRYIT KFHKLLTPLTSLNGNVELVVIGRDNRLSASIKRAENVSNSLDESMLWTFQHVLRQITV SPASLKLEAIDYCSESHRKIIKSLTHTKSASNPNCLHELILENCQRHPKRLAVRSFDG DLTYEELDNLSFRLAHHLTRLGVRPETFVLSSFQKSTWAIVARLAILRAGGAYISIHS SNPPAYLDSVIQRTNAKIMLSDPFFADQFHNTIDTVIVVTLEWLQTLPCQIHFALLPV VQPSNACTVLFTSGSTGRPKAIVQEHRSYASAIRDYAENLGLNEGTRFLSFDDYAFDI SNLEFLVPLILGGCCCVPGPMKTVQDLADNIQMLDANIAFLTPTVAIKANPEAMRNLK ILCIGGEPLSRDLLNNWAGSSTMLINQFGMGEAAVCCAYNDNVHDPGSSPATIGRPSS GAIWIVDPNCPAKLMPLGAVGEIVIEGPHLSRGYLDQNHQASDRTKPAGFMEDLPPWL NELHPNRQITRLYRSGDLARWTHDGRIEYIGRKDTIVKLDGCRIDVVEVEHLARKSLT PKDAIVVDLLGVIDGKEDPCLAAFLYLSGHPENSETAEISLKDASQDPAALQKVAQIK EVLAISLPPYMIPTLFLLATRVPRTPSKKTDRRMIRLLSRNFYEKDREARSRLSPHHP DSQLLPP AOR_1_1796174 MFRTHVEEQHKAPWGHAWRSSNTFITCTMSLAMFTDELLFAFMI PLLPTVLEHRIGLPPSLTQRYTSIFLAEGAFVSVVSSPFIGAIADAVSSKKTLLLILL VLALVSTACLSLASQLVWLFIGRFFQCITSNALWIVGMSTMAENLGSEHMGKISGLTT TLTATGTTTGPVLAGLLFELGGYWCAWTGAAAFLLLDIIMRLIMIEKRVKPHQGNEDE NEDGEQDPLLQNQPPRLEEDGEGSGSEVRGWRFHVRLFRVPRFSAGVFCAFVYAVLVG CFESTLAVHVRAVFGWGALHVGVLLALIQGPGMLLAAPVGWMKDRIGSRAPTAVALFG LLPFVVLLGVPGSGLFPGMGVQGWEKSLYVGCMASIGCLLSLLNGVGSMQATETIDLL EAHQPGIFGPKGGYSRAIAVTSMTWMTGLLAGPLLAEFVVGNFGYFELQCCLGVLSFT AGLIALVFLGSPVSKSTEQQDVLYQ AOR_1_1798174 MADISKSGSDAAHLEERPVVTTANPALADPATRARIEKSLKRKL DARCGFFVIIYIMNYLDRNNMAAARLKGLQDDLGLDYSQYATCLSILYVGYILMQIPS NIFINRIPRPSLYIGAVMLLWGLISTLSGVATNFAGMVCIRFFLGFVEAAFLPGALMI LSKWYTRRELTTRNAILFCGNLISNAFSALVGAGVLSNMQGVLGHAAWRWLFWIEGAA TMFIALCAAVILPDLPHNTRGFTKDELDLAQLRMAEDVGEADVDSEDQGPWDGLFMAV KDIKIYVMMITFTAYVVGLSFNAFFPTLTGTLGFGYVPTLLMSAPPWVFSCLFSLAVA WSSDRYQEKFWHIVGPIIVGLVGFIISMCTLNVAARYVALFLQAASYAGFIVFYSWIS TSFPRPPAKRAVAIAMINAFSQLGNVAGSYVWDLSENGYRKSYGIVTAMFGITIVGCY GFRMMLKNLNKELEEAETNATTEPESDETLARSKGFRYIT AOR_1_1800174 MKFAVATLAAAALTPLAAADFYIYSMKSGSVNDGMAPINADGFV FLNNPPDCNDVNNKAIFMSSLSDVSGGKHGVRCKGCPDFEEFEFNNKMGYYTIYKNRD YGLFTTDDKKVGQYKMNKSDHFQCGKS AOR_1_1802174 MDDAKRARSLFFSHGLGPYVLMGNDHQKPLIYVLQSNAYILDNA RGIILFTAHWEASQPHISAGQTPQIYYDYAGAPGLPQEAYEYRYPAPGNPDLAARIAQ TLEGAGFQPVLGTTRGWDHGLFVPLLVMRPQADLPVVQMSILKGVCDEDAAERNLRYG AAMK AOR_1_1804174 MAALVQTIPQQSGTVSVLQTRPSSSSGAFTPSQSSQQQNSRNST MSWNSYNTVGGSGSYRVGHQVVAPYAFTSTPNLSSSTNMSNRQSWSPHLRPEHRTSSA PSAPQVSPSPAHPGVNSRFANHPAAGSVSTSSSNSSVQSYMSKDDTAIPSRQPRSGEP PLRPLSTINLSSPPSPNFMNISSPTVARPSPDRYRRGTRRPDGAGASQPAANPVNAPG SGRLAPTTDDHLSQSNTASGSKGPAQDTSRKPGHNRVPSADDSSRTEKQPELAKRYRR RSWGNIDNAGLINLQLHLPSSSPIPTTGGHDYFDPSHRPNSAQSNRDASGSNHSPNSS TSSVRDTAHTESASSSTKVVAKPEDTKRTTKPSPLSQPVTQDPTPGSATTAQPESKGK QVPTESLATQRLADITNNDFKRPGKSRLRRAFSFGSASELLKASAAQSSANKREAIAA EKTRRELLKQELGPEQAAIAEQQEASGLGESIYSHHQGRFFNSSTDNLSVSSTASSAS IMLRKMGKGMKRSTRSLVGLFRPKSIVSTASNDGVIVEPMAPQLSVVNVEADRKGVAA NPDPQDVPRGGTLFPKVEPKSQSPSAAEDSAADNSQMRKSIVGGDRERAEVLAAVRKG ILKKTSEAANSTPNKQAERHLTTNRSDSPHSSAPSTPEDQTRSDNRRSDAVRIAGEDD FLPDGRFLDSKGASITPAAPKSLVFSPRIQFHDTWPSGEYDRRGDIATCNRLTPLLAQ QIKEELNNFKMEMEVHETSKIYTHFL AOR_1_1806174 MCQMVVFWHACTHLIVSYMTCAFEEARNHKEQTATTALIDTDCW ICQQHQKYPSWGASTTMQRLLITVQNMEYILGVSADEEGFLDMVARFYARGDHKRWMQ PGVAKAKYTKDDPYVRGLEVQSYLCTRGQRDFHMNPGYAFDVLFALMKHWDRADYSDL NWSMTGYRPASDFFYVSSSMIGMLADGYGGEHRQRTGGKHVAQRSHCLSNDGGGGVVM PTTQSTMASFEAEMGLGHLRTGSYSQGLPTLDAQTSSFHNVNGNPGSLLPRRPCEALN GPPVAMNQFPQLILRPLSPLGEPGPSIENLNHPRALDERAGHHARTTTLFHPIFSAEY DGDIHTNETFQELDLHHHTRSNSYAYNNTLSTENTPYESTTTPYTDPSPSNESPRHSS KNHDPPEDLDMLLFSPTPGLATIDPSMLNLDSPDQLHLPDDGTCGTGKGKQPIRDISQ LHPRGFVFPDIMDISHS AOR_1_1808174 MSFPPDARRRRVGAGTGFSSSGRRTALGYWVPLALTVGVAAAGV AAWIWSERIEDEDNDGNYPRGDDGFPAPGRGGDELPPGYDGDYARSTATDIHDTDDVS MVARMQGALRRTPSPQQIFDGASKRVAAGMAAAGAFVGGALTSIREEDRGDFEDHSRW SEEVESRSRRDLPDLAAPTASGTLPGPGVAAGTSSGSKKKKTVAIVVSSDTSRLDPEE YTSDHASILSHLPEHIDPDTTRVFVMIYAPGLKHAPNQGTGSHPTMSVTSSYSNIAPE EVLSPGEPPIGDLNASDSRQDDQTPLFKTLYTQAQAIAEKESMIMPFSTSTGFVHLVR HISPDIVYVQESLTGKEGEPVQHITRWVRQVVVVVGERGGLVDSEDESALADSGEKWW QKEGTTGIGKRIDVVDVLRTGDDWRRRVSGLD AOR_1_1810174 MATAISKPTSHPPKMKRPPPPFVPTGVNGVKPQQSSSSPQPTSK RLPGSNQPGPAGSTSGAVTNGVNGAADSNGVKTSINRPKKEAQKPGDQGMRLAKPLAR TLSTDNERRLGKKCPEPYVKTTSHILKKYGKCPPSLILHLHPTHFRFEQQDGSFPYNS EMKVIIEHIRAGTVPHDMIEELLRANVRFYEGCLIVRVVDHKSVSAQARKSTAPSTND SNTPFSIHNYNEHVTPSAYVPYPKQNQLTSEKPTSKEAETQSDTNANGEHASDSQEQP EKSESSSSQHKQTPPKPRVFTTVLHPTPHSLRAELALLATTPDPKAAKQGATSSASRT QAASSAAPPSPGGSTNPPERGPVAKRQKMLVEPQDLAECEAKLTRALAPPLFLEPVQS LDAAQDLLKFMESPLHNDPPPSPKRRKRTVAELAADEALAAEEERFMLIMDERLEPTT SGGAGGPKSAVDDTSGGAPFEPRFSRFKTLENIRMQHEEKAKREHEIKLKQELAKRQQ QEQERERRRALEQRQAEEQAKEDSRRQHLAAQQQVQAQLAAQQQGRHVMPQANGVSQA PQSSPVARNQTPHNTSSPLPGNAMGAQGGVPMSMTSSMQGAGSPPRPPSALQHAHPNV MGHPMAPSRSQQGHSRHGTPQMTQGTPAMSHATPIMRNVTPTQRMSHASPGRSTVAPT PVMGQAMMGTPQMTGGMGLTPQQQQMLLHQRQQMLAQQGHLGHGQLTPQQFAQLQANA HAQQNIQSHQQQMLQAQQQNHQQQQQKIPNPQIYQQQLMRAQFAQMQMAQQQQHGQGP QSQGQQGQVHQGGSQMNPQQQQLLMAAAQANGGQLPQNLQGANMQGAMAQRYNQLYQQ RLLRLRQEMASRFMGQYGPPNQYPPSVAQQYHAGLERNAKLWVQEIIRREREAAQQQR ANQVAAVQAQVMQQQQQQNMMQNGMGS AOR_1_1812174 MASTCTNIDIQRKDCEPRHSEDMRTQNNLTVEVDCHSLGSNECP SMTSSFSPMDSPTPTPTSIYSQGSLASPGWQDAGSYPGHAYERHTGATPMRSAFRLAG MTSNENMGMSYGAMEAQERMPMPDFLSAYDDNVEHFWLPSDGPKTYETGTHSLPYPHT LPQCPPMVRSNYRPHAAYLPEAATNPCLSRSIFHHAERVPQSMSMGNMMPWIPQATES IAPQTIAPSQVGPVTPPPSYSEFPTSIQTFKTHSPTTPLRSCSIGTASGPDTPISRLS GGAADYLEDFQQSPPFRDGLNRLQRQPSRKMIRKQSSRQNMSLENLPSIIKQVQFKCK EPGCKGRFKRQEHLKRHMKSHSKEKPHVCWVPGCERAFSRSDNLNAHYTKTHSKRGGR NRYVATLDESSPDYDPDFRGQLTPDGLPIRGSTLDDPMPNSREYSVDGLDD AOR_1_1812174 MRTQNNLTVEVDCHSLGSNECPSMTSSFSPMDSPTPTPTSIYSQ GSLASPGWQDAGSYPGHAYERHTGATPMRSAFRLAGMTSNENMGMSYGAMEAQERMPM PDFLSAYDDNVEHFWLPSDGPKTYETGTHSLPYPHTLPQCPPMVRSNYRPHAAYLPEA ATNPCLSRSIFHHAERVPQSMSMGNMMPWIPQATESIAPQTIAPSQVGPVTPPPSYSE FPTSIQTFKTHSPTTPLRSCSIGTASGPDTPISRLSGGAADYLEDFQQSPPFRDGLNR LQRQPSRKMIRKQSSRQNMSLENLPSIIKQVQFKCKEPGCKGRFKRQEHLKRHMKSHS KEKPHVCWVPGCERAFSRSDNLNAHYTKTHSKRGGRNRYVATLDESSPDYDPDFRGQL TPDGLPIRGSTLDDPMPNSREYSVDGLDD AOR_1_1814174 MASSNPPFRITPAQSAEHIEAAKALFTSYAEWLGLDLTFQDFAS ELQSLPGQYAAPHGELLLAYNAGEGIPIGCVAVRPLKQRSGEKQGDVQNHRGYCEMKR LYVSPEARGTGLGKALVNSIVERAKDLGYKEMRLDTLPSMVGAIQLYKRVGFVEIAPY YETPLEETLFLGLDLTQDL AOR_1_1816174 MPSTPSLPSMGMLALGAMQLAAGAVFEFPSCPKDIPFSCQNSTA VADSCCFNSPGGALLQTQFWDTNPPSGPSDSWTIHGLWPDNCDGSYGQFCDKSREYSN ITAILQEQGRTELLSYMKKYWPNYEGDDEEFWEHEWNKHGTCINTIEPSCYKDYSPQK EVGDYLQKTVDLFKGLDSYKALAKAGIVPDSSKTYKRSEIESALAAIHDGKKPYISCE DGALNEIWYFYNIKGNAITGEYQPIDTLTSPGCSTSGIKYLPKKSENSTASAWKFRSD KASQSVRFN AOR_1_1818174 MSADSATLQDLDLEDEQYDSADDEDFQVDAAQDDDALTGSDSDD EAIEPATKKRKAGNKAPEQEDPSLDSGDEATIQKAKAKKAKKQKGKDEDGDDEDDVDV DFDDEEGGPGGFVRTRAMKMRTQEERKPLARIDGATVDVDALWEKMNAPDMALGQHST QAEKKNDTPVEGDKDTEMRDGETPLIEEKRQASQYSEEMVKIKRTYKFAGEWITEEKI VPKDSAEAKLYMANENDVETVTAAENATDIKNTTKIRRPLRKVSRFDPNPSGFIKKSW DKQSVPQTTGEENARGPKINTVEKSRLDWAAYVDQAGIKDELRTHSKAKEGFLGRMDF LDRVGAKEEEERRNIRLKGL AOR_1_1820174 MAEYHSTCHPDHLEPLELEILHRRDAFRLPPKAVQDTLVEVFFK WVAPILPVVDRDAFLRQYESAEDSPSILLLQAMLMVASRCSTSEQRSKEYTVSPRTFY KKAKALYDAGYETNLITVVQAVVLLGAYWEGPDDLTESGIFYWSRLGIALAQELGLHD SERYTGLQPSERGLRKRIWWTLYTRDRSVAAAFGRPLHINPNYCTVEPLTESDFVEYD GNAPSEPTGEVQARFFMEYVKLCQLMDLVRAWVKRMARGLSARATLEADAPYVSVSDT IFYILAPFSSKESQSSAFHAASTIVSIMETLLSHNELQYSPTFIICHAVTSFVTLKHQ MDASLPSLLHGIRLTLESNLELLEALAKTWPIATLFLEFFQTMTAPDQFNKLLSVAVE ECHKRAIGDKQDDPEAPRRPTSFKRPKLQQVVLPQSRVVFQILARETQRRQAALLRSH GSGTAFREVETTSFGSGSGATPGSADDISPGDLGDALESCEPTAVLRNLREIIRIGNS QGADNAT AOR_1_1822174 MTLPKPVALVVGASRGLGRQIAIDLAKNDYTVVVAAKTTSNAYE TVPFPPDPNSNKSTIATVEREIKEAGGKAFAIQVDVRDVSQVENMVKETVRLAGRLDV LVYNSGAIWWSSVANTPTKRFQLMQRVNPEGLYATVQAALPEFERNAWKGRIIVVSPP IYSRFFRGKTAYAMGKVAMSVLTRGLAMDFVRQGHKDMAVTSLWPATSTESAATEVTT SKDPSRKADLRKPTVFSDAVIGILNSPAETVNGMLALDEDFLRQYCGVSDFSKYSVIP GSNPRRIMPKKLPVLEVAEQDDEGVRMDSTKLRAKI AOR_1_3172174 MKALKEVPSPVLTQFKDRPLPICTPYTFTHGDLNCQNILVKDGE LVGILDWESAGHFPVWWEYVATSIGFTAEDAEWKALLRVRLSGYEEGREFWRDLYALS RYPNLIERGQAFVDRLLCAEQAADGKLASTG AOR_1_1824174 MGKKIECYLDCVSPYSFYAFTYLQKNAAALESLGVEIEYIPVFL GGINVGSGNKPPWTLPAKAAYSKYDGKRAQKYFGHDFEVPSWFPILSLLPQRALTYIK KHHPSQTFSAAFQSCFETMWNGQLDISKPENLATALRNVFSAQEVEKIITAAGTPEVK AELAATTERVVKELGAFGCPWFWVVNGEGKGEPFFGSDRWHFMWEFLGLPFDDLRLRA RI AOR_1_1826174 MDTGSTTSVSYHVSCASDADESKYLQRVQYLRWVRLALGIIIFG VAVSIIGCEAVPFQHYRATSAYGKVGLYLWPLNFDIRPTVALLSCGCIIAFLNLTYTI ITLLPSPHAHIKRQNLVSTAIAISGFLTALVGLIFAVHLPDTNPPNGFTKVETLHSWT CKWKTVHGPLSPKVDDTVTPPPAHFARDCALTRASFILTGLAVGLAILMGVAAGVGVW FERSVSQQREQDTSPLRKINIMAKYPGV AOR_1_1828174 MVATDKPTITPRETATLPPTSSPAPSPQNGGLAAWVGVFAGFLL FFTTWGFSTAYGAFQHFYQTDLLRDSSPSKLSWIGTVNAFFLISTGVVAGPLFDWGFL IHLMIAGCFLTTLGLMMLSLSQTYYQVLLSQGFCCGIGSGLIYVPALSLVLTSFTSRR GIALGIVTCGASIGGVLFPIIFIHLQPHIGFPWTARVMGFIQLGCSCIAVPLLIATTK PKRSSPRQLIHWDALKEWNFNACGVANFLMFMAYFVPLFYVPFFASQVLETSTDMSFY LVSILNAGSAVGRLGSALLTQRLGAGLILSVSVTTSAALIFGWIGINTQASFVAFCVL FGISSGVLISANPLVIAHPVVSPSPAIIGTRMGMLWFAASLGVLIGAPIAGVIEGHGG GNEYLGLQLFSGAIMTGGGIFMMVPLLAAWRYDCHQKELE AOR_1_1830174 MAGKRESNFDSKNDTYTPLTMPLSKLQGVKLPASADFHVHLRDG DMMELVTPTIRQGGVNTVFVMPNLVPPVTTVDRALDYKKRLQAIEPNVNFLMSLYLHE SVTPETIIEAKKRGVTGVKSYPAGVTTNSSSGVVDYTQFYPVFAEMERQDMVLNLHGE VPSTGDVTVLSAEERFLPTLLQLHERFPKLRIILEHCTTAAAVEAVKKCGPTVAATIT AHHLSIIIDNWAGDPFCFCKPVAKTPADRDALLRTAASGNPKFFFGSDSAPHPAASKR GGDKIAAGVFTQPYTTQVVLDSFEQACENGILKEEDITPEVVEGFMSKFGRQFYGIGE EQKEFITLEKKDEKIVNLLQSDKVDVVPFRRDQQTWSVSWSS AOR_1_1832174 MDDATKSLLTEHFSYTPLSLVDDVINSINNLIYQAISSLEAGLL STPPERLGFSHATNGSTIPDTDEDGNVVYPEARLEIEDGLHKLETLLEATVDKAFDKF EIIVLRNSFRVDDDLLGWIRLKHYEGLDLNPSPDAPTPDTIVALRKKLQETKKLNRAL KQETARNDAVISQLRSILSAVKGADGATSAKQGGGSETSALPTNKDLDLSFLTESPAA KQLRVGAAVGSNTKHTPLTTNTTFILSQLPALQGLLKQLRPKLATLPKSAEATEADSK RDQRKEYIESRIRLHLERTGQLGVGSDGNPVVAGRKIDISEAQALEAVTGLLTQGDKM SE AOR_1_1834174 MVSAHQILDLSLLRRRHDPSATSSASLSSTVPTLESPVSFQALA VRAVSETAMAQRNKLDRPPARPSMFIEETDDDDSMAQKSTCGTPADPKSVDVIRKSVE DEPMHRTKSQYFDDFFSTRGRELSSRDRLRYKSAMVAELKLSKTVRDNEVLASAISSR LARIYEKDESSMMVIIQQGVCIRFGISKDPAYLLKVYALPCLIASITNLRCTTMIQSA LRDLLQIEPNRGVVLYLPVPEENFATNGVTYMGEIARYERRTDDDDPGILRNISRGLS RRLKSSSTQSAPRSEATTSSWDPETDAQMSISAKGNDSFHSEGSREVEASGQGNTRGS KSLRHFLSRRAQNPTEADDKR AOR_1_1836174 MASKATQGSIKEIQGDLFDAPDGAALIHACNCIGSWGGGIAKAF KQKYPAAYNIYHSHCQKYKFSPEYLPNNAQSSTRNKEIQLPEGTALIIPPQEKDYKDK DKKHWIICLFTSRNYGKRVSPPDVIIRNTELAVADMVRQIHRLRAEESGIGELWSCRF NSGLFGVEWVLSKRVLEESGLDFVVVRPVDEDE AOR_1_1838174 MPPERQPAQKRRQLPFKPPSRQSSVTAGPSTSASAKPKPQTKSK IKVPAKKPTTNAKASSSKISRPSTSSTRTETEASESPAAASNSDSEASSGSSRSSSPS EEPDYILAEIIHADAEENDILSSEPAIPPKLLTKLVHHHFKGQKTKIAKDANEVVAKY VDVFVREALARAAFERAEGGKGVERGVGDGFLEVEDLEKMAPQLVLDF AOR_1_3174174 MTIPETQSVSQSNGEAQNAPANANTTPKTKLLGREFYKSIGSPK YIVAPMVDRSEFAWRMLTRSFMPPDDPKPMLAYSPMYHARLFREQLQMRLQHFHPTRA AIKGDDTPYLDGNPAIDRPLFVQFCANNPDDFLEAARHVAPYCDAVDLNLGCPQGIAR RGHYGAFLQEDWDLIYKLINKLHTELDVPVTAKFRIQETKEKTLEYAKMILSAGASII TLHGRTREQKGHNTGVADWSYIRYLRDNLPPETVIFANGNILNYDDLERCLEETGADG VMSAEGNLSDPSVFSKPPPVGSEGREYWRGRDGKGGYRIDAVFRRYLDIIYKYVLEQP VPERKPLYLPSDPEEPEQFIEPTAEEAEEEGPPKKKQKRDKTKRPLSPSLGVMQGHLF QLLRPMVAKHTDVRDALARSRPGDMAAFEHALALTERAIKEGLKEYEQFPERFETSPN QELTGSKATIAEYGRPWWVCQPHIRPLPEEALENGALTEKGKKPNTKQDKTKSEVPAD KADTPAAVASASEIPSNTATTPDALVSD AOR_1_1842174 MDSTGAGVSPSISLSEHGEYAAQISGKDLILHLNPTSSGFQDVE IVKVKESSLKFLKFSRASYTVSPDTYTARGETAPGRRVLHASDARVLVWQLLPLQLHA EIESIEPGALNIDFGSDENEVIVFHAWNTRLTVYALDTGRSQVIKSPKFAHHNGFGYR PKTGQFAILLKPDAVDLLTIHGFRSYELINRAVLPTVDAQGLKWSPDGRWVAVWDAAS AGTKVLVFTADGKLFRTYTGPPGFDDSFDLGVRGIEWSPVANESGASEYLAIGKVDGT VDILRCKTFSCSTTLSHVFQIDDNSPSIWRERYATADGTLEYAESSSSSAFSITAETS GPPRGVSIMTFSCDGNLLATVDQTRPNIVWIWNLESTAVLLSALVHEHPVRQVAFQSR RRRKKWSKGKVKDKAQHAVVLEKTVAERLNKDVQSYRLITVATLVDRLKINGSLARKA LEDLEEKGQIKKVVGHSKLNIYTRAVTAE AOR_1_1842174 MAPARKKWSKGKVKDKAQHAVVLEKTVAERLNKDVQSYRLITVA TLVDRLKINGSLARKALEDLEEKGQIKKVVGHSKLNIYTRAVTAE AOR_1_1844174 MSSLRQRQVPAGAGPGTNEPSTSKPTSQKTKSRNEKNGLSVLDI IRVVVTLIVASCGLSYYMTSSESVLWGYRPWFTRWPVLVRYLQGPLSLTPSQLALYNG SDSTLPIYLAINGSVFDVSANPLVYGPGGHYNFFTGKDATRAFVTGCFQEDQTHDLRG VEEMFMPVDEEAELKTLSSGEKKIRREQDRRLARTSVQKQVAHWENFFRNHKKYFEVG KVVGLEVPEEQRELCQAAQQQRPKRSSLKKGN AOR_1_1846174 MEPTGHTAQTKPGQVSTGKPQDAKPRLLLMGLRRSGKSSIASVV FHKMPPNETLFLESTTRIQKDSIHSFMDFQVWDFPGQLEYLEPSFDLEDIFGSLGALV WVIDAQDDYLDSVARLNRTILTVQQYYPNINIEVFIHKVDGLSEEYRTDTFQDIVQLI SDELSDAGYENAPVHYYLTSIYDYSVFEAFSKVIQKLIPNLSTLENLINTLGNNCGFE KTYLFDVLSKIYIASDTRPVDMSCYEMCSDYIDVIVDISELYSWDHPDRKPKGEQNQE AESHVVLHDETMIHLMEMNKYLCLVSVIRNPEAKEKKGLIDMNCRTFQEALNDVFSRS WEQDQEGSEHGQTQGQVTEHGEPGSNN AOR_1_1848174 MTSLNPRDPYTQEELEKLYPRDLKLQLVQVFLRHGERTPVSSRF QNAGLAEYWPYCNVARRMIQMAASNQDLSQWKGFEWRKKTEAFGDRDEAVVAVGATGD IEGICQHGELTDRGRETTFALGQRLRHLYVDQLGFMPKIKSDTEDMYLRATPIPRALE SLQQAFWGMYPASARTQDFPPPVIVARSVSEETLFPNEGNCRRFRQLARLFADRAALR WNETEQMNYINSILSKWMPEKSPKVAVDSHPRLSGINDTINATDAHGPATRLPSEFYD KKLRQYMEQIAVDEWFAGYNESTEYRKLGIGALLGDVVDRMVSTAVDGGWRSEASASG SSTDNGKAIKFAMSGCHDTTLAAILGSLGASPGRWPPFTSSIAVELFSKADRKSSGED AGVMLEEFSNPAIAQKKSGGLFSFMKGSSNSNTSTPPPPSETARAPLASFPDPARQSL QKHYVRIRYNDVPVRIPGCAAKPQNHLAGDDTFCTLDAFKEIVDKFTPKNWREECTEN IGAGLYGKDDKEKAVSGF AOR_1_1850174 MCSAGKLVSKSRTKPHPSKTVSRTKPLSTLKTEPYPLERDTFIL RAPRLVTRRGSSNYLLYNSTTHKLSGFDFLPTPPVGQKPMKFFYQVITTPTADTPGTT VQLQFPEKRYFFGQISEGTQRACTERGVKLAYLTDVFLTGRMEWGNNGGLIGVILTLA DGVASANTALEAMAREKEARQQKSGKSAKQSPAKPKLEHGVPYAVKDGEAVAQRGTLT IHGGKNLAHTLATARRFVFRKGMPVFTREYDCEGMAKKGSAEAEDPFEQPTWSDDNIK VWAMPIRPLTSLQPKDAPRVAPQSPRKRSLDEFREEVTTQEAIDPRTRDQIIRQSVIT DMFNSTWKLDALVETPLAEVKMPAVMFVRNPETRALEQYTGPAPGSNEPLPDIKVFVR QPWPGAAVEKIPPTTWCDEAVSYIVRNHDIRGKFDPKKAEELKVPKGKDFGRLTKGES VKSEDGQTITPEMVLGPPRLGKGLAIIDLPSPEYVESLISRPEWKSPSVTSNLEAFIW ILGPGVGDHPRLREFVASMPQCKHTVSSSDYCPNYLAMGSIAGSSVRMAQLRRDNYPV PVHDNVSLPQPGTRTHGSEVTVRNVQNSPFEAIEPGLIIDMEPNFDINRSEVVPRFNA IEAVQRMPVAVQKRMNTIDRRVKKEEFEEKLRQFRKDLPGADAEIITLGTGSSSPSKY RNVSSTLVHVPGYGYYLLDCGENTLGQLKRVFEPEKLREVLQNLRMIWISHLHADHHL GTASVIKAWFQENYPNGDSQTSALETDMSKILKEKRLFLVSEENMIWWLEEYASAENF GFGKLIPLSAYPVIQNRALRTKFVYRHCRADGSFPGQEVETYRPRTTELSFDDESSPL TPLLREATGLTDLLTTKVSHCRGAMAVSLVFPNGFKLSFSGDCRPSPSFAAIGHGSTV LIHEATFQDDMGVSAIAKKHSTTSEALEVGRRMEARAILLTHFSQRYQKIAHVEKNQV PTKRQETVVQPEQPDIPDNEPEEASQAPASNGVPSFFSTIKVPIVAAFDYMRIRVGDM PIAQAYAPAVEKLYDILERASEEDSEKQRQQKEKQEAAKMQEKMRRKAKHEKKSKAGA SQADVEPTPAVPAEEMDLDKKSPVKRHSAWSASESESGWSTSGSDSEAEMRARRTSRS PSGTVKRSS AOR_1_1852174 MATLAEHPVVAPSTYDQDIDTFLNLDQLTYTTSEPARPKAALSQ PSIPPTEFNAGDLRSANFAATGQSPIAFQGPSHQYDEHKQQTGLPPGALAQAMTFNQM NGMGYGGGASPGYMMNAEMFTGTHLKREDASLDFNAIPSRNPSEMDLESDNMGTVPGY FFSPNPNKSQFVDPSALGGQEVVPVGPSTQVGRMYPGMHQQQAAMAKAAQQQKHHEMM RQQQQLQQQRRMEEQMQHNGNPQVQPPRNTNPIVEERITRLLQQMRQSSALSSPSDSP SPSILPQMAKAKKDEQDMDEDERLLASEEGKKLSSKERRQLRNKVSARAFRSRRKEYI GQLESEVAARTNEAHELRLQNRALYEENARLTDLARMLLSSPNFSQFLDEMSVNGVST SAQPQPPQQQQPQQLQSQSQPQQQPTMQANVPKDTSHGHGAQEFAMQQNPQVGMVVVP NQGLDVAAMGMNNAGWNSGIDMNYNPSVFAVLEVPEPSVIDTEILSGKSSVGSYLTEI TDSKNEMPVFERMPMSEEPKEASFGVENPDVEFDESDPAFALFADSPATSQQESLDNS YNGFESEKSAHAFELVVETESQDAEKRFSYLCHSMDAAFERVSMVTSHLS AOR_1_1854174 MASNTNPGNFSNRPHEEVENIARKGGQSSHSGGFASMDPEKQRN IASKGGQASSGSFKPGDPRAREAGQKGGSRTQYDMDQPEE AOR_1_1856174 MPVTAIESYQQFQELITGDKPVLIDFWATWCGPCRAISPVFEEM SNAEGFDNVGFYKVDVDAQEQIAQEVGIRAMPTFMLFRNGAKVGDLVGANSQGLTELV KKATALP AOR_1_1858174 MKSSILATCKQTRFHLLDDRPTQEIDVEGLTIAVSSSRDTPEDP SKPKPRGKTKGKPDTRELISDAHLRLKAGVHYGLIGRNGTGKSTLLRAMADKLVPGIP HSTRIAILQQTETASEEDVKDFAAEEAENKHKTVLQYVLSSDHSRNEVVQKMNFLSKA FETEDPMQPVSAIRKVRHDKAEKELFLAQKNASLKSGARGLQARKDLKAAENKFQASL EILNQEKELIDADTVKEDTQAAVDTLQDLQAQFEAMKVVDIEQQARQILIGLGFHETT FDKPFLTLSGGWRMRCMLASVLIQSPDFMILDEPTNFLDLLGVVWLENYLRQLKDTSQ TTIILVSHDRDFVNAVCEEIIILRDQKFTYFKGNLSAYEKDFEEQKLYWGRMKEAQER QIAHMEATIRETTKTGKKTNDDNKLRMAKSRQKKLDDRMGVQVSATGGRFKLNRDRAG WHSSSREEIEVPTDEKGTSLILPDATELRYPGPLISAEGIVFKYKPNGAPVLDGVDLV MHMGDRVGLMGLNGCGKSTLIRLLVGNALPTKGKISTHSRLKLGYYAQHSIEDLQEQG QADPNLTALGLMAKETDGIMSEGHLRGLLSSLGLQGRIASDVPVSRLSGGQLVRLALA KVIWNSPHLLVLDEITTHLDFHTVTALASALSSFNGAILLVSHDRFLVRSVIEGKRDE EHQLDDDFEGIDEEMDESQSRRRAVYVLKGGKLKEQNKGVEQFEQSLVKRVQKMLPAQ G AOR_1_3176174 MALAHEWSHYAIQWKGLRVSAEPMGSQRSTYFLSLPYRYAIPFI ISSTLVHWLISESLFLVMIEAYTVGMERDPTNDIITCGYSAVAIVATISVGAVALGAL IGLSFKRFKSGMPVTGSCSLALAASCHANTSPADLSQEDESVLPLQWGEVGGKGPVRH CTFSSAEVQIPQHGWVYQ AOR_1_1860174 MMTGFLLSRRGLTSSLPSLRRYPRTYLRQIGSGRNKAASQYSTA SSPAENGKNDTKPLPATYFPNSETSTQKPSPRWTALKTAKPFSDFLTDTFNRQHDYLR ISITERCNLRCVYCMPEEGIELSPPARLLTSPEIVYLSSLFVSQGVTKIRLTGGEPTV RKDIVPLMQSIGDLRRNGLRELCLTTNGISLHRKLEPMVEAGLTGVNLSLDTLDPFQF QIMTRRKGFDAVMKSIDKILELNKMGAGIKLKVNCVVMRGVNDREIIPFVEMGRDSPI EVRFIEYMPFDGNRWNQKKMLSYQEMLTVIREKYPTLEKVVDHKNDTSKTYRVPGFQG RVGFITSMTHNFCGTCNRLRITSDGNLKVCLFGNAEVSLRDTIRKANNGEPIDEATMN KLQLLEAADKAARINEEGGLVDERERELLEIIGMAVKRKKAKHAGMGELENMKNRPMI LIGG AOR_1_1862174 MGMGTATSLPTDSDPDLPHLNTSQNVHMTKISQKAVTERSATAT CLVRFSKSRPWELLREGRGTRKGDVFSVARIAGIMAAKQTPDIIPLCHPGIGITGVEV DVTLVDPLPSPKDTDPSLKYGAMCVMATVSCLGRTGVEMEAMTATMGAALTVYDMLKA VDKGMVVDGVKLLEKKGGKSGHWIREEQVDPM AOR_1_1864174 MVTSRGWLRPLGRIIMAVVPPFNFITLHYAYFIVTSLVCSVIFW GASNPLRSVSYADALFMCVSAITGAGLNTVDLSSLNTFQQAILFALLMLGHAILISIT VLFVRKRAFESKFKGISNRLAQYRESRPTSDLNVPLDEADFKVVNVQPSNMNAGHGDK APGMTEVSPVENSTDLTSDDHIHWAEDDQITIGARRRSHHQSHRVFPMVGVGARPDLN NHPKDAIPNLPLREESDILRLKGIIQGTQKYFASRGFISRNSQFYGLTPDERERLGGV EYKAVSFLAVIVAVYWLMFLIIGMIGVGGWLEANHPDISRENGLSPFWTGAFFAVSAF VNSGMSLLDANMTALQKNVYPLLTMGLLILAGNTLYPCFLRFIIWSMRCMIPDQPAWK TWEVTLDFILDHPRRVYTNLFPRRHTWYLLGTIIVLNAIDWAGFEILAIGNQEIEQLP PGYRVLDGLFQALAVRSGGFYVVTISGLRQGLLVLYVLMMYVSAFPVLVTMRNTNVYE ERSLGIYAHDDPESESEGQAKPGLFMSLVRHHLLGRQDVPSAEVSRSYFVHQQLRSQL SHDIWWIALAVLFISIAESPNFNRDPVSYSTFNIIFEVVSAYGCVGVSVGIPGRNSSF CSGWHTISKLILAAVALRGRHRGLPVAIDQAVMLPNDSLAWAEEEDAALRREKTRAWG VDKMPVGAV AOR_1_1866174 MAGSQKKSTKKFEKKHLKDVLERRKAAAKIKQRNHLKDKRKADN AKARADAQDSADEHPEETKKQDAFAQMNVDDFFSGGFDIADADAGQAKKAKKKDVTPK TGKRKRTEEQKDEDEASAASSSGEEDGVPSDDEAASDASGSDDFEAHKDQLEALKEKD PEFYKYLKENDAELLDFGDHGDLAEVDELSEAEEEQPAKKKKKAAREEEDEQTPDNTL TIAMVKKWQKLMEEQNSIRAMRQAVLAFRSAAYLNDVEAQEQKYSIRESDVYHEVLVT ALGSVPRVLSHHLPVKETASGKVKVSMDSKKFKTLTPLIKSYTSSVHQLLTNLSDAQT LKLTLSSIEPMLPYLLQFRKLLKVLIKTIVGIWADVSTTEATRITGFLLLRRLMVIGD AGLKETVLKATYEGVVKGSRNTTVHTLAGVNLMKNSAAELWGIDQNVSYTTGFNFIRQ LAIHLRSSITNTSKESYKTIYNWQYVHSLDFWSRVLSQHCDGLAEAKAGKQSAMRPLI YPVVQITVGAMRLIPTATYFPLRFQLTRALLRLSRSTGTYIPLAPPLLEVLNLAEMRK PPKSSTLKQLDFNTAIRAPKSYLRTRVYQDGVGEQVAELLSEFFVLWTKHIAFPELSV PIVVSLKRWLKQVSARSGGNKNAKINQMILLLVQKVEANARWIEERRSSVTYTPRNRA EVENFLKDVDWESTPLGAFVKSQRKMREEKATLLEEARREEEKRRAEEKDADKEDVTM GGFSEGDEGSDDAEDGEDASSDGEEEEEEEEEDEDEVEFEEEED AOR_1_1868174 MYAQRPLAYAPTPYSYTPNPALSASINLDEEVKLASSSAERDLY ESLAEIYSIIVTLDGLEKAYIKDVVTEAEYTETCARLLKQYKSSLGDDTVANEFVDLE TFKRTWGLECPRATERLRIGLPATVEQASHNAPAANMGPAAGPPGGASGSLILTATEN FITFLDALKLNMVSKDALHPLLSEVIQSVNKVTDGDFENRGKIIQWLITLNQMRATEE LSEDQARELSFDIEQAYQGFKSTLG AOR_1_1870174 MISADPHEPLHVFDLPQIHTKPSGTELLRALDILAIKPKSFASS GHEAVKAPTVYPTGVPRYLTSIISSPLSWLDTDELREAVWDAAAARLSERSGRSAMPA MTRVFTIPSPTGEELTLTLHEPSLTADNLGMKTWVSSYLLSQRLHSLLESTPQLVPSE TTTPTPKTDRTLRALELGAGTGLVGLSFAAIRGQSASIHLTDLPDIVPNLAHNAALNV ELLTNTAATVTTGVLDWSIAPEPLPTQEEQYDLILAADPLYSPKHPKWLVETIGHWLS RGLNARVVTEMPLRDAYLPQVQEFRERMGQLGLEAVYEGEEVGYDDWESADGGALAVR CWWSVWGWSEKL AOR_1_1872174 MVIGLLTIAAIPTVTGVALGVSEQHKANERKNDERRMAKFLIDV ETNGETQEDSEVCGKRFVLRNNKVYLDDPNPLNRKLPSHTVTSFYIEYPELEETKHLK RGLGLVTTISDNPPMLGWIYVDKDTHELKYGNRTASVEHVVGPWDWTEDETTITLEES PDFYAVQEEDGDWAVYFDRDGDELEYVLEEQDKLDNAFAPIALKRKLIEQLLQQAQAQ AQQAKKNDNS AOR_1_1874174 MDAFKLLTRSTKFKTGPSSSSASLPSTGKAENPQLFRNSEAEKL LEEQKNGKKRKRGSAADEPEEREPNLDFFSSNKGSSKKVVKAEEAPSDEERGSGSEDE DEMDEVQRRTILNSHKIKVTDMRELEEIQPVQAQGEEEPKKKKKKRKQKEEPAQTLTK KEQKKARRLFPRPLVSFKELRTQYKISRRLAENITEQGFTVPTEVQLGTLPLLLGDRT IGQSKTEEPVEPDLLVVAPTGSGKTLSFLIPVINKIVRHHHGQQEERGIFAVVVAPTK ELASQIVNEGRKLVSGTGVKITLMKKGMQVVEREDDDEDVLDEGSSESSESEDDEKTT EKKSKGKAPVTKSDILVTTPLQLVNALSANKTKPMATLPLVRNIVLDEADVLLDPLFR EQTLDIWRACTHPELRASLWSATMGSSIEDLAKSTIKERKDASSLTKSYPLYRLVVGL KDSAIPNIQHKLVYAATEQGKLLGLRQLLHPAAAAASDIRLRPPFLIFTQTIPRAVAL HSELRYDIPPEAGGSSRIAVLHSDLSDGQRSEIMKNFRKGEIWILVTTDLLARGIDFR GINGVVNYDIPNSAAVYVHRVGRTGRAGREGGVAVTYYTKEDIPYVKSIANVIDVSEK LRGTEGEKSVQKWLLDSLPDLSKKDKKELKKHGVRARQTNLKSVADDKQQRKTRISTK SGFERRMENKKKGAIAASRNRKLQGPSGAEPDSGDDGWGGIQE AOR_1_1876174 MPATASRAVLRQSQFLTRRTAVRYASSTPESAQKASEAASSAAS KASEGLSRVTSTAGPALSNAAQGVGSALRKVGGRTGKVIAFVDSMIPPTLYYGKVGLE LAKLVFRGQNMTPPNLATFQSFYQPLINAFRSPAALKNANFVSPGDIAARVRNASPKE LALAGVTLAEVIGFFTVGEMIGRMNIVGYRGHPEHHGDH AOR_1_1878174 MATATVLEKANIGVYTNTNHDLWVAESKPTLEEVKSGESLKPGE VTVQVRSTGICGSDVHFWHAGCIGPMIVTGDHILGHESAGEVIAVASDVTHLKPGDRV AVEPNIPCHACEPCLTGRYNGCEKVLFLSTPPVDGLLRRYVNHPAVWCHKIGDMSYED GALLEPLSVSLAAIERSGLRLGDPVLVTGAGPIGLITLLSARAAGATPIVITDIDEGR LAFAKSLVPDVITYKVQTNLSAEDNAAGIIDAFNDGQGSAPDALKPKLALECTGVESS VASAIWSVKFGGKVFVIGVGKNEMKIPFMRLSTQEIDLQYQYRYCNTWPRAIRLVRNG VISLKKLVTHRFLLEDALKAFETAADPKTGAIKVQIMSNEEDVKGASA AOR_1_1880174 MPHETPNITALEPFQVLSKILDFANEDQRDWWHSTGPMYAKILK DAGYGIHAQYSYLCLHHKCVVPYLGPYPGNGRDRWMSILSRFGLPYELSLNCSNSVVR FAFEPIGPLSGTEQDPFNAHVIWECLGKLAKLGSDFDLQWFAQFKKDLVLDAEETKFV RDNGLDKGQVKTQNKLGVDLKGGKFEVKMYMYPYLKSVATGIPIERLMFDSIRRVDWD RKLVVPLSILEDYITSHKDKTLSARLISCDLIDPSRSRIKIYVAEQTVDWPHLEGLWT LGYRRQDPITISGLQLLRELWDLLDIPEGPCHFPDGGYLELNSKVSERLPLLANYTLH PNDPYPAPQVYLHTFGVGDAAVADAVATFCARRGWTEMAQSYKDNLFSYYPDGDINEM NHLQSLVSFSYRDQKAYLSVYLHSFETGGWRKSYSSDAIQGHL AOR_1_1882174 MASHELKQMAPATSHEVEGRSSSKETDDVNLIRLGKRPVLKRNF GLMSMLGFSCTILITWEGIVVLFLQAFQNGGPAGAVYGFIFVWAGVAATFVVLSELAS MAPTSGGQYHWCSMLAPPSAMKLFSYLTGWLTVIGWQATFATSCFLSGTLIQGLIVLT NSSYEPKNWHGTLLFWAVAVFSVGINSVGGNLLPRFEGLILILHILGFFAILIPLTYM ADHSSAQEVFTHFLNLGEWPTQGLSFFIGLVGCVFAFAGGDAAVHMSEEITNAPVAVP RSIMLSVLINGTLGFSMLIAMLFCLGDIEAALVSPTGYPFMAIFLQATHSVAGTATMG AIITTMGICTSVGMLASTSRQFWSFARDRGIPGWRLWSRVTPESAIPIYSVGLTTIVA CLLALINIGSSVAFNDLVSMSISGLYLSYMIVASLLLYRRCTGGIGSSKSSDDAIVNT AGAKLVWGPFHLPGIWGILVNTFALIYMTIAVFFSFWPPQHTVTVDTMNFSVVGTVGV IILSLVYYVLRARNVYEGPIVEIQI AOR_1_1884174 MAQFSPDSWTPAVNVLTWFLLVTAILSVIIRLGTKYWIFRKFTE DDYFSIASLVLCAAQSIAVSMATANGYGEHYDSLSSSHIEEMMKSQYSANILFILSMC FSKLALISFIDNLTPASTDHRIAVGLKMFTLVWGVIGIITSAFQCKPPRTWDYLHGKC FNINAWWDYLGTTNILSECGMMVQAMLVIVRIQTHMKKKAVLAGVFLLRVAVIIMIIC QLVYASKTIDSPDPSHETWPVAISTQLVQSLSIVTACSPQFKPFLDSLRSTGMRLGGM TSYGHSQKGYGSYSASRARSRRGTVRSDTHELVPLPMQDTHQATVTISTPSLGWDGES QSSQAPIIHEIRTWTVTEVRRSFAENSK AOR_1_1886174 MAIFTSFEHETATQQPSQLKSVQIQDHLRQEPPYHRAVLCYIVR GANIEQELPKSPKANSAQVRKSDRVALPCGPLEPLLGVIEDNSSSPLDTAWKTIRKQT SIPPSSLSVLRYGKSFFSATSSIGQKCLFYPFAFHLNTLSGLSTEVDKLRLDQSICEW DNRDPNPYDLMDSHYHGQGLRKIWFEIDLGPTPGKMLASGLKQLQHDHESGARKLAAV SVNILRAVLVNLDDPITLGGTWWQKARITAWHLWKNGRQSMDAAILSFLLMTLTEIET FLLTMGPEETCDKLHISELFDRIQKKIRSYKLRLSYNFGSYAMSKISRTGSIPKHLKI LTLSASHTIRECIAQLVRISGAQSIEICVLESRPLFEGVSLASSILEYLENEPNSPKV DVSIFTDASVAFAAQGVDFLLLAADRIAADGSVSNKTGSLPAALSVRHVSPSADIIVV SELDKVAMQSCDTEAHTMENNESSEVLDAWQQSETVKGLGVIEQKIQKKSRQVSVNVP NVYFEWVPPTLIDAYICEDGVKLPSDFRKRSQWIKEQCERYFDHDL AOR_1_1888174 MLGSLLLLAPLAGAAVIGSRADTQQCPGYKASNVQENDRSLTAD LTLAGKPCNTYGTDLHNLKLLVEYQTDERLHVKIYDAEERVYQVPEKVTPRVDSGDGS SKDSALKFEYEEEPFSFTVKRDDEVLFDSSAENLIFQSQYLKLRTWLPENPYLYGLGE HTDPLRLSTTNYTRTFWNRDAYGTSANSNLYGTHPVYYDHRGESGTHGVFLLNSNGMD VFIDKTADGKQYLEYNALGGIFDFYFFTGSNPKEASIEYSKIVGLPAMQSYWTFGLHQ CRYGYRDVYQVAEVVYNYTKAGIPLETMWTDIDYMDRRRVFSLDPDRFPLEKMRELVG YLHDHDQHYIVMVDPAVSVSDNGAFNRGLEQDVFLKTQNGSLYKGAVWPGVTAYPDWF HPDIQDYWNSEFSTFFNAETGVDIDGLWIDMNEASNFCPDPCTDPERYSSENNLPPAP PPVRSSSPRPLPGFPADFQPSSASRSQKRIVKAKVGLEGRDLLNPPYKIRNEAGSLSN KTINTGIVHAGEGYAEYDTHNLYGTMMSSSSREAMQYRRPEVRPLVITRSTYAGAGRD VGHWLGDNFSKWEHYRISIAEGLAFASMFQVPMVGADVCGFAGNTTEELCARWASLGA FFTFYRNHNEIGNIGQEFYVWPTVAESARKAIDIRYRLLDYIYTSFYKQSQTGEPFLQ PVFYLYPEDENTFSIDLQFFYGDAILVSPVPDKGLTSVDAYFPDDIFYDWYTGTPVRG HGANITLSNIDITHIPLHIRGGSIIPIRSSSAMTTTELREKSFQLIIAPGLDGTASGS LYLDDGDSLEQKATLEVEFEYRKGVLHIDGKFELHASLVESVTLLGQGKGGSRARRED GTKKTIQTNLELSKPTEIKLE AOR_1_1890174 MLTSVQPRPDVLGPRASLTTFQKGELFTFSRDEQKPVHALPLRQ RLPAKAWDTHMHVVEPHRFPVDASAVYQPSTHTIEEALAFESSLGIENIVLVQPSIYG YDNSCLLEALRRIGPSRGRGVVVIDPINTDTHTLSRWHSLGVRGVRVNLRSVGKVMDQ DELAQTLLQHAEIVRPFGWAIQVYVPLEMIPLLEPIVPQLGVKLCIDHFGGPDLRSMN WTDGASFDPYSLPGFSSLVSLLRAGNTYVKISAPYRLSKDHEMRDIEMMARELLRQAP NRVLFATDWPHTRFWGTDIAPFTELCLRVCGNDPVLTERVFRRNAEELLDAQTMD AOR_1_1892174 MVDWSRWKTHPYYALVIFIIACGSIPKGYDEGGFSASVKLESFK EDFNLISSNWTHDETGLANRSANITSFNVLGAAFGALFALDLNDRFGRLNSWRLACLV WASGLFIQVFSSGIYGLLLFARIWSGLGAGALTVTTPLYLSEIAPARTRGLVVSCYMV ILLAVLAMGFFINYGANQHMAPTRTQYRLVQAIPLIPVGLAMMASYIVPETPRYLVSK QKHDEGRAVLARLRGKDINDPELEAEFVLIDSQVRAKASDLATVTPWTAFKETQTNPN YRQRFWLLITMHTIAQWTGGNGITYYISTIFEYAGVTGNSTSLISSGAYGIVKLVFTM AFTWGLIDLFGRRRCALAGLSLQLAAHIYMGAYMGLQPGSSDNKSASDAAIASVFVYA VGWSVGLCTIPYLYGTEIFPTRIRNVSYAVSMSLHWFFQFAVVRVTPNMFASLNVWGA YLFWAIICTLGLVILGIWMPETKGVPIERMGDLFDTPWYLRWRARPKSDDSLETAPSV SSSTGAKQPEYKNTSL AOR_1_1894174 MVVEHSSSGTADVPAKASLPRKSAFSCEACRKRKVKCNGASPSC SRCAARGETCVYSLAPTLSYTKQLEARVAQLEDALSKLRSQQQSAAEVRKASTPSSTG EGRGSMSPSLRTRIKEEDESSSADLAREFEGLKVEHDGRISFHGPTSLFQLPSGALNE AASTSRLAVQHEARKERLINNAWRERAFEQMATMPEPFQYLLDSHWCWIQPLFNFVYR PAFTRDMKINGPYYSDALLNAILSHSVRWCKSEPRIGHILDSFDGGAQFSHRAVSGLY DSLKVGHLGIPTIQTLLLLSAQECGRGNRSQAWLYSGMAFRMLEDLGISIDSRKYSDS AHLSDEDIEIRNRLFWSCYFWDKMVSLYFGRSPTMQHSRVSPPRTVLDDTSEIEIWTP HGVVFPDGAHYPPTQAHSTSCFMKMCGLAEVLNQILIHIYDPIRQVSEAEFYNCVIEQ ARNLAEWWDELPDYLKLVPTSLPPYSPPSHIVILNSLYHTINILLHRPILCSKTNRES YDKSHLVQCMTSATAILSLFDLYRRTFGDAHVVLSLSYSVYTAASIFLLEIQALKYAA PGTLDKLKFCIFALERVKVSNPVISTALSLVYQELQKLQIDIHIVLPTLQPEQPQPRS QPPSRHSHSPSQPQGLSLQQQQQQHQQFHTPSTFSDTSRHVSPSHQPSPDASSIAASV APSGVNTSFLPGYSFQQPVADFELSQTGVPQMAGAHLLDGMPNALMTLDNPGSYEITP EVFEAFSYAEPITTNMTPAFEPRLG AOR_1_1896174 MRRLNPLLRQTLLLRKSRPSTRYTLRPPRLQWLSTTSTRPCSCS DPQPEQPPSQSSATPADYRALGTAQDLFTTSIYSPGSPLFLPNGTHVINKLVSFLRTQ YLQYGFREVLTPTIYKRSLWEVSGHWQNYKDDMYEVRGRGAMGETEGEAGEDESYGLK PMNCPGHCLLFKSQNHSYRELPIRYADFSPLHRNEVSGSLSGLTRVRRFHQDDGHIFC RPQQIKSEIASALGFVDMVMTTFGLGPYRLVLSTRPEKDFIGSLELWDSAESQLREAL DNSGREWALNEGDGAFYGPKIDIQLQDQAGKYHQLSTIQLDMNLPQRFGLEYQVAEGE EDYNPATPGRARPVLVHRANFGSIERFLALLIEQYAGRWPFWLSPRQGIVLTVNQDEK VLQQAHEAAAKISGFRALEPGQSGNNAPQPLSFVDSTFLIDVDDSPQTLGKKIQRAKQ MKYNFIFIVGPKDVAESRVTADITGQLQSKPDGNAQKLQDMLVSRFGEKAVQNPRAVP LKVDEVHDLLVQLEKRFV AOR_1_1898174 MATDSPRPKDSSILGESWIVASSSPDKEERPNKNQPPESPSQSR HLNKEAGRQECNNGCDSMTTSASSISGPELIMPSIYETPIAEGSWVAPDVRSKSKTAH SLRRRHKAARENTPRTEKHDLDMSKENDSSKQGPSTSQVDEKLSRPKSASRSKTLQTL IRTIINILLVVAISHLLIIPEVVQQYQTLCTIEAISTLYPASCIPPYPQPQTNHHRAS RYDTVVSSQTRLESLFNTTLHAMTPLSGTLKQSESKLRYIETELKKVYPGMKHELDLE FSGCWEATRAATKKFDSLKVDIRSAVDNLIATNGATAAGDSQSAAQGARLSTQMSWRE QYLDQLTTRMQSKADSLSNDLATLDDHLESISSILAREMTQSSASSGTTDSAAESPGG GLRAFVDKLPSFFRPTIDGENLIRPDLSISELFQDAAEQHRPVVDTVRRLSSELQNLQ KKRAY AOR_1_1900174 MYFNYAAATLAALLPLCSAQTYSACNPLKESGCKPNPGMGSNFN SDFTTGDGALGGWTTTAGKVTTGGQGAEFTLAKKGDAPTIDTSNYFLFGKVEVVMKAA PGTGIVSSIVLESDALDEVDWEALGGDTTQIQTNYFGKGDTSSYDRATFVNMASPQAD YHTYTIDWNKDQTTWSVDGNVVRTLNYNDAKGGSRYPQTPMRLRLGIWAGGDPDNAPG TIEWAGGQTDYSQAPFTMYVKSVNIVNYTPSDSYTYSDNSGSWQSVKTSGSGSSSPEP RSTSSTSSTAESASSSEPATESSTTSKTSPTGFITSTTSSTTGSTTDSTESTTGTTTG TTTGSSSSETGSGSTTAESSSSTGASTTESSAPGSSSGAGSSTGAGSSTGAGSSTGAG SSPGAGSASGSASSSAAGATSTVPLSNSATTPYGGSFMGLMTVMGLMTAMLQL AOR_1_1902174 MVHKSAKSISRSSTSRYVVTGASSASSDPDMDRKVYIPLCFCLI SFAVMVYVN AOR_1_1904174 MLPRSYSRSFPVQAFKNRLLRPVSSPSIAFQVIGRKSISTAANR FAISGTPTRAALDSSSTSIASTAVGTRLDLLNRHFSSTSATPDSNKMPPVEPQQYDYI VLGGGSGGSGSGRRAAGWYGAKTLIVESGRSGGTCVNVGCVPKKMTWNFATVNETLHI AEHYGYTIPKDVKIDYGHFKELRDATVKRLNGAYERNWGREGIDLVHGRARFVEPKVI EVANNDGTKARYNAPHILIATGGRPKLPNIKGAEHGITSDGFFEIEELPPKIAVVGAG YIAVELAGVMGAVGVDTHMFIRGQTFLRKFDPMIQKTMTERYEAAGITVHKGHPGLKE VQLVRDGKGKDKLLKLISNDGSEMEVNEILWAIGRAPEVEDLHLDVPGVKLNDAGFVD VDEYQNSSVDGIYALGDVTGHAELTPVAIAAGRQLGNRLFGPPELKDSKLSYDNIPTV VFSHPEVGTVGLTEPEARERYGDDQIKVYYTKFTAMYYDVVPPEEKKKNPTEFKLICA GPEEKVVGLHILGLGVGEMLQGFGVAVKMGATKRDFDSCVAIHPTSAEELVTLR AOR_1_1906174 MASEIDPVAGVSPSRDLDNKSDTTDDDLSPSVTTPPASVPSLRP TDKIPGITASSTHLGQISAARRGAGTPPRPQASMSSMSGASQGGLNQDILAKMKAFSL SRQGAPPTLPHAASTGTVPKTPRPGMPPAGLSSPGGQSPPVVNGPLAGALAGRLPPVA RPNTKNWVSSPSIPGSSPSPVSAKPGGLAAKRMKPGLKLSDATGTKGTAGNQSPANGT EGTGETAFSKYSEFIDTKSGTLNFKNKAVLHGGGIEFSSGHKFSISLDEVDRLDELGK GNYGTVYKVRHSRPHMRKPGMGLRGIVSRPTGPEASGSDGVTDNLTGVIMAMKEIRLE LDENKFAQIIMELEILHRCVSPFIIDFYGAFFQEGAVYICVEYMDGGSIDKIYKDGVP ENILRKMALSTVMGLKTLKDDHNIIHRDVKPTNILVNSRGQIKICDFGVSGNLVASIA KTNIGCQSYMAPERIAGGGVQQSGASGGGTYSVQSDIWSLGLTIIECAIGRYPYPPET FNNIFSQLHAIVHGEPPTLPESGYSDEAHSFVRACLDKNPKNRPSYSTLLRHPWIAPL MRPPEESGGDDTTSASAGVTHSSVTEDKEVADWVKEMLERRNNGLLHDSNKPALHAVA LDAVPGSPLLDDPSSISVQP AOR_1_1908174 MAIFTAPTSFQNAQSLVPRQLLTQHQIGVSPSILGYVAPHSSHP SFSHLILLVFEAVLEVVCVSLPGYIAARQGLFDADAQKLVANLNVTLFTPCLIFTKLG SQLTAEKLFDLAIIPVIFVVQTLVSYICALTVSKCCGFKKRSANFVTAMAVFGNSNSL PISLVMSLSQTLKGLHWDRVPNDNDDEVAARGILYLLIFQQLGQLVRWSWGYHVLLAP RERYLEEGEREQSTTSIEQGRERYSDNPEQADPDEPLIRNASSEGSSTDSNDESEVFH SGEQTPVLVRAYSYTKLSPQDTDHPALLSAPPQGPFLPRQSTEGDILCFPSVEASGGD SGKAGLGFRFRTSVGRLGGRVTNTWERQSGALFQRLPTFLQKVLSGTVNGVRRFFRGL WQFMNPPLWAMLVSIIVASVPSLQRVFFDEGTFVQNSVTRAIEQNGQVAVPLILVVLG ANLERSTLPEDAQQDMEHPKEEKKLIIASLVARMLLPTLIMAPMLALLAKYVPISILD DPIFVIVCFLLTGAPSALQLAQICQINNVYVGAMSKLLFQSYVVWILPSTLILVMCAM EVVEWASASF AOR_1_1910174 MRLPEEQQNIGLAFTTPDPLRSVLSSPKVHHGETLHSQVHNPDL ARPSIELHPEDHIYRGAVTQHLDWVVAEDYLRPDGVLKQVYLVNGIFPGPTIEACSGD TLLINVTNALQGEPISIHWHGLHVHNTMDGVPGVTQNAIPPGSTFMYNLTIPQDQSGT FWYHGHTGTSRADGLYGGFVVHAPSSRPTVRGLMARDSAESLQYGYEREFLLLIGDWY HQPGAQVLAWYMSIASFGNEPVPDSLLINGAGSFDCSMAVPARPVDCIEQQANLSYLS DIDTSFRLRVVNTGSLAGFTLSFENKPLTLIQVDSTEVEPQEAPSAGILYPGQRMDVI LQPSKEDLTSLTIHLDRDCFNYPNIALTPTQTFPITPSHSPSLPQSLPENNLDLQNTP SKPSLLSNIPENPTQTQVIYTKIQKLSINHNIPNGFFNRTSWRPQPDTPLNTLPRDKW DDNQFSFAVPDSEWVDVVVNNLDEGGHPFHLHGHHFYILRVYEAPIGWGSYNPFVDSG PPGLESESGYDLSRAMLRDTVYIPSRGYAVLRFRADNPGVWLFHCHIVWHLASGMAML VDVGEYNS AOR_1_1912174 MSMLLGVTLAMLLGSRPARAGSLKDIDHVVIFMQENRSWNNYFG TMAGVRGFNDPNVQVNDDGLSVWHQKVDPSMSENATTLLPWYLGYKGGDWNDAIQCMV AGSNGYEDNQASLNHDLNNNWARNNTPWSWGYLKRNDIPVQFAIAEGWTAGDMYQESQ ITSTNPNRVTLVSGSVNIPGSPQASDQGGPYIDNNETPGCDTDNINCYPLKWKTIFEI YEEAGVSWQVYQEKNNFDDNPLAWFQQYQNASASSPLAKKGLSYLGLDAFYKAAANGS LPEVSFIVGPAELSEHPPYMPKDGAWLQKKVVDAVTKSPKYSSTLLIISYDETGGFGD HVVPFHSPEDTPGDWMTDPYGKFGKIYVGPGLRVPFYMISPWTRGSRVFTEHADHNSQ ILFIEQWLKARGYENVETPEMVQWRREHMSDLVSALDLDHPDTNLPTLPDAEEPATLL GNYVGSSNCQASHPTQRPPVPYGQQSNVSDALWFEEGYKEVVGYLTEGRYLVFEKSGY ALTNAGNATRISSSRTGSGYGDKKQRWVIHYSGGQQSGVFHISSALDGKWLGPKGTLL SSNRGSQAADVKITFVGNGQGYTLQYADSTPIEIDSKGALTLQRREASEEGYKVWSVS YR AOR_1_1914174 MPSFTDHNGDSGPDIEGFQPIYGTGLSITSLQKARHANGNGNTA IAPDSRKHHPAISKKATARPPLYPTAPLSSQSSAISESGARDEQEMQNGEEDPQNALF HQVLEWLQREKSKRKSPKVKAHAQPDGSGSDGDDEDDEDAGNGDGGNSERTTSHGADN VFALDKLEKILIQYAASRSDGAAPAYPARRSTRRRHVKGLRRGSASESDYLDGDSAAP SVDATLDNSKTLAYSGGGAEDDENEEGANARRALDREAWFVFKSEILRITHTLQLKGW RKLPMELASDVGVVRLSGALTNAVYVVTPPQNIPPPRAEDGSYSLVPRKPPPKLLLRI YGPQVDHLIDRENELQILRRLGRKHIGPRVLGTFNNGRFEEFFEARPLTPKELRDPGT MKQIAKRMRELHEGIELLDNEREGGPMVFKNWDKWVDRCEQVTNWLDKEIQSKHNDIK AVAEPWRRRGFVCGVPWPTFRKAVDSYRNHLINSYGGMQEIKRQLVFAHNDTQYGNLL RMEPSSESPLLRPENEHKQLVVIDFEYASANLPGFEFANHFTEWCYNYHDPERSWACS SRDFPTLEQQHRFISAYLTHRPGLAVRSSPSITPLMQAGELANITSLAPLDLDAGPDV DQQSLVDAEKAQEDRTEAEIRSLIKQARLWRVFNSAQWVAWGIVQAKVPGMEEGIAAD AATNGHQNGANGTESEGTPSTTPPPDTDVEETDEFDYLAYAQDRAMFFWADLLSLNLV REEELPAALIQHIKPRIIDY AOR_1_1916174 MADAGGWSTIESDEGVFTSLIENLGVKGVQFEELISLDADTIRS LSPVYGVIFLFKYLRDQTPTTPEAPIDGTYDKTAPENLFFAAQTIQNACGTQAILSVI LNQDSPSSTPYPIDIGNELRSFKDFTTGFPADLRGEALSNSETVRTAHNAFARASPFV DETVRTARDEEGDVYHFIGYTAVNGTLYELDGLQPYPISHGECDAEGFPEKVIGVLQR RIARYPEGETRFNLMAVVRDLRMRAREIGDVEMLEREERKRRAWDWENTLRRSNFVGF IGEVLKGVVGMKEKEGKFDEWVQKAKGETERRLRR AOR_1_1918174 MNYRSKSIIIATAVSVGISLISMCLRCFVRLRVVRAFGKDDATM VVAMIFNLAFAICTFAGAKYGFGQQMTWFMDKPGYLRYALLYWWLGQNFYLVTAIIAK ISIAMTLLRITPNKIHTVILYTISALTLLVGTMFVLVSIFECTPVDFFWNRLTKSGKC IDPNALVGIAYTASVVAAIADFVLGLLPCFIIWNLQMNWRTKIALAGIMGLGCIAGAT VIVRIPYLSAYKHADFLHATHAVSICSNIETGVGITASSLATLRPIFRFLRDTTSGSR SRKRPTENSYPLSSVANNSDKHHWADTTGGYHGMSTTITGRQPSMQNVSTESITPLYQ GMKVERSFQVELA AOR_1_1922174 MAGPGGGPPRKSHTKSRFGCKTCKRRHIRCDESFPQCRNCTKHN CRCDYMDVATVHDESSNTRKIPDLLMSAEIETEIKNWHITGVPPFPELVQYPRSCWSK LSRTDLRLIHHIIGLSIDLHRRGFSNSTIWAQKMPTFLNIAITNDFVMSSILTLSASH LAWITRNKETKQLAYHHRGVAIQGLHKAIGTFSKGNCDAILAASILLSWQASEWHSWA SLQQGLTTVLNSMHPIWKHESELAMYLENQRYLGCTNTPMMTGYQFQDEDLVSLDQTT IALQNVQKRVAHNPEHYRRIGELLEFVRHFRRDLLSLTPEQAFERMQPLRRWLFWLPP AMLRGGDADLGALAILAQFFGIGVVLDSLFPDLGGAYLGPLSVGPIEDIYRIIITRSM SEPYNPDLQLASTIMELPRHIVAQYKSRLQWSPRTSLDYSPSPASPYHSMQDYTLASS SSPSSTATCAPYTPLLQSPPAVTIASSPFDVNGTYVTAPGAQSLYPPSPSLLSDPREE LCEYSHTGSLHQSPTYPPPYVDDMVCGELSRVDGTVGLNVDLYGEPQQIVGGYSTAEP CWTGSICT AOR_1_1920174 MDSAKAPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDQSRSIIRN VKGPVRVDDILCLLESEREARRLR AOR_1_1924174 MEWQPQDEPLRQLACCLRDSLNAYDRNAQKQAEQMLVQATSSPD YVKYITYLFSTPQSPQPVGFDESTYDMVRFAAAMNLKTKIRVAYNTIPQPCLSYIRSV TLTGLRDRNFQVRSSAGSIITELVQQAGLLAWPEVLHELLSLVSNESGDAPVVAQEAA MSALAKVCEDNRKILERDYQGQCPLNVIIPKLLEFTSSQSAKVRSMALGTIHIFLPHR PQALVASMNLFLSQLFQLANDNSTDVRRTVCQTFAQLVDFAPEKLIPHMEGLVNYIIM QQHNQEDPELALDAAEFWLVAGEQAKLQQPLAPHMPKIVPVLLQSMVYDEDDAIRLAN EGDDAEQEDRVEDLKPTFAKSKGSRLDSSKPEEQANGNAAAEEHDEDDLSEGEIEDSE FGDDPEDEWTLRKCSAAALDVFSNVYHQPIFEIILPYLKETLRHDQWPQREAAVLTLG AVADGCMDAVTPHLPELVPYLISLLNDSQPVVRQITCWCLGRYSEWASHLNDPAERAS FFEPMMEGILRRMLDGNKKVQEAAASAFASLEEKSDANLIPYCEPILRQFVQCFGKYK DRNMYILYDCVQTLAECVMGELSKPHLVDILMPALIDRYNKVSDQSRELFPLLECLGY IAAAYGDAFAPFAPPLFQRCIKIIYENLQEYMASINNQAIEEPDKDFLVTSLDLLSAI IQAIDPQKSGELVANSQPRFFDLLCFCMEDPNYEVRQSSYALLGDCAISIFAQLEPFI PNIMPTLIKQLDLDMIKDDDRHTGFSVLNNACWSCGEIAVNEKAALSPYADKLYQGLY VIINNEEIIDSVNENAAMALGRLGMCCSDQLAPRLGEYAGAFLKSMNKIEFTREKASA FLGFNQVVMKNPQAMEASLADYFQAIAAFPTKSLHQDDYRDIQSSFQQVLQGYKNLIP NFDSFLTQLPAHVTQKLRSVYQI AOR_1_1926174 MDVILDVLDTLVFDRLYAAVLPRHSATKIAHYFPSNGTELATLN ESVNRYFALSPSKWATQSILPRDHLLRQSLSLFLITWLFGIILYFLSATLSFHFIYDK RAMKHPKFLRNQISMEIAQAVNAMPVMAALTVPFFLAEVRGYTKLYDFSSQAPFPLYT YLQYPIFIAFTDFGIYWIHRGEHHPKVYKHLHKPHHKWIISTPFASYAFHPVDGWAQS LSYHVFPILFPLQKVAYLGLFVFVTIWTVMIHDGEYALDSPVVNGSACHTIHHYYFNY NYGQFLTIWDRIGGSYRKPNRELFDREVRMTQNEIKKQVEEMEKLVKEVEGVDDRCYE TQTEMKKTL AOR_1_3180174 MHFTKRTGRALFANPAKQFSTTSRRQLSGLKINHNRLWETLHET CEWGAAHRYGKNSTDTGMARLTLTDADASVRRWLDDEVKKLGCTLHVDQMGNMFARQK GRLNSSAPMVAMGSHLDTQPRGGRYDGILGVMAALEVLRTMKENGYQTNYDVGLVNWT NEEGARFPKSMCSSGVWAGVIPIEQAWNLQDIHDPNVTLRSELERHGYLGDIPCSPEG FPLGAHFELHIEQGPILVETGRSLGVVQGGQGYRWLTFTVYGRDAHTGTTPFSARQDP LLAASKMIASSNEIAKQHGALASTGVLKIPSNASTNTVASQVTFTLDIRHLEDEVVHA VQKECLESFANIAKQDGKGVSFDWTMDTDSAAVKFNKDCIDAVRTAADELVGAGQYMD ITSGAGHDTVNTSRHCPSTMIFVPCRDGVSHHPEEYCRPEDCALGTQALLEAVVNYDQ ARMKKEANA AOR_1_1930174 MSARRPIYFNPAAANARCDPRDIHGLKEFHQSLPNYAPTPLTPV PELAKELGVRAVFVKDESDRFGLPAFKVLGASWGCYRAVTAHLGLPPTVSLDELSARV KDASITLIAATEGNHGRAVAFIARLLDSRADIFVPRSMDESTQQLIGSEGAQVIVVQG DYDQAVQEAADAAQALDGGILVQDTAFDGYEDIPAWIVEGYSTMMMEVDEQIAKEGLQ CNVVVTPVGVGSLAHAVARHCKSRDAPISVVAAEPDSAPCLHSSLRSGKPVTVQTSPT IMDGMNCGTVSTTAWSDLERFVDACVTISSHECHAAVEYLATKSIKAGPCGAASLATL KRLAVTEEAQTLLNKDSVVVLLSTEGPRPYPIPKEVSIEDTVGLTQILTTINSSNPSL SLTDGAGENQIANYLAAWFTHRGIEHHWIETVSGRPSIVGVLRGSGGGKSLMFNGHID TVSLSSYEKDPLSGTLGEKDGRQVVLGRGSLDMKGGLAAALAAVSAAKASGNILRGDV IVAAVSDEEDASQGTRDLLAAGWRADAAVVPEPTMGKVVTAHKGFLWVEIDILGVAAH GSNPAAGQDAILDAGWFLRALEQYQQQLPVDDVLGPASLHCGLIQGGEEPSSYPAKCT ITVEFRTIPCQTQESILSDLKNLLKGIVQENPKFRYSEPRATMFRPTQKLATDHPFVE RALACATAVLGNTPQVSSAPFWCDAALLSEVGIPSIVYGPRGDGLHSKEEWVEVESLQ QQENVYRRLIEDFCQ AOR_1_1934174 MEDASGFNSSQNFSQVTKPDDGPADGIDVPDMNFLTWPLEENAA FDWDALNAELLSASSDPNHEQWSQWIVSDPSEQAHMSSGFPINGDSTVPPPETQLNKT GAPAFIDQPTELYNPVPMRRGLTDVTQFLDGAYCPPHPCSYCRKHRLQCLIIRTTPAN PNPITSCSSCVALFRECSLAIGEKRQPSGFETLAPAIGHLHGLRELSEDQENELDASM LDHARGRTEPKDSKQFVRKGARVLRAWFLQNQECPYPSEEQKSQLARQTGFSMKRIST WFANARRRQRQKMEFSGRPQINRAGSPMPTSQWTAMTPLERWQASPPEDDPVPESAIR DAIASSTAESSWSGLPSETNHLPTGSPSLNFDSASSSLFDSSVSGFGSRQSEASSDSI SIAWSHQSPNDGALPFPIKPPRRHKRRSSHATEEYRYQCTFCPQCFKKKNDWTRHETS VHLSLESWICTPNLAELELTELNPLACKFCPFSWPSQAHWEEHDFRVCAEKSMEQRSF TRKDHLWQHLRKFHSCTKTPIPDLDAWRLTRGDIRSRCGFCGLELGNWALRTNHLAGH FKEGVRMEQWEGDWGLDAEISSVLRNAVLPGKRGQGI AOR_1_1932174 MPALLRKLCIVAAVDGLILQVPGNGLRHNGNNESSSIRIDYKTG RVSFNPTYPADQDERDEGMEAFGLVGLLTVASYSFLISVTQRQQVAQIQGKPVYSITN VAIIPTSSQEDASRAIFQAKEQILQGEGGQDETASEESISDVETDSEDLDVSTMPSSP VRETSHIRGHSVSSIAEDVIGKRARFGRFAANWLSRKTLGLPGFGTVGQEPTDTSKGV GTDVNKESAEATASEVDVSLRQSAGDPTVELLPKLLRYTKLLFTSNNFFFAYDYDLTR HIGGQSLALNNSHLPLHKVVDELYFWNRHLMEPFITIDAHSFVLPLMQGFVGQREFTV ATTEGHRPTRLDNPQESSEGRIVDETHETQAPGLDKRKFLLTLISRRSVKRPGLRYLR RGVDDEGNTANSVETEQILSDPDWDPVRNVYSHLQVRGSIPLYFSQSPYTFKPVPVLH HSPETNQLAFDRHFRNLSRKYGKIQAVSLIDKHAGEKKLGEQYEKYAQAMNQSGGIDG TQLGLEWFDFHNECRGMRFENVSRLVNSLESTLNEYSDTVVHNNTVIQGQTGIVRTNC MDCLDRTGVAQCAFGQWALERELKQEGINIDLGGDSSTQWFNTLWADNGDAISKQYSS TAALKGDYTRTRKRDYRGALNDLGLTLTRYFNNIVNDYFSQACIDYMLGNVSTQVFKE FATEMQTADPGISVQKLRQNAIDTSCRIVISEQSEEFLGGWTMLTPRQPNTLRTLPFE EAVLLLTDAAIYSCRFDWSIDKVLSFERIDLRSVTRINYGTYITSILTDTQTDQRGNV GLVIEYREGDANALRVNTRSLQSNVDTKTLDSNAPSSNEWDVYSWFKGTPHSTTRFIA FKALPLSNSVTQTRKSSITVSETDWVRSVCEEIERAMKTGEAQRPESNDQKEGAPSII EASDIISLDDAKKRTGIFEHLVYDIKKLVWA AOR_1_1936174 MLSDKYIGLILAILSTMAIGTSFVITKKGLTHASEQHGFEGEGF SYLKSPIWWGGVITLAIGEVANFAAYAFAPAILVTPLGALSVLIGAVLGSYFLNEKLG TLGKMGCALCLLGSVVIVLHAPPDKPVETIEEILHYALQPGFLLYCLAVAIFSTVMIY RVAPVYGKKNPLIFISICSTVGSVSVMSVKAFGIALKLTLGGNNQFTHASTYVFMIVT AFCILTQMNYFNKALNQFSTSIVNPLYYVTFTTATLCASFILFKGFNTTDAVNTISLL CGFLIIFSGVYLLNLSRHDPDGRQMLNSKLDDEGVPTDGIASFQTRRSMQSRRSNEPH RRSSSSLAFLNGNGDREGLIHAYDVENQAYGLSELTEESDGEPGPTYKNKRSDDLERT SHQPNKHDER AOR_1_1938174 MATTSSLAFSAMETPVVDDTMEMASPYQGHADDFDIDLDDMDDQ ASNTDKDMMGADEYEEALHGTEYEQDGPNDADMIDEVAEPAMLDVDDQYAETSYSVEM QYGTEKIYEAEMLEDDYDEDIDAPFPEAPVPESQEAPASLEPADNQVTSEHILTEKND GEEATSENYAAVARPGDNTESAHLENDPLEEVPPEHHHQDENNIIQEAEVDQPESADV DNNVVDTNQPEQADIHEVPSDHEDHTAGAESPEVHEDDAGENRKTADENKELESEGKN TTEHDTERDEPHGQETELAEDDEQEQGTTKDSSLYPVRVYYQDNEISLFPPKEGDSSE TFFLEDENLAYAPLGELFQSCRQVLQDNVGDNEVLIMDVDALNIQLTEDSLHISKVTL YQIVDLYLQLCHNDGIDEPEPLYLTLNTKLTISAEVSDLLLAASEGKGLSEIHSWDGY QEVEAASAEIFEGDDREASPGEEQQDISGQQKRHPSETQEVHGNESHVHEQQVAKSQP EEQYPESDAAGADLSGGITEETRDDGETKAPTQPELGNPESHDRQSLNEESYDSEEEQ HTESTATINNLSATDLTEEQPNPDGSTDVPHDDHQAHDIHEEQSAPDNANDESYPEEG EITINSNHNDGTEEFEGDASDYGHDDHHTTESITEELRGESLKENDEDSQSEVEGAAS QFQDDETEETLQGDKSDVLPKLDNTGSDLSGNNVQPSPDLVDDSLGIAGDILDSSIRG SAIADNDIEGTDLPEESDDVTEHIASNHTNQEAEELPFEDDEDYLDLGIAEDLGVAEA LGTQSPGPASTKRHREPEDEFELAESPTPDAKRSRSS AOR_1_1940174 MLTKEKEGLDQHVVLAASICTRGGKAVLSRQFREISRSRIEALL ASFPKLADSGTQHTTVEQDNVRFVYQPLDELYIVLITNRQSNILQDIDSLHLFAQVTT SICKSLDEREILRNAFELLSAYDELVTLGYRENLSLSQIKTFLEMESHEERIQEIIER NKELEASEERKRKAKQLEMQRKEAARTGRAMAPRTPSYPVYTPPSRPAVPDAYDSYEA EKKKSFAKPLPTRGKGMQLGKKSKTTDIYEKVRGDLGPEVEESSPLVTPQASTPVVGE TSSARASLSADRDPIHVTIAETISANLTREGALKSFEVKGDLQLRISDPSFTKVRLDL ATNPTHGAQFRTHPNVDKAAFTDSSAIQLKDSTKRFPVNNSIGVLRWRVASSDNADML PITFTVWVNKGSDSTTVTVEYELTGSDALRDVVVTIPYGEIEPSVSSFDAVYEVTGDS IDWNIGTVDDSNASGSFEFESTGDSDENGFFPMNVRFSKTNPFVEVDVTAVSLLEMDG EETGFSKEVKSIADGYLIK AOR_1_1942174 MINLQFLSWKEQPTMFNASSSDAKSYNHGEAGIICCYTDGKREK SKRQMASLEGKIQAYEDVLRKLSIRFGISDEQLMSFALAQESAAESATQPDAYAATPE DRKFFWVLGSEPYLSTTSLVSHIADHTEEDFNRDDFTRATGFIGKSSEISWLQMLSKY VNSGCEVCHPTSDIPSPALTSSCDGPIASSNYHLDDFDMPTVERLDTSELPSKDTATK LFNAYLSSVHPSFPIIGISTFASQFQAFFHNPSLKPGNKWLAILNLIFAIGARYAHLT NAEWRRDRDDHYSYFIRARNMSLEGQLLHHSDLQQLQVEGLASFYMLSSGHINRSWKL CGSAVRSALSLGLHLRNIGTCTSDTSKEIRYRVWWALYTLEHRLSVMTGRPSCIIDVA CTTPLPVPFDESDFRKESVMRLINRSTPEDLGQPGPASNSSPNSNLRKTGKGMESADA NAAAPCKSLYFLQLIKLTSIAKRMTSKLYNPDGVEKAWSSMEFAIRGLMLDLDSWLIN LPAAYDFTSTHTSQGSATHRMSLALTFYSTKIGITKPCLRRIESSALGDKSEEFCRKA AVECVESACHMLRLFPEPLEASLLHKLSPWWCILHFLMQATAVLLIELSFHVQHVPEK AAMVSKAAKKSYDWLAVMSETSMASEKARRMCDEFLRRLGFNGGAEIHSFPCIAGLAS RNSPEDEPHDPHPRLTRIATPDGLRGGIGSIPLSPVDHSTAAFARPLSVGLEAPEAHE FDQDEKALTVHNLYDGYLPYDPNTGQITGSFFPPEDDIDIGLGPYYWDEAMGDHYYQQ PASASPVIPNDPR AOR_1_1944174 MDPSHSSLPDGVGASLQSPGLRDAQDDPHCSHLTGEASAVINNH KGGDTPLTGAQPAVKVLLSTGDVIIEYVPPDSSPVSPGNASHRWQVLSDDLMRNSPYF RALLDPNKFSEGRDFIQQKAMWSQGTTTEGGESLSDDTSIQYTLPTVRLPVDHLPQKL GVDAIELFLQVLSFNSFEEEEKAKFGGELRIQLTSLVARLIELADSFNSPYVIREALK RSGYAFGKGRIPLSRFDSSALRLSEDRIRQTIFIARFLNEYTIFQIWTHALILTGSKF WVNGVEPPTSPTVRWRYLSDGLEEELYYRRQCVLNTITDLQAYFLRAFGALEEPEGPN STTTSVAVTAVQSRQYQCRCGFGNSSACDAFHLGQMTRFFTLRTKTIFLGSTLIDSDF GLDSEDEDTAERTQPAGLPSDITAIISSLKQCPDYQIDTNHNGCGVRRRFLPPLDCIE RFVGDARGLLGIELQDWDNKNWPSSSGSWANRSLRRARVIDIHLSRISSVPAMSSGLS RSSSQEENARLLFTAKKRNWEA AOR_1_1946174 MEGSTFESPSFLGNRLISYLQTLATAKKGLPFGLPVCVAPSHTI TTTDALLQLASSVGPHIAILQIHADIIDDWSDETARQLTSLAKKHGFLLWESGRILNA TVDIVGRQKTESREMKNQLVDLIRKKYTKGVIKEASWAVLGTAWASGVAVGNQEADIL IPTLKAAAREAVADAVQTIKTEITANNPSERPSTGHESITFGDNDATSHLSEYAVGDT SGLGLPPRKASTISLTQTITQHTEDVIESPTDSGLYERKESFQSATSSLFVINEDIPP PPLLARGLVLCLPSNTDSSFKSDYRKSCLAAARANQDFVIGFICGELWHLVSQRNDIF DTESLAHEEDQQQPSPYASDEEEPQPCLALFSHVFPRLNLIGNTDLDDHDDENGVDEM SSSAMEASQADIANLPSMKLFYSMAHALKLREASIKGKQNGHTSTSIRNEYDILHIPV VSLP AOR_1_1948174 MPGRTLPTFTSAEVESHNNAKSCYVTLGSKVYDITSFVDDHPGG GDLILEYAGRDVEEILRDTVSHEHSEAAYDILEDSLIGFIASESTGKGASIDAAKANG VGGTSGPVYAATGMSREEDLSVETDYSKDYQTHKFLDLNKPLLMQLWNGGFSKEFYLE QIHRPRHYKGGESAPLFGNFLEPLSKTAWYMVPIIWLPPVTYGTVLGFAGLGNVYAAA AYWIGGLALWTLIEYLMHRFLFHLDKYLPDNRVGLTLHFLLHGIHHYLPMDKYRLVMP PTLFVVLATPFWKLAQSVFFYNWYAALTVYCGGIFGYICYDTTHYWLHHRNLPPYYKG LKKYHLQHHFADFDNGFGVTSRFWDRVFGTELQTPAPKDVKTQ AOR_1_1950174 MSGLDVEALLESTAAAAPPQDARQSQERDDRSKADSSDRRDRDR SRDKDRRRRDRSRDRRRDADGDEDMKSPRSEHGSANGSHRSRKRSRSRESDRRRSRRE RYGDDYRSGGDYYRGGGRARTRSRSPNDDRYYRPSGRARREERDDDRRSRRERETRRR SPSRERTPELNEDERDRRTIFVQQLAARLRTKELIAFFEKVGPVKEAQIVKDRVSGRS KGVGYVEFKNEDSVAPAIQLTGQKLLGIPIIAQLTEAEKNRQARNPDASSGNNHAAPF HRLYVGNIHFSITENDLQNVFEPFGELEFVQLQKDETGRSRGYGFVQFRDPNQAREAL EKMNGFDLAGRAIRVGLGNDKFTPDSSAQRLQSQGANQQNFQGSSFSGHGGRGIQAGG TSNFDRAGGRDSEKGAGASALDDTDVAGVNFNNYSRDALMRKLARTDEPSESSADDKQ KVLRPKTETKPLPVNVNMASRCVMLRNMFDPGEEEGESWIKELEDDVRAECEEKYGHV VHIALDPNSQGDIYLKFDRVQGGENAIKGLNGRFFGGKQITAQPVVDAVYSSLFSRTK AI AOR_1_1952174 MTSDTAPTPLTLYRGLPGTGIYSWSPFVIKLEARLRFAGIPYRV EAGSMRNAPRGKVPYISIPAEILYEDGSHPAPPVVMGDSTMITKTFIEKGLTNDFNAK LSGSQKLQDMGLIALLEDKLYWYNAYEKWVLNYYTMRDVILAALPWPVRVVVGLMVYN KVTRTLTGQGTMLFSTEEIGSLGAEIWERINGALVEARSRHGGQGPFWVLGGEEPTEA DAALFGFIVSGLVCYAAPNTQKLIREYPVVVDYARQIHDKYFPDYALWA AOR_1_1954174 MAIEKLYYAADPGSLQELTRADVVKQLQIRVKATQERLEEVEAA LNRCREYSAQDIETISRQRVRILDLEDLVRKQKTTISNQSKAIADPKRVRMLQSTIPM PIFPLTPTQQRPIVRSPSSSTPSSASSYSPSVHTLPPPAFDLPASTPQGKLLSLGENS KTSLSCKRGSLPMSICSLGSSFDTETVIRLISTRLQSLFSRTQKFGHMYTNFPSIQFD SQLNPRVKEYVMSISNKIHASTLLGNPCTRPCVVAKAINFYLVHEILQPTVVKGFDAQ VDVEINQIQEHLTIVSLILPRRCYTSNPANAVANDNRATKRPEFHDYFVTHLPSSSLH PDPRAPVNSFYKLPRSASVPHTGESSHPPASFQPLVDRETTVVRIPLRSAKHHFGAST SRGTRPENEDTYQAGVIDIPAFAKRPPASLTIKRSGANGIPATRESQGAETASGDPQV FYFAVFDGHGGNECSTFLQHTLHEYIQDSAATFELQSSLKKNRDGHYSKDTEPSTGDL PILQDANSQRIGELEKHLVRDWRTLVGGYFKRFVPPHFSHIGKGARGEPKALSDLPEG VTIEEVLEYAFLRTDLEFVSAQAAKQGDELENVGHPLYQDNILYGRDRTPSLHIGGAK RFKGGSTASVALISTPTPTPFWHPSSPSSLLVSHVGDTRILLCSTETGDAIPLTSNHH PSSPIEASRLRRYATTFVTDSFGEERMSGLANTRAFGDVQSKRIGVSAEPELRRIEMA PAEYSFLVLMSDGISGTLSDQEVVDIVKEARTPEQGSRDVVNFANEVTKEGDNATCLV VRLGGWERRLEGGLGSLGTKESREWRRQEATDPRRSRR AOR_1_1956174 MGARTRRQQAALAAQSDSNESPAGNGTVEKSPRKTRTPSKKEVK ENVYLFAPNLIGYLRVVLTMASLYYMPLHPRTCSLLYSVSCLLDALDGYAARYFNQST TFGAVLDMVTDRCTTACLLVFLSSAWPRWSIVFQSLIALDMTSHYMHMYATLSMGGSG QSHKKIDSSRSWILYQYYNSKTVLFICCALNELFFIGLYLLSFSSPILSPSLLQPVPG SGADAAQPVSTDSFASPWSAGALEMARANKIDSTWPWIITGISAPVMALKQIINVVQL VKASNWLVEGDMANRRALRGKKN AOR_1_1958174 MSSYNIVVFGGDHCGPEVTAEAIKVLRAVEKNCDVTFNLQDHLL GGASIDATGSPLTDEALNAAKNADAVLLGAIGGPKWGTGAVRPEQGILKLRKEMGTFG NLRPCNFAAPSLVESSPLRADVCRGVNFNIIRELTGGIYFGERKEDDGSGYAMDTEPY SRAEIERIIRLAAHLALQHDPPLPVWSLDKANVLATSRLWRKVVTEVMAKEFPQLKIE HQLIDSAAMIMVKNPRQLNGIVVTSNLFGDIISDEASVIPGSLGLLPSASLSGIPDGN SKVNGIYEPIHGSAPDIAGKGIVNPVAAILSVAMMMQYSFGLFAEARAIEQAVSNVIE SGVRTGDIGGKATTAEVGDAVAAELEKLLK AOR_1_1960174 MPRHHLLRRHPHPTAPEESPSESTQPRPSRSRLGQHAAGQSQFQ TPPSQGIPQLHPSSGPIEPVESAHPAAAAQAALFSLFGRGVAGRPRTQLMEDDEEEDD SQFEDEDEHDEYNSQENADHEAQLSEEDMEGIVEDDLEVIDEDEDEIMHDRARSPSPL PSNLREISSLASWTVSTHKPGCGVSALRHPSPTQYWQSDGPQPHTLTLHFFKLVAVVK IRVYLDFDLDESYTPTKMTFLAGMGGNDLVEFATWEDETPCGWVDVPLEGVGGRDGGW VRKKRRNRARKGGKGKSSAWPDYMFSDTENPAEFNLAAYEDDDEETAIEDDEDDPYAG SVLKAMVIQMRVMENHQNGKDTHVRGFQVFARDDERRRIGNAPSASADGRIRRHSMRK SLRGAADDGTDGRGVHGDTDPDKVAALEEPDWMGEPVIR AOR_1_1962174 MTLYLTSRSVLRRNLGDSRLGENTGLMKMVALRTSAGRKASARQ FSKSWKQYQNQNNQENPAPKGFGSRLRFALRNTKVEWYPIPIGLGIGLLGILHFYKSQ RAERERREREAEDEIINPPPRHRIRPSGPWQVQIMSTLPLKAISRLWGRFNELELPVF LRAPGFKLYSWVFGVNLDEVEQPDLRTYPNLAAFFYRRLKPGARPLDPDTRAIISPSD GRILQFGLIERGEVEQVKGVTYSLDALLGAATPSHADHSKKFIDHSTEPSQKDAADMA ADEEFATMNGIPYTLPTLFAGDQGGARKRSASLDASTGSKAAAEAEVKADLARGDGAP WYAPKPKSNNALYYVVIYLAPGDYHRFHSPVPWVVESRRHFAGELYSVSPYLQRHLPG LFTLNERVVLLGRWRWGFFSYTPVGATNVGSIKVNFDSELRTNSLTTDTAADMAAALA AKRGEQYPGFVEATYLHASRTLGGHPLQRGEEMGGFQLGSTIVLVFEAPMGTRKSFDA GYQEGKREGGWNWTIEMGQRIKVGEKLGYVDTEQ AOR_1_1964174 MTSSSAPSDNSLSNSNATSGTQAFILHVLCPSLPPPNRFTFNDL VPSITIAGLKARISQSIPNRPPPETQRLIYRGKPISDDDWTLQKVLEPTNGAEFSMHL VLPPAPLPSHVATSPRPSPSPQYQAPAGMPSPDHLFAPSRSAPPYPMQHGQEVRYRGP MGPSEADIGLALRRNIETIRRQIELRERGGSPLSDQQGAEHTQQFPWQRMTPFQSSTT TTTTTSTSTSTMSSQPSGLSASLAQDTRLRLHILRPQIALCEDQLNRGIAPPMDQVIR IRSQLFDILDDQYRNPLSERDGSIEALLTRVFNIYTRADQLRVSQSRATASMQHNMLD SPANDGHGQAPLYLLSSPNGYQALVSSPGAARSIESSLSAIRAAHASQATSHPPQAHP NPNAAVMENAVRQAVLNQRLGNNEPVGFARSIRRIWLFVRLYFFCYMFSEPGTWSRVL LVTLAVIISLLSETSVPRQLYGMIISPLQRHLEGLIHFSADEHVPPRPQGTDAAGSSG YANQPGDNRAAAPTGLRHNLRRVERSLALFVASLVPGVGERHVEVRNAAEAARNAERA GEEEEERRRQEEASNGEGVTEQEQQNQEENPTSRPESSVTNPATEDGAGASIPRGNEH DAR AOR_1_1966174 MPLDTIYLTRHGHRLNWTIDYKTGEYKSQFPTPTGNPADPTLTS HGVRQSLELAEHLISPEFYPKPFRIYSSPFYRCLQTIQPSVEALKERHNSTPSSETGI DRNADLDVRIENGVGEWFGPTSFFDHPSPASPGTLKTHFPTLLASDPEANYNPHLLPS TRGETIAQLHDRVATALAGIIADVDAEINALEASQPPSERTSKAILICAHAAPLIAMG RVLTGNMPDDADEEDFKVFTAGLSTFVRRKTTPAGDGSAERRELAPGTRVIRSGTVVP GWQDGKGVSGGWDCVVNGDCSFLSGGAERGWHFNGEESFDTGPMAPSSALPTSSQDTS TEMPSTKL AOR_1_3182174 MPWPTAATEDSSLWKDLGLGSSCGVELRLPLSLSSHEVLIQDKI IQDAQDTSHLVTYLATFLLPRPGDNGHRRVLVLPLMGPCLSWQVLEKTSMATRMFAAR QLLEALENLHNAGIVHRDLNEKNCMWGITPLHDLSRSAKYEVHGRPLKEVIPVVDLWK QGELVGPLKIPENLRTEKSYLGDFGLAVKVNTPVTHKGYPPMKFCSPDRLHGKHPSFA CDMWSYMVIFGVLYLNGYSPFSTVAHGGVISDIVRSLGPLPEQWKGLYVYPGGGLDSW YDQSKTPEPNRNLASTIAYFRADADPVERELVHSIMLKIFTYCPEKRLTATQLLQDPS LELSWKNMAVDLHIPYSF AOR_1_1968174 MTKVLSMYNEETYPESLQLAVQFVDSHFSTTTSSDSLCTATAEA LRDDDQQGFHLVQARLLFAIALHSRNEIRESVSVLADAVSLTINLGMNRKDFSQRHGN TEIEAESIRRTWWELYVIDGIMAALQRNPTFRCHTIKTEVLLPCDENLCHNNTIPEPF SMAQFDARVYGDEDRPFSSFTYRIDAIDMLDLNDSDDEKLFQAHMLINYATMYLHFPR SDLAPALPAARDVIRERFLLPTSSQHMHALKTISASKCLGSLASCPSTVRKHSPFFIC CVVFIIIVQLSACAANRPGSYDKHWDQIKLIMGVLKTLGRM AOR_1_1970174 MYLSPLKALVCLASFAVVSASPSKSIEQSCHNVASDGWSNLPLK ASIPVQRVQLKFPQHAVYQMHENVKHARTSNPDGYDNEQQHWIALASEGWSEISGPFL EDEVNRHPHFNASVTVDGHNSNVHFMALFSQQADAIPIVFLHGWPGSFLDFTGLLDIV RQNYSSEDCPFHIIVPSLPGYAYSAGSPVSRYADMFAVARTVDALLTGIGLGNRYIAQ GGGMGASVARLLGSYSPSCEAVHVNSLPLISGLPDPSTDTDLSDQDLEILNRTSYFKS LQKADITDHGRQVTLGVVPEKDPLSLLAWLSDKFNSWVDPQHPVPMQTTLIHASVYYL TGMTTSDYYKLSGLDAPEDMIPFVPKPFGYSRFPYDIEGLPRKWAATLGDLVGYNAHV QGGHFAALEQAEDLWNDVEHFVRTVFPDDLL AOR_1_1972174 MVKTSVLNDTLNAINNAEKAGKRQVLVRPSSKVIVKFLSVMQKH GYIGEFEEVDDHRSGKIVIQLNGRLNKCGVINPRYPVQLRDLEKWTTQLLPSRQFGFV VLTTSAGIMDHEEARRKHVAGKLLGFFY AOR_1_1972174 MVKTSVLNDTLNAINNAEKAGKRQVLVRPSSKVIVKFLSVMQKH GYIGEFEEVDDHRSGKIVIQLNGRLNKCGVINPRYPVQLRDLEKWTTQLLPSRQFGFV VLTTSAGIMDHEEARRKHVAGKLLGFFY AOR_1_1974174 MNVTQVLEGTLSPDATTRTNAEQQLVHAAEVDFAGYLVTLGQEL ANENTPSHIRTAAGLALKNAFTFRDHAKLREVQGKWQQQISPEIKTQVKELALKTLDS KDSRAGQSAAQFIVSIAAIELPRNEWPDLMNVLVQNVATGSNQLKQASLITIGFICES QDADLRESLTAHSNAILTAVVQGARREETNMDIRYAAIKALSDSVDFVRSNMDNEGER NYIMQVVCEATQADDLRVQAGAFGCLNRIMAAYYEKMRFYMEKALFGLSIMGMKSEEE DVAKLAIEFWCSVCEEEIAIEDDNAAAQAEGSPEVRPFFGFARVACREVVPVLLQAMC RQDEDATDDEYNVSRAAYQALQLYASCVQGEVIQPVLSFVEENIRNEDWRRRDAAVAA FGAIMDGPDPKVLEPLVKQALGVLVGMMEDSSIQVRDSAAYALGRVCDFCSETLDPDV HLQPLISCLFNGLASTPKIASSCCWALMNVADRFAGDVGAQTNPLSKHFEESVKSLLT LTERQDADNQLRTAGYEVLNSFVTNAANDSLPMVASLSDVVIQRLEHTIPMQQQVVSV EDRITLEEVQTSLISVILAIVQRLETEIKPQADRIMHAMIQVLTTVPPKSSVPDVVFA TVGAIASALEEDFVKYMESFSPFLYNALGNQEEPALCAMAIGLVSDISRALNEKVQPY CDSFMNYLLNNLRSSTNQLKPAILETFGDIAQAIGTHFDTYLSVVAQVLQQASIVTAS SDVNIEMLDYIVSLREGIMDAWGGIVLSYKGKPQVTSLQPYVESIFQLLHLISQDLNR SEGLMRASMGVLGDIAEAFPNGEFAAFFRNTWVTDLVRDTRNNRDFGATTVETARWAR EQVKRQVTLSTAAAMA AOR_1_1976174 MAEDNSHPPSLRHEPQLTPVAEKSLPAKCKTTTLKYCPTIDLIS LVTEDDELRVFRLNGQKVFGGSFKGDPYLDEDDGGGEIRKLMWKNNGHLLAVACADNT IRIISAYSGKIVHHYPVYEEQSDADRSVKVTCLGWGVNFTDSQVAQQQLKEAAGQISV EDLLSSDVHPSKAAALLKADLPRELALLDIESSLPKLSTLPATGSDDDVFSSRASIDA IFHSAGRNTNDAVDVLLVGFDDGTVHLRIFDCFEIGSFQVGSSVGPSSSCRILQHASH PLSSTHALLASSHNDDSPDSLHLLTLDLRFITRSGRYLSLLAHKTTQLQNLLRYINQV QRQVELEWKNAQELPASYMRSINEDLQEKCHCDFVTAAYHLVVTGDCFEPMKEFLVDI VGERGHKRWEKAVSSGYENVRRLTHECLLPALERCEVLLSRLIGLSKFHKICGVLGLE TADLNGIVETLDCLHLLSHHILINANEELSQFNSFSRWLRHEIEMQSAEPMSQTLEEL QEKTDMVEYPQTLKYIRGALTKSKLRNFIQQLPLIGFARPAPSTSDKWAPTEHDGSFY DTFKKLLEQSNQASDADAPAELPKMNDLTKRLGLQFEKVFGQIALTQRRGILHRSPLA LHPDCDKDIVDLVMRYEDVGEQRLCVIYAATRSIRSKHILYIYRTVLDCENGVSSTRT TGIGAIDLQEGEIRQIQFVEDDTIMILWSNNEGASYLLNFPFQPAPTSESPPFVSFED YDSYITSSTPAAPTVTLDVLAQESESTQWIKHAFTIPGPKLKPTRIYVNGRHDRRAIC VLYGDGLRYEVLDLDAEMEDEEEEDEEPEEDSE AOR_1_1978174 MASTTLMTFLLYTNPQVKSVKLIGSWDNFTKSYPMEHDRRVGPG HWRGCHSFTSIICDGTPKNMGSSRSGGLKMGGTYWYYYLLDDDMEYYNHAEPVTSHCP LLPGQPVNVLHVPVILPDTHPIHSCDRSPSPQQTEERTMDPNDKYMNPRQAPKPALPR LRTSPPLLQQPTPAWSFNTSPLSLLTNRGASQPNSATPKATIHNGQRPSGSKAARSVS PPRSRGLRAAFRQWNASSPDLNVADNQNSDSTKLRLAFKPAMGRTESLETPQNTSKDH HADQAGHTSKGNLLPVRPASSVHPETTILSIQDRRALNPKTTERATPRTPLTLQTSHE TITTRNYDNKKARPHAPQDSVASVDSVSLLATALPVAVEDAVTPTPFTFAEKRLPTLP NTPSSVMDEALRDLEAREKELDAENLRSHFSDFSTTEESTSSSPCERSHFSEWSTDTE VVSPESMTPSLTFNDGNQTCSALEGMNFAEVWKNSAPAETSDPNTPQLTVNSTSSPAT LGGDSPLLDLPPPRLTVSLSPSDMDFSSLCISDADEVERDPKRHAAFFGRVEGLGLLR SPGPSTVQFAGNVHSDAEATHNGKNAAPGYDRFSISSLPGQSAAMQEMMDELSYLKSI IQS AOR_1_1980174 MGNHHSRVRSYSGSRNRSSTASMYDRHHDRRRQVTTNMHAKSAP VQAGNPDLWSPPPYTPQQPSNASAPRASSSGDSPYSFLREFDTVFLVDDSGSMRGSRW NEAADAIAAIAPVCTAHDQDGIDIYFLNYQHGGTDPKTGAYTNIKTADDVREIFYGVE PQGATPAGRRLRQILDPYLRRVEAIAAKGKDPSETVKPLNVITITDGVFTDDAESVII NAAKRLDKCNATPWQVGLQFFQIGDDKHAQEYLQMLDDDLGHKSKNEGMRDIVDTVPW RGDKGQTLSADGILKCVLGAVNRKYDKCNAFR AOR_1_1982174 MNFYARARASLLSWTHETPLPTADSKWYPKFQSWHHFHLSLQQW VAITDNDTRDHTEPPRDDDEPSDLVLLTWNIDATSARTEDRVTDIITFVTQLDPGVRI VFLQEVSKAALQQILKDERIRRSWISSEQDDTAWGKQSFATITLLSKARFASTALGPV WRVAYPSHFDRDALCCDIFVSFGRERSPTRVRLVNVHLDSLPIKPSHRPRQISIISSF LRSAGCGLVAGDFNPVLEEDATLLESNGLTDVWTVLHPEAPGYTWGTDGEQPFPPNRM DKILIVGLKPPDIKTLEPQRLSILEGAQNPPMDHRKSPISPMEDTPPWSDHAALLCLF GLVGEYITLLC AOR_1_1984174 MIDTQKLHKWYNGLYSRTLDLVGDYAGDELFILEGDSLLLHCFS DDQIDFTNGFQLLHATYLVEKFLAQLHQRKCNFHIAFFKKHAYGCIPPDVSRKLWPKY RLAREAILHHLLQNLPITLSSMRIGYFETYECEEFERYLVSVDPYFLMCHDGASSGAH AGRRPGLTLENLELSSSDDDSNPSDVEEPGSEIATRETGVMFRSMIHHFICRGYNISL INSLECRDTKVMAMIVEDSADRARQLYKPEAATTEDSSSSSKSALEVEMIPETAVLHE NAGAQSVMQPIVTVGMVPTLTDQAVSEGLSEKLLRLISSRSNLYVTQRDIISILAVSV MFKLRYICSGNSVLAARSLLLHAIILRECKLDERTNSPPRIATGGTFMECYSNVVLGM LTSTWWQGFAANIHCDIGDMIDGRLFQQTLRALLQPSYRHSFSPTVLSQLEVFESLVK DLCEVDLGFEQRLGFNITTRASKCCTGSERPAGKTARLKDCKSNEQSNIPIAVLPFSN PVLDPHLSPVSLAIDHSAGNLVDVAISRISEELSHWHNHRKTLDTKTIHKLTGWQLRR NQFFMAEMRQYAASLTNSTGGILKPETVFVQSENNNQHRQIQTSRSGMNDGLEGLQLT KNRSTQKSSRSKIRPSSVRDQAAAQQLIKRDEAISRHWKSWRVKILELDQEHNYAARY VKLKQYLQALSNDKRSAIESEVLTYGLSTLVVLWIDKCSSNKRDRSMSVAALIWATTC EIARKKHDVTEDIAKCLQGTISKLGLPAVEISARSKRPLSFQFAAITSTKVDVNIGLS TLEFQLTQAGPYLDRSMGSAPDPRVYDFEPDKWQREILDQIDARKSLFVVAPTSAGKT FISFYAIKQILEDDNEGVIVYVAPTKALVNQIAAEVQARFSKNFKETGKSVLAIHTRD YRINNPTGCQVLITVPHILQIMLLAPANADPWSSRVKRIIFDEIHCIGQADDGVIWEQ LLLLAPCPIIALSATVGNPQEFNKWLKLTQRANGNDLKMIEHSTRYSDLRKYTYHPPA SFVFNGFSTSSLLAPLGLDNSPNMSFMHPVASLIDRSRGIPNDLTLEPRDCWTLWNAM EKYKTAQFPVDESLNPSLALPAFICKANIIQWEAKLKALLKCWMNDDKSPFDAVLNEL SYELQIKGQESFQVSSVLPPMPKKAAQILHSHNEKTLNIYSAYVTTYINQHIKDADCF LPLTNCKCGGDTSAKDINPSMPFLPPTQVTSAFVALSGHRDRWNSIPELCKNVRSGVW LEQAVVPYVGLYPKEGKLPLNAYLLDFFKHGNVHALEKDNRVRKGDIWFVLNDFSLVL ATIVTSLENFLKLSPNTDPDLLDIMGSGDAHEEELDISFAKEKVSEPENKPLKSSKNK TKGLPKQPSPSMPVKVKKPKVAESWEDEVSDDEPNPEEKEETTTDLAQETPGNLKRKT RKKNTSIHAQSTNVIDHDSFGSIVLDGQGVSLVLEAFRMLQAEFNDKFKAMWA AOR_1_1986174 MVFCGKPSKGCGECRSRKIRCDQARPTCSQCIKGNRVCPGYRDE LSLMFRDESQQVVRKAKAGNAGRRARKAPKSTRMLSPSGSSPQGTTTSSSLASDISDF NDLSDLYPSPPAAQQVTGPISPKIAPPPSYQFTEDEAVCFFLRFNAWPGACWIMEFSP DFFVIPEVTLSQQAMKASLVAVGTAMLSRIRQDGPLKIAAEKEYGNALNLMYTAVMDE EEAKSNPTLGAVLLLAIFEVVTSRAPGNIEKWTNHIYGAAALLEVRGPEQLQDEDGLK LFVQLRFQIIICCLQRAMRVPDSLIDCSKVAMFLRTRADVYGDRLIGIAGRLSNLRAD INMGLLVDPHEILSAAYGIEAELMGWIAALHPEFLYTNVEGPTLSDISSNMWGQGPHP YNNKYHIYNDLWTCHTFNQYRCARIIVSEIILTCLRQLSLNVPAAAISNDLLEHCTRL RNTTRQLANDICASVPYHFSVGNIPTGPFDSLPVNQSYVAGLLLLWPLVLAGATESLN HPLRKWVISCFRLIGHSMGVDQALALVEVLECESGIFDGVGDGDDGIYFRETVSLATN RVLVGTWAS AOR_1_1988174 MSSYTPHLHDPFVNPSITGSEEETPSCEKQAHMTSKEENRKYDT SQEPKNLNSPMTQPPSRIPRGPVFQRQGQESVVTSLKGGRVISEQRSSIPIPIRSPER LNSRSKTPGEGFSGGIRVIEKPRGPRPHILAEQKKDSVPSSVEEAPNQPKESITSSSA PFGGWDLGEEMPKRPTNVYTGEYRTRPALRIAPSAEKIIMGSDSPSSMYAVTQRSNPT LVQYPDTPKQSLKKGRNTDDTSGTLGYSKQDSNTSGGNPVTLPSNPPQTSLETTPKRD TSGRQFSIPRKPVNSPSLSSLFTPSPESMQSAPPIPKLPESYKALMKESMASGPVTPK KTASPGAKKFGQSPGESESEMTIKPQNKRTASLQAVSDFPIYDAPRSVQHRTFDDIVS QSPSHNMTPQSARIPDLRSNRMLDGFRNMFKHRSIVDKDEKGPNETSGLAIEDPNSSS AKLITSSECSKLDSGRTGPKASAKHHKLSEGWNKNLRLPIPSADRHKRPTISAPIPIT PENMPSFARSTMAARTRAVTSPRGQSAIAPQGPARRTHTVAATTGSPQRTSRPSKGID AIMSPVPKQTNLPPSAGLTELDTITSQTKAPQIERSASSSLSKTLDEIHSCVEQLCNR ARDESTPSKRERNLRMALSLQQQVSDYNSIDREVTEAEALVSKKRTEKCLAENILFES YAQIRAQMDED AOR_1_1990174 MTSRQPLRGSCHCGRNQYAIQLPEDVSERAEIYFDSSRDSRRIH GTPLTAWLRVPLDWYQSHTESYFDDESRATIRRIFSPAHAPHTQHAFCGFCGTPLSYW TDVPSEEANYMSVTIGSLSGGDQRLLDYLGLLSEDEDGEVEAEGRQGDQSTDLPLRTT QEPLSPSHTIIPSSGGTKGVARTYRQGTVAGIPWFEEMIEGSRLGRMMKSRRGFGVSD DQSTTIQWEVSEWTHDGSEGAQSSSSGKRKRGQQTSVVESGSP AOR_1_1992174 MPSAQPPASLASSSSETSCSPGPGIINTTDDRNDRSSSTPTASS SKHAGSSSAQDKEKPRLTEQEKKNNHIASEQKRRAAIREGFDRLTELVPGLEGQGRSE SIVLRKTVDFIHMQLQERQELIAEIERRGGRIDDTFRS AOR_1_1994174 MSDSKAALLSQSEPIDPPPAYDATDNKPPARTPLPRPPPLSLPV LNELRSKRVILASQSPRRRQIMSHLGLPNLEIIPSNAEEDFPKTMEPFEYVLATATKK AQAVYEQEIMNEEKGEPALILAADTIVVDSATGTILEKPRSEAQHLAMLKSLRDNRDH KVFTAMAAMAPLVSARQPGYALETALEETRVRFDGEVTDELILAYVRTREGADKAGGY GLQGLGSILVESIEGSWDNVVGLPLKSALKLIETVVEKADDDDRLSGGLEEELEEESD AOR_1_1996174 MASIARQSSLLRQSCLSAFRSPFATRNGAGVSQVVAFHASAKKQ ILPPLPQTIQGTMNDPAPIPTPHPSEGSYHWTFERAISAGLVPLTIAPFAAGSLNPVM DAVLCSFIVLHSHIGFQAAIIDYFPTRRVPKTATFCNWLLRAFTLTTAVGLYEFETND VGVTEAFKRVWKA AOR_1_1998174 MSQNKALVFKKIPQGYPVPGQDIVVEPVSVDPNSPAPANGVVLQ SLYTSLDPYMRGRMRPAEVKSYSPAFAMDKPIDSSSIAKVLRSNNSKYKEGDLVIGFV PIQEYIVLDEQSIVRIRPLENPLGIEDIRVFLGALGMPGLTAYSSLYEIGKPKKGETI FVSAASGAVGQLVGQLAKHEGLKVIGSVGSDDKLEYITKELNFDGGFNYKNEKPADAL ARLAPEGIDIYYENVGGEHLEAALDAMNNFGRVVVCGMISQYNSAPYPIKNIQYVLTK RLTMRGFIVGDAGMGDKYTKEHQENVQKWIKDGSFKALTHETVGIDNSADALLGIFHG KNKGKAVLKF AOR_1_2000174 MLGAFRRNGVAHALRASAPRSLSARVTPQQLQWRPPVFSAVSHV PRSLFHASRVSFTAVAEAQAQNAEVDQDGRLTDFKQLADQGLVDPIIIRTINKNMGIK TMTDVQSMTIQETLQGHDVLAQAKTGTGKTLAFLLPVVQNILKDPTVKKRSYRRSGSG ASSADIRAIVISPTRELAEQIATEARKIASGTGIVVQSAVGGTRKTEGLRRIQREGCH LLVGTPGRLKDILSDPESGVEAPKLSSFVLDEADRLLDDGFAPEIFDIQRLLPDPMKV DRQTLMFSATVPKEVLSMVHQTMKPDFRTVQTVKDDEVPTHFAVPQKVVTLDGFQNAL PAILELAKNYQAHMANDRNARPFKAIVYFNSTMQVNLAHQVFKKLLNNPDDRRSGHPL NRMHIMELHSRLSQSRRTLTSDFFRNSRSGILFSSDVTARGLDFPDVTHVIQVGAPRA RDTYIHRIGRTGRANKEGEGWLLLHPGENGWVQKKLGDLPIEKDHSLATAVTNMRQEV GDEVSPSAAESVAQVKAAMEQVPEDIREHAFKSQLGGLLSIFSRPRDMVLAMNELAVH GYYLPGPPAISPGMAQNLGVADVREANISGRRFGSPRSPRGPSRDSSRGASHFRGGRD RGGRDYREGNREERRYDSNRRGSNRWDNRDRY AOR_1_2002174 MTIKVPKPPSSITTHKKKEKNNLILTTQSQIHIKKEKTKKMSKL NHAKIVLRRSSERGYAEHGGWLKTHHTFSFAGYYDHRFNHFGCLRVLNEDRVAPRNGF PTHPHRDAEIFSYILSGELTHRDSMIQKGKEGAQGKEFYRMKRGDVQFTTGGSGIAHS EQNEHPSKPVHFLQIWVLPWKSSLKPQYHTQSFSDDAKRQSFVPIISPLAAGPEATPA QEEAAIPTISGTIPIHADFVMGAGILEPGKTFRWAVGGGESVVQSRRKRNVYVHLPAS RKGGARVRLDGREDKVLEEGDGAFVEGVNVGDVISVESFGEGEAEVVVLDSN AOR_1_2004174 MGVSIVLGSQWGDEGKGKITDMLAQQATLCCRAAGGHNAGHTIV HGNKTYDFHILPSGLISPSCINLIGAGTVVHVPSFFKELASLEEKGLEGASKRIFISD RAHVCLQLHSVVDGLEEAKLGGRKVGTTGKGIGPCYSDKASRRGIRVGEILDEAVFER KLRSLDAGYRARFGDLEYNVEEELAQFKEYRKLLGPYIVDQLAFLQKYKDSPNTLVEG ANALMLDLDHGTYPYVTSSSTGLGGAMQALSLNPTSIKSVIGVVKAYTTRVGSGPFPS EQFNADGDKLQSVGREFGVTTGRRRRCGWLDLVVCRYSQAINHYTALNLTKLDVLDDF DEIKVGVAYILPDGTRTENTIPADAEVLEKVKVEYVTLPGWKSNTMGVKKYEDLPDNA RAYIEYIERELGGVPVKWIGTGPARDDMIARE AOR_1_2006174 MVKADVRRDYYADLGLAPNADAEDIKKQFRKLALKYHPDRNPGK EVEFIAKFQAIQAANEILSDPQQRLRYDTDRLRAGYGKYYGPPKSNSPRKAPTKNYPS SPSAKPQTAKPSFSSRPQSFHSGPSSGAQRYASYARAAPKQPWEKMQDEGQTRADAYR GFQDMKGNHTSGWSQFDPRTGRSGYSGAMPRTNASSAGQSTRPKSAYEYFKTSPKSAG SESTRAHSTKKKQGFAPRSAGGDEPMAPNTSSYSNVPRNERPQMRDFFGPAPSPTARK AAAGFQNREENSSTPEVERTGSKYAGTGGERTFFSSAWLGRSASMRESPGSPKPHART NPPSPTPPESGRHRSASPKFKTDHNRNYSSTSSSDTDEDVDPEHKPKAVPKSRLRAHQ RFANIYTQQKFNSRTGHDSESTSSARNMFGAKTDDPFQQPTAFAEGKFSADDWADKFK DLLWAMPKDGGHQQQQQPGNTQRPRSPRKHTRSATKTRVAPQAASVATEAEEAKATVG GDHDKQDSAGTGEEDGEAMDLDDDSPAKNTTVESDKAAKSKEVPPSKPTPPSSGNGGK GEDTKPTSPHFDLKNLGKTAPFTSTTDGGIEDLQDIHSSLPFESRAKSQRTSVRDIRP RELICPNPPKRPRPPQAVPISAGSQQLGLPRIAWDRYVAEMNTYMREWNNFNRRMLHH FNARQEAIETGMAANWISAVGDSTRLKINGQDEDTDDKGGDGNDSDEEMVPGGKGGYS AYLRGLDEDAKVLKHWEVARELHRECILKLGQTREWILNGGKVI AOR_1_2008174 MCPPATVAACARTAATNGFLDREIPRSYVYFLEARVTYLEKVLM EHQIDYKGAVAFDEEEAVKVETGHDPAQTKMASSDAPAGAEVLASDGSDKLTRMKGEK EGSVSRTDKHNENAEDAENNADPEKEDNWRINNLVSNIGMVSVQGTSDPRYLGSTSGI SFARVVFAAVKSSVPGNASERGPMRPSERLPHSATGTAGSTGRDSFFGLQTRPMMKCA AFPERELAEKLSGLYFEHANPQIPILHRNDFMELLDRTYAVDEKSRSPRSLYMLNIVF AIGAGIIFEDKPGDEKGSGRERSPSTSKRPRLSNHQYQPEEYHASAIIHLESFVGAPS TNDGFGALEELQAVLLLANFALLRPVAPGLWYIVGVAMRLAVDLGLHYEDGTGIDTLA DESLNHPQRRAEDTDKPRIDTRERGRREWVRDLRRRLWWCVYSFDRLVSCCVGRPFGI SDQAISTEFPSLLEDQYITKSGIVVPPEGAPSYKHAAHHYFKLRVLQSEIQDVLQHQQ SRFAKHKVPYAARRFTRSDLISPFLQGFDSFRSWRKDIHRRLIEWQQTAPTKRDTGVQ FSVEFLELNYWQAVIMLYQQSLTVPAELADEVTPAEDVSSPSFSNVDEADDEDDIYYK VAEAGQKVIRIYRQMHRVRLVNYTYLATHHIFMAGISFLYAIWHSPVVRSRLTLDEVD FTVLAATSVLGDLVHKCPPAEACRDAFERMSKATVQMSLSTTGFGSQVDLTRFQANAI ITQNASAISTFASERPNRPQRTPSMEYYGNYESPVSPQTQQQYVYGNSPQHSGSPSSV TANPSHHQFQPTDQEISSTGISLDFLDFDVAGTEGQVPLGSDENPDYDLQAVPSLGHG AGQSVGIDLGFGMAVDFQHDWSENANYDLLEGYFFGGSGAGPSADG AOR_1_2010174 MFKRLSGGLQRQHGGGGGDWSAFPVRQLFVLDNDRKIALYAGMI TSAFTFAEFSTGMFWGRMSDKVGRKPVLIMGLIGTAISMIVFGFAPNLPTAMIARALG GLLNGNIGVLQTTVAEIVTVKEHQPRAYSIMPFVWCLGSIIGPAMGGALAQPCENYPW LFQRGTILESFPFLLPNVVCVIVLLFGIMVGFLFLEETHPEKKHRRDLGLELGNWLIG KCRRSSVQLTEDTDIKVEPQEADYLDYDVPPPEYKSNESSPQLSPMKDLDNLSDDDDI EGQMKNEKCGTPKAFTKQVIFNIIAYGILAYHSVSFDQLMPVFLSTPKSDEDTVLPFK FTGGLGLPTKTIGFMLAVQGVYSMIAQLWLFPFVVRHFGTLRTFRFVLLVWPPLYLLV PYLILLPEALQTTAVYAALICKITFHVIAFPSTAILLANAAPSSKVLGSINGAAASTA SLSRAFGPTITGLLHSKGLESGYSVLAWWACGIVCIIGAIQSFWMEESDSKTDSKQTE QHECNASETNLRGSYTAGKEDATAEEVRRLLSSARTSVDDSEMLSLDLAQTPAKHEHD AOR_1_2012174 MTTQRLYLSRTLPSFLRRPVHIPIRKGSIGLSWKCHRQYSTGAE SVQPAETPTSPDQPSIPSHLNPSPEDYSRFIFQDKCRSVIYAGAGGNGCVSFLREKYV EEGPPNGGDGGSGGSIYIQAIEGITSLHKLARRGVIKAGRGKNGQGKSKGGRRGEDIL LQVPVGTVVREVSRYDPVAEEWALRKRQRAQEKTEEVDELDEIGLPSIRHDRWVLYPG ANPSDFLTTVFPRNPPRRQQIAALEPKAPIHLDLSQHMDKPILLAAGGVGGLGNPHWV SRSINRPKFASRGEGGMRLELEFELKLLADVGLVGKPNAGKSTLLRSLTNSRTRIGNW EFTTLSPNIGTVVIDDFKGRPLVESKGKTPRTNFTIADIPGLIENAHLDRGLGLGFLR HIERAGILAFVVDLSAGDPIQGLKNLWHELGEYERIRDREPVLKDEDGSLSWVPPSHG LPELQSEIPETFSNSELPDLTLPPIHTKPWFVVATKADLPETQEQFKKLRDYLSAVEK AQVEHPGGHPDGWREKIYAVPVSAMRGEGVQSIPKLVMEFLQ AOR_1_2014174 MVHLHQIIPIALSAIADPASDDPILECLNHNLEAHLELVLNNPD KALQLADENLRVFPYKDVQTCWRRLYTDATIVKACLNICHNCEYPREGNSHKDNGTRD VSIYPEKEQEALKVPPNAPWLSPTIHILDKALIMTGAPLRESLIESLLDALQVATMSS SGEGGPNGENPQDIDDTSDRAAKRRKLSSPLFLPDTIPAPELKSPIPRVSAPSFDSIE HHIRHIKTPLVITDAVEHWPAMSSRPWASKDYWFDRTFGGRRLVPVEIGRSYTDEGWG QRIMEFRDFVDRYLWRGQMKSSKHPDSEQYQDGVEDDEGHTGYMAQHDLLAQIPALRK DICIPDYCYIDPPGPEPGTPVYMKKRWEQEAKLKGTGSQPASTGQDSYDDGNDSSASE LGLPAGPIINTWIGPSWTISPLHHDPYHNILVQVVGAKYIRLYSPRTPASQIYPKGME AVQSSENRDDVEGKANEPRLIDMSNTSQVDLAAIELSPAESDQWDAMWPGFMQAEYVE TVLREGECLYIPVGWWHYVRGLKAGISVSFWWE AOR_1_2016174 MESLKTLLVANRGEIAVRILKTAKKLNLRTIALYTEPDAASAHV QLADEAFLLDGPPSKAYIDGDQIIKLAKRNHVDAIIPGYGFLSENADFARAIAKAGMV FAGPSPECIEAFGLKHTARDLATKAGVPIVPGSTGLVTSEEDAVKVAKDLGFPVMLKA TAGGGGMGLLTCSSEDEVRESFATVRSRGEALFKNAGLFIERYYPSSHHIEVQVFGNG DGKAIAIGERECSIQRRHQKIIEECPSPFVTRNPGLRESLGDAAVRLAESIKYGSAGT IEYLVDDETGAFFFLEMNTRLQVEHGITELCYGVDLVELMLKQADAQLSGKKGLEVAF LTGITVDAPSGAAIEARVYAENPTKDFAPCPGTLQSVEWKELPGSRIDTWVYRGIKVS ANYDPLLAKVMLHSPSRTQAIEGMRTILTQSRICGPPTNLEFLAEILTDERFVTGNTL TRFLDDFQWKLSAIDVMSGGAYTLIEDWPGRPTLGKGFCHSGPMDPLAFRIANALVGN PVGLEALEITLSGPELHFLGPALISLCGAPIEASLDNVPVRMWSRIKVEAGQRLKIGK TIGNGCRAYLAVFGGFLNVAEWFGSKSTSPMVGVGGYQGRQLASGDLLSITNDAPDTL GDLSIPEHLIPKYPDHWELLSMPGPYDEGYLTPESIDMLYETEWKISHNAARGGIRLL GPKPKWARSDGGEGGAHPSNLIEYGYAIGSLNWTGDDPVIFPQDAPDFGGFISSHTIV KADLWKLGQVKAGDTLKYRATSLEDALAARNHMERFVREVVKCCYEGGNFGDIAPLKD TLPSALTAEIRGTGVVHQIPEHGTQPLVNYRQAGDDYILIDYGMGSFDLNHRCRVTAL KKVLNEGNGDITFSNKLISMIGCGNSLMLYYDGTKLPQQKLIAYLCDLETKLGDLSEA KMPSRLFKLPLAFESQRQKDAIARYMETQRPYASYLPDNMNFVAKNNAFTKAEVENIY LTARLMVVSVGFFTALPLALPVDPRQRMNCPKMNPSRVYTPAGSVSWGGSCMALYNVD SPGGYQMTGMTIPGVDILGSKKGYTPDRPWLFEEFDQITFYRVSEEEYEKELALFNSG RYEYQWEEVIFDMAEHNKLLHDTKEEVAAIRARQRKAQDEMDKLEAELLEHWAKEKAE KGVPVDAIENLLKDPQILPIEAPLNANIWKVEVKQGDKLDEGQIVVILEAMKLEIAVR TELHAAGATVEKVLVQPGDSIEAGKPLILVRNA AOR_1_2018174 MAPIQQKALINCDMGEAYGNWACGPDLELLPMIDIANVACGFHG GDPLIMMETVRNCKAHNVRIGAHPGLPDLQGFGRREMKLSPEELTAMTIYQVGALQGF LDREGVPLSHVKPHGVLYGMMCRDYEVAKAVMLGIPKGVPVFGLAGTNMEKAANDLGI EFWAELYGDVKYDSRGLLVIDRKKKPWDLGDVERHVRQQVEEQSVTAVDGTVVQLPLK NYPLSICCHSDSPGCVDIIQVTRKVADEFNQKHGK AOR_1_2020174 MEFFDFDGASYGSQSQLRDDDVASDSRDFDENDDAVANYKSLLL DQPLEFPSELPEQDVEPAIKQSPGPAMDNGVYPMGRAKEPCDFCRNMGLDCFVANRGV MQNSGCTCCISLYRECSFTHAKAPGKFLDTLHPINENTYIPTGGLTGKKALRSAYGAT FVGDVDGRGGKGSSRLSREAVRVLKTWLYEHSDHPYPNEQEKDELKQRTGLKRTQICN WLANARRRGKVRPAPRSSSPVPGAMDIPRQQQLDLTLMTPLERWKHSPPENEPAATSD ILRALVNTPLDSARQRPSQSSHGDWGFDPDIENLVENAMPPYLIGQEKFTLDPYKPSR ATGQSPSLTVPGDANCYERLQRELMVFIHNRMAAGVTPTDQMLQDEARRIIYENPDPW NQTCADNPVWLGVLKRVAGLEDVPGSEDVQFANLGMQPPYAAQGGLRRPPVETNSVAR SIFRRHPFSQSHSSSGFQSPAFPSTGRSSAAASVPGSSTGSYAGSFGMAPPVTHSGLS TDWGSSLSAGVSSFSTPMSGSVDPFVQMGFDPQFLQQLNDRYGELDLDDLQGLELGGD SRHEGELLPGRDESKLDTLPDSNVGSAPIPIPSPKQTDILMADAVPYQGPQSPGKGGF AOR_1_2022174 MEKIETMDEQSTLGDTMILSQGLPDFLPAAIRRHIPRLYPSLHR AAQSDSNINTARAGHKPKDHSFSPSLSEPELTLYGQKSGLASGDLQRPATAGSSSNGQ DSCGSSVSGKSSETSYITTFEEKKGVESMGFGMSKYEEESGLRWNHVVPAFQFLQHAG VEAQRRDCDSHLIRSLYMDALCYLLQALPTDLTYEETARIRKSLPGPISNSLAVPSTA GFVNQPVHTRHPAERSYLHRLLASSIVHFFLLLQFLMPYLKIVLHRLYQFERSHRVTE RVVSASLDAADSLGKRSMSLGTTVLSIHDGKVGVAVSSLAAWWVEGIAGGIYEGIGEG MTILGFIRPNPGVVGGTQMQPMPSN AOR_1_2024174 MSTSNALLLSHLNAIDLKRILGQTPSFLGHGLIPETSTLYVNGH STWKTSNGACQLGPKEMHRTLLLATQNAMNVELLDSAPFAEWPGVQRLEGYDEGNYIA VLFLAWAYILSARWFELLSRSLEHRCMIIFKKETSRCEPPLSHTTVQVDIGDDASDDE VHWWNAILSAYEGWGIATVYNGRTYVSPWAVAISGTTVLRTKLPPNVESQPPSSDRAL GYLARFCCYKRLYGQCSAALSAALCIPFVWSKSISLPVPKMSATSKYSL AOR_1_2026174 MSTSRLPNIPALRKHQLLLEFASLNHAAPPGLYVSPAPNDPTLW TGVLFVRTGPYASAILRFQIRFPPSYPDLPPLVTFSTDVFHPLIVPLTTYTFSTNASS EDPVSASDEERLPPGGFSLRHGFPHWFGRGKRSVINSADSSRAVSINGFSTGGDRAGN DSPQSPNDASRPTSPSKNQADNDREESATSITAEKPVEIRESVPVTALLNYIRSTFDD ETVLDSLPLEAAGNPSAWHAWKAHRKDSSNSAFTSSSKKEIPQARLPGDWHWDGIWAK RVQDEVEASHSEATLFGNATRSGTEDMIRFSRLDDATLTSIKEMINPQVEEARH AOR_1_2028174 MASDWSQITQETLLDVLVSCSGSVEAAASTIATQAPPSKKRVIP GTPSVQTSLTSLVLHADEGKESLIKRKPLTRKGKTLHLYSPKDVAAHTPCTIIHNFLP AEQANALLLELLEESKYFSRYKFQLFDRTVESPHSSSVYVSTPEEYRQHTSEYTYGGT YRSNVRQITPHMRAVSAKVQHTVNDEVRHRIKTFYPGGEKLRYQSPKEWMPNAAFVNC YDGPAESVGYHSDELTYLGPRAIIGSLSLGVEREFRVRRIVPSNEDEEASQSEKDTPT PQPERKQSRVVSDVRADAQGQISIHLPHNSLLVMHAEMQEEWKHAIAPAQTVSPHPLS GNRRINVTYRWYRDSLHPRNTPRCRCGMHAILRCAQRKRETRGRYMWMCYGGFAPGKK SCGFFQWAEFDDDGEPVWNKKQSEDEAPILRNFVNE AOR_1_2030174 MHIPCPLSPTNFEALTEELEFSNENWKQWCYKTGPILLKLMTSS VYSIERQHQYLEFYVRVIIACLGPYPQVFRSSLTQSGLPVEFSVNYQQHGKQPTVRIA FEPLSGARGTEPVAYDRDIAKKFLSTLSELELKGFDSRLWDTVSQDIHLDATEKAILQ ENNINDTYLRTQTLFGIDFCRRQRDIGKSLCLSGVEMQNIWAVFAGIIGQHGQRPTET SRLL AOR_1_3184174 MTRAKLEHAWSLGSRLQGPYVEKGLQYLLQLHDHIQISDRELQI KVEHDDRSDTPKTTPLMWNYEMRSEDPSPLTKIYLHVHGENDLKIATGVAHFMEEIGM VDTGKTYFGHYSTYLLISEPKYSKKEKNTEG AOR_1_2034174 MDSPRRNRNRAACRRCQRRKIRCDGQSPRCGACQKASTPCINDG KQLVQRSYIASMEKRIQWLETLVKECCPNVDLGDQPTDNLAQEEEPVLIEETSILSPQ RELAASEEQGSGQSRQQYQSGPHHPLRSISRMESRQAHEIGLVSLSPGGEPRYIGPSS GYFFANLVFSSAGRHQKRRNAANDSAGSLSGESTTLAAEILHTPASLPPRRETAAELS AKYFETIHIIYPFLHQPSHMSYIDQMYSSEDVSPIVAFQVYMVMAIAATDLSRRSKVR LPAEGYYATAMQHFSNMSPDGTLGGLQSLLLLMVYGFHNPSCGINIWNLNYQCLASLI DLGLQRDIRNTPSLKISLLEQEMRTRVFWVVYTFDRTIGTMMGRPVGIRDEACDLRLP MDISDLELMRPDAQERSPEQSPSHISFGIHLFKAARLNSEIKYVMHSISREPPAYAYP PIRDIFIWQREMLERLQTWKAETPHTDNINNMSAKLCEIKYHEMMILVLRPSPAIPDP SEDSSILCFRHAMELLESFRELYKHDSLQYSRLVVHSILLGTLVVLHSIWKFPDISTN LQIDELSKNITTALNILSSIGEYWLEAQRARDCIDDISGVTMRRLLKTRASGESTATP RLRSRIRTTNNIKQSPNLSSQLPLGQNATNQQQELIDLDTNPAGFGDFNTGFPDATLE QSDSLQLFGDSAFEETFGLTGVPDFDGLMWELFNLS AOR_1_2036174 MPFWPLHRATKEEIVHNDDFLQGSAATYIDMMYSSWKNDPSSVH LSWQAYFHNVENGHIPMDQAFMSPPGLVTASTRTSIAPSSREDSSTVKQLKVIQLIQA YQRWGHEHASTDPLGMANEGKICRKELQLSHYGLSEQDLDLVLTVGTGSVQDFTSEKP KPLWEVIAACEKTYCSTMGIEYMHISNQEQVDWIRARIEGAQRHRFTDEEKRRMLHGL VRATSWEKFVATKFPNEKRFGLDGVESYIPALETAIDRSAEHGVDKIEMGVAHRGRMN MLYNIVGKDGASMFRDFDPKGTSSWGIPGDIKYHYGGSGERVTPSGKKVYMNVLPQPS HLDSVNPVAMGKTRGIQDRLADERESTMMLNVHTDASFAAQGTIYETLGLSGRLHDGY VAKMLDAPVYHVNGDDVEAVCSAAILAADFRTRFKKDCVVDIICYRRNGHNEVDQASF TQPTMYERIANKAHILGRYETGLIEQGIITREQVEAMKNDAWDKLMQCLGKSDDHTPD VREWLIDSWKSVRSPMELERETLPQKLTAIDHQAVETVSQKLGSAVPEGFVPHKNLER ILARRKQTVDSGKNIDWATAEALAFSNTIAGGHDFLHDQQSNKTYTPLSTLGEGQGLF SITNSSLTENTAMGFEYGYSLADPNALVIWEAQFGDFANNAQCIIDNYIASSEEKWLQ RSGVVLSLPHGYDGQGPEHTSARLERFLQLGNEDSRSFPSPEQLKRQYQDCNIQVVCM TSPANYFHVLRRQVHREFRKPLIILFSKYLLRHPLARSDIRDFTEPPYF AOR_1_2038174 MSRRSMHYLRGCYPRALRTTSAQHASSILPSRCRLPSTVRPIVF TQKKNWQSLQLRQFSASALHAAETKIICVPSMAESISEGVLSTFNRQVGDYVEQDEEV ASIETDKIDVAVNAPQSGMITKLIVNEGDTVTVGQAVIEISLEERDTTSQSPLPPQAE QTSKTPQEATQKQQIPTREITPSQEPKKGTTPTPTPKTTPQPVPNSQGPVSAFQGSRS ETKVKMSRMRLRTAERLKESQNTAAFLTTFNEADMSKIMALRSQNKDDVLQKHGVKLG FMGPVARASALALREIPAINASIENDDTIVFHDYIDLSVAVATPKGLVTPVLRNMERQ GIVEIEQGIAELGKKARDGKLTMDDLVGGSFTISNSGIWGSLFGTPIINIPQTAVLGI YGIQQRPVAIDGQVEIRPMMYTALTYDHRLVDGREAVTFLTLVKKYLEDPASMLIA AOR_1_2040174 MLHFRTEGYNGCAVKYSPFFDNRLAVAGSANFGLVGNGRMYILE LTPNGIVPVKWFTTQDSLYDLAWSEIHENQVLTASGDGSIKLFDCNLNDFPVQNWKEH NREVFSVHWNLVAKDRFCSSSWDGTVRVWTPDRPHSLVTLPTHSCTYSASFSPHSPDI LSCVTSDSYVRLFDLRTPASASNHLTLQIPIHAAPVSPIPGKPGVPPAACPPSEALTH DWNKYRPSILATAGVDRTIRTFDIRAPQQGPQTVMVGHDYAIRKISWSPHLSNVLLSG SYDMTCRAWNDQSPPGVVGDVDSMRAGPSPTMGVEMGRMSRHTEFVTGVDWCMFGSEG WCASVGWDESLYVWDVRAVMG AOR_1_2042174 MGPKKGGENSKKAAGNARKAEAAAAKKAVEDQKRAAEEDKQWAK GAKGNAKKEAEEAKKADAARKKAERDALLAAEEASQPSKPKGKSNQPVKKSRGLDLSQ LDDQPASRKGAALNASGIDNALDALSLTGKESSKIDRHPERRFKAAYAAYEARRMPEV EQENPGLRRQQRIELIKKEFDKSEENPFNQAHVSFDASKDEIAAVRDAERKKTEARLT K AOR_1_2044174 MTLYHSWLGLLYLLCLVQWVSCKVVQFELNLTWENHEVAGATRK TILSNGQFPSPTLRLKQGDTVEFLVNNSMPFEATVHFHGIEQRDTPWSDGVPGLSQKP IAPGDQFFYTWTATHYGTYIYHAHTRSQIEDGLYGAIYIQPDESVEKPFHLIADDAKE LQAMGEAELETKPIILSDWRHLTSEEIWQAQVASGVENFCANAVLINGKGSVLCLPQD RINALTTPAQRRALGNKTLTDMGCLPPSDPRKANLTPNGFLPGSLELAFSIDQHPLYV YAVDGRYIEPIRVDAITIPIGSRYSVLVKLDQPAGEYMVRAANTGANQIINGTGIMRY RASTHNRNRLSQPWITEVGTNATENTVFLDETRVVPFPVEVPSLHVDRTYILNVDHIG NSYSWTLGNHSYPQSNEEAIPLLFNRSSISTKYTITTLNNTWVDLIVNVTTGGQPPHP IHKHSNKYFVIGSGSGAFRYHSVAEAVKDIPESFNLRNPQMRDTFFSPPADAGPSWLA IRYHVANPGPFLLHCHIQPHLSGGMALAIMDGVDSWPHVPEEYELPAVSH AOR_1_2046174 MEEPEYKSRLTGSTASPREESDLCLYVPTHEQPSTVEAAHLFHR NSDHTETSSTHGDTHVLAQDCGYNSSASSTERSSSDFLGGDLDYEELRDVNSRASSRS SISSIPASVLTNPISRVKSAVVRRSQQDMLSPSWGDHNENEHGEMEEQLRLTPTVRKH HSAFRKSSSVRALQMHTEDEGDGEYLTPPKRRGGHRMSDGSSQLKRSPYYSPTGLVAK QKSKKDYPLVLLHCNLLPPSLPIPGLVDYPDQKILREVLPSEYWKRWKLLEEKIGSVV LRERGVLISHPEDMYDLLEERLLESLELQRPRFDHGHFLGHEEADTDRDSQSMAEESA TDDEQGEQCLDCGGRVVRHSETGRKWEIRVFAANGLMRAGAWAAAWREMEKVDVEVGL WLPSEVRRELEKRLLEGELSRPSNRLQAPQLKELASLLEVDRPKLLTARRVERSRLSP APEKTAPQEHHRQSGRPSYPRSPAAEIELQTLLVNYIRVLASDRRNVAIALLTVLVVF FALNTRPTATPAHVQPFPPDMLEMVSRSTISPLQHSSAIWENPTWSMNKDVTTSEVRA AAVSAAHQHLPTTPPSQPMSSDSTTLQYGDASEVGLAKPEKPSSEAEAHFEGTTTVAS RGVAEKSSSSAWPLNPAPAVHPALSEAHTDHTELTETAQPVGEALKNGGEVSSAILVI AOR_1_2048174 MSHPSPQKNGGNNVPPPKQIRFVSTDGQPQTKRRRVNAACRTCR KRKIRCSGEQPVCKTCSDYNHICLGYSEPTAHTRSQSDVASRTPTIPPSLGPSDNSNT AVKVESRSPDSPSRAAIPHSDDRPDKSSGASKSSELKDASLVRETSSKMTKDSEQQTV GESPESSRTSLSSSARTHVPYFRYFGPTAIVPGFKQMVVQVRGSRKSNASLSSESLSP LRSPRFTDLGVGRLNHLADSRDNRDANTIPFYDRDDSLPVSNLVTHLCDLFFVHLGCN FPFLQRERFLRDLKDKKVDTMLVDAVCTLSARFSPHPLLSPPQAPPIDGSEPKLDVRK SDRGQPFAHRAMGALVDALSCPTLSVVQACLLLAYEEFGSNHDSGLWMYLGISIRMAQ DLGMQKLQGLKYNYGQSGVTPNAVVTGQAGKLREEQYDDPQNAQVLMKDGQDSENQRA WERERVDTFWSIFFLDRVISSGTGRPVTLRDEDIELCFPLQSESLLPNGWPAPFPPLI RIIHLYGRVTDLINGIQGVKHVTTDTLKRLAGMESDLTGIYQRLSPRLHFNAANFQAY VKAKEGTNFILLHFWFHTLIVLLHQPTLLNSFGGSIQHLYPNSRELSMSSAKTIADIL SFSELVDGKSFIGNPFTSQPMYIAACAFLMESAYYSSPSSAPGSPPCQPLLTNQNSGF IMPNLDHPSDGAERKPTAKHILLSSAAKENYQRCYKALKALETYWEGTKYILTVLDQK AKGIVDPLLYTEEEMEGTAEIPSVQPFATPGWRQSSAPLGAAGSSNESAVALEVRSPR IDPSQAIGWALTGATNSSQPNLSVLYQMPAAQTDSVPNKPAYSSQYSHSYPSLPMQTS TGPSSSSYTQALEPSRTTTAAPSSPITPGPGKYSPLAPSGRVSTSDASLLLGLNTSFS TSASRPPHSHPAFNQNLTATSSRIDAPTSAYNYNTASTGNDQPTGSAMNSRPSQMHPS VGHVNDILIESQDIDMASLQQQDQFPFTFSGEILPWLEYLPQDVLNYFGDHPNYPSLM NPDDGAPRPPH AOR_1_2050174 MTSAFFKTEDDFEKVQAARPDFRRDADVIFSKPPKEDWKQGDGA NDDGESLKKSHVEIDPHEEGRPVSSNYKILISGMVPRPIALISTKSKDGKSANLAPFS YSQVINHDPPLFVVGFVGSLEKAKDTLKNLAETEECVINIISEHFVEAANATAVNAPY GVSEWEISGLHQAPSSVVQPARVQESILSIEGKLVETKEFESRTTPGKKTGVLAIIEG VRFWAREDAINEDRSVIDLKVLKPISRLGGIQYGRTTDAIEIPRPQF AOR_1_2052174 MAQQDFETFKLGDWELQSGEKLINASIAYKTFGDPKSPAIVYPT WFSGSISDNVWLIGEDKTLNPKTYFIVIPALFGNGQSTSPSNYSASDVFPACSFYDNV RAQYTLVTQHLGITHLHAVVGWSMGAAQSYQWATQYPDFMDLVVPFCGSARTSLHNQV FLEGVKGALLAAKHTPSAVLRDTRSPEAGQESRIWNNKEKQIGLKALGRVYAGWGFSQ AFYREKLYETALGYKSLEDFMQGFWEKWALSKNPENLLAHLQTWQQGDVSNQEPYNGD FEKAMASIKAKTLVLPGKTDLYFPPEDSEYEVACMKPGIGTLRVFPSIWGHWAGGPGD SKDDVEWLDKQLAQFFGGRFESVIAIR AOR_1_2054174 MATPPVRQWGVTPPISTVLPTPDELAANDDLISELKLQNNFESP AETEHRKNTLQLIQRVTVEFVKVVSRKKGLSPAAVEAAGGKIFTYGSYRLGVYGPGSD IDTLIVGPKHVVIDDFFSDFPPVLERMAPQGAVEKMTPVPDAFVPIIKLEFSGISIDL IYARLIVPSVPLNLDLKNNDYLRGLDEKEVRSLNGTRVTDEILELVPQQKTFRLALRA IKLWAQRRAIYSNIVGFPGGVAWAMLVARVCQLYPQATGSVIVGKFFRIMNKWAWPQP VLLKPIEDGPLQMKVWNPKIYHGDRFHLMPIITPAYPSMCATHNVSMSTKTVILRELQ RGGDIVDKIFLKQNTWNDLFARHSFFTRDYKYYLSITASSRTKEAEAVWSGLVESKIR HLVGALDRKSTIAVAHPFPKGFERVHIVSSEEEAEAVKNGSTKYQDKGQKTETTDEIN DAAHQAAANSQIEKRDVAETVENKVNGESRTIYTTTYYIGLELKPLEPGQSRSLDIST DAQIFKSTCTSWPGYQPGINDLTIIHVRNFDLPEDVFQPGETRPQRPKKKIIKKSGAG GQKRGIESLDDPSLPVAKRQIPSNGVPNTATPA AOR_1_2056174 MSIPQDYPILPRESTLAQLPPAYPADTQDQISQHLASSTLNRLV VLDDDPTGTQTCHDISVLTVWDIETLTEEFKQDSPGFFILTNSRALPPLEAEKLIREI CENVAQVAKSAGETVDIVLRGDSTLRGHFPLETDVAQSVFGDADGLVLAPFFFQGGRF TVDDVHYVAEGENLVPAGTTQFAKDATFGYKSSNLRDYVVEKAAGRFQPEQLHSISIH EIRVGGPEAVYEKLMDIPRGGVIIVNAAAESDMHVFVAGLLLAEAKGKHFLYRTGAAF VSTRLGIRSKSPITASELQLPIPRETGGLIIAGSYVPKTTAQLNVLTSKRGQTGQLAI LEMDVEELIASPESAAGAIQRTVQEAESHLQSGQDTLVMTSRKLITGDNELSSLAIGT NVASALVSVLRQIQVRPRYIIAKGGITSSDAATKGLNMKRALIVGQAAPGVPLWRCDE STSRHRGVPFVVFPGNVGGESTLCDLVEAWS AOR_1_2058174 MSPYFGLLRALPFLLTLFASLASSEHTSNWAVLVSTSRFWFNYR HLANVLSLYRTVKRLGIPDSQIILMLPDDMACNPRNVFPGTVYSNADRAVDLYGDNIE VDYRGYEVTVENFIRLLTDRLDEDVPRSKRLGSDAGSNVLVYMTGHGGDQFLKFQDSE EIGAWDLADAFGQMWEKKRYHELLFMIDTCQANTMYTHFYSPNIIATGSSELDQSSYS HHADNDVGVAVIDRWTYYVLEFLETQVTSANSKLTLGDLFDSYDETKIHSQPGVRWDL FPGAEQEGRLRTVVDFFGNVQNIEVENTTATDPGSLKEDLIEIARLVEKWRARDREYL IQPVAGYGDLSRAGEDLVKPMQTTNGGSGGVVICLGVGGLVDLSEILCLSNPEDDVED MGGVEVWVFDARRPWNLANVFGGEPMPEQALGEIDANARRKTRGSWSRTDDEDESDEE DGPPRQRRRSNSGSSLASSPTRQRPRAHDPFHSSRAVTPVLESPSLAQPKQPSARSLK RRLLRLKRKHESVLQNYYSSGTSYSEPISSLVYSLASELGREDNDLLWLAIVGASSLE LSGRTMSGVGISNSSESGGSAGWGGERGERIRQILRDEVHRLNPPDPYERDRDIRGEI NGVIPTTAKSPTDKSIRLSPEPRFILIRHWSLYDSMLHSPYLASRLHVWTENGRKRLN KLLAKMGISLSQSHQSYTHMDMELKRVLRQRLLKYAPMYGLDGLVPAEASGHAASREG WGFVRCWGWKACLSATDVGIIIGAMLEVGPEEALGSWDTKHLSRPRSAPGLNGADSTE SDLASIVPRFWCAYDALSLTSESPTLLLEALPLAQHLHRSILRTGTSLLAKHQIRHLR AFRIAVVKDGPDVKLFTNPGALTKLALWIAEAIRVQERERGDSVKIGRKRATGTPLVL AGLDEDRDLYVVVGTGGGGGVVDFAALNKQREERRKKKEAKETKRKEKEERRAKRASE RAQREADGEEDFEESEEEESSSESESESEDEDDTRADKHLLRNRFGIAFQEVVQETNT RVRINSFDHCVVEVQKEDLGAFLEALSFRSVVG AOR_1_3186174 MDSHTTGALEMYGPNIVTSEGSQWAHLRRHTATPFNERNSALVW EETIRQTKEMVQYWEDEYSRSSSASEFILTDTREDILKFTLNIICSVGYGVKLPFRPG LENSTESAEGLFKDAITPLPGYHFTFRSAMEYLNKHITSMFIANGLLPKGIPRSVLPF FKKDFDAFDDIGRYLRALVSTAETKETLSQNLIDGLVRSKQKIDKDQGLDPELTEDEI LGNLFVFTIAGHETTAVSLRFALVLLALNQDAQEYLYEGIREATYDEPRNPVEWDYRR VYPKLVSPLCVMLETLRMYPPVSGIPRWTGDSAVNITYHNQPYLLPPHVYVNVNASGL HYSEEYWGPDAAVFDPKRWDKRTREASSRRIRKVVCPDQGWNTTQSISKKFAQVEFVV AMAIIFREYRVMLAKSNERETEDDLRRRAEKALGESTAFITLSMRDEVPLLFQKRCVS KA AOR_1_2060174 MGEDSRDNFSPAYVTALNESINLGQPIQFDAADNKTSPASIPRI IHRTYKTEDIPSHWKGTYESCRVLNPTYEQYFWTDESSRQFIETHFDWFLPTYDAYPY NIQRADAIRYFLLWHYGGVYIDMDIACRRPLDPLLDFSAWMPKTQPYGVSNDLMASTP GHPFITKLALSLHDHDGFYLSKYITVFFTTGPMYLSSILTEWFRKVQDGPGEEITMPH GVAILPSMMYDTTAYSFFGHAPGSTCCTRPIGVDDLYVTSQKKTVKVYIDIR AOR_1_2062174 METQDANLPLPNPFLVRTHYRIAASLHLFHVEERIAEGWPSPPL FQLNVATQKTLRSLWHLVPRFIRVQCYRVLLKLGSHCYPRSFTGLVYRLPFGLYAKEC NRSPRNEAETLQLVEQYTSIPAPLWVDDYQGTHPVFIMTAMPGQPLEAVFHRLSYSER EQLSKDLKSFLLQLRCIPNQTSYCFGNSHGGPLNDHRYVSKETKDKIAAVHAHPYRSV FTHADLHPSNILIDRGRLSGIVDWECAGFYPEYWEFTKLMYGAERFPEIQDIIRDAFG EGNYEEELKAERLLWYDTPLGI AOR_1_2064174 MSAKAESPAPAAPQQLSGLALYSRFAFAGAVCCSVTHGALTPVD VVKTRIQLDPVTYNRGLVGGFRQVIANEGAGALLTGFGPTAAGYFLQGAFKFGGYEFF KQQWINQLGYETASNNRTAVYLASSATAEFFADIALCPLEATRIRLVSQPTFATGLLS GFGKILKNEGVGAFYSGFGPILFKQVPYTMAKFVVFEKVSEAIYRGFDKETLSDGAKT TINLGSGLIAGFAAALVSQPADTMLSKINKTPGEPGEGTVSRLIKIGKELGLRGSYAG IGARLFMVGTLTAGQFAIYGDIKRLLGATGGVEIGK AOR_1_2066174 MLPIPSWCDPKAREERRKWKRIEKEAQHLTKLPSWDTPDNSLML QGFEWHVPDDQGHWKRLQRSLVSLKSIGVDSIWIPPGCKAMNPSGNGYDIYDLYDLGE FDQKGSRSTKWGSKTELQSLACSARNLGIGICWDAVLNHKAGADYTERFSAVKVDPKD RSVEIFAAREIEGWVGFSFPGRGGIYSSMKYSWHHFSGVDWDEARKKNAIYRVASKRW SDDVAHEKGNYDYLMFADLDYSNLEVQKDVLRWGEWIGSQLPLWGMRLDASKHYSADF QKKFVNHVRATVGPQIFFVAEYWSGDVRVLMHYLQKMDYQLSLFDAPLVGRFSRISRT GEDLREIFDDTLVGNKPAHAITLVMNHDTQPGQSLEAPIASFFKPLAYALILLRDKGQ PCIFYGDLYGIRRGVKNPMTPSCGGKLPVLARARKLYAYGEQCDYFDQANCIGFVRYG NLHHPSGLACIMSNGGASQKRMYVGRSHAKERWTDILGWHPKTVIIDKKGYGIFPVSA MQVSVWVNSAAEARESLQEPFEEKIYEN AOR_1_3188174 MPNTSLPVESCHIREIIKEEAITSPEKSHGLPSNDQGNDCRSSE SHSGTFSPWSCCHMGSKTVVAFQPHDPDHPNNWSKFWKIFVLVTGANEVMNSTVGSSV TGGATKAIAQEFNVTNQQILVLPISMFLVGYILGPLLWGPLSEAQGRRYPLLVAFVLY TVFMMASALAKSYLSLLIFRLLDGMAASAPIAIVGGIYADIEADPTARGRLMAYYMTA TTIGPVLGPLASGFLVSYGWRSCFWFGLAYAGISLPMALLMPETYAPIILQRRAKRLR KETGNSNIISPLELGSRDFKRTLAISLARPLRMLFYEPITSCSCLYLALTYAIFYLYF QAYPLVFQGIYGMGPAKSGLCFLPIGVGAVVGCVIFMWYDRFLSRAKARNAKWAYIEE YRRLPLACLGGPLYVVAIFFMGWTAFPSVHWSIPALSGIPFGAGYLLNFMAMANYMAD AYETYSASAMSASACTRSILGAMLPLATKPMFDRLGINWAYTLVGFLSLGVTVIPFVF IRYGVRIRESSKFCQELKRLKEANTQGPQPDRGVGRSCEVSVIHVEPKDVENQNGR AOR_1_2068174 MDSPQYQELGLVSQEQQPIDQETVRATWKFLAGLSSNLTLVGFL VMPLAFEGAKDESLGDKTGTAVAALALIGNAYLLSLILFGAQYHLVYSPISSAY AOR_1_2070174 MAKRVTLFEPPPLDSSKAPIENVLELTPVIDIGPDVFTNTRPLW HPPGARGIYGGAAIAQSLAAAMRTVPSDFAVHSMHCYFVLAGDSEIPILYHVERVRDG RSFITRTVQARQRGRPIFTTTLSFSRANSGGKKKLEHATSMPDVTLPDDSPADVKRRL YNAGGGPFESHKLGTVNRDSSKPEDKRIRRCMRARGNISEAGGHHAHLSALAYVTDSY FIGTVSRVHDIPRFASPAELKAALNALKNPSDLDDDEISRAFKELKEEEAAELRRRLE GALSKAEDPKNKHKHKEVGMMVSLDHSIYFHNPWAFRADEWMVTEMESPWAGEGRGLV LQNIWSKDGTLIATCTQEGVVRLKQDEPPRSKI AOR_1_2072174 MNTLTCVGLLFLFLSFIGARFRNYLPWLQPKHHPQDVSCEDLKP PPIKGRERYRVMMDIRKLDAQNWLTIDKNYMAEHQVRSQLLQQEKQKVLQCLPESYEA CLEALEEVVDFLCQRFPDKFEHKRSGDESTVHNKVTGETFVFGGQNKQVDPLEIAVRL TMEDLSILMKNEDDEYYLAASASLFPVGWTVQERIGWTISRLHEPVPLWHQQVAASVS KFLNRLTPSSPMERSNYFVEVKRPDEDLFETLYRPTTLFEDNPNPSPEDIVIRRERQT FRRLPRTGTLVFGVKTFLTTMDELPMQELRNLAKEIKSWPDYVGEYKGREVWGAKALE FCEKRSREEEKLKEEVGTMEV AOR_1_2074174 MDLMSSRFKSFTFGTKRNKHSAQPAPVQQQQPPPNASSNTLVAP PSNATSPSSPPSHHSSSTTSLPMNNQNPLGRPPSYTYQRPTSPMPPGQQLSHHPAPLN TNLHYTQQTVQPLGAPPGYGLQQPVAAHGMGQGMPQPQYGVRNPAVEVEGAGRSKAQL IVGIDFGTTFSGVAFAFATNNEAREDIITEWPGAGTHTKQKIPTVLYYDQYQKVVGWG PDIADALAPTGYPKQGVQKVEWFKLQLMLSGNTYIDPINLPPLPPGKSEIDVAADYLF KLRQAMRAQLQKTLGEVFTREERNIRYYLTVPAIWNDAGKAATRAAALQAGFLRDEND NRLTLISEPEAAALFCAKTGLLNLKVGDAILIVDCGGGTVDLIAYEVEEEQPFSVAEC TAGSGDSCGSTALNRNFSNILRAKIRKMKLPDGSRTAGKVYAKCIMDFENRIKADFRN NGQKWAVDVGIEADFPDAGIEEGYMTFTNEEILQCFEPVVNRILELVRNQIIAIQAQN RSLQNVLVVGGFGASEYLFQQIKLHVPPQYQSKVVRPMDSVAAIVKGAVTAGITERVI THRVARRHYLMATLQPFKEGYHPEQYRVPSLDGRDRCKYTRQIFVQKGERVKIGEPVK VSFFRQVAPGATLMYEDILYACDEDVCPEYTKDPRIKEVVTLTSDLSRKNLETDFERM DTPQGVFYRVYFDIYLTLDGSEFSAELVCQGEVMGRCRAKFR AOR_1_2076174 MASDSQHQDDEVRSWAGDFDPLADPDERRVLFAALDSFRQYRRT AHMNTTHRRRQAFYALPSAHWQMLAEPPFSILDNFNRVDDAIDVNAEIADAILATGLS SFGLPANPDPEDPRQNWHNTATSSDVNKAHSTIRQFYRDWSSEGKAEREVCVEPVVHE LRDEFGERLASREEIKVLIPGAGLGRLVFEVCQAGFAAEGNEISYHQLLASSWVLNHT SGPQHHALYPFALHFSNLLSREQQLQRVMIPDTHPGVAMIEAQANQTPFGSMGMSAAD FVVLYSSPSNKEAYDAVTTVFFVDTAPNLIRYVETIRHCLKPNGVWVNVGPLLWHFED GSNRSQREGDHDEHQGIGEPGNVELTEEEVFCLVERMGFNIEKRQAVEDRPMCGYIQD PQSMLQPLYKPSKWVARKKNP AOR_1_2078174 MTTAVEAPIPPQVENVAPHAKPQELSFPLPKALHTTAHIHLTFL DTCTTVFLATSTPGDSAGSVKPMGSFVYAMPDRTNPRDTISTTLYNLPGSVEYTTRIA KVLARRMMVPVYVGCSIDPTALGLLVEEEMEGLTKIVEVIMQRWEGSRK AOR_1_2082174 MQTQYFRSPNSQGQPGVSYELPPVQSVTSPSGPFPQAGPPPTLL SAPPSRPASGLRMAHLLQPLPHQMSNPPPPPPPSSYSRSYESSGSPAEGASILPDAPP LNGSAPGSSGLLPQPSGTGGQQQMQQPLQQKRAYRQRRKDPSCDACRERKVKCDASES SSCTECTNRKVRCQFTKETNRRMSSIKQVQDLEKQLLSTKQQLQQLRSGMLRPDNLID LDVDGTGQSQLKLPDIGYRPPRQPRAPVSQDLTEARANLRKYGRGILKVPTPYRQPGP KSLLASDPPPLPPKEVADRLLTQYYECIHSVLPVVHWPSFVTEYEKVYKTGTLLGLPR EWAAVLFGIFACGSLHTLEKTREHDGKEFIRVSCGVIDVWKDNFTLDQARAALLVSLF LYEVNAKSASWVWIGSAVRVAQEIGLHLESGPWSALEGEMRKRLWWGVYAWDRLLALE MGKPVLINDQDCDVDLPCPVDERCISGGIVAESQQTTPLLATIHVVRSIGQLVRTLRS STISPATLEIFERHFQTCQATFPPQYHPKSDQYLDPRSILPLIYLQNARLILYRHNIS PFCAPEVRSLALDYCVSISQDTAHLLSRCMRAPSGSPGYSSPPGNDDWRPLLASSAGT VLCTHIWRCVLVLLFRQEYDTAFVCVRASAVVGDSRSVNAACGRYIAFFLKCLVDRLR RPDGVDPERDEEMLAYVSGDMQGTTGGSWVWQGSETGSQLETLTDFGSPVPMPHPGAR DNLSTGEVEDWEGWDWVEQTVQYLISEKQKQQQEYNRMDVQTSPGGDEPAPQPQSTSS HSRMTIASII AOR_1_2080174 MMQHRMLAKEDEVSAAGEENEVRREDQEKINRFSRLHQRETLLE EQLKAKQKDKEDLEEISMELELADEDELVPYKIGDSFFQLPLADAQSLLSSSTEQIDS EVSGLEEKLSDLRDELQQLKVALYARFGRSINLEV AOR_1_2084174 MRIPAGLSSIILSSALLPSLSAASGFDCAHINVDGYKYDLSELG GVHSLYNVEKTEEHVVNTTYVLNICNILKGASIKGHLKCGTSKNICGFQYKYPVDGSE ETSRAFPIVGLEHLGHGSKDPEITRLKKLDPDEEGLLVKLSGGNYVDDEGKQKDAGAV LEFQCDPERSGLEGLKTTDESDGEKKEAERRRAEGGDDKEQPPDEEKDRSHSLQFKSF GKADDDSYVLKLNWRTKYACDHYLEEKKGDSSSHWGFFTWLIIILFLCIAAYLIFGSW LNYNRYGARGWDLLPHGDTIRDIPYIFQDWLRRVVNTLQGSGSRGGYSAV AOR_1_2086174 MSQKPIFVATHPRACSTAFERVFMTRRDTIQCIHEPFGDAFYYG PERLGTRFMDDEKARLESGFSQSTYKTVMDRIEREASEGKRVFIKDIIHYLLPPSGKP ASIAPSLLRVKRGVGTEANGHASNGVESNGHVESGQSTKQTSQYPYPTEPEPGNPTVM PKELLSKFHFAFLIRDPHFSVPSYYRCTIPPLDDVTGFYYYDPAEAGYDETRRTFDYL RSVRLIGPHIATKEQDADEIDNKLRPVLNGVGEAHESAEICVVDADDMLEKPGPMIEA FCRSVGIDFDPSMLEWDDESEQKQVCEAFEKWRGFHNDAIESRGLTARKHGRPVKTEE EFDAEWCKKYGPEAAALIRKSVDENMADYLYLKQFAMKV AOR_1_2088174 MNGAQFTDRANKALLDSSSLAEQYSHSQILPVHLAVALLNPSPD ESKDQQATAHPSHDSSSAPLFRQVIERAHGDPQLLERALMKTLVRCPSQDPPPESVSV SPALAKVIRSASELSKTQKDSFVAIDHLIVSVAQDSQVQRALADANIPNVKLLDSAVQ QIRGTRRVDSKTADSESENENLKKFTIDMTALAREGKIDPVIGREEEIRRVIRILSRR TKNNPVLIGEPGVGKTTIVEGLARRIVNADIPANLAQCKLLSLDVGSLVAGSKYRGEF EERMKGVLKEIEESKETIVLFVDEIHLLMGAGSSGEGGMDAANLLKPMLARGQLHCIG ATTLGEYRKYIEKDQAFERRFQQVLVKEPSVNETISILRGLKEKYEVHHGVNILDGAI VSAATLASRYLTARRLPDSAVDLIDEAAAAVRVTRESEPEALDNLERKLRQLQIEIHA LEREKDDASRARLEAAKQEAANVTEELRPLREKYESEKQRSKAIQDAKIKLDSLKVKR DEAERSGDTVTAADLEYYAIPETKALIERLEVDRAKADEERRARSGDAGETLLADAVG PDQINEIVARWTGIPVTRLKTTEKDKLLNMEKHLAKIVVGQKEAVTSVSNAIRLQRSG LSNPNSPPSFLFCGPSGTGKTLLTKALAEFLFDDPKAMIRFDMSEYQERHSLSRMIGA PPGYVGHDAGGQLTESLRRRPFSILLFDEVEKAAKEVLTVLLQLMDDGRVTDGQGRIV DAKNCIVVMTSNLGAEFLSRPATKDGRIDPQTRELVMGALRDYFLPEFLNRISSTVIF NRLTKKEIRKIVDLRLDEVQKRLEQNGKNVTIECTEEVKDYLGDAGYSPAYGARPLAR IIEREVLNRLAILILRGSIVDGEVARVIMRDGRIDVLPNHEIPVDEDQDMLDSEDEAI AEMEDGSGDMDLYE AOR_1_2090174 MSGKSLASPTFLGLEDLHVFITGAAGGIGKQAVREFLDQGCNVT ALDIQALEVSDIQGEAYSRLHILKGDVTDEESVRANIAQANKRFGPVNILIANAGITD ESKDYPIWELPVETWDQTYRVNVRGTFLTIKHFLRAARVSQQTLGKELDNLAIVLTGS ETGKFGQEGHAEYASGKAGLQYGLVRSVKNEIVRLNSKARINAVAPGWVDTPLIEGRL DDPKEMWAEAEATVPLKKIARPEDVARTMAFLASHRAAGHISGQCLSVDGGMEGRLIW KENEAGKETKNQITHSESSIVQSIPRAVSKLKQNKIRIAVSIDLDAVSGWLGTGQHPD NILADYSSGFFAAKVGVPRLLRMLKKLNLADRCTWFIPGHSAESFPEEVQQVVESGCE IGLHGYAHEGAYQLTVEQERDVLTRCIDIATKLTGKKPVGYRAPLYQLRESTLDLLEE YGFEYDASLTDHDCHPFFAPKRPPLQPINFSLPASTWMHPIPPTTEDRRPLVCVPCNW YMEDMTPMQYLPHTHNSHGYIDVRVVENLWRDRFLWIRENEDKPIFPVLMHPDTSGMA HVIGMLERLLTWLKGWGDEVEFCQTGEIARWFRDKELGSSGSS AOR_1_2092174 MGRHSFRLLSENMSSFSPEFTKSFARETWTLYAVGMLGVCLRFV ARIRRLGIRNLQTDDYLMVFAIIWYTILCVSLNEVVIVGGSNLMSEEDIRNLTPAIKA DRVRGSKWVFVSEHSFVLAVWAMKTCMLIIYARITEGLRQRKWVNYLAVYVGLGFIGV ELSLFLICRPLSNYWAVPTSYQCSSYQYYEIIQGCISISADILMLLIGLPLLLQVRVP LKQKLILVVIFGMGIFVIVAAVLTKVYCLVPSLISYVYMNWYFREATVAVLVTNLPLI WSLLRDVFPALKSWTGGSKRATDRYRSGPWTSKASGYGRHYGPSSQLRSGDFSMHDYN RSIVTPHKPASDVSIQASDDRDVSDDGSDRALRIRQDVTVTVERESRPPEFWGSHHTK TESLNGAHQPQP AOR_1_2094174 MAAAEGQEPKKAGAIESAAEDQSPGELINASGHRQELDRNFSLL SICAVAVTTGNTWIAQGGSVVTALSNGGPSGVIYEFIAVSVCYWLVAASIAELASGMP SASGVYHWASITAGKYGRACGFFAGFWNCLAWILGAASMSAILGQQTVSMYALMHPGF VPQSWHIFVSFIICTWLCCAIVLFMNRFLPHIGNLGMFFILAGVFITIIVCAVMPHVN GTGYASNSDVWRTWQNGTGYSSEGFVFVAGMLNGAYSVGTPDCSTHLAEEIPRPSRNI PKAVLAQMTVGFITGVLYMVAVFYSITDLDAVISSVYGFPLAEIYHQATGSRGGALGL LIVAFLPTVVTCAGCYITAGRTLWTISRDRATPFSGWLARINTRMHNPFNATLVCGVI VTILACIYVGSTTAFNAFVGCFVQLSSLSYCAAILPHLLTRRSTFTPGHFWMGRIGYV INALSCIYILAFVVIFCFPYALPTDAASMNYASLLTGGLTIFVTIWWLFRMRSYEGPK FVPLTDKVLMDDAK AOR_1_2096174 MVFKPFKPPLIRKNTQASNTKPDLPIDTLDNHGPPAKRPRLHQE CTVDSAGPSMRTERKPLVQVSNTCESGDDNVKDESAGERYFNVLWRKPTTKKNKTWDG DGILSVRNGYAHLRDVSGKDMGRAIQASYLEPGTMLSIGGKEVEIDSEMSKNEYLSGK QFLEAKPSPTPPVAPPKKGFVSVSGNKPSTSAAKENPGEKGQMQIIPNPASRKQSSIS SAYKRPLLENTIIPQKSEEEPVPRHDPKQPGALVMKRPASAPKGKRIVDVVVDPILAK HLRPHQREGVKFLYECVMGMRSFNGQGAILADDMGLGKTLQTITLLWTLLKQNPIYEN PPEVKKALIVCPVTLINNWRKEFRKWLGNERIGVFVFDDKRKRLTDFTRGRAYNIMIV GYEKLRTVQEGLAQGAGVDIIIADEGHRLKTLQNKSGQAIQSLNATKRVILSGTPIQN DLKEFFAAVDLVNPGVLGNFKAFVREFEVPIVKSRQPEATRKDIEKGEARNEELRELT SQFMLRRTADILANYLPPKSEYILFCDPTPTQANIYQNVLASPVFQCAVGNSENALQL ITILKKLCNSPSLLSPRNVDEKPSETIAALLSSLPPNLLRHFSPSSSAKIRVLDQLLH ILHTSTSEKVVLVSNYTSTLNLLATLLTSLSLPFLRLDGSTPAQKRQSLVEDFNRFPT NRCFAFLLSAKAGGTGLNLIGASRLILFDVDWNPATDIQAMARIHRDGQKRHCRIYRI LLKGSLEEKIWQRQVTKLGLADSVMEHKDNAAQFSRDELKDLFRLDQDSKCQTHELLG CDCGGRGQNVSTSDSHAGSPADGQGNVLDSDDSEEVEEDFPDVLTLIKASKLDMKKQE RMIEATRGARRGGRTTKSTDGTKSDKQKDKMQQSLSQYSHIDPCHLTTTTDDTQDIQQ VIDDDVLLSMLKEEDNRISFVFKKSSVALAKDTDSSMPVVLSD AOR_1_2098174 MLPENSLHPYYPTDAPITGYAPNEFSLLKLLLTASIGTTALLST IFILVTVLRPKLSKADRVAILWFFLSGTMHCMFEGYFMLNHNRMASAQDFFGQLWKEY ALSDSRYMTADTMVLCMETITVLVWGPLCLLVAFSIFIRSSLRHPLQLTVCLSHLYGD SLYYATSLYDHYVHERPYCRPEPFYFWVYYFFMNFIWIPKPLDIGQPLGIYDTNSVRE KVRKWQQQGGGVITADEVVYYEEDEENSTVDSKSKPTRGPTTRKRSKSTPRKRVISDE HWKLNRTPTQAPASKLPPPKRISQYTTNDPIRSPRAGRNESRKEDKPRSSPSARSVER EKAGADSRSRERRKSRVYREGDSLLGSKIEEETASHNGSTKSTSRPTSAGKPHAAARP ELADDASKKGSVNDDDFEWTASEADFSELSRRRARGTTPNQKSRAPPPKIPKGGIFEH MFGESRKIFVKPEAPKPGPHRGSKIEAWLSGTSDPFFDDVDPDVEVPAPLNTKSNRAK LSTKREEGRSHTTDSGAESDSRRKSTSKRRVPSREERVKSMTDEIMTSQEPQLETSST LSEKRSTGHRNSRSSSSNKGSSSPEKETSSEVQDITLTETQTVSEVSEVSGSNAPVPL HRERPFPSTGDHRLSTIASVESIKTEKEEQEERDQFDPDSLPVVSSQLKRRLTTHDDL MSVLSAPNSRSRSIRSARSIRTNKSRITNATVPELLKELSADEVKYMRELKTLVGGVI PVLLTCVLSKSDSAIAAGLFRPSMDPKDELNFSKPIVDMGVAVERLKTLHKRIPQDNA DSLLTWAQGAQKVYRDYLKSWRLGFKDVIVNLAPLDESEAANNADTKSLDEGMARDEN GDVIDSDGEKVDVAYLLKRPLVRLKYLAKTFKGINMLQPSEKAEDVSVAYQGLVNEAR RRAREERARLEDESAASIDPTRARDPATLGPLAGVTVNRARRVRARDFFNLSLYHSTG QVIDCRAELLLRDNTPDNGAGGDLLICEIDHADRWLLFPPMDLAFVSARNGDMKGEIV VMLRSPPGQPNAWQEILVLRIDEEDVGFEWVQMLGLNPVPPVICRSQSFVERAKQRQR AQVASSCTETKELPTSSITVDIPIGERAVSGTLRRCSPRENSSGPSTVGSSLATDSRT SLQTAITRESDYAAGESDSPTKPSPRTQQSILHSRDPRKTATGDERSPTSLKRSKAKR VVRHDEDNPPVPSLPKDHNAGGPKAEPTTHRPDHDEKKVHKEQHPPKTPTKDEPEAIS PRVSSVPSMDLPIIPKLRKGSSQTYISESLESTSDDEYVLDSYSIPQTPTRKKGHSRS NSDTSEPTNGEEPPPPPPHSRSPSSTGSSLSNAPILSPSGPLSRRRGSSPLKHEYEPS TASDETYSDSETSTVRRYDLYSESDYSGSDSSDDDSEDELPPLQEHHVSKLTVPASVI TSATSSLSPSNSVSQGGYRSVPSQPNKSSTAVASVFAWSDKGSWESLLPDECNIHVSP GLVEAYDVKSASASDDDHTPSKRERPLIALELTPLVPIRRGTAIDISIRSPPAERSKV SWSNNIMFRSRNADECEILYGLINQARTTNPTYIALQNARGPFAQQPTPLERPPKSGG LFGWPRRRKSYRASSSPRSLADNSESSVGTMSSAFSALKRFGAGGKMFSIARSSVTSR SDQKEDSLYSSSAGSGTNHTSTSGIGRIAAAIKNVDGIGLSNAKIRLYMRETQSKWRD MGAARLTIMPATAEPRRSETAVGGSNDTNTGAESVEGSPPASGATSPRRTIDSEKRIL IRGKTRGEVLLDVCLPESSFERVARTGIAVSVWEETEGGAMPKKGGVTGGSSKIYMIQ MKSEAEAAYTFGLVGKLRY AOR_1_2100174 MAPGPFRRKPLGVDVPRTPASESPPPIAALFVIRFDIKAGYVVS WKRTVPGAEVEGIVEYKSLPSGLHNVSEDLVYFVHEQYAGISAFINQPAEEAVRNAKM FSVGVLVPLSSGRLGKSWRHAPKLRELAQIYALDMSHTEPLLKYWEIYEIRDSDLSGV PPDSPLESPLSLRLRAHGERPDHSLRNRTFSDAIVLETPRPALTPFHPASSLPEFLDC FGPLIYPLYRATLLRKKILFMAEAPVHMPCNYVYDLSLLASLPNSLVPLLPPTGIPSL RPRPLFNIGIHDIPYLSSFAGVSANAQPDASWIACSTDSVLTMKSELFDVLVTLPAPY SKDAAEKVFPKISILPIPTAKHNTPQAVQLKATQRDARRYATLRKGLRQVALSEDGRS GEEDDSDAASTYSSSPIVEPISWTRLAYTSFIWWASAGEKRDGLSEEEEEEHQIQQDT QLLASVEHPTSPPSGSVSRRSLQPSDTSQEPPEIAIVAYFRRMTTQIFVTLSDAIARH DSQNATDEEVEPNDDDHIPYEDDTGDDIEPHVTIGRQPTQEDDDRSPLLQEEVCSSPR NNEESIKITTEDMAEMGLDVWSAADRIFVEELVRCWWDRKAYVDSARIRCCGISIL AOR_1_2102174 MTKSDIFPATGFGLLGMTWRPQVTPDVQAFAAMKAAITSGATIW SSSSVYGMTPEPPTAGLWLLRRYFEKYPEDATKVTLFIRACLDPTTLSPTTTRASVRA SIEECNRILGGVKKINVFGPARMDRNVPTEETLGALKELVEEGKIGAVGLSEVGAATI RRAHAVCPISLVEVEFSLWSTDILTNGVAETCKELGIPILAYAPLGYGFLTGQVTKLE DIPKGDIRHMFGRFQPANFPKNLELVDKLKVFAKSRGVTPAQLGLAWIRAHSNIGGCG TIIPIPGATAAQRVEENYEEDESLGRTAILCYPADDVKQMQKFMWDMGREHPGYVKDY TEELFTKRVS AOR_1_2104174 MSSPSKVFNNPLSSPTKALNPLSPERLNQQTYPNSPTLPNDVRN MQRKPRGLSDVQAKVAYLNNLSRGNSPAAPSTQSSAGASAALQRAILGREEAESALDN VSAQLSEAQSRERRISERLESLLEELQTAKTRQAHERAVFEKEIRKARKEAFRAGSVL VKTQEELKQARSEAKVLKDEVQSEREAKEKAKQEAFERAYTLAGLMEEIEVLKGRLRT AEAKSHAVTLEARAHKMHKGDVGRVSLAEGDLAFLQTPTPRRPKRSAEESEDTPLESR FNHSVAQDTPPKRPRVSDVTPRNEMQKLDSPEANGDSIHELQVQLESERQLRVNAEDM IEFLKVECMFKRCTCRIEEEREKSHIQDTTEHATKKLDGARKHIDKDEHAGANPHIEN SPPSPDKSSGQPIESAEEPETEDQPQPEETTITFSPATGTFHTIPSPIRRSPTKKLEY DIVELPQLVAENQSTPQPQITSPLAKYDVPWDETPFEAVHEIQARAPLSPSRVRPSPA ASDVPWDSEVPEQHRFEGNIDGHDSIRRIPLRNESVEPDHLHDVPGTPIDREEALAQI RARRGRTNNMKRSVSANESTLCAGGIGVTPVRAARRIPAVQNPDGRYSEIRSRRDMSA PVRMFHR AOR_1_2106174 MHEIVTLQLGQRANYLATHFWNLQESYFTYNGEEESPVDHDVHF RPGVGADGTETFTPRTVIYDLKGAFGTLRKYNALYELTEDANLGQGLWDGKEVIQQQT PISQSDYQKNLDAGLPAPKLTTETVRYWSDYNRLFYHPRSIVQLNDYELNSMIMPFED WSVGEDLFSDLDKEHDLLDRDVRPFAEECDQLRAIQLFTSSDDAWGGFSARYVDRLRD EFGKKSIWVWAIEGGSRVSRQTQLKRDMNKARTIYSISPQSSLYTPIIDPPSHTLSKV HFDPHSEWHTTALISSAMESVTLPTRLRQFHDFESSLAGDDGTHKIFELQSSVTADDG GNRQHLPVKGPLTETNASEQGAAKSQAKFELDFTYDGRGSSNSHIFNQLQVWRGTNLD QDKGSVAQEDIGLSRKQRYYNSAPMFQSFHTPLAFPILDSYPSHMFSGAKQNKINVLA ALTASSRTAERIKVLETVAGRIIGVDERETLVNGLGEIRESYETGWSNDSDFNDI AOR_1_2108174 MAPKLRHASPRLFTSSPVLSVLIVPFLLFFLAFPAPAAAAGSAV IGIDVGTEYLKAVLVKPGIPLEIVLTKDSKRKESAAVAFKPTRDNAPFPERFYGGDAL ALAARYPDDVYANLKILLGVPFNGDGNEAVQTYLSRYPALKLENAAERGTVGFRSNRL GEEERKDIFLVEELLAMQLKQVKVNADNLAGKGSDIRDVVITYPSFYTAEEKRSLELA AELAGLNVDAFVSEGLAVGLNYATSRQFPSVSNGQKPEYHVVYDMGAGSTTATVLRFQ SRSVKDVGKFNKTIQEVHVLGTGWDKTLGGDSLNDLVVGDMIANLVQDKKLKDRVAPS DIASHGKTMARLWKDAEKVRQVLSANTETGASFENLYEEDFNFKYRITRSTFEQLAEQ HISRVGQPLEQALAAAGLQLNDIDSVILHGGAIRTPFVQKELERVCGATNKLRTSVNA DEAAVFGAAFKGAALSPSFRVKDIRAYDVSGYPVLLKWPSESRERQQKLFTPTSQVGP EKQITVKNLEDFEFNFYQQIPLEGNVVESPVLGVQTQNLTASVAKLKESFGCSPANIT TKFSIRLSPVNGLPEVVNGAVSCEVENTKTGSVVEDVKGFFGLGSKKEQAPLKEDGEP SESITLEPEEPQASTTSSSDATSTAPAKENKKTSPQTNVETIPIRFTSSPLGIPALSK TELGRVKARLAAFDASDRDRILREEALNELESFIYRSRDLVDDEEFAKVIKPDQLAAL QEKSAAASDWLYGDGENAKTSEFQERLKALKDIVNPALKRKQENAARPARIELFQDVL KNAKTVLDLMEKQVKQDEDLYSSSLSAPSTSEETSSATSPSEPSSTADPLEDLDEDPY SSTASQTISTTATAKPTGPKYSIFQPSDLAGLAQTFESTNTWFETQLALQEKLTESDD AVLTVAELDTRLRELERTMNRIYEKMGAAAAKSGKDQSKKNGKKAKSEKAQKEKPQQE NEQKQKANVKDEL AOR_1_2110174 MSPSLQNTTKDNDLSSKRRRFQPPITSFFPATTGTDHPDSLCAP HLSYTHYSTTTSSPMPAVDAKIQASLLSVGMRIRKSVAEGYKTRIPNSEDKSTLYTNK TPIAGGPTAYTELAPFCGLTKSGDSTTQPMTHPSSLSYNQIDQLITDDGDAFSLPPSS QESVDSVQTTAQKRAYDCDEDDLDDAFDEMPTGNNWQNFLDPSIGMNSVPGRTILTPS LGQQRRQFVAMKTQATIDVDDFDEPTFLRRREEVDMDLS AOR_1_2112174 MVKRKLGALEKVEADLPNLQHKIRRDPKSYIEDFRAQHYQYESH REIFMAAPTSATDTGIISLRELIDFVAHVADCYPDITKDFSQQLMDILTQHHLVLEPE LREKIVGSLVLLRKKELLDSATLLQTLFPILITTPSKTLRALIFQKILMDLRSSNAKT TNHKLNRTMQTVLYNLVTSDRVSSKGLWAIKLIRELWKRQIWSDAKTVEVMKEASLSE NEKVIIGGVRFFLGGDQEREEMEDESSDEEAIDLGKVKHQVGINKKTRKKSRAVEKAK ATVKRKERKKNQPHPLNFSALHLLHDPQGFAEALFSKHLQNSKSKLNLEQKLQVLQLV TRLVGLHKLHIMQLYSYFQKYLTPRQPSVTSFLASLAQASHDLVPPDVLEPLIQKIAN EFVSEASASLVATAGLNAIREICARQPLAMNETLLQDLVMYRKSKDKGVVMAARGLLG LYRDLNPEMLKRRDRGKEASIGLRSGEKKEKRFGEEETGGIEGLELLEQWKEEERKKK RAEKGLPSDAEDGEDEEDEAADWDAWNVEDDEDSDDSGGWIDVQSDAEIDLSDSDDEK DARPSKKAKQGAYNEENANSETAQDKTEAQKPNIATIATTRILTPADLAKLQELRTQA AVDALVPGAKGRRGQTNASRHKDDPLTAEEIEGLAALSAGKATREERIAHAKEGKTDR AEHKSITAKRKERKEEQGKSTTNKEKARRKNIFMTLGKARNKNKRSLVETRNILKAHQ DRQKRGGRRGNNG AOR_1_2114174 MSDHGEVEVENTAAFQVLPKEALAEMGTVKLFNKWSYEDVEIRD ISLTDYIQIRNPVYLPHSAGRYAAKRFRKAQCPIIERLTNSLMMNGRNNGKKLMAVRI VAHAFEIIHIMTDQNPLQVAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQS IALLTIGAREASFRNIKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR AOR_1_2116174 MADDNPELNSALRNLDRELEEGDITEKGYQKRRTLLLSQFFGPN SGLEVNTPGSGGPSSSLTSSNYIPPAILSVRPPTAESTTQGYSSFTNERGNTPGSGSF GYDRRISADTQHTVDRDSAFLQALDRMPSSGSYDSLFLPKSQQAPVTPEDTRTATLLS QNYAFNPGSYQDHSDEPAGVYEMPPPGGITRQSTMLDSQQGYFSDFAGQQQDDYRDSY GGGGFHRYSQSDAFSPTANMAPPLIPASELPHGPAIEHLLPLEPRDIPFAVHDPHDKN MPMSNFDNIPAVLRHRSRIHSKQAAYWVLDQKGKEIASITWEKLASRAEKVAQVIRDK SNLYRGDRVALIYRDSEVIEFAVALMGCFIAGVVAVPINSLEDYQSLNLVLTSTQAHL ALTTENNLKSFQRDITAQKLNWPRGVEWWKTNEFGSYHPKKKDDVPPLVVPDLAYIEF SRAPTGDLRGVVMSHRTIMHQMACLSAMISTVPGSSKVRSHGETIMSYLDPRHGIGMI LGVLLTVYGGHTTVWLEDRAVETPGLYAHLITKYRATVMAADYPGLKIAAYNYQQDPM ATRHYKKNSEPNFGSVKLCLIDTLTVDAEFHEILADRWLRPMRNPRARDIVAPMLCLP EHGGMVISVRDWLGGEERMGCSLTHEMDPNEREDFKKEERQSEKTKDNSGFGSSLLGG GSSPAPISKEQTKNELQEVLLDKEALKSNEIVVLAMGEEARKFAGSMPHAVRVGSFGY PIPDATLAVVDPETNLLCTPNVIGEIWVDSPSLSGGFWALPKHTEAIFHARPYKFEEG NPTPVLVEPEFLRTGLLGCVIEGKIFVLGLYEDRLRQKVEWVEHGQEIVEHRYFFVQH MIVSLLKNVPKIHDCTAFDVFVNEEHLPIVVLESYAASTAPATSGGPPRQLDSVLLES LAERCMEVLYQEHHLRVYCVLLTAPNTLPRVTKNGRREIGNMLCRRDFDSGTLPCVHV KFGVERSVMNLPVGVDPVGGIWSPLALMSRQEMLAMQEKQYSGVDYREVVMDDRTSTP LSNFSTIVDLLHWRVSRQAEELAYCSIDGRGKEGKGITWKKFDLKVAAVATYLRNKVK VRPGDHLVLMYTHSEEYVYAVHACFCLGVVAIPLPPIDQNRLSEDAPAFLHVINDFNV KAIIVNSDVDHVMRQKLVSQHIKQSAQVLRIGVPAIYNTTKPTKQSHGCRELGYVVKD AWLQGSTPAMVWTYWTPDQRRISVHIGHDTIMVLGSVRSTLSLGFLHTCLMGIYVGAP TYLVSPVDFATNPMTLFVTLARYKIKDTYATSQMLDYAMTAMAGKGFQLQELKNLMIS AEGRPRIDIYQKVRLHFASASLDRTAINIVYSHVLNPMVVTRSYMCIEPIELWLDLRA LRRGLVCPADPDTDPTALAVQDSGMVPVNTQIAIVNPETCTLSHVGEYGEIWIQSDAC AKAFYGSKQDFDHERFNGRIVDGDPSVAYVRTGDLGFLHTVTRPIGPGGQPVEMQVLF VLGGIGETFEVNGLNHFPMDIENSVERCHRNIVTGGCAVFQAGGLIVVVVEVTRKAYL ASLVPVIVDAILNEHQVVADIVAFVSHGDFPRSRLGEKQRGKVLASWVTRKLRSIAQF SIRDMEGPENPFSEAPQHRVSRSSKPGSMMGNSTRRSTVIPDSDSAVPRSPAPVLMEQ PEAPGSYHTKQEREEPAIDSPITIPETIPSVPHIAEPTRPPTSSTTGGLPAKAEAPAT IGNPDFGFDFGDFANSAATATAPLEASTPAIENLPYRNPPRGDSLAHKQQYAGVPSGF STGQPDFYDGRPDAPDVGAGDWPQEALMYQSTLGVDDTYGQGGVPSTPSSNDDMTRRR FDGNNYGI AOR_1_2118174 MDPHPPFGHALRTSCDKCRAAKVRCQREGQSCARCLQRGDDCVT TFHPRKTSKPDRRGKILDMESRIERMESILAASGLSSEAATPATTGTSADLDDQLSML LLHDEGSSTFIGSASSLSIFSPAGLQWISSRTNSSDLSDLILRRIQACPPLHESMRLW HGLDYRGQVSLPPKHVADSFVTYFFDTLNDVIPLYRRDTFEALYEQQYTSCPPKSTSW YASLNVVLALGCLLSHEESMNESTESSMRAPTTAVDYLRNCWNVFGELSLSCRDLMAV QALLAMALTTEILLDKEATYIALGAAVRVATSLGLQQQLKDPRLSPPEISERYIIFWC MYSLDKSLSLRLGRPPAIDDRDIEIDIPDERQLAVTNPRTAVLLLAHMKLSRIASEVY SELYSARSRRYPALKRFRTISELDTKLQEWCRSLPGDVQPGKPIVCTKENVRHAITLH LEYFNCLATLHRCWAFQDQLTEYDELHNEMARQGCGDIGDRVYTGHMICLDSARNIAR LLIAIDQYGFPKRTMLVGLTLFHSLSAFIILFANVIQNPGDSYITEDVQLLGVILDSL FPSLQASGTFLPNLVSEIFSKMRLMAVEYVEKVQVQLKTVSKRPRRDSDEAVMHLPHR PATENHGQPHNKGLDSTADTYLTNARHNASHNRTEPTSLNETELPHELASRDSAWGSL YDGLDDPPTDEMPSEQNPLNENYSMGSLAESLPGSIPFIPWSLDFDLTDLWQFGKQDL VEGHFRE AOR_1_2120174 MPYSLKGRNVLVTAGSRGLGALVCEKFAAEGANVLINYHSNVEA AQQLADKLENEYSVKCHIAQADTLVAAENDQLVHTAVEKLGGLDIIIANAGWTRFTRP GDIYDMSHDEWNKCWAANVMSHLQLMQTAQPIMSKNPDGGVYIATSSVAGITTSGSSM AYSVTKAAGLHLMKHFAFTFGPEIRVNAVLPGLLLTEWGMKFGDNIINALKERSTLRH ETYLDDCADAYISLAKNTSATGQQLTVDAGLALGHLPSAKV AOR_1_2122174 MPMLKDPSKKYKRFKPLHLPDRQWPNKVIEKAPRWLATDLRDGN QSLPDPMDGEQKFRFFKMLVDIGYKEIEVSFPSASQTDFDFTRRLVETPGVVPDDVWL QVLSPCREDLIRRTVDSLKGAKKAILHIYLATSPCFRRIVFNMDKQKSLEMAVRCTKF ARSITKDDPSTAGTEWHFEFSPETFSDTEPEFAAQVCQAVKEAWGPTEEAPIIFNLPA TVEMSTPNVFADQIEYFCRHVSEREKYVVSIHPHNDRGCAIAAAELAQMAGAQRVEGT LFGNGERTGNVDLVTLALNLYTQGITPNVDFSDINAVIKVVEESNKIPVNERWPYGGQ LVVCAFSGSHQDAIKKGFKLRDDATAASDEEVQWEIPYLPLDPQDIGRTYEAVIRVNS QSGKGGAAWVILRSLELDLPRALQVEFSKIVQKKSEEVSRELRASEIVGLFEEAYHLK SNPRFNLVDYNITTDRSTSPAPPEPGKALNTKNLKRRFTGVIEIDGVQHAITGVGPGA ISSLAGALSTLGIDLDVVDYKEHSIGLGREVKAATYIQCTAAGSKEQVWGVGIHQDVV QASLIALLSAASSFLTSRAGSPAPFRPIRSNTLTDEDLQALETLTGSSDLATNLAKAA NGSSKSQVDIDALTRQAESQ AOR_1_2124174 MAPPKKRTAPKDDDFVLTLSDDENDVFSGINEDGDDHLDEETAK STTKKRKRDTAETTQSKNKKQKQQKQSKNGKQQKKVEEAASEPEEGSEEEEDAGEDDG ALNSDFEFDVGAAAQKDVVEGFDGWGLDETKDGAKKNGDKQGVDIDEIISRRQAKKEA QLKKKPKKQEVESEDEGSGNEDDASDGGMSVDFQDDELMAEDGFGMGADGEDESGQSD AQESGSEDEHAGSDSEDSDDDDDDAASDNDSVATPVQHPDDVASDNDGSDIESEVDAE EEAKRKAFFAPEEQTSEQSAAELSKKSFQEFNLSRPILRGLAAVNFTNPTPIQRKTIP VALLGKDIVGSAVTGSGKTAAFVVPILERLLFRPRKVPTSRVAILMPTRELAVQCYNV ATKLATYTDITFCQLVGGFSLREQENILKKRPDVIIATPGRFIDHMRNSASFTVDTLE ILVLDEADRMLEDGFADELNEILTTIPKSRQTMLFSATMTDSVDKLIRVGLNRPVRLM VDSKKNTSMNLIQEFVRLRPGREDKRLGYLLHLCKEVYTGRVIVFFRQKKEAHRVRIA FGLLGLKAAELHGSMSQEQRIRSVENFREGKVSFLLATDLAARGLDIKGVETVINYEA PQSHEIYLHRVGRTARAGRSGRACTIAAEPDRKVVKAAVKASKAQGAKVASRVVDPAV ADRWAQKAKDLEEEINAVLEEEKIEKQLAQAEMQVTRSENMIKHEAEIMSRPKRTWFA SEREKILSKKAGAAELNGLDSVKSKKEKVRLSNKDKKRLDDSRQRNEGNIGWKKGKVD RESQKQGKIQKGKKENKKKGKK AOR_1_2126174 MTTSEESDVVVLHRGSKTSNTDAEITPVPQKEYIHETRQCSLSP PQRRHAGGRVTSNVQQHIGQKATPASKENFSTDDEPVQEASPTSSKEEALEELNRLRA QIVRQAHLDRFKDLDYPTANPEILERCRSGPGTDPSSEFYISIKECRIAPILSASVPG KTALTSGGGHKTIKPSVSGAHKVAAQWECYERSPTVYPIVKDGELNLSSEPTNDHKLI LAQLAQTAGLQGGTGGQNTGSGQERKHSWTDIYYANWEYYPHACSSSYEAFRDWFRRW LDGTIQICCYADIYHHAFFDGTAHPDGIRTMYIPDLEEQTTLLDMDDKESQLHCHETV QGYCHNWELHNKKERDEEQLRLRITREHYLEGLRNFPQPSASVLKENVYLRPVEIGDV PGLLEIFNWYAHNSPLSTYIEPLEAGDIRQCIDDSTEQKLPFIVAAERRIRATPNNGP QKILGYALATDYLGRRTSGRFTAELELFVKPGHTHKGIGKCLMDKLLEVCDPTYIPKR GYFFDCNTEERTRYWAGGQRRLGRLLFAISYPSDKRSTYSWIEEWLKSQYDFEEQGRL NGARVKFSHFLNVTYLVRNVGYHAGDRFNS AOR_1_2128174 MLSILRKARLKDKEMRILMLGLDNAGKTTIVKRIMKEDVTTVSP TLGFIIKTIDFQGYKLNIWDVGGQKTLRSYWKNYFEKTDTLVWVVDATDRLRIDDCRD ELAGLLLEERLTGASLLIFLNKTDVEGCMTEDEVHKRLELDSIKTHKWTILPCSAMTG KNLQNGLEWVVQDAKDRLFLY AOR_1_3190174 MSSSADAEGSEDVNDFLLRIRELGEKRDKEDEERTRKLEEEILQ GRKERQARRADNARLSTSSFSLRAIDPPEQLEPTPRTPERDISSKSEAIPDDESVTTN GSRRGSRVEINELESSPKAPSVSLRSRAGTLSWQQRPSSRELNRPFFSTSPVRENRLR AMSSTSIDDRGLSGSPTLRSPPTKEAPLDQAEKNASSPMRTVTVEEKLQDLSTQDKDP KASEIEPESTHELEKESSQPEVGEIRSRSPSRASSTFAESSLGHRYSSVSSVSTATGL GSPVPLSSAQKLEPRKTEADPEDQTTTPLSPRRLSPERSTSPTKGLGGIEPRPLIRRE AOR_1_2132174 MGRSNTFKEVTPVGLMRTAAPGSHSKTSSVSGMPDLFGTTDTAN KVKEAPAETTAPDTEGNNIQSAEKSSTDPSKTAETVPESTDQITEPTTTRRKRAPTLL TPTSNASFDSPISPTRISPTRDPLLNRPKPQSPVIDFRANLRKREVVKDQGPKEEPEF KNVFGKLKKTESSNYVPPDKLKDNILRGKAALNATGGPKKTQKVDELKESILKQREAI KSSGGSMRRNTVGENDAPMKVVPEAIAKRQNLTKSSSAKSNVSDTPVSLSPREPGTPQ LSPQLPSELEHSPSPQLATEEHNADAPNTQEQAPEPDHPISEQNSKNEPNESKDQLED AVIGEREKSSEEAIQPVRALPSGNVVQATNPPASTEGLATKGKLAGRINPALAGLLSR GPPATVNGSNNALLVNDTVSSAPSPATATLTHMTKNRARGPKRRLPNPVASEAVDPPS KEATESYETPDFPDISEPEDWDSAAEEPNPREDLLSSKVEEKKDPVKDFGAKTERATW DVSSPPDEETGAFIKDLVPKTETPSHGVPPSLDIEVDASMDLAQKKEPSLEEPLQFSD IKGDQLPTVDSAPQEKPDPQETPRFSTSMGNEAPTVVSVLNEEEIISPEPVLPVINEG TVSENCTSKRDTISRLTMSPDTRESETMNPVSKEDTVVEQIPPPSEIEGHEPIGSLES EKADSPKSLLSPSIMRSDVSSTGLVLEKETESQATAASPDIKEPGTSPSPTQSPVGNS SGWPLPDGDIPAPAASETGLAQPSPSTIGKPIEFKRNIPHMAERDSKQNFGAIQSKPA TEFEKLTQKFEEAHGDVEHRRDSFKPVPPPKAAPSTPTPEFRQSRSSPIHFSSPSPSP LRSSFKQNQIYPSPTAYRRTAPGMSPSSPRDKSLPSPPVPPKSSPSLDQVSSRRSSAS LVPQADESLEAISGFFMTFPNPRDSVNIDAQLMLTSKNENQKIRTLRKQMWEITGDGK KQDLPVNQEYILYEGSMYLCVHLFEADGGARSETHLWCGDDVPDAAIDDAQSFSKKVA KENGCKLEVIKQGKETARFIQALGGILITRRGLSSRSSSSAFFMLCGRKHLGQMVFDE VNFSRQSLCPGYPFVISAMFGKVYLWKGKGSAAEEVGAARLIGMDLGLTGEFEEVAEE EEPESFFECFPQYGESEDYMRPDYWRLKPNHACYRPRLLRIDHELGQQRPTGFWLRRP GSASPVIRPNDTVQEIEPFCQKDIKTNGIYVLDTFFEIYVIVGAQASNRPADFVSAVV FAHEYGILAASLQDRPFIPKSFVSLGGVPGRCCTAFRKWEQPTLRMPPQVFPLNAAIE AIRS AOR_1_2134174 METLDSSIHRELSRLDPAVPFRASTEHIHHTWARTFFSRPELYI QPQSIEEIQKLVTLARRCRRRLVTVGSGHSPSDLTCTSSWLVNLDKFNRVLDISPEAG DVTVEAGIRLKDLGKHLENHGLALSNLGSIDEQSIAGLISTGTHGSSLRHGLISECIT SLKLVLANGQLVRCSATNNPSLFRAALISLGALGIIVEVTFKAEASFKVAWRQTRRAL SSVLAEWSTGLWTSHEFVRVWWMPYEKSAIVWQADKTDLPLRAPPATFYGETIGYHIY HNLLALANYFPRILPWVEWFVFGLQYGFRSEAKVTEAVEPARAGLLLNCLYSQFVNEW ALPLEKGPEAIIRLSAWLNGDTETARIPFPVEGLWVHCPIEVRVTDSTHNKNPRPFLD PSHHDGPTLYLNATLYRPYLRDPPCKDRYYEAFEWLMREMGAKPHWAKNFKVTGRHEL QGLYGKNMDEWLKVRQEVDPDGMFLGEWHRRNLALPGGENDETMSTETRILPLLEREK SRRMANFRGAGDGMEWIGDKQQRGDSGNRVNLLLTQEKKYDGSSEGMSPTTATSEESF DLLAAGEASTLLPDHE AOR_1_2136174 MSRQPKLRPKSHIPGFPDAMYDLATMSSMSQMEARTSLGEDKHD QRVFRVKRKHVLKACDRCRVKKTKCDGKQPCNRCSAYNHPCLFRERKATQTKVYSRGF VEMLESHHSLVVKALQRLYKLCLNKDGFPGEPLTESPDGYPLTHAILDRLGLIKQAEE NADEQDEDSEDLQYLRYMASTDCSATTDPSPEPVTPPEPSPSHCSPVNPSTKTDGPYN WEYQPVHAAHHEQYASYQHSGFYSVTMPRSAVEATGHVAESKCSEALPPVSNPENSYY FYTGTNGNAESTKGPLHPGVTAGPRTHHHSTGMPAELLSNYSLHLHDQQSLYQGLAPS WSSYPCG AOR_1_2138174 MSTDYTYDEQGQFFPFFILTLTGLVTFPLTYNLLKPSKELENTA PRIKSDYKPEHGDLIEAQKRKRLRKERRIKRIVTVVLGYAVMAWMVYLIIVTARTVPK IWDPYDILGISRSADEKAISRHYKRLSLIYHPDKIRPDPAKNETIEMLNERFVELTKA YKALTDEEVRNNYIQYGHPDGKQSFSIGIALPQFIVTEGNGKYVLLVYGGLLGVLLPY IVGRWWYGSQRYTKERVLVASAGNIFREYKDGITGGGIVGALSSGDEFKDMLKGSQAE AGLAKLEKMVLADDSSFLSSADREKLKELDDSTRRKALALLWAYLGRIDLNDATLNGE KYEAAPIALSLNEAFTAISLAFGNLRPILGSFQVSQNIIQAIAPGSSPLLQLPYFTDD IVKSVEGDDAKTHFNVQRFMSLPEDKRHSLTVGAGLLSEKQYASAISVAKQLPALEVS KAFFKVMGEKVITPSSLVQLVVKARFVPPGYSNVPEVTPADLEDVDPDEDDLDALMGR KSTKTKKLANGEKVESKVEAIQPPLAHAPYLARDHSPRWHIFLADPKQGKMAVPPFTF TTFDKPLFDEAGKPTFNVQTLKMQFQAPPQVGDFTFVMHLLCDSYLGLDTKMEVTLHI DDPAKAAALEEEDDISEPDEDSIAGQMQALKTGQPPKKKAKKPEDDSSEDESDTDGDA GDTSDTNTETDVDD AOR_1_2140174 MGQRHNRRRTRPRSRNRSANHSPIELPPYNVNYTRSPAFLKTTP AACDSLDSISIPFAPTWHYGYTTWQKRDRTLRLEALRLEAEQCRLFGGEPGDDVGLCY RMLEYFGGLDYIDSSQSPRLLPG AOR_1_2142174 MRSHISPSMRVSEPSDVVIRSDDTCHIHLTWSGSECDKYPAKQH ARKVAMKLGVSSGLIYLVGQPTVNWGDSDQPQPFRQRRYFYYLSGIDEPDCYLTYDIQ ADLLTLYVPDFDLRRAVWMGPTLTIEEAHKQSDVDRVNFFAALQHDLEWWTTKNKGTR PIYVLHDSQQPLIPSKRLWLDNERLLPAMNAARVIKDEYELRMIRQANYISGLAHRKI LEDIHRMSTEAEIESSFLATCVSHGAKNQSYAIIAGSGENAAVLHYVKNNEPLDGRQL VCLDAGAEWRCYASDVTRTIPLWTDWPSERARNIYRVVEEMQEECIRRIRKGVRFRDL QLLAHDIAIKGLQKLDILTNDCTSAIYESGASAVFFPHGLGHHVGLEVHDVSKRPITA LDGNQANWGNHNFVPLLTDSSWSVPLLDEGMVVTIEPGIYFNRLALLNAQNQPLAKYI NFDEAEKYIPIGGVRIEDDILVTAKGYENLTTAPKGEEMLEIIRRGIDNS AOR_1_2144174 MRGSEASGLSALWGAPSTLTTSVISGPTTSPASQSRYATTDPQR VASNIEHVLLAMSSPSQDGGLVSASGNSSGSTGKGILIGVLSAFGSAAVAVLVLAIFF FFKYTQRGRIILDRIGRPGEYDDEQAFLREETEALEAMDDLSRSEYLRAKAFIEANPP ESMQTDISLSQFLAIQEKGVSAWEFQPELEIANCFVEARTEIEFYDSECSVQTNLPVP KQNDVYYWEAKIYDKPENTLISIGMTTKPYPLFRLPGYHRASVAYQTTGHRRYNQPFT PTPYGPPLSQGDVIGVGYRPRSGTIFFTRNGKKLEDVVHGQKAQNFFPTIGANGPCTV HVNFGQMGFVFIEANVKKWGLAPMTGSLAPPPPYGSEQGSILLESGRESAAQISQRVY QDANNARTSSTVRIAPSASPGPVRSPTDISLAQLAHIPSNEDAGEGSSRINVGDGEHT PLLNTQDIDLAPPPEYSSPDSSRRGSEDLPRQNQPPIPSYDAAVGNRDGNPSRPSGNN AOR_1_2148174 MKGWASAYPPFAHCAAPLFTPLLNWLGFPATSRSNLKKITSHIT SARELRIGGKSNSCPKISSSLRSTSLVGDSVESRNSTEGNCIQSVDALTWLLRFSKLF VVLELFRQSCTQPDYTLRTCRTIAQLLAAL AOR_1_2146174 MKMNQSRTKRASGRGKGRVKTKQDIADPELNVEALTTGPFGRPP EPGKGDLQVIVLGPTGGPREDRVTGLLVRSTSTSWRPDTVIAVDAGTLLSGIIHILET YNDMDEMIVQTGPFTEMPLPFQTAPANAAHILREVIGTILVTHPHLDHFSGFAMNSPI LEATNGPKTVAALPSVISAIKTHIFNEVIWPNLSDEDGGAGLITYQRLQEGGNLRMGR DETRGYTRACEGLYYRCFGVSHGCTRRHYAPEAEMRRSLSNAMYLGDPFMMRSASRAA ISLTQEEPGYMSPAMPRPSNPRDTWMSVESSAFFIREQHSGREIIIFGDVEPDSISVE PRNKRVWEAAAPRIASGKLRAIFIECSYTDDVEDESLYGHLCPRHLIAELKVLASEVI KAKYPSSSGIGKRKRPSRETPAGSQPTSPKTRRSQDVLPGSSTTAITSAPQVVAGSST EPSGTGATGTLDAESNWPHEAPLIHLKVYLIHIKEDMDGGPCPSDTIVTQLRDQAQAA NLGCEFHAPKRGESVQI AOR_1_2150174 MSSQPQFIHYPETREGPSVPYRNEDQSIPVFRGLPLAIGATLIH NVNFIQSYFWRNAGFDIIHDIPHLKQYPARYDPTVIPILENKPEIPSSPAELPIPSQR RNGPSGYYTSADYHALYKSGELKPLAVAETLMPLIRRDAETPGKHSVAFLDSQVERVR AAAEASTKRYKDGKPLGPLDGIPVVVKDEVHIEGYRRTLGSKLDFTGEFTGTSWCVKK WEEAGAIIVGKTTMHELGLDTNNNNPNHGTPRNPHNRNYYCGGSSGGSGYAVGAGLAP IALGADGGGSIRIPSSFCGIWGLKPSHGRISGTPTVSLAQTVGVYGPMAASIDDLALA YRIMAAPAPAEQDPSSASFPDPLTTLQVWSSKPRTKTIGIARDWIDRAEPPVRAVFDR ALDFYRKQGYEVIDITIPYLPEGQRAHILTIMTEIASGLTPDQVGKLSAPNKVLVSMG MWQISGQDFLASQRLRSIIMSHLAYLFGKHPGLLILTPTTPIPGWRINGEADLSRGLS DGKSSVRNMEYVWLANFTGCPAINCPAGYVQDTRVPVGLMAMGEWGTEEDLIAFARDG EAILDLSENQLATKEHLGEQSTGLRIPYGEGSLWEDVIASARQ AOR_1_2152174 MDSSYKARKEAFVSNLAGGSILEINAVTLVAPTSVLLWSVLQSR LSFFIPYGALALVTDFFLNVLPILFATTLYSSAPWTLNILLALPALILLFTSTPSRTQ QKAKPPRPSAAAKKNTPKHASDSPEPLPVHPFLTTYRAAMMVITCVAILAVDFRIFPR RFAKAENWGTSLMDLGVGSFVFSSGVVSARSILKGRNSHSKKAGLWQRLAASARHSIP LLVLGLVRLYSVKGLDYAEHVTEYGVHWNFFFTLGLLPPFVEIFDALAAIIPSYEILS LGIVVLYQVALESTDLKSYILVSPRGPDLLSKNREGVFSFLGYLAIFLTGRAIGIRII PRGTSASRSPQQARKSVLISLGLQTLVWTTLFVFNSTHAMGLGAGIPVSRRLANMPYV LWVSAFNNAQLFLFCLLESTFFPSIHRETGKDGELERTSFATSRIMTAFNKNGLALFL VANLLTGAVNLSVPTLDVTTAHAMVVLIAYVAMITGVALALDRANIKLSL AOR_1_3192174 MASIPDPQPKKRESRAGTRKVTSLSAEQLEIKRANDREAQRTIR QRTKEHIERLEHQVAELKSKGEQYDHVVRRNTALENEIRALKQQLSLARSGQAYSGPA EGSYNTPSGPVLPSQFTEPLSVNPVSRTPSALSTSSQVSVAPDWQQYGSTGSPSICES SDADYSNRHPKLASIPLAAILLSPVSTHIHTYTQEVVPTKCAEGSIHTTLNTSCNVRR VNAQCPCQLSRQKGNFVGTPSFTPPNNINKYRNIPKETITAMIGLVDSDTVGKWSFKE VYLNCVIVA AOR_1_2154174 MASITRALRPLCRTTPSFRMAARRPLPSHLARSTHAFSTTSRRR DVDLSTLTPTPITLLSETESMMADTVSKFAQEQIGPKVRDMDEAEAMDPAIVEQLFEQ GLMSIEVPEEYGGAGMNFTSAIVAIEELARIDPSVSVMVDVHNTLVNTAIMKYGDAQA RRTWLPKLATGTVGSFCLSEPASGSDAFALQTKAEKLSDGYKLNGSKMWITNAMESGV FIVFANLDPSQGYKGITAFIVEKDTPGFSIAKKEKKLGIRASSTCVLNFDDVVIPKSN LLGEEGQGYKYAISVLNEGRIGIAAQMTGLALGAWENAARYVWNDRRQFGQLIGTFQG MQHQLAQAYTEIAAARALVYNAARKKEAGQDFVQDAAMAKLYASQVAGRVSGSAVEWM GGMGFVREGIAEKMFRDSKIGAIYEGTSNIQLQTIAKLLQKQYTN AOR_1_2156174 MSGTTHDPRLLYSVNNITAFHIQNGEETELTPSGPQTLSLLMVP TSASTPQSSHDAPAEEDFYLHLNLPPELDLALPASTQIYHQPPNSYLIPRWDLGPDAG AFIRIQFPAIGSGPDKVSQEDVDTFETILAQCTAFLERAAPPKEHAPYNPADFAPGAG YVSSTSPDQKDPHGRIVLVDEEDGSVVGEMEGYDVVEKPGVKPGSKRPVEVELPTEGE GNKVSVSNVSEEYLQMARHPAYKDSTLVQTSATASRLIVTGSSYVANLMTSGAETFTK KTKPNPKPMTFSEATHSRVRKVGNLTHGAAGISAKTVGQVGKVAQNFGASLTRRNPDA KRKGDKAVNGDYKPGILNKSMIAFSTLADGIEQSARTVLTHGSAAASTMIGHRYGAEA GAVASDLTGGVKNVGLVYIDATGVSRKAVLKSVAKGMVVGRMRNGQQVLVGSGDGGDV PPAAGGRSNITSGSGGRGSVARRPSPTPTPPPAYGAPNTTSLGGISMSGGKH AOR_1_2158174 MNDLQSQSLPHTAHASPALTSAAPAPAQNNHRSTVYPDLLGAPP VPPPRTSSTQRSHGSSERAPGSRHGKSKGDERSTDHRERRREDSRSRRPAPRTSEDQS GGTGEVNSRSPAASSSAPMRSGNPAEAFPGQGTLVKESSTLINQVLVSDPSVDIEREQ VRQAGTSVSPSTDGTPTPGLGLVGSDGVDDGGRGGLRSRHDYNENSIKRKETTFGQYI LGQTLGEGEFGKVKLGWKRDGSIQVAIKLIRRESLGSNPSRLPKIYREISILRDLSHP NIVRLHEMVETDKHIGIIMEYASGGELFDHILNNRYLKDNSARRLFAQLVSGVGYLHK KGIVHRDLKLENLLLDRNRNIIITDFGFANTFDPLDELGEEIEYNLTNKEFVKRMRLD KPNAKGLRRGDLMQTSCGSPCYAAPELVVSDSLYTGRKVDVWSCGVILYAMLAGYLPF DDDPANPDGDNINLLYKYIVTTPLTFPEYVTPHARDLLRRILVPDPRKRADLFEVARH SWLNEYSHIVSHITSSTTKVADIATTTVSHEQPKEAPSLGRSASVREPPKTYQSTVPS LGALVHHAGNISQEQPAESSKTPRDTKRRTVQVEYVAPSSQTTRGEGMGSATAGPSSA AETAEHVPTGARSNSRDAETVSPTSGVPQDAPMAPSAQAGDSKAQRPQSGHLPRSTSD STALTGAGTMPQPHSMRPTTGASMSSFNTGRLPSRGSYGQPVAPTVAATNAHGRLAQP KSKQYVISNPIPQDPSQPAAASIGRPSTQALPAKFNTTPRQEPPKGHKRSNTVSGIGE KLFGRSGSIFGGRGGQANARQKSGKRYPPTSMKDPYGGDMPRMSMDSRRSMQYGSNRK TSETGGESRPRRFSLLPASFSLKGLSSSRSQTPDEESQVDRSTDNRAQQKPSTGELRP RARATSHGTQDAIGVMPEGAPADEVFVQDEPINYQARIDEQFAVLHGTQSATYQPTSY SAEQVYQNDNDHYYRNQYANHSTPNYYEEYNGPYDSAPRQSTSVSRPTRGASVLQKNH RKFADAYEYERDSSHHSGSSGAARKVMDFFRRRAKSRAADDR AOR_1_2160174 MYTYTPTHKFLVLSPIHSGPMNDQAESKEPTQVRERSSSQSSTA SAGSQLRGAFSPLPGGFLYLGHEQDRN AOR_1_2162174 MSIEVDWRAATSGPDGEALAERIRSFIHDKFQQVALPRFIRSVQ VHSFDFGTIPPDLEVKDICEPFADFYEEDEDDETSDVSEELVSGHGTQWHRDLNEPPF HEEMAMNRPLRDPFDEAFHSSTLRSPMEHLNPHFLPRAGTPGIPGGTSTLGYHLMSLG GLSGTQTPLAAVAGGTPFANGWTDPGMGASSRGHPSISGPTAVHPSRMEADIDTSNPT SRPSTSSTLPSHPSASNQPSGDATTGKEHGSLAEDEHLDDPMTSGHPLRLPPRMRERR PEDFQVLCHAKYAGDVRLSLTAEILLDYPMPSFVGLPLKLNVTGITFDGVAVIAYIRK RVHFCFLSAEDADALIGSDQQEARGQDDRPWSSADPTASPKRQGGLLREIRVESEIGR KEDGKQVLKNVGKVERFVLAQVRRTFEEEMVFPSFWTFLI AOR_1_2164174 MIKFWCLALGAAYFTNALTLEKKDLPSVLEVPFESGRFAGAHHH DRRGKRDHTVKLEFYGNDFFYANISVGNPPQKLKAQFSTLDDSSWVVVSDNKELAKPI EQGILDGYNANASTSSRSVKTHATVDGFDQDEVGNIDIMSDTMIVRDVKLEAIRFATL REKAAIGDTLGLGYGNGNSEFISVTQALVDAKAIQSPAFSMWMEENHDQTYIPGTILF GGVNKAKYVDKLHTLPVISPPDLSKLFRVNLTGLSVGTGSTTKSVSPDFFSIGAVFSS ATDFIGFPKAITQDLFSQLNVTMFYENGQPMFPCDKPPENKMLAFHFGDVAFNFSLDP FIAEAPSTTDPKQHEEGYCYLSFLTIEPERSKELGGAIIGANFLKLVYTVFDMKNDEV SLAQRCWEKAPDEIMEIRSGKDGVPDRTTQEKDKANTADKPKKTDESTGSNLKSGNGL KAVIAAGTVLMVALIWG AOR_1_2166174 MSVATMLHPASRTSTSSSSSFQPVSRQNTMSSHDTRSLRQSKRF SVTALYLSMSAKDRDLEISDDLAKAQKYLRELKSKISTQSKKNFVLEKDVRYLDSRIA LLIQNRMALEEQNEVANHLDEAIDPQEGFFPNDEKTQKYGNLLFLLQSEPRHIAHLCR LVSMSEIDSLLQTVMFTIYGNQYESREEHLLLTMFQSVLTYQFDNTPEYSSLLRQNTP VSRMMTTYTRRGPGQSYLKQVLADQINRLIEYRDVDLEINPLKVYETMVMEHEEKHGS LPADLPRSITAEAAAENAQVQAIIAPRLRKLTEIANGFLTTIIDSVDETPYGIRWICK QIRSLSRRKYPDAHDQTICTLIGGFFFLRFINPAIVTPRSYMLIDATPTEKPRRTLTL IAKMLQNLANKPSYAKEPYMAKLQPFIQQNKERVNKFMLDLCEVQDFYESLEMDNYVA LSKRDLELQITLNEMYATHALLEKHSVALAQDQHSRLHELLNELGTAPTQVPRKENRT ITVPLFSRWEAAVDDLTAALDITQEEIFFMEAKSTFVQILRSLPPNSVVARRPLRLDR IAEAAATLKNDAVMVRKGIRTMELLSQLQEMGVIDRSDEFSLLRDEVEQELVHLGSLK EKVLDETKKLEEVFATIRDHNAYLVGQLETYKSYLHNVRSQSEGKQRKQQKHQELGPY KFTHQQLEKEGVIRKSNVPENRRANIYFMFKSPLPGTFVISLHYKGRARGLLELDLKL DDLLEMQKDNLEDLDLEYVQFNVSKVLLLLNKRFARKKGW AOR_1_2168174 MSPKQEPLSPKRAYLLFYNAISTILWLRILLTVLTTHDPASTYT TLEPWTRWTQTLAIAEILHSAAGLTRSPVFTTFTQVFGRSVQVWAINYAFPAITAHSW AYPAMLLAWSAADTVRYSYFVVMLAGIPMPAVLKWLRYSLFFILYPIGISSEWWLMYH AANATSSLAVAGIFYFFLVLYVPGTPMMYKYMVKQRRKTLARE AOR_1_2170174 MDSAADFPPFQQQLTSSLVQMTRTVGQLSAEDLSFHRTSSAELS ESIDEQSGRILSLTSSLLKAATAGTDLPVPTLQDEDSIEDNWRGVVDVIDALLERADA CLDEFTGVIKRLSPSQQEQSAAKATKKTTSKFPTIYDYGPSKIPKPQLYFERQVDNAD DSPFKPLLRTKPHAVVPLEKSVESSDRNPYETEIRAARYPESTYAVSSPVPYQPWEST TATFVDTLEGVKEMLEELKSAKEIAIDLEHHDVHSYQGLVSLMQISTRDKDWVVDTLK PWREELQMLNEVFADPSILKVFHGSSMDIIWLQRDLGLYVVGMFDTYHAACALNYPKR SLKFLLQKFVNFEADKRYQMADWRIRPIPEGMFDYARSDTHYLLHIFDHLRNELIENS TPENNLIDYVLEKSKDEALQRFERSPYDAATGQGPGGWYDYLSRNPAVLSKEQFAVFK AVHQWRDAVAREEDEGVQCVFPKHVLFKVAHAMPLDLGTLFRTLSPVTPIAKDRAADL LAVIKNAKIEGADGPEWRDVYVKPTRAGRSVPATETEQGLVTPPIGEENFPTAARCEV SQFWGAVLDTREPLTPPEYSAVASAEALRLSLPLPPMPRTVSEARDKLAGSAAKPAPP KPTPAPVEMPEEKEENKIFTVKGLGGPRKRKSEEAPSPEGDDSSDVIHIGEKPSKKQR RKEKKNKSASQTPQPEEKKEEAEPFNYEAADSVLHAQPAQTATLHKKRPFNPYKKALE APSGVRKQKRETPGKSFTFR AOR_1_2172174 MSASIAPECNDIKERYDTCFLKWYSEKYLRGNTTSNDCEELFSK YKTCLNKVLKEKGIDSMLEDARKGNSDNDVEHLRRS AOR_1_2174174 MLMSDGLLRVFWPYDLPRTPSQGVIIGWKNSELDLFVLTVLEDV EPRNVDNALRAGILFRNSPHPIVRIFTLCGRSAMHVLGTTNPREPPTAFNPSHLYVNT HPSYKIPRIYCPPETNVSVQVIMFHRPHPTRMEYMSLEPISLALGDKVSTADKSDSVS DKIDTEEERDKAQSQKLVEKLKLHTVVKHVPSHKEQALPLIINQVNCAYEMGKLMEKN SHLIGIRVKRSMSVGERVVESATTLWDLFVLGVSYVFWQWVWPVVTRIFVIGLVFHRT IAEIVLQILEWRARPDAAALKDISATAQQVDIRLQQFCYWPIQYVKLRQRKDNWESVT TSHPDYIRFYNSLWLVANDVIIGIALGSYIIDNANWVALQINSILTGWTVEGLQRTIS WLMDWPAGLKLNNELAAFLGDLFLWVIENWAAFIANLQPYLPHVIYVVGCSSFAGASM PIALFSDLVSILTVHIYSFYIASARIFNWQLTIIISLFHLFRGKKRNVLRNRIDSCDY DLDQLLIGTILFTVLFFLLPTIIVFYLAFATARMSIISVKAALDTCLAFLNHFPLFAL MLRVKDSRRLPGGVHFELREEHDKSSNTDSASTVPYIHLESIPLTLRAMFDQYFQLGH RLRKHYLSPRVIFCLVTGRFVPPIHRRNLYSMQYSMLPARRAGMAEVWSLLTQPRKAN SGSGGSSTMVGGMGATANGLLKVPNGFGQGDMRRRGHR AOR_1_2176174 MSSRPELKVDDEVGFIRFYRSLAANSNDETIRVFDRGDWYSAHG AEAEFIARTVYKTTSILRNLGRSDSGGLPSVTMSVTVFRNFLREALFRLNKRIEIWGS VGTGKGHWKLVKQASPGNLQDVEEELGSVGGLSMDSAPIILAVKISAKAAEARSVGVC FADASVRELGVSEFLDNDIYSNFESLIIQLGVKECLVQMDANKKDVELGKIRAIADSC GIAISERPVADYGVKDIEQDLTRLLRDERSAGTLPQTELKLAMGSASALIKYLGVMTD PTNFGQYQLYQHDLSQFMKLDSSALRALNLMPGPRDGSKSMSLFGLLNHCKTPVGSRL LAQWLKQPLMDLAEIEKRQQLVEAFVVNTELRQTMQEEHLRSIPDLYRLAKRFQRKQA NLEDVVRVYQVAIRLPGFVNSLENVMDEEYQTPLETEYTSNLRSHSDSLAKLEEMVET TVDLDALENHEFIIKPEFDESLRIIRKKLDKLRHDMGVEHRRVARDLDQDIEKKLFLE NHRVHGWCFRLTRNESGCIRNKREYQECSTQKNGVYFTTSTMQTLRREHDQLSSNYNR TQTGLVNEVVNVAASYCPVLERLAGVIAHLDVIVSFAHASVHAPTPYARPKMHPRGTG NTVLKEARHPCMEMQDDISFITNDVALVRDESSFLIITGPNMGGKSTYIRQIGVIALM AQTGCFVPCTEAELTIFDCILARVGASDSQLKGVSTFMAEMLETSNILKSATSESLII IDELGRGTSTYDGFGLAWAISEHIVTEIRCFGLFATHFHELTALADRYPKSVKNLHVV AFIGDGTDDDSEDKKSKRNQVTLLYRVEPGICDQSFGIHVAELVRFPEKVVNMARQKA EELEDFTSSEQQDQQSSMAIDKYSQEEVEEGSALLKAMLLKWKSETESSGKELTVEEK RQIMRDLVKADEKLQANKVFQGIKAL AOR_1_2178174 MAVTMPRTSTRQAHLPRGQTGIQSFARTTKPGLRSAADGKQAVA SLPVSPSKKRKLNELENVDCNSPRIQEKGEPTTEALTPSKSLRIKELSLSTPRSGHYA SPTGTSRTAVTGEISAIPTSPSKRARGKATSRSCAAVLHVRPTCVNDLIKLHSAFLKA ITFHHAHHGSVTPADLREFLPCVERLWKKRKVVVKDVQRLLWIWEQDSEATGLNFRIA NYGLGKICLERVRREQWVIDDNELQEQFEQIVDLLWEKALDAADGDESQVDFIATLGV SSIHESLTPFTNFRKGQQRLQDLKGGVIKMKTEKLKAAPVAESPEKTPNATNARRTGL LDRIRSKALRQSKLPPPPSKEMLLRRAAVERIEEVAGVLALLRPAGYVGSGPKATVAA QRKPFRLEMIVQNVQDSSKNPISEKEVEICVEVLARPDIAGQWVDFVTVNHIKSVVLK SSADINLKDIGAKVRELKFGEDEPASASNP AOR_1_2180174 MSNRTGLYAALAAAGVGGYYLYRAGGDPKAAKQEIKHDADVARN KVPSTDKAERAGQKVGAEAGAHIDEAVSNARTQAQEASNRASGLAHESLDKLNEARQD ASATLKANVDKFDKTVEQKTSEAKGSLSSWWSGSK AOR_1_2182174 MSSFLPVNNISSPQDRVMEEATPPTTPRPHLTNVPEAQDAAKMV DMEKDGSTPRNVNNSDHSSQPAGSQGSGLSVSEEASHGDSEGDRDGSDHDSDHKGDAP PSKKKKGQRFYCTDYPPCNLSFTRSEHLARHIRKHTGERPFQCHCSRRFSRLDNLRQH AQTVHVNEEIPGDSLAATGTRFQRQIRTDRVRPQGRARAGTGGSQGTHSRGHSRNLST SSITSTASTFSQPPELRRRPPPLIMANDGRARLALDTMGDPPSTPPGQIRGVPGPAVA GSPYAPSHIFAGGNGSPHVASPMSAASHASGFWDGKTAARRLSVPSGANPFASQPVNA YPPGYANAGSTAAYHPQGGVYASPVSSSYSISRDETSHNAADADLRRRTWHPSTYSTV SRPATSGYGNYQPTETLPHSYGANTQDEQPPRLPGIESFDKVVSRPLTPPVRRPSPMH LDNGNKPPPNYTFGGGFNYNKPSVRPPPPISGPGHRRGHVSWDMSLHTNLTGLHIRDK PLHKDASHWSQQTIAELHNVGSRPSSSYHPQQQYQDYRGHHSRGPSYSSTIHATRTSP EDSSSSEGVHTPSTASLEYHPAIVHNNGYIEPHHTPFSSDTSQTASQSDGYHSKPKPG TDFFNNNHPSSRESGMGRLEALVAVATSENKGSAKLFA AOR_1_2184174 MPNVDGEARMCLARETLNVDRRDDEKEGSGSRVVKERSGGQERE VR AOR_1_2186174 MAEGEPSYIDYEAFLDPDFSPASFANSLVVATNNATDTPLDLST PLSRVLFDLQEIDTHIHTLTTKSALPLLTHTRDQTAAADHILKEAEEQVSSVTQGYQR LEKEVLRKWEAADEVRIATEKSLATVRLARAVARCLTLGRQLEGQLAEVTGRGGLADG TASPSPGRDDYRALERAASTIVSLRRMFTATGPGEEGHGLEKVKVIRTLRSELLIPAE NMVKSRAQQAINRFTMSAQSGYKQAGDARARLSSATTILYLLSPIPKDLSSASDFQPE LLLSTLQGYMHTAIMSSLNALSRSLSMLPTLDKTLVEISAKCQDLFALESILASLRPP SHPLFPPAPTPETQSQGGSKNNLLQPLLNALDASSLPSHFWRSLASSLTSRVQEIVNR GGVSARTLRSNRDRLKKDIKECVLRGSQLPASTDKGRMGADSGMKGNWEREAAVMVSA VASVLDR AOR_1_2188174 MTTGFAVRKNGSCLRTETDCGETVGGFRGCCPGGTFCPHAYNID CCPAGKNCTESLVQEPRCANATWDLYDNGGYFCCPHDTIGFALAGQYDGCAGTGYSFG DQDTRLEVISSGTALPLSTSTTSGISSISTATSATTTSAAATQTSSPSSSSGVDKGAV AGGVIGGVAGVALIAAFVWLFMRRRSRPQPAALQDGNTPIHDYKYVSSQPVEVDGQGY RYELESRPNAPVHELPAQLPGR AOR_1_2190174 MSTSTATENTTQQKVVVASADYSNMNVKPAIEDAVFQATARGDR NGTIKLPGIPAFTDLYEKRKWMKEHMAAAFRFFGKHGHGEGISGHISMRDPILEDHFW MNPYAKHFSAMKASDLVLVDQDGYVTEGGNQAPINEAGFMIHSEIHKARPDVVAAAHT HGVYGKTWSAFGKGIEMITQDACNFYGKLGVYVDHGGIALAQEEGQQIAKALGEDKIA CILQNHGLLTVGRTVDEAAFLLSSLDHACHSQLMAEAAAANGVPKKIINDEVAQYTAN AVQTPHHFYTEFQPEFDLIVEETNGQVLL AOR_1_2192174 MPPRRRPPAGARTDLPPLKIVRKIVILQLAYYASATALILFTTV VYGTPFSLDLVLSWDSLRGDTTIGWMLGLVWMLNSLAGIIFLLLLVVRSKLIPDFALT IHFLHLLATSLYTHSIPSNLLWWGLQFASASLMTFGGIWACQWRELRPISFGGIAGSG AGQSSGSSSEPPVDRGSPSRGRGRERGLQSDGGEYEMAEMKGVGEQAV AOR_1_2194174 MGWLPWSSDSKNTASDGGRIAPDRSSRQKCWEGRDLFFSCLDDN NILDAIKEDKEARRKCGKEIAEFESACSKAWVKYFKEKRVMEYNRDKTIERIKKEDAA KVQDLKAQGWNPR AOR_1_2196174 MTPSSPDEALHFRGKTLTPESPRPLHIAEPANIPVLQNQMDPIF NDTSTYEKSESAVEHRAQLHTDLPSQYAHYAGSGDVQGKYGSVQASGQFQTQTQDPAT LIPHHPFPDLPPSAPHASQSIPIASEIDHAASSWAASSAPQDRLDTRNKPHNTGEDGV DFQNLLDNLPPSSTAAPSAPAVSQAVPSGDASADPQATDEALQSSLGLPPRPPPQEKP SIHPNYNPSDDIRSYHQLPPNASNAQPSYSAQQSNYQSNPALPPLAGAGAPGTTSGVS SLPPPPVASFQQSPPATAEAQAPSSPVSIKAGRVDKQQVRQSKSTDDDAPWGPDVQKK YDEFLHDERVYVTEGLWDRFPEGSRLFVERVTKRDLFHIFHKYGKLAQISIKQAYGFI QFLEAPACKQALDVEQGAVVRGRKVHLEISKPQRNTRPGPAPAEPSRAPPARRSRSPE YSRGGPPSSRNPRAPTDRYDRPYESPRVPFSDFRDEPTHRRRDDYRPPPRSPSPRGFR GRDGYRSRDRTPERYDRRERRRSRSPYARDRRYRSPSPRARGYEGDVELPVPRRAPRD VPEVQILVLEEVDRNFIFHVENAFRNRGLRVDVLVLGPRIPLNAAVQRQISEGVLAVV RLARPNQFSRKIPLQVFDRSGGADNVRFNEYPDVEPNIAAEIVFHAQSVQRGAPPTPF PPNPAFGVPPLAAPPMPQAPLPALSNPPNIANLITSLDGPTLQSLLGALQQRQSAVPT AQQPFSTTASTPNAGADLASLLSAATRQPVPANPQHPLPPQPFPIQAPNAPVVSDPNL ISLLAKGLGGQQAQNQATVGPHVQNIMNQLGKWKQ AOR_1_2198174 MVTLQLYPRIVHWFPPLRSFSALTARTFASKQAAATERLQDSNE DLAAARKWLTGLTSKTIPRQICEISFSRSSGPGGQNVNKSVDLAVNSKATLKVPLHSL LPLVPRILHRPLRSSRYFAERSESLVIQSEESRKQAANVESCYEKLHQLLKNTARDAI PGETSQEQRDRVHKLRKAENEARIKSKKLHSSKKSNRRGSKFDE AOR_1_2200174 MSAATDKARFFLEKSVPELKEYERKKIFSKDEITAIIKKRSDFE HKLNARGAQPSDFVRYAEYEMNLDALRRKRVKRLGIRGAGYSGQRRIFFILDRATRKF HGDIGLWIQYIEYARAQKAFKKLSTIFDDVLRLHPTNVDLWIYAAQYALDDHADMTQS RSHMQRGLRFCKSSRKLWIHYAKLELIYTAKLVARQRILGLDREIEAPKPTSDASFED PNADMIALPQITGEDINPSARDSNGVDQVALENLRSTPAMSGAIPLAIFDTAMKNFEQ DAKFGREFFDMVVDFPDLPCLRKILEHVVNALQQSSPTSHHTQICYIKLPTAGVQPTS PEFPRALVTSFARLKEHRENPNVAKEVINWLQPLENAEGLDPSLQKAITATVRNAERV L AOR_1_2202174 MAPKFKDGDAVVAVNGKWVSWAHTVAAYAAFLSALVVGMSLHFR KIVQNEHYGYPDEWFPSVSATIGDRYPERSFFQVFIAITSGPRFALVFLWYILTSRPN SALPKFIAGVGLFRTFTCGGWTYVTSTDDHDWHDIFMISYLVATLPWTLGCLALSPNN RRAVKYRKILAGCFFGTLVPLVYYFIQHKVHKVAGAYTKYAFFEWSLILFDVGFDAVT ALDFDAFEIVVRDVKGISRGYVQWLPK AOR_1_2204174 MWTQLKTTADSVLEKEKGKPVGNTFGEGFFWTEIIDAAADAYNM FVFWSLWTALGVLVWSILSYVSPVLLVIPSLKSAATRNPRLFHFLSLSGLLAYKVQDP ANRLFMTTFAVACSCIAWSATLYAERANSSRLESRIFAWGIGLIMSSIAKFACKTNNP IWPIMHAENGGWNKVGLFLGVLAVLRSHRRPPTNGGDYFPSSGRKGSSLFAAFGIGGL LFAMHSLLSDSSTMIAWVWEGYPVRGPIAVPHGALTILAMGAGLIYGLYYPGVAGSWT AYGIASVGAALLTCSSHWTGFYGGLILAFYLLAVTPVLVSSAVRHSLAKTFGIGFLLY TFLILFHVWVVAYAFVPGGPLVREHTDWIMITTMLSIGAGVFSAAVTNSSGTKSKIVS PNGKRQRSYFIYILATLQLISMAIAYLRFPTNDYVPYHKEDKVATVGIWTVHFGLDND MWSSERRMRNVIQELELDVIGLLESDNQRIIMGNRDMTQVLAEDLGMYADFGPGPNKH TWGSALLSKFPIVNSTHHLLPSPVGELAPAIHATLDMYGELVDVVVFHSGQEEDPEDR RLQTEYLSKLMGSSPRPLILLSYLVTKPLEGNYNTYVSELSGMKDIDPTDWDRWCEYI LYKNIKRTGYARVSRDSITDTEIQVGKFVIGEPEPENEMRLPEEMVPEGRRFPGLFRG QGVRGHRYHVFDEPRYWQ AOR_1_2206174 MSKSPVESHKPNSKTDSQQFSGSEGPEVQHVEIASGPGTGSCSN PSTPFDMSDERQLYSRTPPPSRTLANARVALQQLVLKSRYDSSYMPLEEYGPLGSINL PTQPPPARVVDQSDVSNTRKSSSHSAGFDARYAQENALPAISFGVNTPMPRWRIERIH PLLARGSPALRWVFDAGTDFARFGWSDDMVYEYAYRWLEESLNDSSFRRYARSKILDG HGEEPLPTLPPAPSTCYFQSWSQSSGADGSWYTHADYERSRMAWPIGTQQ AOR_1_2208174 MFTFTPLLGAQSSSSKASQSILELDGGIKILVDVGWDDTFDPLD LQELEKHVPTLSLILLTHATPAHIGAFAHCCKTFPLFTQIPVYATSPVIALGRTLLQD LYASSPLAATFLPKASISEPGASTSAASAAASAAASAAASAPEGEGGADASHSGRILL QPPTAEEIARYFSLIHPLKYSQPHQPLPSPFSPPLNGLTLTAYNAGHTVGGTIWHIQH GMESIVYAVDWNQARESVMAGAAWFGGSGASGTEVIEQLRKPTALVCSTRGGDKFALP GGRKKRDDLLLDMIRSTLAKGGTVLIPTDTSARVLELAYALEHAWRDAAGTGQEDNVL KEAGLYLAGRKANTTMRLARSMLEWMDENIVREFEAAEGVDAATGQSRANPGGQRSGQ NQGKEEKGTGPFTFKHLKIVERKKKLEKILNNQAPKVILASDTSLDWGFAKESLRLVA GGPNNLLLLTESFHKERLADNQEDPYRKTLGNMIWEWYEERKDGVALEKSSDGEMIEQ VHSGGRELSWSDVHRAPLDPGDQLLYQQYLATKRQLQDTSQARGQENLDNAADALDDR SSSTSEDSETEQQGRVLNFSTSLAHSNRNKLGLSDEDLGVNILLRRKNVFDWDVRGKK GRERMFPYVAPRKKGDEYGEFIRPEEYLRAEEREEIDMQQRRSDSQTKLGQKRRWDET GPAGRRLSSSGAKRQQFPGKKDASTADDMSLTEDGEGADAALESEDEADSQTFEGPAK AVYQKASLTINARIAFVDFTGLHDKRSLEMLIPLIQPRKLILVGGMKEETTALATECK KLLAAKAGVDVSAADSAVIYTPVIGEVIDASVDTNAWMVKLSNSLVRRLKWQHVRSLG VVTLTAQLRGPELNPPEDAADSPSKKQKLLQEETSSPATAPTVDGTKPTADKSDVYPV LDILPANMAAGTRSMTRPLHVGDLRLADLRKIMQGAGHTAEFRGEGTLLIDRMVAVRK SGTGKIEIEATAQSAAAVGRGAGSFLDVKRKIYEGLAVVAGN AOR_1_2210174 MASIRDDDELLLARIGYKQELRREFSKWSTVSYAISILGILGSV PATFGSPLAAGGPATAVWCWFFGSCMAMCIGSSVAELVSAYPTAGGMYFVTKHVVPEE QVPIFSWVQGWCNLLGQTAGVSSVVYTVSQMLLACVSMNSELVDGRYSYSPTALETVL LSILLLCILGAICSLTTKTLHRIVYWFAPINISATIIICIVLLAYTPDKQPASWVFTH FTDGSGWGSKFFSFLLGFISVAWTMTDYDGTTHMSEETHDAATLGPMAIQTAVLVSGA LGWILTVSMCFCLSDFEGILNSPTGLPAAQIFLNAGGKRGGTIMWGFAILVQFFTGCS AMLADTRMAYAFARDEALPFSSTLSKVNKYTHTPVNAVWFVVFFSICLNCIAIGSTET ATAIFSITAPALDISYVSVILAHRFYRNRVKFIEGPFTLGTWGPYINWVSVIWVLFIS AVLFFPPRVPITVTNMNYGICVGAFIAAFALVWWWVAARGIYQGPRTDDHIQEVSTED LGSGYGAVDVAYSTSIQ AOR_1_2212174 MADQSMYNTLGQGTSPAEDPSNPNRMAHQVPPQSQPAAGFPPGP YPPQPGAYYGNPPPNQYDAPAAAPPTQQLQSPPPRGLAPSPQLAYGTETQTHMGAPAD PMAGLASQMSGLGIMGDSGARPGKKKHRHAHHEIGGATASAPQQFAGMPQAGMQPSSQ FLNTGLNQAPRPISPAAGVPPAGIVPQPGVPAPGSGSVPTQGKIDPEQIPSIPQSRDI PTMYYFDHIYPTMERHLPPPAAVPFVAHDQGNSSPKHARLTLNNIPTTSDFLSSTALP LGMVLQPLARLDPGEPEVPVLDFGEMGPPRCRRCRAYINPFMTFRSGGNKFVCNMCTF PNDVAPEYFAPLDMSGARVDRLQRPELMIGTVEFMVPKEYWNKEPVGLQRLFLIDVSQ ESVNRGFLKGVCKGITEALYGAPDASEEDAAARRVPEGSKIGIVTYDREVHFYNLSAQ LDQAQMMVMTDLEEPFVPLSEGLFVDPYESKDIITSLLHRIPKIFSHIKKPEPALLPA LNAAMSALQATGGKIFASICSLPTWGPGALHMRDDPKVHGTDAERKLFTTDNQAWRTT AGKMAEHGIGVDMFVAAPGGTYVDVATIGHVAEVSGGETFFYPNFHAPRDILKLSQEF AHAVTRETGYQAMMKVRCSNGLQVSAYHGNFIQHALGADLEIGSIDADKAIGVMFSYD GKLDPKLDAHFQAALLYTTAEGQRRVRCINVVAAVNEGGLETMKFIDQDCVVSIMAKE AAAKTVDKSLKDIRASITEKTVDIFSGYRKVFSGSHPPGQLVLPENLKEFSMYMLALI KSRAFKGGQEASDRRIHDMRMLRSIGATELALYLYPRVIPIHNMQPEDGFPNEQGQLQ VPPSLRASFSKIEEGGAYLVDNGQICLLWLHSRVSPNLLEDLLGPGQSSLQGLNPQTS SLPVLETHLNAQVRNLLQYFSTMRGSKSVAIQLARQGLDGAEYEFARLLVEDRNNEAQ SYVDWLVHIHRQINLELAGHRKREDTSAEGSLTSLAGLRAPYW AOR_1_2214174 MMFTKTITLAALASLAAALPTANPVKRSGGVNIVNNLGETVYAW SVSDRVSNMHTLSANGGNYQESWQTNDNGGGISIKLSNTQEQSNVLQFEYTQSGDTIY WDMSCIDLSGDNVFTKYGFSVTPSSTSDNCPSVNCAAGDTACAEAYLKPNDDHATHGC PIDTSFSVSIGV AOR_1_2216174 MTAEDICPLDDPKHGVNVESLQDQAAAFDLSFREVQPVDIRVKD LSLEVDISPPIWETAPSQIWRRLRGKTTTDARKTVLDGIDAYMPSGSLTAIIGSSGSG KTSLLNLMAGRMGMTKASVAGTTTFNGDANIESVRSAYVMQEDVLIPTLTVRETLRYS ADLRLPPPTTQEERHQTVEQVIMELGLKECADTRIGTNIHKGCSGGEKRRTSIGVQML ANPSVLFCDEPTTGLDATSAFQIVRTLKRLAQKGRTVIISIHAPRSEIWSLFDNVVLL ARGSVLYSGLSSRSLSYFENHGHVIPPFVNPAEFLIDLAAIDNRTEELEAASLARVDF LKTSWRTEEKTEKEVLGSRKDDEKSITRAQAIPIARAVSFSRQFRVLTSRTFKTTIRD PMGLAGSLLEAVGMGVISGWIFLQLDESQAGIRSRQGSLYTSSSLNGYLILLYETYRL TIDIRQFDRERTEGVVGVPAFLLSRRAARLPLEDLPVPIIFAVIFYFMVGYRLSVGQF FIFLALTILTHYIAITFAAVSIGIARSFPGASLVGNLSFTVQSFACGYFVQSNQIPVY VRWLKWVAYTFYIFGALCANEFIGPNGPPEGQFYDCPFSDDPNDPACTQYTGRYIMES LGFPPNWIWRPIVVLVAFVVGHYLLAGILLQYNHFAMDIAQARKSDKDTSTGVEKMAV RPAEEARKVVISLDKYALDIRKRGLFGRGSRTLSILKPITAEFRPGNLNVIMGPSGSG KTSLLNSVARRLHGSLSTQYRVHGDMLYNGAVPSESVIRSVTSFVTQDDDALMPSLTV RESLRFAAGLRLPLWMSREEKNRRAEEVLLKMGLKECADNLIGSELIKGISGGEKRRV TIAIQILTDPKVLLLDEPTSGLDAFTAMSIIEVLKGLAEEGRTLVMTIHQARSDLFQH FSSVLLLARGGYPVYAGDGATMLSHFGALGYHCPQTTNPADFVLDLITVDLQQVDREA VTRERVQKLILSWDDKTFDLGRQTSHIATPAELGSLKRQMLPFRITYPLVLRRSAINF WRQPPLVMARSMQVVGIGIIMALFFAPLKNDYAAVQSRMGFIQEFGALYFVGMLQNIA IYPNERDVFYREEADHCYSAETFILQYTTLEVPFEILSSLIFGVLAAYADNLGRSATM FLITAYNCFCIVSCGESLGIMFCTLFSHVGFAVNVTSILLSIANILGGVMSLNVNEVL QGLNHLSPVKYAVANLAPYAMRDQEFVCTAAQRLADGSCPIQNGQQVLRLYNLDKNGA INVMALGVCTIIYRVVAYGLVKAMRWERK AOR_1_2218174 MLSMQKSSAFVFTPTELDSSHRHQSNSTRSGSFSENPALADCPF IDDSSVSHRASISTDGLSNESLESWDGDGPSASSPVPELEVKIHDVSQSLHSTFPPTT TATFTFNMVTSHESDVSMDDVTPKIEELDDADELQSIKPLGVDPMANANSEVTATPVN VPRKRGRPRKHPLPIPGGQLKITKGRSKTGCITCRRRKKKCDETKPSCLNCQKNAVVC EGYPKKEVWKSGKQKLEDAARAQSMVSRSLPFLIDGIEDDTDRRFLDHFVYGFSRVLT LINDDSNPFKEILLPMATQHRGLMHSLMCLSGSHLSGLDPEPKFIARKYHHFHCAIQD LQHNLLIKASSKPSNPGEEPDLLVEDPIIASTIALSLNTICEGETKGEYRMHMDAAKH LLKHQKPRNEKFRQFIVEFFQYHDVSNSVTSLDRRPAHLNGDLRLPDFVPHAQAGMFL GVFDGLFNYISEVTRLRDKIRQRHNEGYEPAVDYQILSEAVSIDSAIRLWETSYPPNT ANWSLAQLYRQSTWVYLYRTIRPSQPGDKIGQVVDDGLEYLDQLPQDAGAYSIVLMPL FLLGCSAFLQHQRERIQKGFETLKSYSNLRNIEPAFKVVEKVWEVMDSNIEESWDWEK IIKDMDMDFLIT AOR_1_2220174 MTLLYIRGLAPYQPGDNGTDVIINEVHFNRTTLDTYNYRLYTNG TLSNGTNCYLAFQRFRPHMFAENGTFINGTSCYAPINDIGQHASLGLAYALMFVIAIF LSLINLRKHGRSYLRHDHRWNIVSRRLKWCWLIFVAVCGAISCFMSIDVDRNYLQSAP LILQSVFYTLLTPGLMAAVWEAVRHWASWQARQIHDRDPYAFTKTSTRTRQETLLPIL FYILALLNFILTVPRSWSAIELQRSEEQQTLNARPVATDTRWRAAGFIALAGMLVITY SLEHSIYRYKPRPSSTAGQLLFYLNAAPSQFLLAIAILGIKIGYAIASAFDWTVSPLK YDVQSGWIYGLGYTPALLIILLFNICGYCELNEDKALIARRGELESALASDVGIGQRN PPWWKKQRLRSLAREVTGRGSPIDRDMEDMARYVEMGIIKPQEQSGDRVVGIAELNKE EPRITTRQATSVGDDGSESPLDHVEYVVQPERSASPQAIPRGADI AOR_1_3194174 METYHGHVRTPADAIILFEACRIGLLPRVQRRLSEKERQLIRSG SVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGTGVAQSTMSRAGKTPE SRGSDDDRGDGADEGPDGYRYKPDGLMKQSFSITTSTGQHLHLISYYSRSHPSAANLQ QPSTDPALRHVRPQKGLYPESTVNDQQNLPVVTRGPMAGATYSVPPHPMGAYARSGAT HPQSYTPSYAWPPTPLATPPTVAVPYPSYLPPVSGTNGHGPYAHHQPHALPPPPPPQP LGTPYERPVHPAEALAPTIQAPSVPHPSLPVIANGRSPRLVADPHELHQRSPQEYVPV DLRRASPRTQPPSIPPAAGLVARSPHLSSQAPTSAVHISPPATTIKPPEPSSSGTTVP SIGALMNGTPASGSLPAITAPSSGRAEGPRDIPSEKIGFGGEDMRALRQLDRVFTA AOR_1_2224174 MRTWRRSFATSVARLNHKPAGQGVSPTLLRCAQVLEQSKSGTCS LEDQEIKLNGFIRSVRKQKRFAFAEISDGSTIEPIQAFLRPAQAAELSTGTAVEISGV WKACPPGKEQTHELQTTDVNVLGKADPETYPIQKKYHSPDFLRQIPHLRMRTPFSSLL SRFRSECLYQLGNVFRFAPNGGYVQVHPPLITSSDCEGAGETFTVLPREAMGAQSEGE HFFRAPKYLTVSSQLHLEAYAAELGNVWTISPMFRAEKSDTPRHLSEFYMLEAEMNYM HDLDSLTDSVEYILRDLVRRLHDTPVGQEILSAKRSGESGQDQTEGSGANLKQRWNDM MEGPKWGRMTYTQAIEKLQDAVAKGQATFEHAPEWTGGLQLEHEKYIVDVIHNGRPVF VTDYPKVVKPFYMLPSNGDSSAAPGETVACFDLLLPEVSEVAGGSLREHRLPNIIQNM REHGLIKTRAPPALEAEAEAGAQADSETPMYPHLLPGEDLGHLQWYADLRRWGTAPHG GFGLGFDRFLGYLAGVSSIRDVVSFPRYFGRADC AOR_1_3196174 MSGRAETRTPDPPDRDEQENSPPRLVRPKRTTRPPAHYAQEQEI ETEQRNTRSQRKKKNQGKPVAQDKAATSDDSSTEREDSDTSKLVKEIVKLRREIRRRD ELYKEELQRVKEEFGAALTEFRHELLANRPPTPQAHPESCAQSGHEEILREIQSLRVA VNPSGSPSYADVARTPPTSQPSNIRTLSSWNTTPTTFTDTLYCTIDTSKMADTESERP SAGPIRTAVETEIRTMENYTNWRCRAVTVDPKNTNRIRIACRDEAEHQLVKKVAETKV GAGARVLRDELYPIKVDSVNRTAVLDENGDIRVGAAAAFGEENETTVAKIAWLSRKEN AKAYGSMVVYLTKGSDARRLLADGFFHAGGESGMTSTFEHRPRPIQCYNCQEIGHKAF QFAATNRFQSAFHAEALTNRIARTVGSSIHHIMNKTLRVIQLNVRKQGAVHESLMNDE ETQNTVALAIQEPQARRIQGRLLTTPMGHHKWTKMVPSTWREGRWAVRSMLWINKEVE AEQVPIESPDLTAAVIRLPERLIFMASVYVEGGNASALDDACNHLLDAITKVRRDTGV VVEILIMGDFNRHDQLWGGDDVSLGRQGEADPIIDLMDECALSSLLRRGTKTWHGGGH SGDCESTIDLVLASENLADSVIKCAILGTEHGSDHCAIETVFDAPWSLPKHQGRLLLK NAPWKEINTRIANTLAATPSEGTVQQKTDRLMSAVSEAVHALTPKSKPSSHAKRWWTA DLTQLRQIHTYWRNHARSERRAGRKVPYLETMAQGAAKQYHDAIRQQKKKHWNQFLAD NDNIWKAERYLKSGEDAAFGKIPQLLRADGTTTTDHKEQAEELLAKFFPPLPDNIDDE GTRPQRAPVEMPAITMEEIERQLMAAKSWKAPGEDGMPAIVWKMTWPTVKYRVLDLFQ ASLEGGTLPRQWRHAKIIPLKKPNKENYTIAKSWRPISLLATLGKVLESVVAERISHA VETHGLLPTSHFGARKQRSAEQALVLLQEQIYAAWRGRRVLSLISFDVKGAYNGVCKE RLLQRMKARGIPEDLLRWVEAFCSERTATIQINGQLSEVHSLPQAGLPQGSPLSPILF LFFNADLVQRQIDSQGGAIAFVDDFTAWVTGPTAQSNREGIEGIIKEALHWERRSGAT FEAEKTAIIHFTPKTSKLDREPFTIKGQAVEPKDHVKILGVLMDTSLKYKEHIARAAS KGLEAVMELRRLRGLSPSTARQLFTSTVTPVVDYASNVWMHAFKNKATGPINRVQRVG AQAIVGTFLTVATSVAEAEAHIATAQHRFWRRAVKMWTDLHTLPDTNPLRRNTARIKK FRRFHRSPLYQVADALKNIEMETLETINPFTLAPWEARMQTDGEAMPDPQAIPGGSIQ IAISSSARNGFVGFGVAIEKQPPQYRKLKLKTFSVTLGARSEQNPFSAELAAIAHTLN RLVGLKGFRFRLLTSNKATALTIQNPRQQSGQEFVCQMYKLINRLRRKGNHIKILWVP ASEDNKLLGLAKEQARAATHEDAIPQAQVSRMKSTTLNLARSQAATTKALPEDVGRHI KRVDAALPGKHTRQLYDGLSWKEATVLAQLRTGMARLNGYLYRINVAQTDQCACGQAR ETVEHFLFRCRKWTTQRIALLQCTRTHRGNLSLCLGGKSPSNDQQWVPNLEAVRASIR FAMTTGRLDAV AOR_1_3200174 SFGFSVSDITMFLKGFYHIIDILKAEAVDKWKTYNLTYSRLYQF TQILKRIQVNDPDSRVLLSHIQRETATTLKEFFIKIRGFEKYLGPSRDRRSFLGAIQK IRWSMRLPKLDELCTKLESQVAHANFCLVAQGK AOR_1_2230174 MLKPFQIRDLHRSSSQDNLAASQSPDHAVVEDRSILQNRQQGIV RLSATDYDEITANHPRARLTYVDEDDDELITVGSSLELSQRLEEPVDTSAIPQPSLES ISPEPMHIFDIRRSNSVKNLWKKFEYKPHLEDHQDRNEIGTVPTTADDSQSNAQEEPS TSRENPGPATTDVPEPLLAAFEAEMARILNVTNTVRDGNSEPERPTETPAGAESNDRH QHPSDAFVHALHNLVEGAEMISAGVRSRLPEFERQLQNVQRTLPEHVGSSVQVALAAL DSQARNLIQALNNASTVGGQRAGNLFQTELPTPAATMEGLRNMASELGHMGNTLFEAF ESEFRCNASRSQDQGAPGNSPQTELTASARPDIPAASPETQQSNLAAKCENEKEPLGI DTQAAPSTEVGSPERPLRRRATERHSLRDGREMSSTNTFNRRSYRSDAMPRPNTSTTE SSTRRQRSIDDCVSALASLGYGGAEEGGLQRIAVYAAAVDGRVSDAIEMIEEERKAYE QQGPMR AOR_1_2232174 MAPPNNAPSHTKPSANRNVKHVVLGDLLFQTWYQSIYPEDLVSK DTDRLYVCRWCFRYSCDADAYAKHTRVCENRTTPPGTKVYDHGGYAVWEVDGEDYKLF AQNLSLFAKLFLDHKSVFFDVASFLYYLLTSTDPDDPENYYILGFFSKEKLSWDANNL ACILIFPPYQHKQLGKLLMGVSYKLSDWEKDGGLIGGPEKPLSEMGRRSYSRFWEERI ARYLLLHGSDPADTEDSSQQKPKPPKSSRKKHPQEVMTVQDIGLATGMLTEDVITALK GMGVVEPTTPTKKRKANQDSGEPDDGETVMIRKSDVLEWAKAHRVTLRDPVRDEGFLG RWAPRATPETSDSGSGEGDE AOR_1_2234174 MSATQLLNPKAESRRRAEALKVNISAGEGLQDVLKSNLGPSGTL KMLVDGAGGIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDITGDGTTSVVLLVGEL LKQADRYISEGLHPRVITDGYEIAKNEALKFLDQFKIERAIDRELLLSVARTSLSTKL NSALAEKLTPDIVDAVLAIHRAPEKPDLHMVEIMTMQHRTSSDTQLIRGLALDHGARH PDMPKRVENAFILTLNVSLEYEKSEINSGFYYSSAEQRDKLVESERKFVDSKLQKIVE LKKQVCGLDPKKNFVVINQKGIDPLSLDVLSKNGILALRRAKRRNMERLQLICGGIAQ NSVEDLTPDVLGWAGLVYEHQLGEEKYTFVEEVKDPKSVTILIKGPNQHTIAQVKDAV RDGLRSVYNSIVDGCVIPGAGAFQVACAAHLSSENFRKTVKGKAKWGVAAFADALLVI PKTLAANSGHDIQDSLAALQDERTDGNIVGLDLTTGEPMDPVQEGVFDSFRVLRNCIA SSTGIASNLLLCDELLKARQMGKQGGPGGMEE AOR_1_2236174 MADFLLFEGPMGYGLFKVAHQGDSVGNRLKEVQEGVNDLSKFGK MVELASFLPFENNKMALSEINDVSEGVASDTLISFLELNLPKPNKKKKVVLGVLDKAL AGSIKSAFSFVDCETGDTSEVVQDMLRGIRLHATKLLKQLREGDMDTAQLGLGHAYSR AKVKFSVQRDDNHIIQAIAILDQLDKAINTFSMRVREWYSWHFPELIKIVSDNQRYAQ IALFVKDKTTLTDDKLHDLAALVEDDEGVAQSIIDAAKHSMGQEISETDMENVTSFAQ RVVSLSQYRKSLHSYLTSKMSVVAPNLAALIGEIVGARLISHAGSLTNLSKYPASTVQ ILGAEKALFRALKTKGNTPKYGLLYHSSFIGKAGPKNKGRISRFLANKCSIASRIDNF SEEPTTKFGEVLKKQVEERLEFYATGAAPTKNEIAMKNAMDAVLADMDIGADQSDEEM EDAEAESKKEKKDKKEKKKEKKEKSEKKEKKEKGEKEEKKKKRKSDVGEGESEKKKRK HDSDAEPSKKKKKV AOR_1_3202174 MVLNSPKPKRSASEESDSYSPSISSPSSVSAPSLPEVKLREEEE LGRYSPRAAVAGRLGQLAIRGDHFPTPQFLNGNTSQSSLAHSAQSGCWATSYSSSYDM SETNSATETNTVASGPAEAIIDDRSNATPTPFPKKQATQSPRKRRNPPKTQRPRRKSP PPTSSAADDSLTWHDSEITGHDPNDPNDDGYGINGIGFKPTAAMAWNRSQKRQKQLAE WKSREAREARERRREKRDAVGFEKLRTIQSGAIHKRVKFDV AOR_1_2238174 MAGDTLESSTPNVEQHNSSQENSHQNKPKHEFPKSQVGKLWDAF GNPEESANVLATGAGPSGRGSKDATVTEAMKSMSLKDVTSFYKAPCARDSLLLGIGAG FGIGGIRGVLGGLRSLWTASNWAVGAFALTSLAAHEFCQRRRVQELDGMKQAVELMKE LKIKKQREKEEKAAEVARLAEEEKKKKSWTNLSNYKFW AOR_1_2240174 MPIRKPSKYGNKFRSNAASFKPKRTKTIEFSSLRSTEATSQDEK FEAIRLANSIDESLGFPRFESGEKRVGWLTNMHSTSVEDPNIPGGRAGVDYYFLEDNG GSFKATVEYDPYFLIAVKKGHEAEVEEWCRRMFEGLIKKVTRVEKEDLKLPNHLLGHR RTFLQMYFANVSHLLEVRRTLLPLAEKNKKNVNVMDTYVEISSANAGFDLFDDEIINE SRSNGTMEASDFIIDIREYDVPYHVRVAIDKDIRIGKWYTVEAKHGVISLTCIEERVQ RPDPVVLAFDIETTKLPLKFPDSVIDQIMMISYMIDGQGFLITNREIVSEDINDFEYT PKPEYYGPFMIFNEPDERSVLERFFGHIKEAKPTVIATYNGDFFDWPFVEARASVLGI DMYTEIGFRKNSEDIYQSDHCVHMDCFAWVNRDSYLPQGSRGLKAVTVAKLGYDPDEL DPELMTPYASERPQTLAEYSVSDAVATYYLYMKYVHPFIFSLCTIVPLNPDDTLRKGT GTLCEMLLMVQAYQGEIVLPNKHKEPPEAFYEGHLLESETYVGGHVESIEAGVFRSDI PVTFKIDPTAIDELLRDLDAALKFSIEVEEKKSLDDVVNYEEVKGQISKLLIALKENP NRDENPFIYHLDVASMYPNIITTNRLQPDAMIQESNCAACDFNRPGKTCDRRMPWAWR GEFLPAKRDEYNMVRQAVANERFPGKTKNSPMRLFSDMSAEEQSAIVKKRLQDYSKKI YHKIHDSKTIVREAIICQRENPFYVNTVRNFRDRRYDFKGKQKVWKNKTDSLKSSGAS AADIEEAKKMIILFDSLQLAHKVILNSFYGYVMRKGSRWYSLEMAGVTCLTGARIIQM ARELVERIGRPLELDTDGIWCMLPGSFPENFSFTLKNGKKLGISYPCVMLNHLVHGSY TNHQYQTLVDPKTFRYETHSDNSIFFEVDGPYKAMILPTSKEEDKNLKKRYAVFNHDG SLAELKGFEVKRRGELKLIKIFQTQIFKFFLEGTSLEETYAAVARVADRWLDVLYEHG ATLADEELIELISENRSMTKTLEEYGSQKSTSITTARRLAEFLGEQMVKDKGLNCKYI ISARPRNTPVTERAIPVAIFSAEENVKRFFLRKWLKDDPGDCDPRTVIDWDYYLERLG SVVQKLITIPAALQKVRNPVPRVAHPDWLQRRINVKDDKFKQIKMTDVFAKSEKNPLT DISTNILDHRVQHAGDIGEVIASSTEKLKSSPNSKTSQKRKLPEGPTKTSLDPFASLP SRMPPMADDYVGFLKYQKQKWKIQKQARIRRRQLFGERTNIANDSLSHLFRNQAELLY ISTWQVLQLSETTRPGIVRAFVLIDKKIHTLTVKVPRQMYINLKRESLPDVDVPDCEV EKVNHTLPNGHPSVHLFKLTLSEETYLREADKMDTLLQHPSIEGVYEKSIPLNVRAIL KLGSICTFDEEQRGVLGEGLDSGFDLSTLCRTSSEQPYLMDSPLVYHYLYHVASGDRQ IFALFSTTKSEAHVVILNRTRDVQGLPNVDKIYTDLLMRRKQNASGDEPQNAFEYQEK IHFRTMQVTTRRKAYLEVGDLIKKFRSEETQPTVLVIQSQQRSRLCHDIPMLREYPIM SVKPEVSDMDLPPLGWQAFIARRLVTHYLYLSAWIQHLTMLARYGDVPLCNLESDDPR YLIDISYARRLQQNNVVLWWSSGPRPDHAGYEKDDITGPLEKVSMPSVNVPSAYTTVC IELEVRNLAINTILTSSIINEMEGADTLLASSEPSADSNGSGVLYSEKAFASAGAIVL REMVKHWWTEACEGNNMADIMVQHLIRWVESPVSCLYDRSLHNYVRMLSRKSFQRLMA EFRRVGSNIIFASSTRLLLQTTKAEVGNAYAYSQYVLKSIRANPSFHFIDLEIKEYWD YLIWYDEYNYGGKGCREVVGSDEQELETVMHWQLSRFLPGPMQTIFHDWVVEYIDLMH GVKHPESADSSTPRMTQIPIGRPTDEDDDEVSAVLAEKFSKPLKKQISGLIRRQREEM LHPELASDYLFPVLPGVLSDPNEEKRNPVLELVKMLMQVLSLSKTTSLENRLLRRELL AMFEVREFSKEGRFENPAASLKLPELTCSACCLIRDLDLCRDEDVLPDPGSDPSKAVT KPWRCPFCQTEYDRLAQEEILIGQVHGLIVGWQTQDLKCSKCGGLKVSEFMEHCSCSG KWVETMDRAEAEKKLRVLNSVAKFHGLKLLENVVEGVLEQI AOR_1_2242174 MASQAQAQAQAQAQAQAQRPKVQPCRYKTGKTLGAGSYSVVKEC VHIDTGRYYAAKVINKRLMAGREHMVRNEIAILKKVSMGHQNILTLVDYFETMNNLYL VTDLALGGELFDRICRKGSYFESDAADLIRAILSAVAYLHDHGIVHRDLKPENLLFRT PEDNADLLIADFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKSGHGKPVDIWAIGVI TYFLLCGYTPFDRDSNLEEMQAILAADYSFTPLEYWRGVSQHARDFIKRCLTVDPEAR MTAHEALQHLWVNPPYDPYADQSGQDLLPTVKKNFNARRTLHKAIDTVRAINKLREGG GLMMDGVMSVDPKPERVNGNHVVEETSHHDGQMDLDSRSDARGQTEEQIRAQEQRVKQ MVAGLWSRNAKK AOR_1_2242174 MASQAQAQAQAQAQAQAQRPKVQPCRYKTGKTLGAGSYSVVKEC VHIDTGRYYAAKVINKRLMAGREHMVRNEIAILKKVSMGHQNILTLVDYFETMNNLYL VTDLALGGELFDRICRKGSYFESDAADLIRAILSAVAYLHDHGIVHRDLKPENLLFRT PEDNADLLIADFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKSGHGKPVDIWAIGVI TYFLLCGYTPFDRDSNLEEMQAILAADYSFTPLEYWRGVSQHARDFIKRCLTVDPEAR MTAHEALQHLWVNPPYDPYADQSGQDLLPTVKKNFNARRTLHKAIDTVRAINKLREGG GLMMDGVMSVDPKPERVNGNHVVEETSHHDGQMDLDSRSDARGQTEEQIRAQEQRVKQ MVAGLWSRNAKK AOR_1_2244174 MSDFLYSMPQHELSTQIKYVAVMGMTGVGKTTFITALTGDNLTV GHGLSSCEEGTNIHLTGLLYLHRISDVRMQGSALKNIRMFQSLFGENDMANVVLVTTR WNSVTKDEGVSQLRELLEKDRFWGGMIAAGARHEALLDVEADGRRIVQSLLSAELRKM GRKHAEENAELKETLRVEKNSEIAHQLQVAYEEMMQQQERIAEEQQKLHKAEMRRVQN QIKTLKHTHHCSLM AOR_1_2246174 MATPAHCYYCFECLAASYKGQEHISLTAVEELWERYEQFKKVSA LQDNDESSGDDDDDIDDVSSKPKNGPQGLKLPNINRLQSQILSDSSSATTPSSNSSHS GLSSSTPTTTMTTPSSQSLQSDASGWRRQREKDRQYPLFVTWNTLSKSGRKSLRGCIG TFEAQELATGLESYAITSAFEDSRFTPIPAAAIPTLSCSLTLLGSFEPCTNALDWVLG VHGIRISFINRGRRYGATYLPDVPVEQGWTKEQTLKSLMEKAGWDGGHESMTRRFLRG SNSGGHTSGSSKPWEQVSDFRVVKYQGLKASASYTEWQEWRQWVLSLGDGSEKLLNPA V AOR_1_2248174 MAPMSEEDLAWFRSTFHPIPKPELPDDCVEYSLYHISSDPSPAI VDEVAETRSRLVEVQRTAAELTKDLLKDYIWQREGFRLEITKEDGITFLRGRTNFGDS IEDEWVVVYLLRELSRKHKDIWVKVTDGDGQFLLIEAAGALPSWLEPEVADNRVWIHS GELVIIKPKNQKGKVTEKLSLPDSRKIIVEEPARLMRSAMIQEEAFYRLRNYPQQISE NLHSALIRIPRKIAYLLHQKPAYISSAVEAFYLRDPIALRPLRAKEPDSFVFKPEDFV TVSVRFTRVGYAQLKSQDFPVPKSWTGALPSKDEQKAYDRAELGMKLTCGFEMLLADP QNQDKAVVREIKIVLEDIESGDESLPTDEEISTWDKTEDDEKWLDISFDDLDRELKGK GKEKDDGKLPKGSFGDANAQENLQRIVARFEEFLNNDSAGLDGADFLDDYDSDSDDGD DEDDDEVSSDGEDKEASFDEEEFSKMMQEMMGMPSASGPKGPSRPSMPRNRVEELDDE SEDDTEQIQELSRQMEAELRGTGVLNLNRPNRSSAGKQALSEGKSGEDHDEGEGEMPD LEDGDIDINLAKNLLEALQSQAGGAGPARNMLSMLNLPIPKDDRGR AOR_1_2250174 MVVPYIDTPRTEVDGNATYLTNGFRSVGRHNLSALDSVENSFQT PSKDEDLLKGLGDDRRRSSGGFKLSTPRAGTGSKSTRNALNGRHHLPTAAPPKGEFTP LMRSAAKNNFLRNMSTARGNGHKTPAYMKEGRSNIHTPGLPSYDMTGIDEEDETDDQP TPLPQVASSSVQSTPLPGLSGRGGNGLLNDGQNMTLKEQEKIIDKLDKDNFGLKLKIH YLQEQLEKAGPSYNQAALKENTELKVSKLTMQRDISRYKKSLQQAERDLEAYRVQFQE LREKLRRGQLDETSQREMDLMREELESKDQRVRELQEELRDAKDRQSEEIEKLRDEIE DLEASLREKERTIDERDEELEELKDKDSKENGALAELESELLRAREQMEELQDSLDQA KSEAREARVSESRAKSDKEEAEKNLQELHDEMANKSISTKGLTRQLEEKSSKLEEELR TLQEQNSVLKEELERKAQNEAHLEEQYRRAQQSMDDEGQKLHNDAALARHQLNIAQQE RDALSAQLQEALDEIQRKTEEKELLQTRHYALTDESGGLQDELAQAQARIRELQHSIN DTKEHALENADTIRTQYREEIERLQEEIEALNHEIEDKEGQFALEQDRWESTKRALQL QKDRAEDQAAGFKRTIEKLEQVEHTLTGKESKLQEVIDSEKARHFNSEAVLSRQVKEL NDELLSKRQIIDDQRTELLSVKEELRLAKREEAALTEKVQALEDEVVVLQANLEEERE YAKGRMQKGSSDHDNRLQNLISEKQKLRDQLANAHVELHDLRTSVADLEAERDELQAQ LDQAQHQVDDTTKFDKEKVELRKSTLRLEGELKRLREEKSSLVEAKEALEKQLSSEVE RATIEENRLAVEIDQLQDKLQATSGVRDRELTSAKSKNQRLERRVQELEALLEQQPLG EQEHSTANADLSMLRHNLDEARKREKTLLQREADQKASVRSCKSRIAELERELHDIMM RKFEDHSPHASPSDKLHEELRSLRKQLSEAHRTLRELKSKNRDLERAAMREEDQRDLH ELLRSSTLEAEIREERAFCARKAEAAIKDLESLQERHNQLAEKTGSKSDSKSRHDKEI LGLGKEIIWLRARLKREEKFRRDLAWSKGLMELGERVRVACNEADLRMISEMGVKPRD RAMLRSPRQKLKTGIFMVKAIVRMQRMGQQWQKTKKIGEGLKRAKNEVLKRREASSSK ALV AOR_1_2252174 MSNTDFLGRAIDAVKKAIELDNSGEYEKAYQGYYSALELFMLAL KWEKNPKSKEMIRAKTGEYLDRAEKLKTHLEATESRKKPSAVGANGKVAQGSGKGDKN EDDNEDADSKKLRSALAGAILSDKPNVKWEDVAGLESAKEALKEAVILPIKFPHLFTG KRQPWKGILLYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESERLVKQLFN MARENKPAIIFIDEVDALCGPRGEGESEASRRIKTELLVQMDGVGKDSRGVLILGATN IPWQLDAAIRRRFQRRVHISLPDINARVKMFMLAVGQTPCEMTQADYRTLAEMSEGYS GSDISIAVQDALMQPIRKIQTATHYKKVLVEGQEKVTPCSPGDAGAMEMTWTSVEADQ LLEPPLVLKDFIKAVRNSRPTVSQEDLQRNSEWTKEFGSEGA AOR_1_2254174 MADAQKQMQALSDEFQKLQTELDSLVEARQKLESQQQENQGVQK EFNSLDDDSNIYKLIGPVLLKQDKNEALMAVNGRLEFIEKEIKRIEGQIKENQDKSDK MRAEILQYQSQMQQQAAAASASA AOR_1_2256174 MAKVDQKVVLVVIDGWGVAGPDSRKDGDAILAAETPFMSGFAEA DSKTAQGYSELDASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDSVRIDQTLKKGELN KVDNVVASFKRAKEGNGRLHLLGLVSDGGVHSNITHLIGLLKVAKEMEIPKVFIHFFG DGRDTEPKSATKYMQQLLDQTKEIGIGEIATVVGRYWAMDRDKRWDRVEIAMKGIVSG EGEESSDPVKTINERYEKDETDEFLKPIIVGGEERRVKDDDTLFFFNYRSDRVREITQ LLGDYDRSPKPDFPYPKNIHITTMTQYKTDYTFPVAFPPQHMGNVLAEWLSKKDVQQC HVAETEKYAHVTFFFNGGIEKQFAGEVRDMIPSPKVATYDLDPKMSAEAVGQKMADRI AEGKFEFVMNNFAPPDMVGHTGKYEAAIQGVAATDKAIGVIYEACKKQGYVLFITADH GNAEEMLTEKGTPKTSHTTNKVPFIMANAPEGWSLKKEGGVLGDVAPTVLAAMGIEQP EEMSGQNLLVKA AOR_1_2258174 MASRSPAALTPLPKLSSAPQTPIVRDTTAAPSTVPQPVPFPPPQ TFDIIPPLHGLLLRLLSPQANTEGVSNDTRAAEDPAAATAPTGATSTAAVQSQPHPQQ QQPTAGNQNNDGHGVMPTVSSAAPGSASAAAEIAALSSNAPPPLDIKDLPTEASSIKI RIQKAQAVVESLPDVHRSVVEQEKEIKELEHRISRLKSVISDFGRRADPAKTEKTEMG AA AOR_1_2260174 MATYALSQAHRDQMEKTLVESDPEIAQIMEKEIQRQRESIVLIA SENFTSHAVFDALGSPMSNKYSEGYPGARYYGGNQHIDAIELTCQARALKAFNLDPAK WGVNVQCLSGSPANLQVYQALMRPHDRLMGLDLPHGGHLSHGYQTPARKISAVSTYFE TFPYRVNLETGIIDYDALEANAELYRPKCLVAGTSAYCRLIDYERMRKIADKVGAYLI VDMAHISGLIAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVRSTDKTGKEIL YDLEGPINFSVFPGHQGGPHNHTITALAVALKQVDTPEFKQYQQQVLNNAKALENEFK QLGHKLVSDGTDSHMVLVDLRAQKLDGARVEAVLEQINIACNKNSIPGDKSALTPCGI RIGAPAMTTRGMGEEDFKRIAHYIDKAIKICKDVQGALPKEANKLKDFKAKVASETVS DILELRKEIAAWASTFPLPV AOR_1_2262174 MGVFVKPSFWLLAIHYVIALCFISFSDAKEHSFTQASDTVPKRV AIIGAGAGGASTAYSLRKYADASPILVNITVFERNSYVGGRSTTVNVLEHPAYPVELG ASIFVKVNYNLMNASKELGLFVDSADATRPKQADDSIGVWDGKQFVSVLQNNSWWNIP KLLWRYGWAPIRTQSLMKSTVNKFLKLYEEPMFPFRSLTAAAAAVGLLDSTSTPGDVF LKENKISPDFSRDIIQASTRVNYGQNLGLIHGLETMVCMATEGAVSIKGGNWQIFHGM LKAAQADVRLNHTVTSIQRNADNTLTLTYKANNSKEQKLVFDEVVIAGPLQYSELSIT PPAEKQPDEVPFVTLHVTLFSSPHKLSPKFFNIHEANAQTPETILTTVPSGLDLGSEK AGVGPAGFWSISTLRTVDHPPVSPDEKPSKHYVYKVFSPERLSADFVTSILGLESPSD PVKNNNLTISDLSKQDISWHHEKIWNPYPFLYPRVTFEETLLSTNIWYTGGIESFIST METSALMGKNVATLLFQSWQEQKEGTVEDDPVVGSGERVEL AOR_1_2264174 MDPYDSDSSGFEDEDLTETSVLLGYASEELLDDSISHLGGWPTW LDDSTPPPGEFANCKVCNSPMVLLLELHGDLPEHFPDNERRLYIFGCPRKPCNRKPGS IRALRATRKLKSQPAPKKEEKQEPEKEKEEEKKQTEAPKPDLGASLFGATSLTGSVSA NQNPFSTSSSSAQASNPFAAPLAAPQPAKPAAPSNPSGNSLSESFADKVRVSSPPPTI KTPEAAGPAAPWPPQSDFPSPYKRYYLDAEYETLSRPPTPKIPDNVVIDNTEDDANGG PGADLKDALESELDKVFMKFSTRLGHNPEQILRYEFRGSPILYSHTDAVGKLLYDPKN PPLGAKVTTTGGPSRMPRCEYCGSQRVFELQLVPHAISMLEDGREGVGLGPKDDGMEW GTIILGVCSKDCGPEKIGVVGWREEWAGVQWEESK AOR_1_2266174 MGVAFDKCETRPANIDAILSGLDRYNPETTTIFQDYVVQQCEDR TFDCYANLALLKLYQFNPHLLQAETVTNILAKALTVFPSPAFSLCLSLLPAHTQPFPS NTEAQAASQTSDFVESVQKLARLSTLLESAQYAQFWSTLNSDDLYADLTADVAGFEEL VRIRIAVEVGKAFREINAEVLEQWLDLRSREALEKFVAEVCSWEVDKSGPNGTVVKVP TNKENEVRSEVKSERVGVEMFGRVIRRGFEQAA AOR_1_2268174 MRLLISCPTQPLAPLLLGLMTAATAQSVDYSQYVNPLMGSEGPM AGKGYGGGDIFVGGARPFGVTKVGIDTTAANWSIAVLNGGWTPDGNVTAISMMHESGT GGAPKYGIIPQMPLTDVAPPVNILDNLTYSQPRVGQDTASVGYFKTQLQNGVQVELSA SRHAGIMQYSFPKGEKYVLVDVSHYLPGSPSDPNGQYYIGGEVQVHEDGREYSGYGTY IGGWNNGAPFTVFFYGEFSETPGSATTFTGRNTDPIRQYQTFSNGGVSYPIYGNKPDT ASSGPMNDRVGALFSWDDVEESQIRSRVGISFISTEKARSYIQSEIPSWDLNDTVKSA VEEWNRDVFSKIRVPLDSTTNQTHVRLLYSSLYFIHLMPSDRTGENPLWHSEEPFWDD FYTLWDIFRCTISFYHIFQPSYYESMIRGLIDIWRHQGFLPDGRSGNWNGLVQGGSDA DNMLADAYVKGLRGAINWTDGYAAMKTDAEVIPYNTYDPTDFSASTKEGRGALGDWIE LGYVSQDRNTRCISRTVEYSLNDFAVSQVAAGEMPSDREKYLNRSAGWQKIWNPDVQS LNFTGFVAPKFSNGTFNSSGYDPLYCDECEWKSYTYEGTPWEYSFVIPHDMETLIELM GGTDTFESRLDLMFKPNMSVQDLGPNGAGITTLINIGNEPDFATPYLYNYINKQAKSV QRSRSLGYQFFKDAPYGVPGNSDAGAMNSWLLWQMLGIYPVVTQPVYLISSPWFPDLN MTINGNQTLRITATGLDRGYYVQSVRINGQPWQKNWFEHQDLMEQGGSIEFELGPEMK AWETGSVPPSPGHTTM AOR_1_2270174 MSIVPTRLPNDKEKAPTLNILQARQTSSDNCPDSISGGGIAGIV IGSIAGTLLLLWLWRMCSLPGAQGGGEPDYGYSSYGGGGTETRHRHRRRRSPTYYEYV EKPSVRRPAKVYLS AOR_1_2272174 MSLFNVLALLMAFVCSVTAQTWTDCNPLNETCPAAPALGTNHSW VFNETMDDKIWSVTNGQVDWKDTGAEFSIKKKLDSPTMQSTFFIFFGIVESHVKMAKG GGIVSSVVLQSADLDEIDWEWIGYNTSEVQSNYFGKGNDTSFNRGGFHYVENADTEFH NYTTYWTQEKLEWWIDGNLVRTLKPEDALDGKNYPQTPSNIRFGIWPAGDPKNAQGTI EWAGGEVDYNAGPYTMVVKNVRVHDFHTGKEYNYTDHSGSWESIKVVEGNSTTVDELN KEPEKSLAEKWADLPTAAKTGVYCGAAAAGVLLIAGAALYFVRQRKKGRLEYALDDAK WNTERNEMNNLQTTWKASEWGNKGYQPVN AOR_1_2274174 MNRVLNSSRHVTRISAKLSIMTTNNTLGGTSANYSIESATIDRT TFTSKDTVEYVWKGLGLPEETLRSLHIDGNELGLPSSFKIADLAQATISLSALLAAQI HALRNSIPTPMVTVPRRHAVIEFKSERLYSFPGQSLPDTWGPLSGLHKSLDGYVRVHD SFTNHHNGATALVGCSPDVDRAEFASKIASWRSVDLESAAFEAGVVISALRSYSEWDA LPQARAIADFPILLRKIGDAPAGLPQEIRAANADKSLRGLRVLEFSRVIAAPLSGRTL AAHGADVLWVTSPNLPDLPVLDRDLGRGKRTVQLDLLTQEGREDLTRLLEDAHVVIQG YRPGSLASRGLSPDFTGRDGFQSQDYTCTADVPSEYLETRPTGFGEMTAVRHSASIQG VEVGWDIMPKPLGSDEKKWL AOR_1_2276174 MEETKLIPIPEPRGLPLLGNILDVDSEAPEKSFQRLAETYGPIF RLNLAGASRVFISTYELVDEICDEERFTKVVTAGLREIRNGVQDGLFTADYPGEDNWA IAHRVLVPAFGPLMIRAMYEDMYDIASQLALKWARQGSSATIMANDDFTRLTLDTIAL CSMGTRFNSFYSEDLHPFIKAVATLLQGSSDRTFRSTLLNNLPTRENKKYWSDISLLR TLSQELVDARRNNPIDKKDLLNALILGQDLQTGQHLSDDSIINNMITFLVAGHETTSA TLTFLFYYLLKNPHAYQRAQEEVDTVVGQRKIIVEDLSKLPYIAASLRETLRLQAPVP LIAFHPHPTKNHEDPVTLGKGKYALNNDEPVVLIMGKVHRDPKVFGDDAEEFKPERML DKNFEDLPKNAWKPFGNGMRGCIGRPFAWQEMLLVVAMLLQNLNFEMENPSYDLRIKQ SLSIKPDGFQMKATLRRGLDAAKLASVLNSGGDLLSHAPQILNGEYKPNTDLRFHLRP MHIFFGSNTGTCEALARRLAKDSMGYGFATRVESLNSAMENIPRDNPVIFITATYEGQ PPDNAAHFFEWLNGLKKAELDGVNYCVFGCGHHDWSATFLRIPKATNDLIEKHGGTRL CDMGMADAANSDMFSDFDTWSELILWPAINLKFGRASSEGDVQSKSALHVDVSSSMRA FTLGLQLQEGYVLENKLLTTPDVPAKRMLRFKLPPDTTYQCGDYLIVLPVNPAHVVCR AIRRFNISWDSMLTVRKPSHASDGITNMPLETPISAFELFSTYVELSQPASKRDLITL ADAATTDTDAQAELQSLASSPNRFTEEVINNRLSPLDILIRHPSINLPLSTFLEMLPP LRARQYSISSSPLASRSDCTITFSVLNSPHLSTENKRFVGVASTYLSELQAGDRVQIS IRASNNKGFKPPLKEETPMIMACAGSGLAPFRGFIMDRAERIRGRGTELLSDDDHPEI GKPARAILYIGCRTKGKDDIHASELDEWTRQGAVDVRWAYSRPTDRSQGRHVQDLLFE DRNELLELIDQGARIYVCGGMSVGQGIRQVFKDMFIERCREVLENGSDGDEDVAAEEY LDSLKTEERYATDVFT AOR_1_2278174 MKFSNAAALGLVGCALALPKHIESGDGQSLPNFPFGTPSEGQPQ EGGEGSFPFPGPSGTFPSGFPTPSGGAGFPVPSGQPDFPAPSGGAGFPDQSFDKRYEG EGFPFPVPSGFPTGIPSGFPIPSGFPTGFPTGFPTGFPSGIPSGFPIPSGFPIPSGSP SSGWPFGGWPFGGFEKRQAQGTDEGNDNEGEGDDSSFPAPSGFPTPSGPVPSGATPSG FPGGHHGEGHHGEGHHGGKGHHGGKGHHGEGHGPKPTGTLPSGFPQPSGGEGPRPTPS GSFPAPSGGAFPFFA AOR_1_2280174 MEHLKQTFAQCQQEGRPALVTYVTAGFPTAEETPDIMLGMQAGG ADIIELGLPFTDPIADGPTIQKSNLKALKNGVTITSMLEMVREARRKGLKTPVLFMGY YNPLLRYGESQILADCKDAGVNGFIIVDLPPEDAIRFRNGCTKMGLSYVPLIAPSTTE ERMKALCSMADSFIYLVSRMGVTGATGTLNTELPALIQRVKSLSGNVPVAVGFGISTR EHFLSVTSIADGAVIGSQVINILADAPAGQAAQAVEDYCSKITQRKVSADASTTISGT TEAKAVALTDDAEAAVADGPGRFGIFGGQYAPEALTTCLAELEAGFQAALDDPTFWEE YRSYYPYMGRPSSLHQATRLTEHIGGANIWLKREDLNHTGSHKINNALGQILIARRLG KTEIIAETGAGQHGVATATVCAKFGIKCTIYMGAEDVRRQALNVFRIRLLGATVIPVE SGSRTLRDAVNEAFRAWIVRLDTTHYIIGSAIGPHPFPTMVRTFQSVIGNETKAQMQE LGKSPDAVVACVGGGSNSVGMFYPFSKDLSVQLVGVEAAGDGLDTDRHSATLTGGSVG VLHGVRTYILQDEHGQISDTHSISAGLDYPGVGPELAHWKESKRATFLSATDAQAFEG FRLLSQLEGIIPALETSHAVWGAIQTAKKLGPGKDLVLCLSGRGDKDVQSVADGLPTI GPQIGWDLRF AOR_1_2282174 MLHLITHLIQRIWRRPVTLALTFLTVFLIAPLFLYHLLAYYLAN DPRLVPSAFRTAKNILLVTAHPDDETLFFSPSILYRNDDATVTRGLLALSSGNYEGIG DIRRSELQRSCAELGIKRERCVNLDHYELQDNPQKWWREDLIEELVGEYVKKWNIDLI ITFDDGGISGHVNHRAVSAGVSKYISKTPQGPPAYALQTKFLLRKYAGLADLIPTSMS FSGRILQAIVSPSREYEVVKVGNGKSSKIQDPYGDKALLVSDWQMYFQARGAFSQHGS QYSFDRVFYLLISRYMWVNDLRRIV AOR_1_2284174 MCFSRVRLLLLFLLASLLLFLTSPLATQLRLLLQMPFIWQKSAA DSIISHDRDGFDVTFRGYESEQPPSELHHPSPIPAILHHVHLGDTALRPEWLVAREEC LRIHPGWKTHIWDDKTATQFVRDHFPDLQETWNNYPYLVQKVDALRYMILYIHGGAIL DLDLVCKRSLEPLRRFDFVAPAAYPAGFSIGMLLSSPGNLFVRDLIDNLPRFKRRWLL LPYVTVMFSTGCHYASTIYTAQPNRTSLRILSGSPDHPNMHMLKGFVDTPLFRHLGTS SWHANDALFVRLVEGLGGRVLYCILSAVIVGGCVVLSRSIAARRRSVRFARSTSPSKV FEKVV AOR_1_2286174 MPPNKSVAVIGTGPAGAIAVDALVQEKSFDVVRVFERQEKAGGC WVSRENEEPVPLDIDNLSARTADGPVPIPDNLPRHVPTLSQHRYFDSHVYPTLHANVA ASVMEYSQEQIPDILSEWSVNIHGPDTPFRHHTVIRQYIEDLLNRNGYQDFVEYNTTV ERAEKDPQTGKWTLTLRRAGEPNGLDYWWTETFDALVVASGHYAVPYVPVIKGLKEFA EKYPGSVEHTKQYRGPEKYKGKRVITVGASVSAADTAVSLVNHAKGPVYAVVRGKYNT YFGDEAFKHPQIERRPPISHITTDNGARTVHFENGTSVSDVDHIIFGTGFTWTLPFLP NIPIRNNRVPDLYLHVFHQRDPSLVFLGAVGAGLTFKVFEWQAVAAARVLAGKAQLPS LEEQRKWEQDRIAKKGDGPGFMMINPDFEAYFEQLRQLAGEPAEGEPGRRLPPFKQQW VDDFNAGHERRIRMWKKANEAGRASKL AOR_1_2288174 MKPATDRPFEASRYAWRTDTDGLTASDPAAEARFENAKESYKKA LQAFESADKKARNRYHKHEEDDAFGEWAMQYDPVWCSLKAEAQSQCAALCDAGWAAFG QAYLEKSEQGMSKVTQDARYAGFEPEIF AOR_1_2290174 MRLFSLLTASTLALFVSPSFANSPLGTVIIKTSNSESAHFVHPY QCVTVYPDIQDKPVSRIEISPYRPDSGIEWTLNAIQATLILTLCTRAAIPSSVASSFL VSSAWSRAEMMNFGDLSAEITGQSNGTA AOR_1_3204174 MTSQERAHIAGLLDIDEFTIPRGGNVIMRERAVALPAASIQNGA KENSPKHYITCSGCGTLHDRGFGCYGYEKWFA AOR_1_2292174 MDQYKTKEDVLKLGIVDPELEEVLQHSPPPSIDFSSPIEELRQL VLAMEKTAYDSCPRFDTQETVIDITMRDGYQSNLHITKPGNSTGANPVVVLIFGGAFV MGTNIQSIIWARTVAALYGATVVQPSYRLAPEHKFPTAPNDIWDSVQWIAANESALDA DLTKGFVIGGGSAGGNLSIVTAHRAVKEKLSPPITGVLASIPVCMSQETVPEKYKHLW VSRGQNGNAPGNPGLDSKSIGGYEALYQQDFLSEDFSPFCSIVPFSAIPRTYVQVAGL DILRDDGIVYAKVLEDNGVEVKLDAYPGMPHGHFNLWPHLKQSIKSQEDTVWHFGWLL GCQVPREKVEEIVAMTRI AOR_1_2294174 MDSVKGKVYAVTGLAGIGLAVAKQLYSYGARLSLADIDEAALSS AFAQLNSDAENVLTTKVDVGSSASVDAWIEATVQHFGRLDGAANMAGMIGKKHGTGRL TEQDDEEWDRLLRVNLTGTMYCVRAQVRSISATSGKGSIVNATSIQGVRGFGLHVAYS ASKHGVVGLTKSVAKEVGPEIRVNAVAPGSIQTPLLDKSIVIQGGYTQPPTIIPRTGT ADEVAQSVLFLLSDAASFTTGTVLQVDGGWDP AOR_1_2296174 MVQAAPSDNSKPAHPPNVFSKTEKFPLPNQGHLNVHDPNILQHD NNFYLFKGGIQVPIFRSSNLSGPWTEIGTVLDGPTIIEKQNRTRPWAPTTVEWKGKFY CFYTVSKDGVRNSAIGVASTDSIENGSWTDHGGLIYTEKGPLAHIWPYTVSNAIDASF IVDQQTQQPYLLYGSYWHGIFQVPLADDLLSVKNPEKPDAKNLVYLPDGMPKPDEGSF MSYKDPYYYVWFSHGKCCHFNKGFPSMGQEYSIRVGRSKDVRGPFVDKHGKDLAEGGG TTVYDSNHGIVYAPGGIGVLPATTTDPDILYYHYLNTSVGFLHGDALLGWNYLDYEDG WPVARGSKNFASTVHPNYSFTVLVILFATGFLWLHG AOR_1_2298174 MSRPNLDHIVILISHDALLTLSDHLQDHFVIAPGGTHADGLTSN KLILLPDGVYLEFIAFAKDADPEQRRKHRWGNLKENTIIDWALTLPSESDFAAVQQRV LDSNAGFSYQDPIAGGRKREDGVNLEWAISAARDAHGNAITPGHLPFWCLDRTPRHLR VPYQEQSDLTRHPSGVLGISSLSVSVPEAQLSGFSTVYDAIYTPEGSAGLEPRNWHYE VPSGLGAGRHTISLSANEVEAAIRLTFHGEKPGQVELLPGLIVAVESE AOR_1_2300174 MANELLITINDLGNVACRNVEAVNSAATEVPLDHIRKILSTYVF VFQDPNELKKMFENTTPENVEIRNGMRKLRLKILRPVPSVIRSLKGHTATVRSLLIVD DTTLISGSRDSTICIWDLDSDATDPKLVLKGHAKTVRCLKVHGGVLVSAGYDGESRVW DIYTGQCLHVLKGHTGTLFALCFDGSRIVTGSLDSTIRVWDPRSGACLGVLSGHSGAV TRLFLQGDTLISADNAGTVKVWSLSNASGRTIAEEKAGSVISLAADGENILVGNTNGS VSLVPHESGTSRTLVAGADAVWSVGFKPSNRPLAVYLKGGDTQLAIF AOR_1_2302174 MKIQLLLSLIALGFTLGEPIPKQALSQRQADDNSSGPKLCETWA EQMKDLQAQRFQAIQDYRSGMTNLSRVNDLNMQIADLARQQ AOR_1_2304174 MRAFFDTITNTSAGQDKFLKVVQNVIRLLLSLSLSWKYKCRLQW LDKSFFMRLRAEIGIAVKLTRIYSVPGHLQQLADAICCKSEPALLRILRVAKALGYTA FAIGDLVNYLSTIAFTIPAKWKPKITRASVHALLLATICQFLMAVMSSTKNIKFTYQR TSRGLKLYGIPRFNIAKQQELRTVLPLLSATCDMVSAVAGAGYKEVNDATLGILGSLS GGSGLTLIVAK AOR_1_2306174 MVLFTDLPIEIQHRILSFLHAHRDVAALSIQCRSLHALCDMPMR KKYRRLRIDSKDKSLNKAFGMLMEILKRPRLGRYVRHLECSKGPTCYEDYTERTNSLR DLSDQEKQLLRAAICKAGFTGSETGRILNMVMQTEAGEERPWPPYFPTGSRGVYISQA LTALFISVSPELESLATPPPFFNYTGFYLPDQSHDFESVNYPLERLLRQVNFMPNDMP FLQNLRDVYVINRDLDDERFYRSMDFMGVMQLIHRLPSIEMIGTDILEEDENGAARLE PRSSTISRFAIHHSSLDTYYLANVVYSCKVLKEFQYSIGGRDVPGGSYSKFNPKTFFF TILPHRETLEILDVDAECHVTEFSWEVVEYEELDERFEEWGGRRDAEHVWTGTPPDSL WEQSGSLLEFRALKRLSLGIHILMYYAQGVNLAKKESFSLVDCLPPNLEYLLIRGYEN GASEMHDAQIDSLVAWKNSGLSSLIEIQGITECIPHAEDVDDPDDEDAPLWEREEEWS SESD AOR_1_2308174 MAQPVVEPFRTTDTLGKLHYREALSIRTPQSLALPESEDDPAVR QKHRPFILSNTAEEDWVSDLELTTALKMAENNLKNTNQRLKVLVLYGSLRQRSYSRLV AFEACRILFRLGCDVRVFDPEGLPVKNDVDHGHPKVQELRGLSQWSDGHIWVSPEQHG NLTAVFKNQIDWIPLSTGSIRPTQGRTLAIAQVCGGSQSFNAVNSLRILGRWMRMFTI PNQSSIPKAYTQFPDEGQPGDQRLMPSGNRDRLVDCMEEFVKYTILMKPHMDLFGDRY SEREEKRVKDAKLNAAVSM AOR_1_2310174 MASEKSLTHDVEENRLQPATQFKPWRQRFRSHLVIVSLFLCLFL AALDTTIVAPALPVIASHLNATTSEYTWVGSAYTLASTSTTPLWAKISDIWGRRVILM VANVFFLCGSLVCALSRTPLMLIGGRVLQGVGSGGIIVMVTIIVADLFPIRERAKYYA LTGIVWAISSGVGPILGGVFTQTIGWRWCFYINLPFDGISLIILFFFLHLEPVTTAVS TLRSFDWIGSVLVVGGTVCFLYGLESGSGNEHSWDSAFTLGLLIGGILILLIWGYYEW AIAKCPVIPLRLLISRSTVPCLLTAFFHSFTFISFSYFNPLYFQTVLGVSPIQSGLYL FALVLPLSAMTLGSGLFVQRTGKYRPVIWIGCPLMTVGTGLFIDFGPRLVLWKIVAYQ LIAGIGAGPLFQAPMIAFQNALKPENVAAGNAAFAFLKNLATSLSLVIGGVVAQSGTG EFRLVDSNAETEKDGGGTVDHTAFVAGLSHMWIFYTALCGVMTIASLTIQKRRLGDEH GDEEIVPDVN AOR_1_2312174 MNHNEILALEHKTWDALCISGSTLIPLLSDDCIMVFPGGMKLSK ESHPTLSSILASEEFVPWSSYTILESETRTLDGSAQSALICYKVSARRVSDRDSQSFD ALCSSVWRKIGPEQWEMVFHQQTPL AOR_1_2314174 MSTDQAPWHAAFPAPRTTAATLPRQELLQWLKEGKQPGKDFVLV DLRRADYEGGTIRGSLNLPAQSLYPTIPTLYKLLAASKVESVIWYCGSSAGRGTRAGG WFADYLQDQGETTLKSLVLEGGIKGWVAAGPEYTDLMDGYDASFWAKTTSA AOR_1_2316174 MACAKGQTHLRYPPKPITESPGPTPDVEKQVNPESSDQPQEKQS AFKNLGILDRFLAVWIFLAMAIGIILGNFVPNTGPALQQGKFVGVSIPIAVGLLVMMY PILCKVRYESLHHVLRARGIWVQIGFSVVVNWIIAPFFMLALAWAFLPDEPELRQGLI LVGLARCIAMVLIWTGLAGGDNEYCAILVAINSLLQMVLFAPMGVFFIDVISGDTITF EYSTAAKSVAVFLGIPLGAAILTRFVLRWTTSARWYDQVFLKWISPLSLIGLLFTILV LFASQGRQVVHQIVSVIRVAAPLIVYFAVIFFATLFITYKMGFGYRLAATQSFTAASN NFELAIAVAVATFGENSNQALAATVGPLIEVPVLLGLVYAVKLVANRLGWQD AOR_1_2318174 MPKSSTSTSSPHELRACTECRKRKSKVTTPSQLARLNLLFTRPA VLRDAALLILFPSQQTMHLRSSIVTNAIDANMDQIERRCAGLTALLHRLNPDVDIEDA LKTTTVPPRGLQAAASDGVSSASVDEFEWSESSLGSPGELRRVGLDGMASLPTGSKEA GYLGSSAGSSILRTVPGLIPEHSGLETNRRTQVSRGDLNQSPDLLLSAHLGTSAVLDG LVNAYFTYYNTSYPILHESTFRQQYQNRHRLQDRSSWHPIFYTVLAIGNWILGGTSGS RECQYYSAARSRMSMHMLESGTLLTVQAFLLIGNYLQKRDRPNTGYNFIGIAYRMALG LGLHRESSAGAKTDSLFHERRRVIWWIVYCFDIGFGITTGRPVMASDSFIETCLPRNI DDSVRWNACALTSILPTPSDRPTTYSAIIAHARLASIGNNIYSNVISAPKENILDLKI SRSLDHRLKAWRFSLPVYFSAQDVPDWFRGPRAVVGWKEQNLRMMLWWGSQRLCSILS DVEEARNMCHYVAIETIQDITTFCLDYRDNIHVGLSWYATYFLFQATIVLSIHYLRPP QPLDMGPDSANQELWALSISRARDCLAQLGQNNEAATRCLAVLERIRDQSERSQQSSL TPSATRPNASVHADHTLLHPAPEIEDTIPTTFAIDPALQILFQDTAWNNDIFEGLQGF PITDEAEAFDYMPPDA AOR_1_3208174 MVQLLLVLACAWNLADFDTLQLKNDNESDLTCYTAIEWVLHAER WIENSHIKRPDIMAFRLYILLLIAKNAHGMKRSKAWLDTGTLIKQAMLAGYHRDPSRY TKISPFNKEMRRRIWTTILELDLEVSLERGMPPSLQYGDYDTAPALNLNDNELQESSE ELPAERPLSEMTDCSFQCVLIQSLPLRLKACKLMHSPRISCCYEDILHMDWELNRYLS QIPSWTASEGEDLQTQHKIILIESLLQTKIGHSLLSIHTPFAIEAPKETLFAPSSRTR LEVATMILSTQRRLHETSRQLSLSILGDWTVQAYCSVCQLLHASTNSQASSRSSLPLT LPGLPESLITLAEMTLTCLEAQLLLVVKGAKEYFFMSTILALVKARLWPAQATVYKQE VVDRVIFFAQTLFTRHANCDHLGELGMGGFKTSQVPVLNPSSGMAQPFVPNMTMLPPT NFGITQSGELDPFLDAFDWGDLTGITFEGY AOR_1_2320174 MMRGKETKTRFNGSGIFANLIAQFPDIKSFAEEIRVASPQLSAL RPDLARVKRGLWKRKPLNTPFPEPTTLSLTQMLPSRRVVDDLIVLYLTYFEATHRVLH VPSFLKELDEF AOR_1_2322174 MLRSLLSLGSRNADYIFPTVDPKQDGPNCKLDCADCTVHFPSKV KVENSRVLYGHIKEFHTHVLVATGKSDWTERVENEKGSLMEAFDTSSNKSKHGRIMVS ASNLNNPNHEADGEHQTTQGTTVLLLPSFIFVDSVTTSDVREVVDCFIDAPKGQPVDS RLSSRPCQYDYVVLLCSHRRRDARCGITAPLIKKELERHLRPHGLYRDADDERPGGVG IFFVSHVGGHKFAANVLIYRKQAEQMIWLARVKPEHCEGVVSYTILQGKVVHPESQLR GGFDRQRGLTSW AOR_1_2324174 MASLDVSDIAAQSAQLRAELKEWERAFAAANEGRKAERSDIKQA PEIAAKYKEYSRLKSLEKSVRYEKKHNPNSVNLEERPKKRKHASPPGSHEARLESTPR KAAKGPFTTPSKPRGHPSEFDPYDSPSALRRLFSPSTHQQSSSPLKTAIGPTPQRDGK ALGLFDLLSESGGSTATPTAARLASVRGAAAQTPSKRKTLDTIAEEEEEEDGPRGDRT PASASKSYMLSALFATPTTWRYATMMDNRNDAIRREPSPQPSANDAGQGAPESETPAF LRRSNSARYAASNPNGEGLSPINVRKRPRFVGKGLSALVQGLRDMEEEHLDNELDVLR EIEAEQAGMNTEATDSQAVEQDTVPTFKKKGQKRTTRRVRMKPVISKPKCESQLPASE DEDNTDNVDQSDDELAAVPETQQPGASGDETNGVPDAASLHSISEPELDSDSDYEEQS KPPARSKSFSERIRDAIGVVEPPPAEKQEKPQPKVKEKQTKPRERKRREIFPRVVVYE EAVVLRPSHEQRGHYSGIPESLYDRTAEVLDEI AOR_1_2326174 MTAADRNVTSANDPPTATMDRPWRTPMNDTWEKSTIAGPSEAPQ TPDNVDLQQEIMQKTLEEVAQEEADGSVANPCVICLELISEPAVALPCRHANYDFLCL LSWLENRRICPLCKSDVSAVEYELDNPEGLKVHRLEAPSEALPTHTTPLSRRNCIYRR PRRPRPHVQHQNPPSREDEVSRRQHVYRHQLYSLRVGSNRLSQYQELTPDKFKNDEGL VSLEPEFSGSSGAGKTRKPPGK AOR_1_2328174 MKSARAVVAANHSAAMARGQPNGSLAQDYQRSPSRTITGLRRWS IINRDLPPVSHVKAIHVYDFDNTLFHSPLPNPQLWNGPTIGFLQAYESFANGGWWHDP NLLAATGEGAEKEELRGWEGWWNEEIVQLVKLSMQQKDALTVLLTGRSEGGFASLIRR IVDSRKLEFDLICLKPEVGPNSERFSTTMEFKQSFLEDLILTYNQADEIRVYEDRVKH VKHFREFFEQLNRRFQTAQNPSPRKAVNAEVIQVAEGAVFLSPVIETAEVQRMINSHN DAVRNRSANGTKSPYGPLCIKRTIFYTGYLISNVDSSRLISQTLNPMLPSGLAESNDL KYMANSILITPRPAPRSILDKVGGLGKKLKWKVTGTAVFEHRVWAARLTPVPATEKYY TENPQPVVVLAVRKGARPIDAGKIQNWHPVSADKAFTFETVVGEKMVLRVEEQNPHEG EWESQFLNKNHKRRHQQDRDEDTSYHGQEGSSSGRPATYNPRHGGRHHDDGPRRGGSY RGRGRGSGPRGRGHPNRGGQRGRGRGRDAGPPQGYRSLDDHTGYDGPYEEKPGPGNGG PVMNY AOR_1_2330174 MSTTKWATEADFAEHRATITSLYRQKPLVDVMEHMRREHHFQAT ARMYKARLKRWHVTKYVRYHTDDAQCQMSKTPHAPVGDAAWSIASRRPALPTVAPGLG APVQQQKVLDCLKVLGKYVDGNSTNGRWQTSPTFMASLQNSDWLAQITTVAILLKGGQ VQTGFQLLGGCFDTYKTNLKAESPLLTSETFMAAFQLMSISPGLGWSFLKYTCQLSGI VLEKSHPLFQLLSKYLTLDSEAFANCSDLFLGCFLDLMKQHLSGWDDSHRDALLLTTG RMFLLSLTTFSQYQEFRRMSRSDEAMPLLGHQHVLQCDSAQIKVMKQEPGGIDYLSFE ML AOR_1_2332174 MPRYAEAPADGSEVSSGSQLTKRVNKSEEPYETVTEQKATGHPR ETDGEDSLGSRGDDDVLYVKGHPVIRNGADVSKFIVSVRDDGDPSLTFRSIVLGSVFT ALSSVITMLYVFKPYQAQVSAVFLQLLVYVFGEAWARLTPRPDRFKWKWLQTVLTFLN FGQRFTIKEHVVAALIASSGNNGLNGVEVYAVERLFYNRGVSATTAVLGTFSMALCGF VLAGIMRPLIVYPGEMVYWSTLPQVVLYQNLHFDRRANKDRLTKFGWAMLLTAVWELF PAYIMTWLSGFSIFCLASMNAPKHTRTIFSTIFGGASSNEGMGLFNFSLDWQYIQSTY LSLPIKQQLNTWIGYVILYAAILGLYYSNAWDAKTFPFMSTSLFQSNGTQFSTSSVIN SQGTIDFTKLEETGLPSLTSATVWGYLTQNLAIGALITHVFIFYGKDMVLAWKQSRSK TQPDPHYQAMLKYKEVPMWWYLVLFILAFVAGLIVNIKGETTLPVWGYIVSLLLGAFI APFSCVLYGLYGTGVSTNQLSKMVAGALHPGRPLANLYFASWSHQCILLSVNLANWLK VGQYTKVPHRVMFATQIYGTLLGAALNYVVMTTIVSSQREILLDPVGNNVWSGSTIQG LNSQAITWALAKDMYGVSSRYFIVPLCLVIGLALPLVHWGLGKVIPRLRKLPLNTAII VSYAGNPYYGNTSWIWSSIVVGLFSQFWLRRRLPEIYNKYNYLIGAAMDGGSQIIIFV LSFAVLGAAGKERPFPTWWGNPQGNPDHCL AOR_1_2334174 MAMVMTILMLSYLADAQTFTSCNPTKTNCPKDPAFGTSATFDLT KSLPNKWKTVGTVTQDKDGVALTVAKKLDGPVLQSDFYIMFGRVEYTIKAAPGAGIVS SAVLQSDCLDEIDWEWLGGDNAQVQTNYFGKGDTTTYDRGAFHPDPGNHETFKTYTID WDSNRILWQIDGNTVRTLEEKNAKGQYPQTPCFIKVGPWAAGDPSNSPGTIEWAGGQV DYLKGPFTMFLKSIKVTDYSSGSEYEYTDKSGSWKSIKAIDGSVKGDSSGATTSSSTV YATASLTSSIPDTSPTTSIHDNNTASNSTSNPTSNPVTASTSPSSPSSSQPPLATGAA SKSSLNKYLTILLLLAAPLAI AOR_1_2336174 MSHPLVAAVWEQLRRRDITNIVREIIGEHATDVAIFQAEDASDV AKIEHLMHAVFWIKAIFDLQRTYLQGLTNFDDGHPSSTSYLLPSEVIFSTLMYLVQVK PKLFPCSKPPAPELVKYRHFLALTLLAGARLLLLRGQSIRHEMKFNLERAIRKAWQDS ELPGAERFMGSNSKFTAMEPNSLLGNTETLTDLLTGLLKKNTDQMTPFLSLFDMLWAA EATMHYELLVFKGLGLSAEAHLPIEIHNPSLPPIHMVMSRDDRYVAFTLKNEVRVYEI IAGGIVRVSFGDTGDPSASLYDMTPAPTHIASPLGNDGTPGQEAIIERKLQFSVDGKY FVIATHLTDCNAYVDVWDLSLKRWDTVPGKSQSFRLSHRTTNNKDLTCVFYDNVHHAV LLPAYFEKEFPKSSSVADKDMLKDPNSTRITHAAQSASGSQFAIANGMNQIYLFDSIA SGSTRVSRMKKASHKISSSVFRPGYLSLAFPQDDEILLFWMDNGKLMLRTVRLHEGTQ TSKDYDLRSDFDRLILERPTADAQLRRASLLSKQQSPELDGYISITSKLAELPST AOR_1_2338174 MSETEIRDARRPSQAENQTILKSEIPLKERFFRYFQHEITALQE QMDRLADTSLVGERTDATDHCLAGIARLSNEVKDAASYIPTYDQRIYAEAIKALQDKL VETRATVEPRPKFSFKNKKNASAISLSDAANIVYHGRSMPGYLSPGTSSVDSSAAQTP NYPSTPLNEPDRMLQPRAEIAPTSFPAIPTIDVEDGEDKSKRAKGKAFAATAVSSVSV NNHVGLHIMLPSSGSTATVPASITSLRHCVVDMSIPTANGKPYASLTIKGVKESLLVC GQINGPAHITDVENSTIVVTCRQFRMHNCSNVDVYLSASSNPIIEDCTNIRFAQIPRV YALDHDHPDSEDRWSQVEDFKWIKSEPSPNWSLIPRESAVPEEVWAEIVPGGPGWSLD DILRAINITN AOR_1_3212174 MNPGLSSFMPDIFIDFIPTSLQLSSIQLSSFYIPMKLPILLTAL PWTAAAATLRSKSNVVNQTTCGGTTYAYTGLEGYGFIPSNATDKYGDTIGGIGSSIAI KPDSWRRTAPNTYSGIVYALPDRGWNTNGTLNFQPRIHKIALTLTLTHNASAQHPSPP NLHLKYLDTILLTGPDGLPTTGLDADVTGAASYPGYPPLPAATYVGDGFGGAGPGGKR ISLDPEGLAIDNDGSFWVSDEYGPYVYKFSPEGKMILAIQPPEAILPRRNGTLSFSAA SPPVYNPELTINPEDPQSGRNNNQGLEALTISPDGKTLYTMMQSALNQEGGPKKKNRQ PARLLEYDIASGTPVYRHEYVVLLPKYEDYTQNESVVASQSEMHMLPSGDFLVLSRDS GFGHGQDETRSVYRHADVVAIGNSTTDLKGTFDGVGASVASSKGVLKDGITPVEYCEF LDYNVESELAKFGLHNGGAQDAWLLNEKWESFALVPVDTDQQKRGSAKKEYFLFSFSD NDFMTQDGHMDFGRYKYADESGYNIDNQVLVFRVEF AOR_1_3214174 MSQAKKALDTVIKTMKMLDDAIIKFWCADPINPSPVAKRLTIYV SCGGYCMTTLINDLAGPEKQMKEVVDQVRLLKPDSNQETSADGAIERGEPDKMNELVR ATFYLYKALETGFLDLRDNVEPKGNHYVFFALKDFLVEVVQFIKFKPALFVTTVMGEE TGTREKHNKHAPLLEELAADLVTPAAYKCMEAWIPSEN AOR_1_2344174 MHVLSIILPLYLALPTTAGSLKPRATYTDCTDSQKQLLSAAVTD AGKMASAGASSLRSNSASSLFQTFFKTTDSSAMDQVASALEKIAEEASQPGGGVVTYS CSPGSISCQSGGFTTTGYASTDGTNGQVNTCPAYFDLPASSDDCTVLDQRTSALHELG HTKGVLGNEVYGYQEIMNIDTQTALSNAESYAFLRTVFLGCGGQSGQSGQSGQSGQSS QSGQTGKTGSSGSGSNSGGSSSTPKTSGTISSNNPSGSNQKGSSSPLGGSSNTGSLGN MGSPFGNSGSLTGPGSSMESSSPYGSSGSPFGNSGSLTGPGSSMESSSPYGSSGSPYG SSGSLTGPGSSMESSSPYGSSGSPYGSSGSLTGPGSSMESSSPYGSSGSPYGSSDSPT GSDSRMGSSMDSPYGGSSGMGSSFGSENSMGEQGSSMESPPSVIDSGSQDSYGGMGNS DSYGGSDSYGGMGY AOR_1_2340174 MAATRTSTRQAAQKAKEAISAGPDTKSKGGAGAKRKEAAHKGPE PKRSKKDDKKAARDEDKKVEERKEDAEKHVKEKKEEHGEQEAEGEKAQPVEEQVEGEK AQSNEKPEVEEKGQQNEEPAEGKKEEPGEEQAVGPKEEQKDEQVEESKEEHGNDKKDS QESKTEQAKSADDQEKPAADGVESGIQKSKEREEAVPSNILEKGVIYFFYRPRVNVSE PNSVDEVARSFIVLRPTPLGAPLDQTQGSLEAGAKCRLMLLPKKKFPTSGRERDMGFV EKAGQTMKELQENFIAGEKYETSTRGERTVPEAKPYAEGVYAITSTKRASHLAYILTI PGEIGPLQEDFGLHARGSWIVQSKNPKYPGPSSAQLPKDPEYPESVREKFQDYRWAPL IPEFIDYPNAQFLMIGEATDDLGKAATAESDGKRSEEVQPGEELEKLEGENEERVDSL KGDDAVYKDLGLDAGKYPKVPTTWASQ AOR_1_2348174 MSDNKQEFTPSQQSQNTSNAPEDPLSRNSDQNAPIVIQPYAVEE PEEEPPPVSSKPTILFLPGPNSEYWQAELVDSMEDLHCESDNSITKPMSRYNRGKKRK PSSTAPEYFQVFQKQSPGMPQARRREDGPNLKQRKLRRRTKQSNEALASPASGLSDVG LSELESSESFCSRSPSHGANSNQETTPSEQMDLD AOR_1_2350174 MQSSPNMLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLWDY RMGTLIDRFEEHDGPVRGIDFHPTQPLFVSGGDDYKIKVWNYQTRRCLFTLNGHLDYV RTVFFHPELPWILSASDDQTIRIWNWQNRSLICTMTGHNHYVMCAQFHPTEDLIASAS LDQSVRIWDISGLRKKHSAPTTMSFEDQMARANPSQADMFGNTDAVVKFVLEGHDRGV NWVSFHPTLPLIVSAGDDRLIKLWRMSDTKAWEVDTCRGHFQNASACLFHPHQDLILS VGEDKTIRAWDLNKRTSVQSFKRDLDRFWVIAAHPEINLFAAGHDTGVMVFKLERERP ASAVYQNQLFYITKEKHVKSYDFAKNVESPPMLSLRKLGAPWVPPRTVSYNPAERAIL VTSPTDGGVYELIHLPRDATGAVEPTDVKRGQASSAVFVARNRFAVFNQANQQVDIKD LSNSTTKTIKPPPGTTDIYFGGTGCLLFVTPTTVALFDIQQKKQLAELAVSGVKYVVW SNDGLYAALLSKHNVTIVTKSLEQVSSLHETIRIKSAAWDDAGVLLYSTLNHVKYSLL NGDNGIIRTLDQTVYLVKVKGRNVYCLDRSAKPRILEIDPTEYRFKLALVKRNYDEML QIIKTSSLVGQSIISYLQKKGYPEIALQFVQDPQTRFELALECGNLDVAVEMARELDR PNLWSRLGTEALAHGNHQVVEMAYQKQRNFDKLSFLYLATGDQEKLGRMAKIAEHRGD FTSRFQNAIYRGDVEDRIQMFKEVDLYPLAYLTAKTHGLTEEAESILEACGLTEDQIT LPTGDGIPQVPQPIVPTFKSNWPVKAAAHSSFEKALLGEVGADDEAAAIGFEAEEEEE EAETAGEHLEDEDEDVAGWDMGDEINVEEDVDFVNVDSAEAGAGSTEADLWARNSPLA ADHVAAGSFDTAMQLLNRQVGAVNFAPLKPRFLEVYKASKTYLPATPGLPPLVNYVRR TVEETDSRKVLPVIAEDLETIANVDLQEGYAAMRANKLEDGVRIFKGILHSLLVNTVS SEAEVEQAKKIIETAREYILAMSIELERRSVGTDTPENLKRSLELSAYFTIPKLEVAH RQLALMAAMKLAFANKNYSSALSFANRMLANGGSPKLLDQAKKIKTQCERSPQDKIDI EFDHFAEFDICAASHSPIYSGSPSVSDPFTGAKYHEQYKGTVCRISDVTEIGAPASGL RLYVPGQH AOR_1_2352174 MSTSESQPSLPKNLFPHQTPAAASSSTEETKDANGMTKLPNGVI LDKDGKPCRLCTSAASWRNLTKQAKAQGATSTPTTTKPTPESTTSTSTPQPRDDCPPD VEALGRSTWTFLHSLTASYPVQATQEQQGEMRTFLKIFSRLYPCWVCADDFRNWMAEP SGRNEPRLKGRADFGTWMCEAHNEVNRKLGKKEFDCRFWEERWRTGWKDGRCD AOR_1_2354174 MASRRRVVSDSESESDEPVGPSVPSDDVLEKALRDTVAKIYKSG NMEELTVKRVRMAAEKVLGVEEGFFKGSSIWKSKSDQIIKDEVEVQDKRAQEPKSDEE LEEPAPPAKKASSAKRTKPDKAETSRKRRKTNTPEHEDQSEVSAPLDDESEEEVKKPA KKQPKSTAGKSSKPKPRKEQMSDDSGDVEPKKDDVAPSEAEESKNDRGEDSESEMSVV LDEEPKPTRKRQKSAGSEASTQKGKKKTITAKAKDADLDPDQKKIKELQDLLVKCGIR KLWWRLLAPYETSKEKIGHLEGMLREAGMTGPFKGKEAERKALKIRERRELQADVASC QEEVKLYGKDGADEESDSGRPGRRLNRGRKHLAFLEDDGEETD AOR_1_2356174 MAMSRIRGAFAVPRKGETFELRAGLVSQYAYERKEAIQKTIMAM TLGKDVSALFPDVLKNIATSDLEQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSEDPN PLIRALAIRTMGCIRVDKMVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPGMCM ENGFLEMLQEMIGDPNPMVVANSVTALSEIHHAAPETNALQVTTNTLRKLLMALNECT EWGRVTILTTLAEYRTTEVTESEHICERVAPQFQHANPSVVLAAVKVVFLHMRNIKDE LSKNYLKKMAPPLVTLVSSAPEVQYVALRNIDLLLQKQPDILNKELRVFFCKYNDPPY VKFQKLEIMVRIANDRNVDQLLAELKEYALEVDMDFVRRAVKAIGQVAIKIENASEKC VNTLLDLINTKVNYVVQEAIVVIKDIFRKYPGYEGIIPTLCKCIDELDEPNARAALIW IVGEYAEKINNAGDILAGFVEGFNEEFSQTQLQILTAVVKLFVKRPEKAQGLVQKVLQ AATAENDNPDVRDRAYVYWRLLSNTSDPGATKNIMLSEKPPIVTTIHSLPPALLEKLL TELSTLASVYHKPPEQFVGQGRFGADAVQRAAIEEQIQNARENPLAAAAAAAAVSGKA PPPQSQNNVENLLDIDFDGGAPASAQKEPSAGMSGLEGLAGTPVRVESPAAGAPAGNN NLDDLLGVFGDGGGAAAPVPAPNGGSASADLMNGFAGLDLSSNTTSPPPGGSQPKKTN EDILSLF AOR_1_2358174 MAQTDYKFEGWMGLSPESADGKMVWQEFEPKEWEETDVDIKVTH CGVCGSDLHTLRSGWGPTKYPCCVGHEIVGIAVRVGSKAVGDIKVGDRVGVGAQSDSC LGRLGDCPECAMGWEQYCSHKIVGTYNGIHLNGGKSYGGYSLYNRTPSNFVIKIPDAI PSAEAAPMLCGGVTVYSPLKHNGCGPGKRVGIIGVGGLGHFGVLFAKAMGADKVVAIS RKASKSADSLKMGADIYIATDDEPDWATKYARSLDLIVCTVSSSKMPMTEYVGLLATN GSLVQVGLPEDGTLFANVRNLIRRVKVESSFIGSPREIREMFELVAEKGVKPWIEEIS MKDANTAIVDMHAGKARYRYVLVNEQQ AOR_1_3216174 MVKGTIALLALAGPVLAGVIQNRGVDHGAPATIDLAHKEPPQWT EAPNPPPRPTGSHKEPPHNTEPSHEKPTHEKPPNKEPSKTEPPHTEPTHEKPTGTEPA HTKPEPTHEKPTHGTEPPHTKPEPTHEKPTGTEPPHTKPEPTHEKPTGTEPPHTKPEP THEKPTGTEPPHTKPTGTEPPHTKPQPTQEKPTGTEPPHTKPTGTEPPHTKPQPTQEK PTGTEPPHTKPTGTEPPHTKPTGTEPPHTKPQPTQEKPTGTEPPHTKPTGTEPPHTKP TGTEPPHTKPTGTEPPHTKPPHQTEPPHNTQPITEITKTTTYVTTECPVTSSVVTSGT KTLTVPITQTNIITVTTTFCESSTLPPVKPVPTGTGVPLPPAPVPVPPKNSTLLPPAP VPTAPFQNTTVPVAPTQPVPVAPGQSTVPGKPVTTQPSVPGETPVQPVVPGETPVQPV VPGETPVQPSVPGETPVQPVVPGETPVQPSVPGETPVQPVVPGETPVQPVVPGETPVQ PTGVSPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGV SPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQ PSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPSVP GETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPSVPGETP VQPTGVSPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPT GVSPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSP VQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPS VPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPSVTGETPVQPTGVSPVQPTTPGE TPVAPTGVSPAQPSQPPLTGAARAVKPTVGLLAAVMGVMILL AOR_1_2360174 MPHSVSPGESPSHRNDEEVLPDAPPTDATPSGPEEKESEATEND TKDRLADLLFDDDDDDEFPASSAPDTKNENPASRDAVDSSAPQPAHVDTDTMLAFYQR LFPFRYLFQWLNHGIVPSPDFGNREFALTLQNDAYLRYQSYATADLFRKDILRMNPSR FEIGPVYNTNPRDRKTLRGGQMKPVSKELVFDIDLTDYDDIRSCCEKANICGKCWTFV TMAMKVVDTALRDDFGFQHILWVYSGRRGAHAWVCDPRARSLPDDRRRAIAGYLDLLR GGAQSGKRVNLKRPLHPHMSRSLEILKPYFAQTTLVDQDTFVSSEQAQRLLALLPDKA LNDALRKKWESSPDRSSTNKWADIDSLAKTGKSSTLKTSTLREAKQDIVLEYTYPRLD SEVSKKMIHLLKSPFVIHPGTGRVCVPIDARKAEQFDPLSVPTVTQLLGEIDAYDAEN PSNGAGDAVDGEGSVPNDSDVRGSRKLQDYEKTSLKPYVDYFRSFIAGLLKEERGGKR ERNEDMTEVKTESMEF AOR_1_2362174 MLSLRTIARSVPRTFSRSIAISSRSALFRPIPTAAPKNVILQSA LKPSYAAFSTSSVFKQSQAEGDFELAAKLEDELKHEKASGLEDLDSSVQNIQYVLQNN SWEVKDVPGDQEVVLTKKFGNEEIRLTFTVADLQNLSEQEEFDDQALSDELDFEGGHQ PANRGASGNVAQHPEDRVAPADRELDELDRDLEPSFPARVNITVEKPSNGALLIQTVA QDGLFQIEEVSYFSKPDLAHAQTAEKDWARQSLYAGPPFENLDEDLQTFLERYLEERG INAELANMIPDYIQVKEQKEYVRWLENVKNFITA AOR_1_2364174 MPKSFSKVHKHIAKKRGAIDALHENSRDAKRLRRAGIRDDRVAR VSATLARGRQSYIDRIVYFHENVPEDAGVFSDSDMMNLIVRYINRSVPEIEQLQSERR KGRPPSKREENLLQRTDAENKEFKTGFWLPDLGQEDVLKALASWNGDWSGLSSMKFIR LTKDGGKQSSAFPPKGMS AOR_1_2366174 MGIKGLHGLLKSIQKPCHLKKFSGQTLGVDAYGWLHRGTVACAV DLVLDRPTTKHVDFVLNRVRMLLYFGVTPYLIFDGDNLPSKAGTESDRHQRRQESKTL GLELQRKGRTAEAYQEFQKAVDVTPLMARQLIDELKKMNVQYMVAPYEADAQLVYLER QGIINGIISEDSDLLVFGAKRLLSKLDQHGDCIEINRADFAACREVSLIGWTDADFRR MCILSGCDYLPNIARLGLKTAYRCIRKYRNVEKALRMLQFEGQYHVPTNYLENFKQAE LTFLYQRVFCPQAGKLVTLTAPEDDVNLEELPYIGADVDPELAVGVARGDLDPTTKEP LVLRPAPAGKLMHGIQRRQTLGSSAELKPNKPISSFFTPKRTPLAELDPNSLTPSPSQ QRLLERHANRSWESSPVTPRPGIVRSATVNRLSSPLVRSVERNSFLAHASRASTLQPT KRQRLCSETDEASLPSLPDCRSRFFASSPGDSSPSGAKVTRSKKARKSAVDVFSDEVA EDIMTQIVGSSETANHCKDKPHIGTEPREGNHEEAAPSTALTTSEDSAIVKQKVLAEE PQDSAETPSKASVNEDSNPEVFHQVLDYHIAKQNSSLLSKFTFEAAERNTSPNLDTPQ TKDPQVHRPLISRSPSRVKAGVSRSPSRRQRLTPLQRLGQTALARSRSLNFTPSLSTS SALGSNIGANTSKASPIPATYHIAQGSEDMIVPDSEEEDVDGDEPSHPRTPVAFDLKR FSYTNK AOR_1_2368174 MAGNFKDKMARFIPMIGYHHVLMIIIAVTIILLSLLLAGCSSSS PQMPSIFLISLYYQRYDPVFNLAQVDPGVVQATANIVGGAEMEVRVGYFGICVSPSGG AYICNSNATALAEVVTVDQDPLNLIWVASTFKDAVVFPYLLIVAVILAFFCFILLATF PGWHEEIDSTGSEREVKPFPSRPVSQAALALIFVASVFVLVSVLWQHTASVAASTIAQ DMGNGSVKSGVGSSAMVLGWFGFGLMVVTTIGLLVMILSIKLIRQLTDEE AOR_1_2370174 METIRDSTFGKLVRVFTRNRLLRYPEEVNPALWTECLKPEPSVK DEEAATLADTEEDTFGLYAVMSQASRASRRLNSIASTAGVDRGTPLLVDWRGPDDPEN PQNWSTTKKLLVSSQIWMLTFAIYIGSAIYTPGIEGVSEQFSVSRVAATLGLTLFVLG YGLGPMVWSPLSELPTVGRNPTYILTLVVFVFFQFAVIYAKNFGMLLAFRFLTGFLGS PALATGGASMGDMWNPKVRDYMIAIWGCFAISAPVLGPLVGGFAASAKGWTWTIWQLL WASGFTLVLLFFFLPETFTPNILWRRARRVRKITGNSNYKCEAEIELSHVRPKDVLFE AAIRPFQLCFLEPIVFFLNLYISLIYGILYIFFEAFPIVFSEIHGFNMGQTGLAFLGI LIGAILIIPCYFYWKAKYQSQHFDQNWNIAPEHQLPPACVGAFALPISLFWFGWTGNF ESVHWIVPIVGSVFFSVGGCLIFNGIFCYQAHAYPRYAASVLAGNDFMRSSFGAGFPL FATAMFHNLGVGWAFWEEVEDGE AOR_1_3218174 MDPDFMDSLVSECGKATKLKVISADKLNPEAVKILMTPMRNASV QGLHLREAHSDGGWYLANTFLPALIRVEEAAIPHPQGHNTTGYQLWREDKVLIVALMR GGEPMAFGVNRAFERAMFTHAYQAIDLQHDHLEDRFAVILVDSVVNSGGTILDFMRHI RDLNKEVSVVVITGVMQARCVSGGRLTAALAKYDNLQFITLRISENKYKGTGASDTGN RLFNTLHLP AOR_1_2372174 MALGEDHFMYFEGSDEIGKLVCGGITSFKWLDDKARHDFRELAI SCIKNNCIAHDKTGVVIGHFMFWNEGKKSGPAVYTQSDLDTYTHILYLDVPPQEIVKR RLDDPGRDRSHVSINHVQRWVEAEKQMLRDLCYPLNTLDSIPAIKSGTLGRMIGLDAD RTLTSQDTGDLFWKKISQLLEYAGGERPKTPAQVVFESPLGYSYDAFRYGFVVTAEVK GALAGHLKDKHHLEFCAFGDSPLDLEMLSRADKAIVVVGDEASRSVSMETALKKAIEN DGLKAKQ AOR_1_2374174 MDLQIRLNQERGAYTEKDTVSGQLFLRNKAPVNISTITVKLSGI ATSRLDSGKYIESHQLFQRFQNVFPPQKMTETSLSKSLTVGPGTHIFPFSLTFPSTSE CHKISLDETKPNPSCTGFLYRKNKTHHLLRRLPPSTGDTLSPWEARYFLDVTVTKTGI LKGVEKLVPSWQTRNILYYPVPDFSLPKNVLGRRCLLSINHDSNSLCSPLAYQVDVEL LNGQCLLLGYPIPLKIKLTKVGDRECFVWLNDFQTMLVGSTETHASGLVEKDTQFQVI QTMTNIHYVVCHDGASNGAELSIGDSLWKKHRLPIALTPSFETCNISQTYKLEVRLGL QSGYSKFRTTILEFSFPVYLTPPPMDPDPYEAKSAKLF AOR_1_2376174 MDNQFGQSDQSVYSREFTENSPPMGNGRSPTERYDREDRLYHGE HAESLLHSVPLGLPYPAYEPESQPFSYEIPEQLSLRSPSTKRQSSHPRSPQSISRDPF TSPQTFAHADDASAPDSWRQRQTPAPSALRRYPTRRINLVQGSVLSVDYPVPSAIRNA IQPKYRDAGEGFTEEFTHLRYTAATCDPDEFTLRNGYNLRASMYNRSTELLIAITYYN EDKVLTARTLHGVMQNIREIVNLKKTEFWNKGGPAWQKIVVCLVFDGIDPCDKNTLDV LATVGVYQDGIMKHDVDGRETVAHIFEYTTQLSITPSQQLIRPHGEEPTTLPPVQTIF CLKQQNSKKINSHRWLFNAFGRLLNPEVVILIDAGTKPGHKSLLALWEAFYNNKNLGG ACGEIHALLGQRWEKLLNPLVAAQNFEYKISNILDKPLESAFGYVSVLPGAFSAYRYR AIMGRPLEQYFHGDHTLSQKLGKKGIEGMNIFKKNMFLAEDRILCFELVAKAGSRWTL TYVKASKGETDVPEGAAEFLSQRRRWLNGSFAASLYSVMHFNRIYKSGHNILRLVALH VQLLYNICQLIMTWFSLASYWLTNSVIMDIVGTPSATNKNKGWPFGNDATPVVNNFVK ISYLVFLMLQYILALGNRPKGSKVAYTLSFIYFSIVQLYILVLSFYLVAQAFSGGNLD LNLDDGVAGFIGSFFTSTSGLVLIALVSTYGIYFLSSILYLDPWHMLTSSWAYFLGMP SSINVLMVYAFCNWHDVSWGTKGSDQAGSLPSAQTKKADAKTNFVEELDKPQADIDTQ FEFTVKRALSPWHPPEEKEDANLDDSYKRFRTNLVLLWVLSNSFLALCINNEGIRNLC LTTSSTGRTAWYFKIILWVTSALSVFRFIGALWFLGKTGVLCCFSRR AOR_1_2378174 MALLGRLVLLGSVLQLAHLGSAQTIKSVTDGRIIEANEGTVAPA AQQVEGSGLQLTDAVLANLTQLQLSNISLFQFANESDQPKQSRSDGCKTYPGDGQYPS QSVWRVFDLLTGGALIKTVPIGAACYQNSDHYDYAKCQAILAGWTDDAVHEADPTSAM SPLYQGLTCLPQNANVSGATCEIGGYPTYAVNISSVAQIQLAINFARSTNVRLVVRNT GHDFLGKSIGYGALSIWTHNLKDLEFVEQYSDQSSDYSGPAVKVGAGVNVGELYAFAD KHGVTAVGGECKGVGVAGGYFTGGGHSPLTGKYGMAADHVLSIDVVLPSGRYVTANAH QNQDLFWAIRGGGGATWGVVTSVTFKVHPKLNFSGVTWNITSGPDTNNTDDLFFEALY AYWRRFPGWADQGSYGYSKIYSRGTGAGYAWDMLPWLVPGMGLDDFKEMVADIFDEWT EMGFDFTPEYFEHDNFYETWSTHFPTETVANTNLHTGSRLFPRKTWEDEALLNKTMDT IRSIVEDGSRLIQYNVNGAAVPDTPDSAVLPAWRDTVFFAIVGVSWADGISEDELKAA NEKLTDDIMQRLRDVTPGSGSYLNEGDVMEPNFGEAFYGANYDRLLQIKAEIDPWDTF WAPTAVGSEDWYITDVPDWLTYQTGKLCRKQN AOR_1_2380174 MRKVSRQSDRPSSAAKSSQTSTHPVPELGSLRGLLDQQTDTYSL PSELDNDSLSMEMPEVGPILTNHPHVAYELHNWISIVPLTDAQMASRHRLSRVQGLAW NRLQVLESALYAASQFTESMGGFRKPPNVDDQSEEQGKIPAPEFLTWMLKDIGTDRFG PYVRDYFRHVSKHSLEKMGMSLLRKDASPSDTILYTVCVNSVAYKFLTTVLMIEEHGE LSQRLWHSALQYRATAQAALRKIPLVTTPSLALLQAVLCGIFLFQGSGDTNFCWELTR TACRICTDIGLNTNATNGRVLSEEEFFCFIWCYMLDRNYAWKLGRSKGFLEATSAGIL HASTRLTPPISELLLVYLDLAQIQDGIIPFIKDSSGEGEHAVPPVANLREQLLPRMKD IRSRIDEITPPSDHWKGLDSHSEIAALDFAYHSIMTTILHLIQLTPGQTLTAKDLYLD SARQELSALVSICLSANKQSTVAFLHWTLLYYPLTAVFVLFCNTVVTSHIGDFNLLKT VADCLTQSGTASEHIANLQKLFQEFVSLSQRFLNEESSATLTNQGATQTSPCQQQQGT SSQDVIHNSNSPHWLNTSTTWSSGILAGLDNQSFDPSFPMLELSYGDSTFFLGGGSDI PFSSA AOR_1_2382174 MRFTVLAALAFVGAALATPQAKIATGQPCKADGSMGVCDSGLCV QTPDQTQGVCQ AOR_1_2384174 MITSLYTSEQFVESCGAILFDLSSPKKTVCLIHYHPKNEWLLAK GRRNCGESRHEAALREVREETGYQARLHPVTIYIRAPPMDEQGHMPDEPRCYPDLTEP FMVTIRQFGGDGATGVKIIWWYIAALDEGAVAGSAGKAEEEFTARFFPLEEAVEKLSF RDDWAVLQKAIALVEGH AOR_1_2386174 MTANGDCITHIKPRCDSGILLNSDCVVGNPSTPDGTDHRDQNCV SRELPKCTEEGYEWSELEKQCRKKGKTECLDGYREENGQCVSGDVPDCGKNGTLEGKD CIGKKEPTCSDDTYWDGEDCRSPDEPNCGEGKRFKK AOR_1_2388174 MIKEVITAESSHPPASLHLITHREKRKLSIFYIRSKSDPTVFWH DNDGFIVASKTERTKFRVTGKTRLEKDHVMIRSDLISITVIGKDNGYIRNDDGILVTG GSGNDTFLFSEFTTAFLPSGVSGDAVMSGSLLLSYFLILRPD AOR_1_2390174 MSDFRADGYYDSWSSNLNKASPEKRQGLVHKHRTLYEIIVKKGS EQSTIFINLKRGEGEITRSTSDHADVIATIGDDDLIHWIQGSVNGQRLFMLGKLKVKG NTTGLTKLDRLFQDLNTLES AOR_1_2392174 MPSQQMVLFAYTIGAFSLVLHLLVAALATGPRYSVVERLEKIPD GWVDVGTPSPSKPIELQLAVHPEKITEFEQRVIEISTPGNQNYGRHMSRDEVRNFLYS SDVAPRKVLSWLKAAHIPATSINKHTGWITFTVPVSQAEQLLRTRFYTFEHKKSRATV VRTLKYSVPKELRSFVQMIQPTTRFGQLMPQTEPPLLQALPVTLKDLVAGCSSIVTPS CLRKLYGISDSKPKPDRRNRLGVSGFLDQYARYSDFHQFLRLYAPNITDNNYTVELIN GGLNLQDSLEDSSEASLDIQYAAALADTPFTTFYSTAGRGPVIRDLGHNDPSDPHEPY LEQLRYLLDLPDDELPAVLTTSYGELEQSVPEIYARTTCNMFAQLGARGVSVIFSSGD SGVGDSCITNDGTNRTRFQPLYPASCPFVTSVGGTYGKEPEMAIGYSTGGFSEYFPRP SYQDGSVNQYLSQLGSKWEGLYNPNGRAIPDVAAQADHFIIMDHGQLLKTGGTSAAAP VFAGIVSRLNAARLESNKPRLGFLNPWLYSLNQTGFTDIVDGGSVGCFGDVGREVPYA SWNATPGWDPVTGLGTPYYKALVEQAMSI AOR_1_2394174 MANGADLRTLVSELHNALNRKQFDAANDLLSRAKRTLLLQNALI PTSSTSPELIALAREVLELGALSSIRQTDAPSFTRYYQQLQPFYDLERDSANAGKVDF KTSQRSKITGLYLLLLLSMGDSTSFHTVLEGLVEEASLKGKSIEDDPCIKYPVELERN LMEGSYDKVWRETKSERVPSEDFGLFSNVLVGTIRSEIADCSEKAYPSLPISNAKNLL FLESEGAVIEFAQQRGWVLRDGRIYFPVEPEAAARSEKDILVASTAIIENAIGYAREL ETIV AOR_1_2396174 MTSQTPQSATTIYLDQPPSCLQTCPASPNHIVIGTYLLTETKDE ANDTVKQSKTGSVQLWRLDPETHTLTQIQKIPLPTAVFDLHFHPSKPTLFAITTSSGS VSLFRLTTPSGQDPEILPLWNKPVHDDPSIPALFLAWTPASWLNGAHADGFAVTFSDG RTRVFGSPDGISGEADGVSDVGADALVEVGCFAVREMIEVWFVALAEFPTSGDGGERF LFTGDDFGGVHTRQFQSYSDSGLDDGDEGEGELLSSVLLDYDDRARHHTAGVTAILPL PVELEGGAPLLLTGSYDEYLRVYHATRRGGVLAEVCLGGGVWRLQLLKTEHCVLSGGD EWRFLVLASCMHAGTRVVRVTRTLGENAGWDIEALAEFTEHQSMNYASDVWKPEGGYD LQGKEVSELLCISSSFYDRRLCLWRVSV AOR_1_2398174 MASSFASILKDASLFVERSYVNGQWVSSNSNATFNVTNPANEAQ VGVAPESTLDDLNVAIEAAADAFPTWRSVPGRQRGRILRRIADLLVENKEDIGKIITA ENGKAKGDAEGEVMFAASFFEWFGEEASRIYGDIIPHSSAAGRTRVIKEPVGVCGLIV PWNFPLAMGARKVAAALAAGCTVVMKSDGLTPFASNAMAVVAERAGVPKGVFNVVTAL NNTPQLGLAMCESDIIKKISFTGSTRVGKLLMKQSSSTLKKLSLELGGNAPFIVFNDA DIETAITSALISKFKVTGQTCVCANRFYVQEGIYDRFAQRLVEEVSKFKVGNGLEDPS VTHGPLTNGVAKVEEHIKDAVSKGAKVLLGGNRLPALGKNYHELTILGDANDSMLVSK EETFGPVAALMKFKTEDEVVQRANNCEVGLASYLITSNLGTSHRVSERLEFGMVAINT GVISDAPAPFGGVKHSGMGREGSKYGVDDYMTIKMITTGGINTVYTRL AOR_1_2400174 MSLPFSQFPSTARITPHAFKVFIPQEQLTDLHTLVKLSKLGPLT YENSHSDARFGITSVWLTEIREKWLNDFDWKACEARINDFPQFKTEIEDIQLHFAALF SEKPDAVPIVLLHGWPGSFLEFLPLLQLFRDEFTPSTLPYHLIVPSLPGYGFSSGPPV DRNYTTHDAARVIDKLMKDLGFESGYIAQGGDIGSRVSRFLAVDHDSCKAVHLNFCAI ATPPKGVPEESLTASEKKGLGRRQEFLTSGLAYAFEHATRPSTIGHILSSSPLALLAW IGEKFLTWVDEPLPSQTILEFVTLYWLTDTFPRGIYPYREELPISPEGNPLRYIHKPL GFSYFPVELFPVPKSWVETTGNLVFWREHQRGGHFAALEKPKELKADLAEFVEQIWSS I AOR_1_2402174 MPRAKPPQRQTRTTDMSIIDSNEYFSDESSFYGSEDEKSRLEYL SQTYDPEPYWENIHPKLYSTIQHDSLAASKQQPIPETNPTTNKPKTHPNTNIQFEPET PTSTTFHNARKPNETVQDFLTRLPPSVTASADVGPWIYVDNPGAGQEEKDIAGLVGRG TEMLRAFEAKKVTIEVEMEGASALARGRKLVPLRRGLEGEIFALARETGVVSGKWMLF LGEEVVDRVWGIVVEETVEGRLGVAAKVATREEGGKARLLAIYTKDFGDVEDVRRILE RLVEVGLVKREERPIYYKCDAYTYLEITSGNSYGLKASLFSSREVLERKV AOR_1_2404174 MSTISSPRPSITSSRAHSPTPASSRRPSLDTLNTNVGPGLSASS TPSTARAVSPSLHPRRNRAALRDYYNLKPSAAETPGTRRSRSIPRPTDAADTSNPSVV QTGTELDSPDFDAQRYVNHLLATSSLSTVLKAENTLVGDIKTLDSERKALVYDNYSKL IRAVETIGKMRQSMDDRGAPLTMTKTLGPAIAFVAETAGGLIQEGEEQRRRMKEAKAS DEVERKKAEKETVRWVLGTPARLEKLVADGSREEAEKDWEEVRELLKKWEGVKGVQEL RDACEKVMEKSDEAS AOR_1_3220174 MSSKAALKAVRTALDSKDFELAAEKAKALVQQEPQNYHANVFLG LALDKLNKTKDAENAYLAATRAKENDKIAWQGLISLYEKQGNSRLDSYREAVIKLCQI LGEANDSRRCEEIVDKYIKFTKKNGTRSQCRKALELHLPSSPIYDILEGFIPHPAHTY LRLIEMTEAEEKEFINREIGERRTRLGARIEQVTLEVKREAFRRSELEQLYRGIVDWS HDDEVRRTYEEKIIERGCDVLAVLPTNEKKAKREEVWAAARDMVIIKHPFELAWRIYL EWQDIQNFSEWDRNFLEEFIEFFPEAGLTKVLKGFLASNLSPFPKKPKDSKEKDKDTK AKEQEAEDENDETNELGVQDSVLLMVEGLEMSGSSIVAHRILGEFYLTLEEYESVVDV SRKGLRNIQDLVKTTGMKLQKTTDCMNIALANALIYYQSPRNHPEAKIIFEEILKRNP KSTSCLLGIGLILKVDEDYTEAVNFLERALERDPSNLKVRAELSWSKALTGDLTSGLH GLQDVLEELQNSDLENRDFKSETFYRIGYCQWELDPSPGARKDRNGAYANFLASIQTN INYAPAYTSLGLFYADYKRDKGRARRCFHKAFELSPSEIEAAERLAKAFADQKEWDLV EAVSQRVVDSGKAKPAPGSKRRGYSWPYAALGTVQINKQQYAKSIVSFQAALRLSPGD YHSWVGLGESYHHSGRYIAATKAFEHAQQLEDSLSNSDKEQVWFARYMLANVKRELGE YDDAIARYEDVLSIHPNEIGVSIALLHTLTESSWKSLDLGLFNDCIGLARKAILVATS LALERVDIFNLWKGVGDACSVFSYVKSKTARAPLNEVQKLLSTQLESAVFDILTDVDD VGQDRLSELKNTDDEACSPSDKCMYASILAYKRAIHVSAQDIHAQAVAWYNLGWSEYR AFRCMQVSTSTPGKRQSRKFLKAAIRCFKRAIELEAGNSEFWNALGVATTSMSPKVAQ HAFVRSLHLNDRTAQVWTNLGALYLIHNDIQLANEAFTRAQSTDPDNSQAWIGQGFLA LLFGDPREARGLFEHAFDISNSSAPLPKKQYTLSLFDHLMSDSSASNEVSALIQPFFA LHQLSSQDPSDLPFVHLSSLLAERIGEISDAEASLRTLCTAVEAEYEVSESASALSRF AQANADIARVLLARHEFEEAAEKAETALMLSGEEDAEKFDPETNSKLRLSAHLTAGLA HYYLRNMDSAIDMFRDALQEADNAPEVVCLLAQVLWAKGGEEERAVARQQLFDCVENN PEHVGAVTLLGAIAILDSDQDAIEAVQSDLQSMITRDDIEIHEQTKLVKLLTAMSTLG FTDKSGVPEETRRVGEAAAAVMRSPYQPQGWMELAAASDEPYPAEMGVKRALRSVPPQ GNLDAVDLSTAYAQTGKASDALRSIMIAPWKQHGWEELNHTVSITTGSLRTLLRVFVH VNRPHYLPSSNRLSTFHALNGFYLAPKTCADVFDIEDLDRDRALELPFLYGGIEDVDG QE AOR_1_2408174 MGAPRLRIKGATFKDPNNREITLRGINVAGESKYPKSPDTPSYV PDKFFETDDVSFVGRPFSLDDAHTHFARLRKWGYNTIRYIFTWEAIEHAGPGKYDDEW ISFTIEVLRIAKQYQFYVFMDPHQDVWSRLSGGSGAPGWTLYAAGLNPRTFKKTEAAL VQNTYDNPAEFPKMIWSTNYTRLVCQTMFTLFWAGRDFAPKAIINGVNIQEYLQGHFI AACRYFAQKIHEAGDLENEVVIGWESLNEPHRGLIGVQDISVVPPDQQLQLGTSPTAF QAMLTGSGRACEETTWAFGGFGPHQTGRELVDPEGESAWLPASYDDHKYGWKRDPEWK LGECLWAQHGVWDPSTDRLLRKDYFAKKPQSGEPLNYDVFTNTYFMEHYRAYKDAIRS VWPESIMLCQPPVMEVPPDLKGSFDDDPNMIHAVHYYDGLTLLTKHWNRLYNVDVIGV LRGKYLAPAFAVKIGETAIRNCLRDQLKYLREESLRFMGNHPMIFTEIGIPYDMDDKH AYKTGDYSSQISAMDANHFALEGSTANGFTLWLYTTQNNHEWGDNWNGEDLSIYSLDD PELPSAYSESHSNMEDEHVGPSNLKDALRPASITSELSSLQLSKDQTGFRAAEAYIRP SPIFTNGSLTHHGFDLRNCTFTMSLVAKEKAVRGDQPTEIYLPDFHFPDIQSVVSVSS GEWTIDHAEIDSVKIQRLRWWHPDGKQEIKIQGVKRKPGDLTKVSGEDLTYLEQCQRG ACTIM AOR_1_2410174 MTTEEDLSESRIADIVRALELIHNPSSTNELRREALTFVESQKE SKSAARNGFVLASREQNDPLVRYFGLTLLDHVLRHTSFTGSAQVVELRELVLKLAESI RPEDPAYLRNKIPQLWAEIAKRSWGLDWIEMDQALAQFWGASLVHKELVLSILETLSE DIFYREDTVSSLRGTDLNRALVEIFTPLSVFEEVYPKRDNHVALRYGSDGWLPRICEF LQYCVENLQNSKQAKDAALKALAALKSVLLWAIPKAIISSNCVPAIARAFTCSDEQVL LAAVEALHSLYSRSNMDMESFQPLVHLMYETESLDIMQKLYQWSIVGPDDIDDTRYMI SKKLSEMLSYIAGFLEEKGFSLESAHGMNLPFFFHLTISVIQHQSLMVSIPVLHVWSK LLASERIGNTDLVTGLIPSLLEICTQRLVRWEALPTDSDDPTVAFLNDDIDTVPERHA FVGNYRRYCSSIIETIVQKRPQEAIPHILSGVDTNLNNLYNGVEPFNAKSFSKSSIPL MRADTQFAVVEATLKGYNKWVSAHGKMPQQDEQKRSDLEGILEKWAFSLMQRSFEDPI LKQRVIKLIVDISSKALDKTPSFALKVLEYILMTRLPDQPEFPAYSEAVKELHGLSSH ELRRLAMRYSEYFATFYDVLEPKVKEISLANRVDDKLQMELTSILLIIMQRANNVEPF LRQSRLASFIEPIRQAWQDEEFRQMSSSFEGFCSMLGLQSVGPFMQAQQAQKLEDWAS VPLDSEGKRIQEEMTRKFQQLPLRGTKTMLAVSTDKLKKNEPAYELACNVWHETIPII LPTLLELVSNAHAFHNPANWGGLPSEMQTVVERILTDRFWQAGISTGSRDEFYAKITA SRASLEGFASSVRGKIRAVRESCYSMLYSMSRLREHFYGFAELPGPLSQALFKDSSCL SSHQFSVLLNIARCLIDDCPVRFRGHFLPPMLATLFTNIDKKVTSEWAIIEQQREGLA DADLTDEMKSESILRQLTYSAVIMVASLLDPQRGDPDEEPADPSAPHPQPALSDSIRH FVLSSPEIFEPLMLFCTHALRMRDTRCCSIITRVIRSILQDFAPPNNSPTTVQIREFI CSEVLKACITSVHEPYFVDMQKDLAQLISSIWVLYGSSTPTPRSVILSLPGMDEQRVA MTEASLVRSTAARQQRALILDLLEGLRGVSIAEQGKILGSREERRKARSALQERYMTA EMEGQQNNKVDINDGPDLTGVADMFG AOR_1_2412174 MPQDMPPVGGYGPVQYKRNIPARGFRPITYLVGMHLLMGYGYYK LFHGIREQNELAREKVWGRLHILPLLQAEEDRDQVRRHFADKAREKELLGSESKVYNT ERFVRPTFVYTPTKVTQ AOR_1_2414174 MEDEERPRKYPKLSNDEGQEGSEPTMTGAVESVPHEDAAQPTTQ NDVATVANGTDDKPKDGQQAVDESKDDAPKVSKRQLKKLRRREQWDAQKEQRKTIRKE KTAAKKQRRREALNQARQEGGQEAVDRLLQTWQSNRQKFKQSTLLPITLVMDCGYDDL MLEKERISLSSQLTRSYSDNRGAPFRAHMVVSSFNKLLKERFDTTLAKSYNNWQGIRF MEEDFAHAAEQAKEWMKEPKGGQLAGMFANKTDATPEDGEIVYLSSDSPNTLTELKPY STYIIGGLVDKNRHKAICYKTAVEKGIKTAKLPIGDYIQMASRQVLATNHVVEIMIRW LELGDWGEAFMKVIPRRKGGTLKDSERGSEDPSQEGDVVDADSDEEPGPGEREDEAAA PRTDP AOR_1_2416174 MFMIRNVSKFLFGDTSKESIIEIPQGELYLVRPLSPKGYSELIF KDAAASIRRTGQEYQYQLVIQRAYEEGEEELSADEDEQGGADNLDKDEKIFLLDEALH FRTEVREGGAKVLAWRDLSGDIGDLYEFVCDPSVPSDKIPTFELAAFQCQYERKYRQS AQKATEQDLQQFSYQEEKPIPSASPNASPTKSRAHSLTSGDSAAAMAKDVEYQKSKGH IKPADNGEESTVAPPSAEQPEAKEILAKEKAELHMFDFPSGTFVIQDADVTATVSEIG NWQYWLQISGNEKEWLGQAVVADINPVFNFEYLSFIFNHYTEDGSAYSWLLRFKDQET EERFQEGLMQALWEQLNEMKWVKVKEDDREYVLDAFQDLTMEDAADNREEEEEEEEEE EDEDQHDGQRSEHYDSDEEEDDVVTRDDDGNVNSQLAVGYKHDRSFVVRGSKIGVFKH TADNNLEFSTTISKVETPNGKLFSPKKVMLHAEDSNMILQNSENPNSLYRMDLEYGKI VDEWNVHDDIPVNTFAPETKFSQMTNAQTFVGASHNALYRIDPRLAGSKLVDADLKQY ASKNDFSSVATTEKGYIAVASNKGDIRMFDRLGINAKTHIPALGEPIIGLDVSADGRW VLATCRTYLLLIDSLQKEGKNEGKLGFERSFGKDSKPQPRRLGLQPAHVAQFQHETKK PLAFTPARFNTGVDSQETSIITATGPFIVTWSMKKVLAGRKDPYTIKRYSEEVMADNF RFGSDKNVIVALPNEVNMVAKRALQKPTRESIAGPPVTPSRRSTRWGSRLGRDDIVNS PY AOR_1_2418174 MSSTELPSSASSSRSASRSRQSNSQIQQSAASYFSYPVTHVVSG LYRRLTDPPTSKSKNNMHRNQHSMTTPNDSTTSSQVFTPVRTASPFQPPPLTPLTLTG DLYNLQQQLLTRALAEEIRLLVPARLQLVDTWRLAYSLDRDGASLATLYENCREMSHR SPRAGYVLVVRDSSPSGAVFGAYMTDPPHPDSHYYGTGECFLWRASVLAPPTNLNLPR DGPPSEDMLELAGLPLPPSADTTHAGRSTTLRAGDAKLAPPSTSGLPSGASTPERIRF KAFPYSGVNDYMMFCETGFLSLGGGDGHYGLWIDSSLEKGVSAGCQTFGNEPLSDEGA KFDILGVEVWYVGA AOR_1_2420174 MASHMPPATGAGASAPRKVEEITQMAQNYDYNPSIPLRYWLRTA ATLLREARIYEREGHDEQAYFLLFRHAQLVLVNLAKHSEAKDEQNRKALMEAEKEVSR NLEKLEILRPRINKRHKRYTELMNDRQARSPPLGTNHTAPNQQQPQDPALVGVAEPLE AGENRDLAVKLARTEIHRRATARKAVRQAGITPQEEQRRRTAGIWGDWENALDKNGPE TDNDLSRRIQNVRINMDHAHDADRQKKTTESTTRPLTASSSTSTYKYPTVPRQKALDI APPVTKETKDATMSLQAAPVRPPKERFEPLQPVVLDGPSPPPRPDKISTPLTSAAQTQ APAPPAKVKPVADGGDGRSNLDPSSFTFKPSAYLENGTPLRTVFLPPELRSTFLSLAA SNTRRNLETCGILCGTLISNALFISRLLIPEQTSTSDTCETVNETAIFEYCDSEDLMI LGWIHTHPTQTCFMSSRDLHTHSGYQVMLPESIAIVCAPSKTPDWGVFRLTDPPGLKT VLNCTQPGLFHPHAETNTYTDALRPGHVFEAKGLEFETVDLRPNGS AOR_1_2422174 MRLTRWTPVPHLPLTQAIYNCFPLPTRRRSVRKAELASEKLCDD VLNRLSPFLLRNPAVDILDLWPGAGLWSSKVNALLKPRRHVLIEPELKYYKPLLLPLA ESNPSYELLSAEIHDIADWQSILSKHFPEQGPSNRDDSGILPRNDTLLILANPPAIGS KKDHYTPARWWSVFMEACMHQTGLHAYGSVRMVASLPISDAQQVIPRTIIERKRPALL TENVALHAFEVAAPKAPKDPNAWATLKGWDLMTSNALRVAQRAAEQGVTTPPGRELSP IPLAPESPDQGRIPLPYVPRPYTEWHEKVWKKITTDTPTKDGKLNATQRRGLTQLNKE NRDVYKRQYQATTIGEIDELTNTLSRTAADPRESSAALEPILDKIKAAKSTVDQILSE VHYEVIKEVPAVVDNKRVALHSGNFDDAILHWDRRPFEPLLITPEELYPREAERSVLY FEADPNPPAVQKLNQLDPSQRDAALRLFEALSLTIGTRNLMTVAEFLELIFPERPIND IVKSIPGLAVFAAKTPEPDFDNLPKTIHGSPEAREPLDPAACYQENLDYDLSDVRVRT LPISTLWDIFVEYQKKGNTNLSTVQLTQLLGGTLTSFRTGWEPTKRFH AOR_1_2424174 MAAHIPIRLSIRTENPNDKATSVPPLTVVPGLTPPVTPTKGDDS YSSGTRTAGSSRSSSFVTEGEKPRDLFGDDVRPSGPLQYSDELEFQYDPKGRPIEFGR GVWSVVYKASSRPASKSFLMTPPSSPAGGGRVVAVKSPVRRDAHAVLDAEALALTRIS RIPGSERHVIPFHGYISESHSIVMSAVPLSLSSYIEEKAELAQKHKSTRTMFDPVQGM PQWQELAKKLITGLYWLHTQCQIVHGDIKPHNILLRSRPTGNDLESDEFPFEPLFADF SSAHNITGSSLSAEKETGTSLTALTPPFAAPELLSVSSLTSPGTNHLNLKGTIFWNTQ MLSLLVMY AOR_1_2426174 MTPTPPSSTASLASKSTPSISASDAVLHIESATSIAAPCQDVWD VLIDTSTWPSWNTFVPRVTIREQPGSDSQSTPDALSPKLQMGTKMTFHVHMDPSSDSE QDVGLVVTEFEPPSANPPKPGRIIWASDLTAKGSMPAFLLTAERVHEVEEFDVQGEDG QTKRVTEVRNWEAQVGYLVYVVRWMFGAQLKKNFETWVQDLKQYVEGKKVDI AOR_1_2428174 MTTQEQKADIWSADVYGNKVAPFVATLTEKIVSWLDPKPTDEVL DVGCGDGVLTAKLAPHVKRIVGVDASPNMIEHFQKTYPHIESCVVDCRHLDQVPVLTE GKFDKVFSNAALHWILHDPETRSNTIKGCFNALKPGGIFVSESGALGNVAEVHAAIVS ALVIQGIPVEEARAASPWWFPSQEAMKQLLEGEGFQWIKGEAELRQTKLTEHKEGGIE GWYDPPLL AOR_1_2430174 MLITKTAFLAFLLSSVPLAHGAGGNSSSPDARGRRCVVRSSNGT ADDSPEVSRVFAQCATNSVIVFQEGVDYNIFQPIKATNLSNVEIQMHGNLHLPQNISA VRDIVNAGTSTWFTLEGPRVDWTGPEDVNNGWIKSYGQAWWDANPPNGTGISGRPHLM SYKTSQATIKYFRSGKPIAWNMKLHGEDIAVSHAIVDASSTGSFPFNTDAFDVQGTNI RISDSIMYNGDDAIAVGSDSHNIVFERNTIGYQSHGMSIGSLGKDASAFANITNLRFE DVTVIDALYAARFKSWTGGQGLVKNVTWKNIRVYNVTFPIFVTQSYYDQSVSRDGVDT ESSVMMEDFTWEDFSGSINSYQPGDGSCATDPCWYNAGLPNLKHTEALVIECNTDKSC KNFVTKNIQLYPQVLEPASVICMKATAELNPNLGFNCSNGTFTSA AOR_1_3222174 MAPASFSSIPRVGEYDVVCHNCNASLDRLHRSVSEQSGKTGNSS QSLRLPLRKPNKIYAGALLSQSSQEALSPLASSYVMSLTQPLFYTPGYPACFTEPPYY ANLQSPFVGMGLFSLKGYRERHQARKLEKEARDNLRRPPRSKTPPSGSSTDPTNQNPA NLPSSQTAEAGPSGQGQNQNNQGHQEDPDAIMPAPDDAGNAYFEPAPQHPNGPAEQET QSIGSSSKSVPQEPDSPAQKQTQPVPIPRLPLSSKPQEPVLPAEQQTQPVPIPGASSR AESQGSNHSCKHKTDSPPYRKHAPQKFEVDQSLIFPFSLSETSLDSRLRAGTQSARYE DMDTYDISRRSWTASLQPTRSSQLQGFSNRPSNASRSKKSSFFLMWGKHIRMVMELRS IRLNLAFDHPSKLP AOR_1_2434174 MHPVNGDHHQVAGCWDDLVIVFALLSEIALFVCIIIEVRHGLGQ SMHSLSLETVESQLKALWASVPLYNLSLNLTKASMVLLYLRLFPLRTYQIILYTVLIF VIITGLWMVFASFFMCIPIRGAWDISSPHNCIPKEALWSLNAALQIITDMTIVILPMP LLAKLQLPRKQKIALILVFALGTFGCANTTPQPQTGPSSKAASQ AOR_1_2436174 MMNSLRLHSASVRRAVASPLSAPLSSSAYRSISCSSSSYISSSS SSSFSTAATPRAQRPLASSQLPRIVSPRQTGIYPLQWTQARTMATEGPKIKVKNPVVE LDGDEMTRIIWQEIREKLILPYLDIDLKYYDLGLEYRDQTDDQVTVEAAEAIKKYGVG VKCATITPDEARVEEFKLKKMWLSPNGTIRNILGGTVFREPIIIPRVPRLVPGWTKPI IIGRHAFGDQYRATDRVIPGPGKLELVYTPVNGEPETVKVYDFQGGGVTQTQYNTDES IQGFAHASFQMALLKGLPLYMSTKNTILKKYDGRFKDIFQEIYESTYKKDFEAKNIWY EHRLIDDMVAQMIKSEGGFVMALKNYDGDVQSDIVAQGFGSLGLMTSTLTTPSGEAFE SEAAHGTVTRHYREHQKGRETSTNPIASIFAWTRGLVQRGKLDETPDVVAFAEELERA CIEVVNDEGIMTKDLALACGRKDRDAWVTTKEYMAAVERRLKTNLKSRL AOR_1_2438174 MPFSSPARSAALPRCHYQHHQGAKAKCFFFNSRHLHTSSHLPLS FPQISSSFLLRPRDSSAGRGVGSHSYGTLRDLRPNPTGYKGFTATPFSIRRSSYSTGT TMAATKIDGTQIAKNIRAGLKNEIQQIQESNPRFKPSLVIFQVGSRSDSSTYVRMKLK AAEEAGIICKIVNLPETAIESELLQDITKANNDPSVHGILVQLPLPQHMSEHTITSAV ADEKDVDGFGAINIGELAKRGGHPLFVPCTPQAVMELLRVSGVNPAGKKAVVLGRSDI VGSPVSYLLNKADATVTLCHSKTPDVESIIKTADILVAALGKTEFVKGEWLKPGVVVI DVGINYKPDPSKKSGQRLVGDVDFESAVQVASQITPVPGGVGPMTVAMLMQNVVNSAK AYFEKQKDRHITPLPLKLARPVPSDIAISRAQYPKAITQIASEIGISPHELEPYGHTK AKVSLDVLDRLAHRRNGKYILVCGITPTPLGEGKSTTTLGLTQAIGAHLNRIVFANVR QPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIETRMFHEA TQKDGPLYKRLVPAKKGKREFQPVMFKRLKKLGITKTNPDELTNEEIRRFARLDIDPE TITWRRVLDVNDRHLRGITVGQAPTEKGLTRETGFDISVASECMAILALSNSLEDMRD RLGRMVVATSKSGDPVTCDDIGAGGALAALMKDAIKPNLMQSLEGTPVLVHAGPFANI SIGASSVIADKLALKLAGTEPDEDHEAKTGFVVTEAGFDFTMGGERFFNIKCRSSGLT PDTVVIVATVRALKVHGGGPEISPGAPLNEVYRTENVDILRKGCVNLKKHIENARQYG VPVVVAINRMETDTEAEIDVIREEAIAAGAEDAIPANHWAEGGAGAVDLAKGVLAASS KPKDFKLLYELEGTIQERIERIGKVMYGAEKIELSELAQKKVDTYTAQGFGHLPICIA KTQYSLSHDPALKGAPTGFTVPIRDVRLAVGGGYLYALAADIQTIPGLPTAPGYLNVD IDTETGEIDGLF AOR_1_2440174 MRPILLSGHERSLNQIKFNRDGDLLFSVAKDKIVCAWWSANGER LGTYNGHQGAIWTVDVSPNTVLLATGSADNTVRLWNVKTGECIKVWDFPTAVKRVEFT PDGSRLLAVTEKRMGFLGTIAVLDINYEDLEAQAEEPSLRITCTESKATVAGWSYLAK YIIAGHEDGSVSQYDSKTGEQLENVQAHEFDNLISDIQFSADRTYFITASKDKSAKLI SSRNLAILKTYVADTPLNSAAITPKKEYVILGGGQAAMDVTTTSARQGKFEARFYHKV FEDEIGRVRGHFGPLNTVAVHPNGTAYASGGEDGYVRVHHFDKPYFDFMYEVEREQLR K AOR_1_2442174 MDDWNSTTRIGSKHRAGGAAPRETVVKGKSALNAAQRQGLVIGT EKKYATGNAASKTGSIEGQHLTKVDRSDDIIKPKTVGYQVADAIKKRRTEEGYKMTQK ELATKCNTTVTVVQDFEKGTATPDQKVLSAMERVLNIKLRGSDIGKEKFPKKK AOR_1_2444174 MASQSNFMAAMTNRSLRTVRLELEFLADASVITPQQLSSIVSQL PQENTERSASVPQSRHQPPVAAPTPIQPSPAPYSPPTQQFANTSLNEKAAYQPPPQHY TPPPPAYPQAPAVLSVANALYAYTPTDPGDLALQPHDRVQVLEHMNADWWRGRNERTN LEGIFPRSYVSVIENKAAPAPTSYGNMPLEVSQSGSAENPDDKKTGKFEEHGKKFGKK MGNAAIFGAGATIGSNIVNSIF AOR_1_3224174 MFSHLILVTCFIIPAARAGGWDNFTDNLATDLAPLISLFGERLT TQFLSESVSLLDNVIFALAPLGILTAAVSAIRVCGNASLRAFIGRAQEGPGEAEHELL SCVSETTAELFNNGGISRVFGRPRLLEVVVWKDKDPVTKEDCWKTGTLRDALLQRAWT TKDEGLKLLEKDYPLPELEIPNLSLNKGIARRSQGWFYCAAAVGLVLQIGVLFQKDDQ PVESYALPLFLIGTICLCTGMFLCAFIIERSSKEFYFHPVKPSKIYWLQPGGQNVGDQ VFDSFLAVNEGSSSQLDEGKANGSENQGKKGEQAVLHWLTNNDEGLYSRELLSVDDRL ALDWLCQNRHHALLDWLIARWVELEERCPGFLYHITIWAVEGKYRVAIDALRRRGVSI NMQNPKDGSTPLIDKIMAGDRKAIQELLDAGADVNGSHSSGGTPLSVASHKGDLETVS LLLRKGSRVNIQDSDGFSPLMYATEGGHVDIVRVLLQRGANANLGSFGGSTPLMAAAA DNRVEILELLLSRGAEVDMESSDGCTALILAARNGSTEATRLLLSHSANVHKRTLGGE TALDSAREYDHIASECITLLESAMA AOR_1_2448174 MATTYFPPPPQDPQFPPPPRSATSHSNPQSLSDFSQPNFSFPPP QRQQTAPAVSPFATDTNATAYTANGDIGNPYGSNSVSPPPQSSTPPAAVQPQAAPVVS ATSQDEVGTFNGGSYRISHRDTNSVLTMQLAMGCPIEAKPGAMVAMSGDISLRGTVKF GLAKMVAGGMTSSIYTGPGEILLAPPFLGDIIVLRMDGSERWKVGKDAFLAKTSGVEK DYKSQGMTKAVFSGEGFIIYHMSGVGLVWLQSFGAIIRKDIPEGKTYLVDNGYIVAWN CKYKIERAASGGLLSAFSSSEGLACKFEGPGTVYLQTRNAAAFAAHLSGK AOR_1_2450174 MADTTVEQAPQKQRKSVAFSEGSVIMDTNGEVTEAPKVEKPTEN EATADKSVDEVTEMFKGLSKKKKTKKPKDAEAGEGDEASPAADGEFDPTALKKKKKKT KKVDAGDFEAKLAEAGAAEKGAEETEEVLPEGDLEAGTGIWAHDATQAIPYSLLVSRF FSLIQSHHPDLLSSGAKSYKIPPPQCLREGNRRTIFANIADICKRMKRSDDHVMQFLF AELGTSGSVDGSRRLVIKGRFQQKQLENVLRRYIVEYVTCKTCRSPDTELNKGENRLY FVTCNSCGSRRSVAAIKTGFRGQVGRRKRQG AOR_1_2452174 MSYPSPSASNPSLATSAYMVSSVDSISDDEVASLPSESASSSDL DTFSDDYSDAEEEWRESIEQLELLLTMVVVPFVGKYLGRKTAYWSWTRFMEWKYPVEV VVENQAAFKGAGAVAALASL AOR_1_2454174 MPSTASTEETPNSNLTERNLALHNIIEESEESGNGVTSWIEKVI ADERTHQYYSQLGLQRLSTFDQDDVDVDVERAMDHLSQDTCASNSAETHNQTNESLKL FEQQQIGAYRETPLERFLTPDGCSDLSFYAREALSQLGTELGDMEGQDKRKAKAKENM DVVIRNKRRKE AOR_1_3226174 MRPILLVYTLLASLTPLALALSRVISFTAGDRCLQLGDHSTGPD IWVAPNDWPAVRRAAGDLATDFGRVLGQGGNGTVLVPDSDILNGTAIRSRGRPPIIVA STQSGASGSRYIQEVVSNPVPGVDQALVIAGSDRRGTIFGMYDVSETIGVSPWYWWAD VPPQAKDEIYAAPGRNLAGEPSVKYRGIFINDEELTLQPWAATKYNISQYDSPFTGEF TKRVLELLLRNKANYYWPAMKQSMFYVDDPQNGIIAEYFGVVMGTSHTEPMTRATDEQ SKFLSGSWDWTENSANVTAFMAEGVNRSKAWDTLYTMGMRGSGDTASPTLTAPLLEQV IQVQQGLLEDGLSKSLGDVPQLWALYKCFETLDTCLTLVQEKTKEVGQYWQAGMNVSD LVTLLWTDDNYGNLLRVPYPNETTRAGGAGVYYHVNYVGRPRSYRWINTIQLIKIWDQ MHTAYVRQASRIWVLNVGALKPLEIPQSYFLDMAYNMSNHLTPDSPTKWMTGWAERQF GSGVANMTAYVLNKYGLLNMRRKYEHLTFLPFVYSTLHYDEGWHVLKEWEELLSLTQA VYDTLDPATQIAYYQPAQGRASTNHLAAQVWDAWYIDGNNTQRYNTTLDGRWKHIMDQ KHIGYNSRNAPTHNIMPNLSYVSDADVPASGSLGVSIQGSKETAPDAQLYLLSVDPYM PPEERRYIDVVSRANGTFSYVVHPNTSYVSVSNAVGKLSTPGNNSDARCVISVDWNAA PSGLSWVSLNVSGVDSKNITLGEEITVFLPVNKTSVPRDFSGYVESNGVVSIEAAHYA AHARSGNGVSVVELPYYGRTLSGIKLWPVDASSQTTASGPKLTYNFYTFSSGGSNDPV PANFTVYLGGSRNYDATRPIRYAFALDGGSPIEVLPVPSNALGSDPAGWNASVVTGGW NKTSPVDVAPGAHSLDLWLLEPSTVIQKLVLDLGGQKDSGLGSAESFKVQVCLDLPDG QR AOR_1_2456174 MHEPTEELVLRLMQDDATCALGLMKGKERSDQMTDHELALTEWA RELRHCACTFEDYKMAKSLATAVSHDGVALAAATEEENRAFQDRMTALQFGGLNPSTP DQLLGQKTAAMAGLTGLVGGFNTVSEQSRSTDTIEVRRNSGDTDGVSMHMLHGQPAPA SPQAFEQADIIEPPTSDFTLYSGTSSFISSSLHNQKPKSVIQSHDSCGLKEVKASTAS EMIWHYDESPAQFANRAMPLPRDDCALIAQTLSAAYEVIMHSEQDPLYQIPKHLTLDT PMRDASSGVGGNGNISQVADDYHGLPEGLAFYWLPGVASDTGTFSHGSSDFAEQKRSL CLSQPTYAGQLQYFTGQFSEARYSTGETPEVIMAEAEFRPTAAMVSGLQEDLLGGLKG FWRQQKLY AOR_1_2458174 MSKLFIGGLAWHTTDESLRSGFEKYGAVEEAIVVKDRDTGRSRG FGFVRFSSEADAEAAAHEMNNQEFDGRTIRVDKASERAPRNDGGFQCRGGYNQRGGNG GGYGGYGGGSRGGYGDGDWRRSDGN AOR_1_3230174 MDSSNENGHASPDGRTWRSYFPDGDLWVFGYGSLIWKPPPHYDQ RVPGYVNGYVRRFWQASTDHRGTPENPGRVVTVIERGFWETLNDPLAHLESSSSAARV WGAAYHIPASHAEEVHDYLDEREIDGYSVHYTPFYPFSESKTPGSDSTPITCMVYIGQ PTNPQFLRDPARREPQDVAEVISRGRGQSGKNTEYLYLLEKALEGLGLGSADGHVTDL VRRVKAIEKEDEAARDEEAAERDLQKSLSRSEEEAHRAFQNEERA AOR_1_2462174 MASLLRLLRMQYTLPVDPTVSFAGKTVVLTGATSGLGFEAAIKL LNLGVESLIIGSRSLERGDATKTELEKCTTRQGVIQVWELDMNSFQSVKTFADRIQKE IKQLDIALLNAGLCNKVYTTSPEGWEETLQVNALSTSLLALLLLPKLRDSSSDSNPAH LAVVSSQQFVQVKATSLRTEGSLLEHLNDPRHFSGTKQYGISKLLLEYVLKTMADRER NENGTLPVIVNTISPGLCVSSLGRQYDRFYERWVVWLFYKLFARTAEQGSRSIVSATY QGAESHGKCWRSDGYLDESTALTTGTEGKAFQVKAWKEIIGILQEQCPEIRELVGE AOR_1_2464174 MAYNQTYNPDALPAHAEPEQVAQMIGAMQTGPQHHHNQSKPSRP SPNPSSSGVPPRVPVTSAHMNKPLPAPAANQHRTHPLHPSPPPQNYGFGPPPSQPVRN RPQPSSRPPRSPNPPLAVPDDDPQQLFPLFRAANTSHTGSLTEMELGSALVNGDFTSF HPKTVKMMIRIFDRNSSKTISFDEFVSLWRYLAAWRELFDRFDVDRSGRISLQEFENA LLAFGYRLSQPFVTVLFTTFESKGRQRNGPAHPAKMGMSFDLFVQACISLRRMTDVFK RYDDDRDGYITVSFEEFLTEILQLQD AOR_1_2466174 MTALSNPSDDRDQHDTHTLSNGQVVTGDKIASAELDPSLADTDT SNNHRQSSEEKHANESNGDGTMEKVATGASLGRVPSQAQKLGKKKIVVVMTALCLVLF LAALDMTIISTALPTMASHFNASESGYSWMASSYMLANAAAVPLWGKISDIWGRKRIL LLANVAFLVGSLICALAINLPMILVGRAIQGVGGGGIIVLVNICVSDLFSVRERPMYY GLFGSTWAIAGALGPIVGGAFTTNVTWLPIGGVSFVILVLFLKIEAEKTPLLAGLKSI DWIGIVLIMGGTLMFLFGLEYGGITYPWDSATVICLIVFGVVTWAIAMVVEWKVAKYP VIPIRLFTNWHNVLVLLVCFCHSFVFISGAYYLPLYFQTVLLANPIMSGVYVLPNVLS LSFTSACTGFIIKKTGRYRELIVGGLFFMTLGYGLLIDLKYYASWPRIIIYQLIAGFG SGPVFQAPLVALQANIHRGDVAAGTSTFGFLRQTSAAMSIVLGTVVYQNVLQQQMPKI SAAIGPEKATALASSFSGSQGDVIRALPEDQKNVVLKAYTFTLSRMWIFYTAIIGFGL LVSLLIRPVVLSKAHTFTKTGLAEQERARQEILAAQRGETQTEPKETV AOR_1_2468174 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELAVLCSVDVA VIIFGHNKKLYEFSSCDMRETLGRYQYFGPPHEHKGPEDFNGRRDDDDDEDETTPAPE EMHPTPPQNNPPMIPTHLPSHPGFQHVNHAPSASPPIGNGLPFDPRHGTPQPQGLSRP SSRNHVRRVSSNIGTQQHHATPPPPQNGFAYMSNPSMYNPNAHHALGQQPRPGQFAHF GPPHGVHHAAPHHQHQPMPPQSMPPHTMPPQAIPPHIQQHPQHPHILAQQTPPMGLSQ PSHPQMSQVAQAFMPEQGRSSMPPTFPSEQQQQQQQQAQQQPQQQQQRTVSLPEAPPA DQMPGPMKAEDSQSPPQQRSISSKSRSIFTPIDDRGSVLARHFGVGPPTSPRNENSQV KLEFSHNEAKDKKGLNQPVAPPPPPRAATEAPRPQPVPGIKPPVRTNSGQLNTKRPQL KVQIPSENSDRGSATADSSSRDSAGNKTLTPAKANLDGNHSSVVLPPPSPSAGAILSA GAQGPPNPFARPPPPSTAAQNNNAYSSTNNNSNNIETPISALPSRFVPDALLPSPSSF FPEWGFGRSGPDSNILPSPLTFPTPAVQTGPSFAREDDQEKKRKSPDSGPSAEGAPKK AKT AOR_1_2470174 MPFLARVFRIKDSHTPKKTKAPVVEDNGPSKPKWTDAWQRTEVS PEEVQDLLRGCTQELKARGLQTPFLLLPFRPGSDASAARTFIRNYFNQAVQKGSPPKG DALAQELRLTDPIVLCSVLKWCWSRLPGGVVTWEAYELFKVGEQDSQLARDAFSTFIP ISVDSDARSKIIFDFFDLLTAIAAHGKSNGLGGRKLSRYAGWWAFEHTDTGNGFEAAY KDWASAADATSHLFFAYLRSLSPDSPRGMSSISTLPIALQTLLQATEYPPETPALLQV STTKVVMIVDTVSATPFALLRRAKNFEYRDSDSHLQEFARFEDPLRALTDECLRVLKC ISSTNQSVGSDPTSSTREASWSRFEDLGFGATLDADVYDDRASSMVSKEFGGGLRSAP QSGGGDLGRPTTPSWADFMSSGFSDDNVFKAPVAPVLLPPDKVLPPINTIRGQSSQSH KRTLDAEPSMDPGELASITTLDLDDSFWWVWISSLAGEEPASRKAVFGRCALLETVIK NTKWLVLEEQVKGAAPEPEPGAYIVEKKSFFGFSTRKRLGRRKSTAKKVSAVEESYKR PENQGPQSKTSIGPDQHARIQAAAAALQRKHREQELAASGETRRNVRDTTYSKTNSVM TLQPAIMNEASHALKWASNYDKGKHAYKAAYMENSLAGTGAPVDELKEASRDNLSPPL SPALSTSTRQAPPPLPKDDVRSSPAPATPQKDSFTSDRDATGQKDSSSAPVEWSTIEP LRKSTEVAENSTGKLKKKPATPGIKSMFGTTKRKPEPESRPPMKSTGAGGSAVAAARA ALEDKAKASQEQIVRPSTLKKKPVPGTPAAETPKPVVEAPVIPKPAAETPEPEQPEAR VQSEARLQPEPHRQAEYDALSRVNTNERTAADSEFSRFDQGPLVEQPAFVPEDSPVSD TFSEKKPVDSAPVEAKNEVSTSPQSNPSHDRWAQIRKNAAERAAQFEHMRMNEDDGGN TSEEESFDARAARIKARVAELTGKMA AOR_1_2472174 MPQAARWVGSPSIKGRTEAMRMALLTFSLLGLQFTWGIEMTYCT PYLLQLGLTKSRTSLVWIAGPLSGLIIQPLIGVIADRSRSKWGRRRPFMIIGSLIVAM CLLVLGWTTEIVGLFVKDAEKANRVTIALAVLSIYAVDFAINIVQACCRSLIVDTLPI PSQQAGSAWATRMSAIGQLISYVIGSIDTVSIFGTTIGDTQFKQMTVIAALSLLIAVL VTCYAVKERVLITARDSEGKAGAFQVMSQLFKTTMDLPPRIQAICWAQFWAWIGWFPF LFYSTTWVGETYFRYEVPKDATHPTDMLGEPPDDRRPRFTPRPPPGIAALLKKITLIR PDLQTTWLISHVMFAATMIFAPLARSRAFATFLVALCGIPWAVSSWAPFAFMGVEINK LALGPTQASRLSGVTMITSSSIRSGAYSDRSGDTEMDVLRLNHNDTDSDSDTEGGASD LPSTGELAGIYLGVLNVYTTLPQFVGTFISWIVFSVIEPGSTKRDASETQWINLDKGS PNAISICLFIGALSTLVAIEATRRLRHVR AOR_1_2474174 MASTIARSEERQNAGTIELKDDTVIVVLGASGDLAKKKTFPALF GLYRNKFLPKGIKIVGYARTNMDHEEYLRRVRSYIKTPTKEIEEQLDSFCQLCSYVSG QYDKDESFQNLTRHLEDIEKGHKEQNRVFYMALPPSVFTTVSEQLKRNCYPKNGVARI IVEKPFGKDLQSSRDLQKALEPNWKEEEIFRIDHYLGKEMVKNILIMRFGNEFFNATW NRHHIDNVQITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSAED IRDEKVRVLRAMDAIEPKNVIIGQYGKSLDGSKPAYKEDETVPQDSRCPTFCALVAYI KNERWDGVPFIMKAGKALNEQKTEIRIQFRDVTSGIFKDIPRNELVIRVQPNESVYIK MNSKLPGLSMQTVVTELDLTYRRRFSDLKIPEAYESLILDALKGDHSNFVRDDELDAS WRIFTPLLHYLDDNKEIIPMEYPYGSRGPAVLDDFTASFGYKFSDAAGYQWPLTSTPN RL AOR_1_2476174 MPSEHGHRLYVKGRHLSYQRSKRQVNPNTSLIKIDGVDNTEAAN FYLGKKVAFVYRAKREVRGSNIRVIWGKVTRPHGNSGVVRAQFRHNLPPKSFGATVRV MLYPSNI AOR_1_2478174 MASPQQPAPPRHSRGFSFGGRSDKSSNSGSNKIRLTESAEEKHK RSLHTKADPMVAMSEAQPMLVALEKSTIGSLREMQHKDQYGNVITDPDLSNPTRPRLE RPLDTIRSFEAAIYGSYNSRPVSYARTDHESTPAAEYSRRSSYFGGYNGHHRGYNDQN GHYNGRGTYSRPESYMEGNYGGGPPPENYYPYNQGGGRRPRRQRMESDYHGAQNGYGS NVYQKSYENVTAASGSGSNTDPWTNNSTDPSSVNSSIDQLQQQQQQQQQQQQQAVAER FGFAGFGPEPNLNNGAGTGPIKLGNSAPVADPTAGGPVGGPASAAGAPTTRRHLRKAT NASDASDTKRKSWFKRRFSKD AOR_1_2480174 MGEHEPIVSHGRGGQGNIGADPTQYVDGGIVREGVYGDQGDGAY SAGRGGAGNIGSPHVRPATGTPHDAEIIPELAIRGSTDGDYHTGRGGQGNVHLDEAHK KRDEEKKQQKAAAHDGWADRLKYKLLGKK AOR_1_2482174 MFPSEDIISNTFSSKHPLNQSGKQPQLSRAPGTTRREPFPAWSV IDDTKSKADAFAKEASREFNVASQKAQAKTGKIEPWTPKYYAACTVGGLLACGLTHTA VTPLDLIKCRRQVDPQLYKSNLVAFRTIRAAEGFRGVFTGWGPTFFGYSAQGAFKYGG YEFFKKFYSDLVGVENANRWKTSLYLTASASAELIADVALCPFEAVKVRMQTTIPPDI RSTFTGISNVVSKEGVAGLYKGLYPLWGRQIPYTMMKFASFETIVEMIYNYLPGQKSD YNKGAQTGVAFTGGYLAGILCAIVSHPADVMVSKLNANRQPGEAFGAAMGRIYKEIGF AGLWNGLPVRIVMIGTLTGLQWMIYDSFKIFMGLPTTGGGPAAEQKK AOR_1_2484174 MASYSTGSEAFAREKLSSTAEQSTSPSSWADKYRGATVEDLDPP PALSVSPNDLVSSAMLAAYERDFTHLTVTSSTKRSLLGYLSIPRLKQLLKEGTIKESD SVSAAMQRFNRKRGLYQVITMETPLEELEQFFESETGPNGEGREKQEFAVVTDASRKF VLGVVTKGDLEEFVKRRP AOR_1_2486174 MASRRPQGKSYAEAVASPAPEKTSDSDVTPAVPTNVIFKLLGFS AAMVSLPIGMYFVSVKFGASPTVAGIIAAVTANVVLFSYVFVAWQDDRLEREALTKKK EKKAQ AOR_1_2488174 MDDSVPDTPVSDKKVIHNTPPGKEEEGYETVATVPLPNFQQPAT QSLTPATQRLTQPTQIIEPPYSAKGNSVIQVAASSPPGPTSSPSRPALPGGRLASAMA PSGTQFRPPAVGPAKRAPVIDLEDDGPTYRGGSSDDDDIQMISSTDIKPSLFAKSSRN PEKVLDSPGSATNSSFDRFKEITASAVYNPSSAKRPAAQMDPVPKGTAVKKPRQDGPS RAQPVELDISSLHDIEDYQLRAKVEKMQRVIPQKSVKACMQALLTKRGNYDDALDYLA SMVEDEADGRADLSDDELAFNKRASPVAPAKQQIKARGTIQNKWAAMRLDNRNTPKAP AQPSDEESKPRRRLIRGPKTRVSSPSPDRSESPPKKKTGRLVQGRKHPSPEPSEPEAS MSDDSDSGVEAITEGESALETKVLRFFNTCNVLDLADIAAITEDVAKVIISNRPFASL DEVRVVTAPTTEQTAKPKGSRGRKTPKPIGDKIVDKCMDMWVGYEAVDSLVAKCEALG KPIASEMKKWGVDIFGKRDGELELTSIDPGASHDSGIGTPASQRSEDDSDGPATGSRK SRFISQPGIMREDLKMKDYQIVGINWLSLLFEKELSCILADDMGLGKTCQVIAFLAHL YEKGIKGPHLIVVPSSTIENWLREFQKFCPTLSVMPYYAGQAERAVIRETIEDNRDSI NVIVTTYTIAKAKVDAHFLRNMDFSVCVYDEGHMLKSSTSVLYEKLIRIRARFRLLLT GTPLQNNLQELASLLGFILPKVFQERKEELQNIFANKAKTVDESHSALLSAQRIERAK SMLKPFVLRRKKHQVIDLPPKVSKVEYCELNESQREIYEHEQEEVRKLLADRAAGKKT GNKSANILMKLRQAAIHPLLYRRHYKDTTLSRMAKACLKEEQWSLSNPDIIFEELQAY NDFECHTMCVNYPKSLGKFALKNNEWMDSGKIDKLCELLKRFKENGDRTLIFSQFTMV MDILEHVLENQHLGFVRLDGRTNVEDRQSILDAFHERTDIPVFLLSTKAGGAGINLAC ANKVVIFDSSFNPQEDVQAENRAHRVGQTREVEVIRLVTKDTIEEQIYALGQTKLALD QAVAGEDAGESKKSEEAGMKAVEDMLIAGSGGEKHSSS AOR_1_2490174 MTSLTTPPRVPIPANGVDYRGKIVLAPMVRSGELPSRLTALKYG ADLVWGPETIDRALAGASRRVNPRNGCIEYTRLPSNGGRTEAAPQESVIYRLDPVREK GKLIFQLGTASPELAVQAAKVVAGDVADGAMIATSAEANPSCFQSEADGGLLPFREVV HAYLKFCLESENRFGNTKFLLNVMIPGKNKEFQDTKGAKSYADYCHILRFDDLMPAAL QVDEILNLTGKSAFFKEETRNKAVQNAIENNETARAASGASRPKSTSPATSGVGPIRT SSFPTPTKTKPEEKEAGANPDIPESASQPSQKQEVAA AOR_1_2492174 MFLGSSSTSLVSRLRTAPLNRLSTASPIVARVSRTSATLAPPTK QATPPSSSSPFSLLSSSSSSPSSAVLSPSSFSPSPRVAHSASTVTTRAALISRHLSSS ATPPQSPTMSYTVRKIGQANTLEHRVYIEKDGQPVSPFHDIPLYANEEQTILNMVVEI PRWTNAKQEISKEEFLNPIKQDVKKGKLRYVRNCFPHKGYLWNYGAFPQTWEDPNTVH PETKAKGDNDPLDVCEIGELVGYPGQVKQVKVLGVMALLDEEETDWKVIVIDVNDPLA PKLNDVEDVERHLPGLLRATNEWFRIYKIPDGKPENQFAFSGECKNKKYALEVIRECA DAWEKLMTGKSPKGEISTKNVSVANSTDRAEPSELAAIPQGQNLPPAPIDGSVDKWFF ISGAAV AOR_1_2494174 MTIPVQTITTLRTSFNPFSKSSRPCRILLSILRNPNTAPASSPT HIDIKVNQLPRVSTQQPEVTVGFKGGKELKIEVGKRQLKIGDVIEEIARVGRAIEREE SLKG AOR_1_2496174 MSSISALQARLKELSTSLAQIHPLVSRLRNFTTAVGQGDEARLE LGAEIHSLLKEAEIQLEVLRVDVEALETATEGRRKGVDNEKELERERVVALAGRLAED LKKTRGDFRNAQLQAKRNAEIAKRKERELLFSRSQSAERKRESTEKLTQDDVLLNTSS DVTAALRRTHHLMQAELSRSQFAQETLEQSTAALSSLSESYTGLDTLLSSSRNLVGSL LRSQKSDTWYLETAFYILIGTIAWLLFRRILYGPMWWLVWLPIRLAAKLVFTILGAVG LSSKAVQPSPSAISSQSLAQEVPVPTLHTEANVQTADGQASWDVPPVAEAKEDRMIDH IGNMVEDNEVGYRDEPTEVNEQQEEENEETNIDDISPEEKQRQAELPRNTKKRMYEAT EVLENRKDEL AOR_1_2498174 MAANFFSNKARAAAAAASSGSKQKPTDGKEEHAQQQPWVEKYRP KTLDDVAAQDHTTNVLQRTLQASNLPHMLFYGPPGTGKTSTILALAKSLFGPALYRSR ILELNASDERGIAIVREKVKDFARVQLSHPTGVDKSYFEKYPCPPFKIIILDEADSMT QDAQSALRRTMETYSKITRFCLVCNYVTRIIEPLASRCSKFRFKPLDNSAAGDRLAQI AKLENLELEDGVVDKLIQCSDGDLRRAITYMQSGARLVGATGNSGRQDGGEDAEMTDA SSQVITVRMVEEIAGVIPESVLDQLVQAMQPKKIGSSYEAVSKVTTDIVADGWSATQL LAQLYRRVVYNDAIPDIQKNKIVMVFSEMDKRLVDGADEHLSILDVALKISGILGGA AOR_1_2500174 MTLFVSNFLLDIPDHLSEVLQTYPAMAESPRYLTGDGEGIREFI DKFDVFLFDCDGVLWSGDHIFPGTNETLELLRSRGKQVVFVTNNSTKSRADYQKKLDS LGIPSTTEEIFSSSYSASIYISRILQLPENKRKVFVLGETGIEQELRSENVPFIGGTD PAYRRDITPEDYKRIAAGDPELLDPEVGVVLVGLDFHINYLKLSLAFHYVRRGAVFLA TNIDSTLPNSGTFFPGAGSVSAPLIMALGKEPVSLGKPNQAMMDAIEGKFRFDRNRAC MVGDRANTDIRFGLEGKLGGTLGVLTGVSSKEDFETGPTRPLAYLDKLSDLLGSN AOR_1_3232174 MECLHGRISYASWRLRVFQRLFLSSTELNGRRTVSRRAVHPTTP NGPQFQHPEYPREPGQHDDVESSEAEEPRLSETLPQSPLMKTVHPNLEKKHKKRAPKP EDLEDLRRNPWAMALATPPRMCSATGTRTPRAFLSDWGMLKRPGSGGLWFMPLGLLRQ EVAAAAAKNKSLRHGDPKALPVAFMDKSIRHLAIRLVDRLPLLKRMNSLLASHVYGTR SPVARLFPYRWKHPHGPFTIKEEKQIIWREDMPDFVLSRMRADVVKKLKKACNKYKRL DATNRVWTAIDLNEYSEAAILEELKRVEPFTRMECGAVLVMGTLINSQTGVIELVSQD KATFNAVGTLPEYLALPHLPSKVPVFELAQLFSEKELEEIRGYDPRFESPALYFRPND KITVNAMLSLWKLKGFLRHDSAGETPGDNDGSQP AOR_1_2502174 MNPSRSLQRLCRFFPRSSVLPVLSRSFTSSVPSLSKGSPPRGWT PTPFVTETVGGGWHTYDIFSRLLKERIICLNGEVDETMSASIVAQLLFLEADNPQKPI HLYINSPGGSVTAGLAIYDTMTYIASPVSTICVGQAASMGSLLLCGGHPGKRYCLPHS SIMIHQPSGGYFGQATDIAIHAKEILRVREQLNKIYKRHLTGKKELSLDEIEKLMERD YFMGAQEALEMGIVDEILDRRVRSKEERGEGDEKPPAP AOR_1_2504174 MTTPDVYRDAEYIADVSGIPYGSVADLTRPQSGDESRFKKLQSA AKVAFIDRLLRDLDILIYCQLSALYYMDCSIILFALRAIVQLIFFTPKAPPFDPTRNQ PFIGAIFVSNVFCMIHHKFFGQPEAGEATRGYLHGGLLIDFIGQKAPVSVARLLLSDL LVLVLDLVMLGLIVERVKTTEATAPVSTIPGVIETPSDQDHDSEERGVLRNGSAQPSG DDIELNEMRPRDEHADISTDEQLERTELLADPSESGRVSGARNNHAMDTFASGEAVIM DLGIFNIIRDQWRYSPAVARRTSAYMPSDQTATFLRERFGLQVNSEGRLERVAT AOR_1_2506174 MTSKIAQNLKQPALDFLSFVNASPTPFHAVQSAKELLSKAGFQE IKEKDSWSSTCRPGGKYYLTRNSSTIVAFAIGKKWKPGNPISMIGAHTDSPVLRIKPV SNKRGEGFVQVGVETYGGGIWHTWFDRDLGVAGRAMVRTGDGSIVQKLVKIDRPILRI PTLAIHLDRQETFAFNKETQLFPIAGLVAAELNRTADSTATGEKTAANNETEKGDFAP LKSVTERHHPYLVELIAAEAGVKPDDILDFEMILFDTQKSCLGGLLEEFVFSPRLDNL NSSFCATVGLIDSVADASALDDEPSIRLIALFDHEEIGSRTAQGADSNVLPAIIRRLS VLPSSTSGNEDLATAFEETLSTSFLLSADMAHAVHPNYAAKYENDHRPEINKGPVIKI NANARYATNSPGIVLLQEVARKAAEDGGEGVPLQLFVVRNDSSCGSTIGPMLSAALGA RTLDLGNPQLSMHSIRETGGTYDVGHSIRLFTSFFKHYSNTSKTIFVD AOR_1_2508174 MGDNIHDTNTVATRSARRNASRAKPSGTAANALNLASPPGSRTP PSMPSKKTIFYPDSLGRQQKSRHGSEAIDPEALAKALKDYEDAGRPRERTPGTSPSRK RQRVYGDRFIPNREGQDLQATYSLLHEDGCPSTPSKSKKRAPHSELHFQKTEEANRMY SRVLRSELFGSTVPQADLESLSPDPLLGLGNGINEKTRSHTPPSHVSNLPPASITPST PHKNLFNYASPRGSAHPTPSKTPRSQHGPNLNVRSELYSLSPIRYDSQRILETPRKQP RYVNKVPYKVLDAPDLQDDFYLNLVDWGSSNVLGVGLGNSVYMWNSQSGTVTKLCELR DDTVTSVNWIQRGTHLAIGTGKGLVQIWDAERCRRLRTMIGHTNRVGALAWNDHILTS GSRDRLIYHRDVRSPDQYLRRLSGHKQEVCGLRWNTEDGQLASGGNDNKLLVWDKLNE TPLYRFSDHTAAVKAITWSPHQHHLLASGGGTADRTIKFWNTSTGSLIKEVDTGSQVC NLAWSKNSDEIISTHGYSQNQIVIWKYPRMEQIVSLTGHTFRVLYLAMSPDGQTVVTG AGDETLRFWKIFNKRSGRDQGREGSKLAEWGTIR AOR_1_2510174 MVAITDHNVVYADGKKIHYLAAGPANGPLVLFIHGWPGSAITWK AQIDAFASVGFRAIAPDMPGYGQSTARRVADDYCQEAIVEGMLALLADTGRDAAIWVG HDWGAGVTSSVATQHPEVVKALVNMSVPFHTIERGWQGFLPYVNRELYPADEYEFGQW DYMKNWEENFEKTVEWFDSDIAGMCKASLQPSTPPSSRFAPLFATVRKSGWMGGAPKP PSVEMTGPPVLPAEVFDSFVQDMQRTGFWAGSAYYLHHARNAEYNGKREGKLDQPVLF IHDARDVICDTITSRLVEPMRENCSNLTEVTIDAGHFAQYEKPEEVHAAIFRFIVEEL PSEWPGFWTAGYTKKKSVL AOR_1_2512174 MPEIVGKEVGPIGFGLMGLTWRATPPSQDQAFEAMRTAIHNGSN CWNGGEFYGSPDYNSLVLLERYLEKYPEDADKIVLNIKGGLNPQTHQVDASPENTRRS LDNCIAQLKGRKQKIDMFEFGRRDPAVPMDVTFDLIDREYVQTGKIGGIALSEVRAET IHEAVKHTKVVAVEAELSLFTTDILENGVAAACAQYGIPIIAYSPIGRGMLTGQFKKF DDLPKDSLLLSLNFPRFQQGNFEKNMQLVEKVEEIAKKKDCTPAQLAINWTRTLSRRP GMPTIIPIPGATTADRVEENSKLIDITDEEMAEIDAILEKFTPAGGRYPEIIPTNT AOR_1_2514174 MASSFTVRQIPAVLARRQPRVLGTTRRLASSSAVQTQNPAYPLY PSVIQLLHEKGIPDSEVSKIPASGPKGRLLKGDVLAYLGSIPADYPATQQARFDKLAH LDLSNIKIAAPPKPAEPQPVAEEPVARPPPTTSVAVSISLATVLSVQKKIQETLGVNV PLATFLARATDLANDDLPRSSREKQSADELFDEVLGAKPIQTSRGDYVPELNVVEAPS AVQPAKPVKEDIIDILSGKVSKAVPRPSVVEAPAGSAANVFSLTVPVEEEKRARVFLD RVKALLTVEPGRLVL AOR_1_2516174 MFARSFAFRAFSPQCPRTFMTPGSSVSSPTWRYFTSSAVRTKAA VKVKPQYSPPQFKAPPQTEQSLKFAGRRAEGFGKLERKVAKEGQVLLFQAPSHRSYVL GAYGISAFCFAYSVYNSNVVFRDPIIPLPMWQQALFGGICVMMSVMGTVFLFKTGRLI KAVNAVQSNGQTYLRFTVRSFIPFKKPFEFDALPHQVAFSRRLVVTHDSVARMQHAEA AQNGNEDFILLEVEGQKGVMRMDSAGFVSQDFLVIGNPVSYRR AOR_1_2518174 MGKLIRLELFNFKSYKGHHTLLFGDAYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSTNLRDLVYRGRVLRTSKLDADGNVIDEEPNGQDHAEDGVDGEQ SQDPSGSNDPKTAWVMAVYEDDAGEEQQWRRSITSQGVSEYRINNRIVTAQQYNEALE AENILIRARNFLVFQGDVEAIASQSPKDLTRLIEQISGSLEYKAEYERLKAEAEEAAE QQTVQLNRRRAINSEIKQYQEQKREAENYARKAEERDQAIITHILWKLFHFQRMIDDS SAEILKYQDELKEYRRGVEKYEKNVEDAKREHAGVGREVAKAEKNIAKKEKDIEEAAN DLVPIDEKVDITMKKVERFASRIAEIGKERDSQSANVKRLEKDLKVVEKAQSQWEAEW QKTMTKQGVQLSEADQQEYNKLKEEVNKRSSAEQLNLDNLRRQMKTEAEAHNSLKSKF ESTEWQLKTLESDTRSLTERRSSIKDTVKTTSKDIERKKKELNALTSERLRVSQMRTE LEEKLQVVLKKLLEADDGKKQTEREIRTKELISTLKRIFPGVKGRVSDLCKPKQKKYA DAVSTVLGRHFDAIVVDNEKTAKECIQHLRDQRAGQATFIPLETIQVKAFNSNLKGMH RGMRPAIETVDYDDSVSRAISYACGNAIVCDDLATAKYLCYEKHVDAKAVTLDGTVIH KGGLMTGGRGPQQNSKRWEDSEVENLFKLKDKLMADLANLPKGHRRGTEEETLQGELV GLEQRLAYAQEELKALERNLQSKHTELDFVKRQLEEVKPKYVEKQEELAELEQTITTS QETVSNVEDEGSLHEEAAQKKLEFTTQKSRIENQLSFEKQRLQATLDRIASLQTQHQR DQDMIEELKQEQEGIRNQLDEYNAELEILRERLEQQKESYAQSAENLTQHRRELQRRS RDVEATLKNVNALEAEVQRNSSSRYALLRRCKLEDIDVPLTEGSNPLDQLPIDELVQA ADPDAMDVDEDANGGADGAFTVQDYGIEVDFDSLGETLKEESDEKLEEELLDKIRSLN SELDKMAPNTRAMERLESVENKLRSTEKDFDESRKHARKTKEDFEEVMRQRSELFNKA FTHISEQIQPIYRDLTKSSNYPLGGQAYLDIEDSDEPYLDGIKYHAMPPLKRFRDMEH LSGGEKTMAALALLFAIHSYQPSPFFVLDEVDAALDNTNVARIANYIHDHAAPGMQFI VISLKTGLFQNSEALVGIYRDQTENSSKSLTLDLRKYN AOR_1_2520174 MSMTSPEYSMDAIGQPIAAKEEEQPQMLPYHDMTRSKAPECSQT TTTFNFPYPHFALLYINRNGELGVEASSSIASYEKTIFTHDVKERFLKSATVGWQSDL QSYHANSVSHMDGDVKPFLSNTPFTLQQQHHNHHHNQAGWYQPELIPCEWQSLQNKRH RRNLRRVSSDVRRDSDSDSPGATIRWTALRLGQKNLLRTYYEKAFENFQQLNCRAIAK AFVKLVEPRKQVNHPYNGRKTTAGSSQRVDPELTKPKWWPTGVTHREPDHLLKAERIR LLVHILCELKDSHGITADKLKDAGQDVRRQIMPAERLRVLDEIYYVREMEELYLDGKI SGDTIIHVSHVHLAEAGADAELHEPSSSDNTNPLVNLTIKSKRDASNMSECHKPSYES DAHSSTEHSQPARQPLGQIACAPLSPLSTPSISRKSSLESSLTSYSSDLTSSMLSSNE ANRVYTSKDVNATAPCIPGYFTQHISTQPPGQNTQTGFWNSIPQAHQSLAFQGY AOR_1_2522174 MRSQAITRIILGPRNFSIKRPSHLQKMPRLNAVSQDAIDRLRSY KPPPTNYEMVPLSRRAAVLLLLYADPKGDLRVVLTMRAKTLSSYAGQAALPGGRADSL EETPFQTARREAHEEIGLPDNDQSLPQPFVVEHLCELPANLARTELVVRPCVALLHSY DEKTGQDADPEVTLIPKLDAREVAAVFTAPFHNFLRMSDEGDWGGSPSDWYQGAWTEW HQSNWRMHQFFVPVRPQSVVRPRTANQQQKDAVNALEEKEKSGVLTRYRVFGMTARML VDVARVAYAEEPEFEHNSHFGDERLIAQLRKMGRLSPLRKSGDILTREDMEKAAKLS AOR_1_2524174 MSVSIRSARLVRNNAALRPSLIARPRFTGALGAVSAANLRINGR GLPSHIQALAILVPHHGYATEQSTSTSSSSFPPPGFNAEQAKKPIVPEPAKNDQQVVP KSELPVTPKNNAQSKDKSSEAGAVKEAAEEKKEQKKLTIGQKIKKEAQHYWDGTKLLA TEVKISSRLALKMAAGYELSRREHRQLQRTVKDLGRLVPFSMFVIIPFAELLLPVALK LFPNMLPSTYEGQKAREKKALNLSSTRKEVSGFLKDTLKESGLPVTAATVKNEEFAEF FKKIRTTGEAPSTEDVIKVCKVFKDDLTLDNLSRPQLVGICKYMNLNSFGTDAMLRYN IRHRMRQIKRDDRAIFYEGVESLSVPELQMACASRGIRTHGVSPARLRDDLSTWLDLR LKQGVPSTLLVLSNAYVYAQGGKETEMSSQIEALQAVLSSIPEELFHEIELEVHNAEG AATNKQRLEVIKEQQELIEEENEQNSENEEKGVAAPKDHEDIDDKEEVTIEAKYQGQS GEAAEAVAEGEKVEEAQLKDPSTQAKDGKKETTSA AOR_1_2526174 MGMLTFARFLTRPYPHYRDGPTIFSFQQKLIMEDGASYSTGDSP DLQAIPSDDMLSDLDDVAGSSDSFYSPSGTPRRPSFSYQDDWETFPPLDKLTVFDLLD NFSLSQRLEKLQHTINMQKEKMKKQREKLKYTSATAKDRVVGEFKRRVPTADEQLDKY RRRMKVGVERLGKQWNATATVTLREKISFIAGVLNIFFSGYLIGAHPESFYIWFTVQL AYFMPIRYYRYHAKGYHYFLADLCYFVNLLCMLSIWAFPNSKRLFISAYCLTFGNNAV AIAMWRNSLVFHSMDKVVSLFIHIMPPATWHCIVHLTSAETLKERFPAIYDIKFSEPG SPDHFSLLSMMVWATVPYTIWQLSYHCFITVRRAEQIAAGRPTSFTWLRKSYAKAWIG KIVLSLPESLQAPAFMLIQYFYAILTMIPCPLWLWSRWASGLFLTGLFILSIHNGATY YIDVFGKRFQKELEALKKDVARWQSSPEGTASPTILTSDSAVATGTHVLDDSKGAAKN GGSDKASIDKIPLLDSTVTASGIEEAASGSPSVRERK AOR_1_2528174 MISPTSLAVPRQAPPVRPSRSLEGLEKVVPPHTPQPAARSALRL DKPLPELPAKPLPETPSMESPTGWSDDSSTDVSLETRRTSDATSEGYPICVRSPSDDL DEFVDHSPLSSIDHPAPLKPYNKLETSPLPLTTLSNDHHRHRPLPTATRAAPNHYFKE KKWEFFPELAMPSELPPGYPKFPPAPRKQNSSRLNLAAFDFTKISPRCTSPEKRALAH DVRKSIRSYVQRRLSKHSIDKTKPKRRPRASTAPSEFPDEYRCSRKTSSSNYSNYSDR GSTGPQQNFLYLSADLNRLSMGSSSSEDESDRTVNSITPYQKKQPAVRISAYQRYGPV TREKPGREKRISYRQRGNVRFPKYRKQATTFRYETSLKNGSSTCSTLQQGTRFCVRVL QDGTSHVLIALDEARQKIIQAQVDRRRRQLKSKIRLIGPVNPYTTYGRVDPWI AOR_1_2530174 MGGDIPRQAFSSLPRAPSGPVGQRIHGIYTDRLRQFTANGQYEG QNLVSKFYEAVNSDKEHVKLSVYSVPNLERPTFEEATSHDFKPTHIGASFGPSWSTHW FRIHLTVPEDLRQKERLEFHWDANNEGLVWTEDGHPLQGLTGGGERIEWIIPNAWRDG KEHTFYIEMACNGMFGNAPGEDSIQPPAPDKYFTLQKAQITAVNLEARALFYDFWIIG DAAREFPGDSWESHEANVVANAIMDAFIAGNGSQESIKEGRKLARRYLGDKVDSSEVY DTDTQPIVYGIGHCHIDTCWLWPWAETKRKVARSWSNQCDLMERYPEHRFTCSQAQQF KWLKQYYPSVFDRVKGWVKRGHFQPIGGSWVEHDTNMPSGESLVRQFLYGQRFFESHF GERCTTFWLPDTFGYSTQIPQICRLAGMSRFFTQKLSWNNINNFPHTTFQWVALDGSQ VMCHMAPSETYTASAHFGDVKRSVTQHKSMDNDNTSLLVFGKGDGGGGPTFEHLEKLR RCRGLSDKTGLLPRVKMGESVDDFFARLEKKVEEGTQFATWYGELYFELHRGTYTTQA NNKRNNRKSEFLLREIEYLATLASISQTSGNYRYPKKEIDDMWEGVLLCQFHDCLPGS SIEMCYDDSDKLYAEIFETGHKVRRQALEALGFGDKKPSKSLVAINTLPWHRSEVVKL PPELEKANRRKYAVVSGKTGFMECRANPVISTRVTVSEIRPGVFRLENTKLRVDIHNG VITSLFDVEADREVIAKGGKAGQLVIFDDKPLYWQAWDVEVFHLESRKELHSSRTSIA ENDPYRASVVTETKISENSWVKTTISLSAAAGDEPSYVEFESEVEWQETMKFLKVEFP VDITNTEASYETQYGIIKRPTHYNTSWDMAKFEVCCHKWADLSENGYGVSVLNDSKYG FATCGNLMRLSLLRAPKAPDAHADMGRHHIRYAILPHAGPLDSRTIRAGYNFNHPLAL ESAFGTGDKDAFKSISISGSSSLILDAVKRGEDDEDVSRDNLPRRAGKSVILRIYESL GGKSRGTIHTKLPVKKIWKCNVLEDNERALAITKGKETTDVDIELRAYEVATYRLQL AOR_1_2532174 MTESAPPPSVPNTTATPAGPTTTTNQSTASNNNNGNGTASAQAG ANRGLPYYEKLRRELRDTLQKKRLMDKSMAQLEDQIFRFEQSYLEETTAGNIIKGFDN YIKGSSSGAGAGGSLALSGGAGGARRKAQVTDADRVFSRSSASFMRDSTPSSVQTTPS HAPTPTSVNGSSGKPNGDSSAPGSVKGGSSSSKNKKKSNANKDKNDDDDEAGDKPPTK RLKISYGRD AOR_1_2534174 MDHSMHHAGMDMDHGHGGHGDMDMGGQCNMNMLFTWSSKDLCII FRQWRVDGPFSLLVSLVVIVLLTAGYEGIRQLTRRYEAAHAQRLNAFNTPALGGNENV GESAPAIAPSSHAPHSCDESSPLLVGRDNRRVVEQRGKLIMAALYAVQVFYSFFIMLL FMTYNGLVMIAVAVGAFVGYLVFGDNMSAAKTVACH AOR_1_2534174 MDHSMHHAGMDMDHGHGGHGDMDMGGQCNMNMLFTWSSKDLCII FRQWRVDGPFSLLVSLVVIVLLTAGYEGIRQLTRRYEAAHAQRLNAFNTPALGGRDNR RVVEQRGKLIMAALYAVQVFYSFFIMLLFMTYNGLVMIAVAVGAFVGYLVFGDNMSAA KTVACH AOR_1_2536174 MPDIASLDNHDLVGHDAIPGHPLGVKPSGNALLAQENLRAAIGT FNLLPDELILILLEFLDGRSLLRIGQTCKAFYAFTRAEDFWKSLFIGSPPVSFTWQGT WRSTYLNIPPSKAAILDCSTLYSDALHRPFYCAHISLGPYVTNIPSRNQIARLPNLSP EDFHEKWSDTPFILTEPVKEWPAYQNWTVESLLSKYADTVFRAEAVDWPFKTYVEYMK NNSDESPLYLFDRAFVTKMDFKVGQPDQEPDATYWPPPCFGEDFFSVLGNDRPDRQWL IIGPERSGSTFHKDPNATSAWNAVVRGSKYWIMFPSSSKLPPPPGVYVSEDQSEVTSP LSIAEWLLGFHAEARRTPGCIEGICQEGEILHVPSGWWHLVVNIEPAIAITQNFIPRA HLSAALDFLSNKADQISGFRKDVHNPYEQFVNKMREAHPDLLEQALDELKKKNEGKKR KWDEIVHGKSEQDSAGDSSEAGGFSFGFGDDGSDVEVP AOR_1_2538174 MSNDKGLEEIPEGQIETNYDEITDSFDAMELKPELLRGVYAYGF ERPSAIQQRAIKPIIKGSDVIAQAQSGTGKTATFSISALQKLDPNVKGCQALILAPTR ELAQQIQKVVVAIGDFMNITCHACIGGTAVREDMKALGEGPEVVVGTPGRVHDMIQRR VLKTDHLKQFILDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTKF MRDPVRILVKKQELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRKV DWLTDKLTARDFTVSAMHGDMEQSQRDVIMKEFRSGSSRVLIATDLLARGIDVQQVSL VINYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYSTQIEEMPMN VADLI AOR_1_2540174 MEPSPRQWEPTPSSLLWAHEIRRENIHLADEIHKIKVDFTSTVD TLNDLKQNINELSRQVKQAEANASEHLKCLEIRLLDGSNELLKRVEALEIENGRFKEE LKDVRRECAARSRELSLLLKTMKSGVINEIRAILTQERGALPFTNLLGGSHGNSDMAR VRSDVLVPDSLPKDNTASTQERGDSLQALSETTWGQSRSSSIEGRRSPELGLSNGMIM QGQENLHRLFRQNARPLGAYWSYAIDTRIRLPLWIKSSDVAKAFVNGLEDTAARGLME RKLYAAGWSWDVLVDIMHNKLNERKSQTANLPVRMNAGSGEAGEASKHNTSLKHKKKK RRVIPIIPADEDDLLEMNS AOR_1_2542174 MAASIRANCRKVICIGRNYADHITELNNTTPKQPFFFLKPASAI LCPGEGPVLRPKGTNLHYEVELALVMGKTLRDHDPNDEQGALDAIHSYLLGIDMTARN VQDEAKKKGLPWSIAKGFDTFLPVSQEIAKSRIPNPHDAFLRLSVGSEVRQADSTGLM LYRIPRLLGEISRVMTLEKGDVVLTGTPKGVGEVKSGDVMRASIEVDGKEIKEGRIEV EVKDREGRYLYAET AOR_1_2544174 MQVAEILSDLTSLRVCDYNDALTLVTVHERTPAELSPADGRLVA ASQPGEKTNDDLRRAKELVGLHYEIKARHADGTVDEELARAREDVNRVLRELGH AOR_1_2546174 MAASVDAKLLKQTKFPPEFSRKVDMTKVNIEVMKKWIAGKISEI LGNEDDVVIELCFNLLEGSRFPDVKSLQIQLTGFLDKDTAKFCKELWSLCLSAQENPQ GVPKELLEAKKLELIQEKIEAEKAAEEARRQKEQERVRERELEELRRRERSERGRGGR RGGRGGRDFDRRRSRDRFQDRPSRREFDSYVPSGSRRNRRPSRSPSRSRSPSASRSPP PRRERQPSRDRNRRRDVVLITVIELDPFPAVIPHAHVLPEETDGDGDPFLPTVHPVHL LPGTGAEKTLIPDLVLGRVSRMIEARKNRDDRRLSRSRSRNRDDTRRRRYSRSISRNR SRSRERGRSRSISSSPRRHDSRSRSRSHNRERKRRRSLQRYAPAARRRRNTSSVSVRS EKRQRMTDQEDNSAKRSSPPPEKPSSSDHEMKDPEEQKLHSIRPRAPICRTELREKLL REKIVAMRRTASSDKVSDNS AOR_1_2548174 MRANNLLLLAGLASSVAAYPADVESRTLGPLLEGIGKGIADIGE GVGEGIEDVTKGLADLISLLFGGSSGHTTVTILEGISAQAAAALQGGALGCTAGTIHA DARAELVAWLKAHAEFDASLKAALVAWAQGGASATLSVDVCAGLSLFIPTCADIAAKG DLYVTLDGIFSATDLAAEVVLSASAQSSLSTFLSGHLGVGLDVDIRAGLGLCAGGGVV ADLAADVKAALKAWLSGSECTLSHSLKVSVLAWLEGKVETGVVSIGSVPSGGLATISA GAAIGSLIEESGILVASALASLSAFLEADIAADLEVEILTALKACAKGGLAADLSVEV RTALAIWLSGSSCRLGAELKSVVLFWLTFAVSADVAVDVSGGLLTDITSFLTGTVDTL IGTNLRGVISVLISGESLVSISLDARAQLAAVCGGAAGIEIDTQIILVIIQWLSGCDT GSGAHIRPPTSGSVVPSIPASTPVASTPAASTPLVPTPVPTSAGATGSDVPSVPAGSA TTGVTSGVNSGSVVPIPSGPAPSDEASSTPCDTITSETVIGSATTEYPSSPAPTDVSP TGSESVSVPAVPTPSGPASSGPAPSDEASSTPCDTITSETVIASATTDVVPTGPAVTG VTSGVSSGSVVPTPSGPAPGEEASSTPCDTITSETVIASATTDVVPPAPTDVSPTGSE SVSVPAVPTPSGPAPGEEASSTPCDTITSETVIASATTDVVPPAPTDVSPTGSESVSV PAVPTQTGPAGEAESTPCDTLTSETVYPVATETDAPSVSTTDVPPVITTAPAISSGPA PKVVTISTTVSVAVCEP AOR_1_2550174 MASTNSLSAAYTGSLHRQPSSRQMSRASVSRTGSRRTSPELQNS AALRSNGLSISKRYSAGDSSDDEVPEPKFSASVKALLDEDGLDLSPRLHKNGQSNPSI STSQERRSRTASPNDGSTGSPAPRVVRISPGLTSGARFRREGSHVSGGEDADSEARGS SHDFVTPAPRHRSVRITGSRSSTRSPASISPSQRRSADRSSVEEHDSASRLEDGRRVK YEDDYAPRLGTASVLRSRNGEDLGIHSSLRVKRVGRLTGTFLNGPARRGVLRRQSEEN NDPDNYKEANPDGNYDNDDYHYKSTTKSSSPKVSWATDPSPPQRSEGYRSYEAAGISA TEGPFSRSSSPKSYGSHSKSTPGSSSEASSSKPSSAKDQPVFKVPPPPTLPAARDQEN EPPPTFKRVKQQGFNLLDKPEKLSVAHGDEKKESMETPAGNSPRKILSTRSNNTPHRP APPPPKMSVLETATATGGAATASSSRRKRNQVSVNHKPFTRLDCIGRGGSSRVYRVMA ENYKIFALKRVNLEDVDPVTLAGYKGEIDLLKKLENLDRVVRLFDWELNSDKHTLSVL MEIGESDLEKILTYRLNAEDAVFDINFTRYYWKEMLECVQAVHNYNIVHSDLKPANFL LVQGRLKLIDFGIANAIQDNTVNVHREQQVGTPNYMSPEALIDSNASLGLPASVGKVM KLGKPSDVWSLGCILYKMVYGQPPFAKIAKYYERILAIPNPNVKIDFPAFGVGGASIP PGLIRTLKGCLQRDQTLRPTVDQLLAQRDPFLYPEAQLEGAVPVTQEMLNRILVNVVN HCKVRGIPKEEELAAWPAGFFAKIKAALEENA AOR_1_2552174 MALGTAAAVDQFRTALPGKVVTPEVKPKYQGAVTRPWSQTCWTP AAGYVYLSNVQELTEALAIVKKTGSKFAIRKTGHNPNAGFSSADETAIVLDIRQFQSK ELTSDGVARAGSGNTWARWGMGVLPNLHGLGADGVRNFEVLLADGRLINANANENANL HRVLKGGGSNYSIVTRFDLETHPLINVQYTINLYSPDDHVEINKATVAVQKAMEDDPK TGRAVCSVTTQASQELYEEVYKSWTEVCKTLPAGCVLHYTIQPMGKAGVEAGKDRGEN IMGHESIPQCWWVFTCEWPQEGSDDAVAQQAVNKMSETVHSLAREAGLLLDFKCMSFS MASQRVLGSYGAENIKRMQEAAAKYDPEGVFQKLQYGGFLLRNNV AOR_1_3234174 MPQNEYIERWQKQHGKRLDHDERVRKRQAREAHQQSKDAQNLRG LRAKLYQQKRHAEKIQMRKRIKAQEEKNVKSSAPDEPSKTPLPQYLLDRSQATNAKAL SSAIKDKRKEQAAKFAVPLPKVKGISEEEMFKVVNTGKKTHKKSWKRMITKPTFVGND FTRVNPKRERFIRPMGLRYKKANVTHPELGVTVQLPIISVKKNPQNPLYTSLGVLTKG TIVEVNVSELGLVTTSGRVVWGKYAQISNNPENDGCVNAYVLLDLSTLMTVRIANSRD AOR_1_2556174 MFSRTALARAFALPFEQSARPVTTTFGACLRQASTTATTSQSHP EQPSEPLSASPRAQTSTPSQQPPISTPSNTIPTKLPVAPTFTSPLKVTKSLLEKLPYL TTQKPHYITAHLHDNPYLLTEGDHLRLPFLMPKVKPGDIIRFNRASVLGSREFTLKGA PYIDERLFECRVRVMGVDSEPLRVKEKTKRRQRHVRQVRSKHRYTLLRVMDVKVKTAE ELLQEGAVVVEDGQEVEVNA AOR_1_2558174 MRLFPLGFAAMAYAQLGPQVNLFDYEKPGPLLGSSFGVPGADAT FDYVVVGGGTAGLTIASRLAQRGSNQSVAVVEAGGFYEVDNGNLSVVPGYCTYFTGWT PENYQPLVDWGITTEPQPGTSNRAHHYPRGKTLGGSSARNFMLYQRPTSDSMQKWADE VGDQSYTFANMLPFFKKSGHYTPPNQAAYTDMSNTQAIDAFSPSGGPLEISFSNEVDQ FGTYARKAFVGLGMDQIDGFNSGKLLGSAYATSTINPKNAHRSSSESSFLQAALNDGS DLVVYKNTLGQKILFDSNNVATGVQVSTGGTFGTRPVNFTLSARKEVILSAGALQSPQ LLMVSGIGPCDEFSEFGIPCISNLPGVGKNMQDHMMFGSSHRVNVQTASAFGNELLAE QFAQQYLQNASGPLSIFSSSYYGWEKLPEPYRSQLSNQSIQALSAVPSDWPELEWLTV AAYLGDGTNRQTVDPADGYNYGTIATALVAPQSRGTVSLAGPDMKTLPVVDPQWYVNP TDMELAIQGFKRGRQVWEKLAELGVADPVEYYPGTNVTTDEQIREFISHTSTTVYHAS STCKMGQKEDPMAVLDSNARVYGVQGLRVVDASSFPFLPPGHPQSVVYALAEKIADEI LSAQ AOR_1_2560174 MGWDQDVLRAQFCHALSEMYKSEVPLYGDLVDLVWKADAEAINA RHEQGTEVFDPDEILPSRNRVERHGAIRLGTAYELSTIRRMFAIMGMFPVGYYDLSAA GFPMHATAFRPWTKEALSRHPFRVFTTVLRMELLTEKTQELAQRALRQRNIFTDRLVA LIELAEKQGQLSSTECKEFIVEGLETFRWHSRATVTMEEYQILKAEHPLIADVVSFPS CHINHLTPRTVDIDLVQKMMQDHGMPAKERIEGPPRRQCPILLRQTSFKALEETVYFR EAHDAYVKGSHTARFGEVEQRGYALTRKGRQLYDRILSRVNIESTERDIGISEYESLL VQHFKDFPDDMAQLQSQQLAYFCYHLSPHGQKPPGLDLQGGDMTLQQLLENSIIEYEP ITYEDFLPLSAGGIFNSNLGNTSQSKQLVMEAEADLDGFQRMLGTSIMDEFHLYAQIQ QNSLENCRRQLGLNVILE AOR_1_2562174 MHLIVFTELWAKTKAQRQQSKDTPRSGDSKEIKFGHLRGRNSKP GNDVLAVATPEVYDSASRDGLSGINLHTNGTEFYGNSSNLAFLGNLYARARNQAEART SDLPENMPSNIPDEGHRQTPDQQSTMKYVSPRQARHSTVDKERETAKPATSATQLSIV NLLYNPGYSSTSPPQINGRPEDDGNRHSTVGNQKKSPRTFADHEDAVALAIDELSGEA QLEIEKIFIGSYFSNKHYIHPLLSKNAFMQRCEQEAFVTSKRRAFYRRSSKFKGLYFA VVALGAINASPDETSLLDHYANCSADGRKSNFGGKLSALDFADFYFGITKQALGDIFE SCSLESAQALLLLSVFCQNALRPHSCFMYSGMAVRTAIAIGLASGMSALPPSIRKEGR RTWWCIYSHEIEMCCSSGRLDSMKQLDHYQVSLPPFKVNTSNTQDSEAEDDDVAMIPV MVALAQIMSEASHLLYHSPKRPTKEMSQIAMNLDNKLEEWKSNLPSFLNVDVASLNDP EWAFKQKLVLRLRFYNTRILIHRPFLAASTCIAESPALLQHGHICLTAARTSIQMQYE SFLHRIYIRTWWYNTTYALYGAMILLHLILSGFPGINEEEPLKDVEKSLEIFDSMTNI VVARRCSEMIREVLEVARACVATRRAVSAVPVLPPHVDTNIESSSLETNNDQNVHTRT DMAPLVSQGVDGDFFFSLFNQDSQPDTRAEILANLVDPTILEDFAFGNGGNDFSYLLE S AOR_1_2564174 MAAVITLQSSSTTAPAASAASFQPSDQLLRSRVQNQPPQQPIAT PLSPSTSITALPRVPVPGRDGQSCDACLRRKSRCAMNEMVNKCYSCDFHRQDCTFTLS VTSRPSTAEVQSKKRKLDDTVPEDVDSPKRLSTNPPVSKTNLARSPLNIQARITPGYW YQRTQHIGLTTDLEPALLEFLPLDQNHEGIIASSRVRKFGDDGTFMRMVNTLSHADSP QSASLDAIESLVAPYGSTLIDKFFEHIHPTFPILMEDSFRHSYRARQGLSPLLLSAVY VLALKFVDVGPASQSVRRPDAARLESTALKLLIESLPYASISTIQAGVLLMEKSTIAT HALNAQLVTAGFELGLHQDCSGWRMETWEKGLRKRLAWALYMQDKWSALVHGRPSHIV SFNWTVQDLVEQDFAEAFPSHDSQDDDPVGHGPLYFCHMVALTTILSDILDRFYTLRA IEEFKAAGGNRTRMILERAKPAQIRLKEWFGRLPAELKMESGGDLFEVINEDNARNGA LHLSYFATEITLHRCIVRSLSPDTADAYLSHICRSAAKTRLISAMDFVNRLRPPHLRS FWPAASRTNFALIGSFGVLLRISSPTKEEAEFYRLRLCEYRWTLSVSKKNAEFMEFAL DSLDNANTLDQHVPEKPGIDELMTSAAKPTVTQPRPGTAAQLENTILAMDQGNDSGRG GTSSVISGLASPATSVSEDSFHDTAIPPL AOR_1_2566174 MVWWHVFLSFGVAGAFYVLWLALQRLWLSPIAHFPGPKLAALTM WYEFYYGSFLEGQYTFRIAEMHRKYGPIVRISPYELHIDDAEYYETLYSRDAPRDKSL HLTGMFGAPASAFGTVDHRRHRIRRQPMNPFFSQQRIRQLEPMLRDMVDKLCDGLRAW KDRHTPLHMYHPFNAFTTDVVVEYTMGHSSHYLDDSDFSPQRSKTMQAIVNAGIQFRQ FRWFISLFELLPR AOR_1_2568174 MSVQLPPPTHRKRALPQGELEAASTLRLGADQNTHTLSLSEARL VINKVLENKRRGGKKYEEPENLTKTLDYLEVFARFKDEENIKAVERLLNSHTELEMFE RSQLGSLCCDNAEEAKSLIPSLQHKISDGDLQELLDELTKLRNFTE AOR_1_2570174 MESTTGPDASKTPNSDLPTMFRPPVNRAMRVLDRSFFKKTVPLS AATVFKSSDISRVRGQLHKSRDLLGLPRTSSIREVKVDDEVKKCLLLREGVKYDDAAT WSPTINELVENGAVGIGRYDLELDYDYWTYADIMNAILPEDMLEELPQGFTQVGHVSH LNLREQYTPYKHLIAQVLKDKNPTVRTVIRKTEDVGAKSEFRTFPFEFLAGDEDMNVI QHEQDCEFRFDYSRVYWNSRLETEHRRLVNKFRPGEMVCDVMAGVGPFAVPAGKKKIF VWANDLNPHGYEVMQDAIRRNKVNKFVTPFNKDGRAFIRWSANELLQTEPVTVAIQKK QRRSAQKEETPAPPAEVYKRPTLFGHYVMNLPANAIEFLDAFPGVYAGKESLFAPHTS TPLPMVHVYCFSGHSEDEVDDHKDICQRISERIGYTITPEDRVGGSGNVELELAIHNV RLVSPNKQMFCASFRLPKEVAFRQV AOR_1_2572174 MISPQKTNNGAASPRTSAPLRGWFLDGVWRCNCTGHPPAVKLQT KNHGMNHGRWFYTCQRPQQQRCNFFLWASDAEAREKLAVMSNSRTEPQSMPQTPTRTL RHGGTGPLTPQTDRPFRNTPESGSKNFQTPSKSAKARMMTEDSDEFEWDDIIPDEATT AQHKPRQPDFGQVAGGSDTAPRKTPRTVYLTSPSKRKLSDMESASSLTPTSVFSPRST ACRLPPASAEISITPTPSKYKNALSTDSAADTSELSLQALRILESHNAVVPRKAQEEL TELLNRHDMKTRGIIRGRDISRSAIKKKDEEIMKLDERIAVLESERELSKSTDKGLRR Q AOR_1_2574174 MHAENPLQSTAEVNSVGQQSESQNNALPGQILTGKQEHYLKREL IARQVQSEIAELNSPTALQRFGAPFRSEYGEVAPVDSELPILRYIFVHHVRNFPFLDQ AREKEFWQDKLQVFLESFAKKHVSSSEDRLEETKRRKLARKCEKLVELMMVSGIPTAS GYEERIQFSEIEVVDRGANDQGLLVNMPEGNAIHGWDVNVAAVRITSVRRTVRYHQHA EFILRVRREGKSDVFVARRYGGFAKLHKRLRTEFPGKPLPVLPRKNKSSTSSSFFSSA DDDASSVSSLSSQSGSTPDEGQTSRNSLAPGNHLHRSASRSSMRSSLGISPKSPRISA ETSRETVLYREEQRVSLRAFLRTLLQNKRAAESKALEEFLTADPVTLNEEELIDMQRR KEADAIRIEEQKRFYEIARQRAAELDVYMENFRRDIVESNGLTKLFAEIREKPTVEDL SPQYQKFAEWLRIEVAATLYHLFLAEDNSPELFAQFKRIHSLVPYTLMKNVIRIANPA AVMSGVLDLFLAQPFGSRSLLQRIFSMTLNDGIKQFQKAIDSLVSKVDDASLCQKLKA FTDSDEATKNEIRAEAEDEDIDILVAILRSDRFSPELTPEQYGNVFNAYVAWNQAVES VDAEMREGAQWFANMKQLLKLYTRQRDKAMMLSIVEEPVTLQLFRDLFTIFYEPLVRV YKSANVYNSITDFAQFADDAIQVVEKCQRQDVSADPNQTVQSFIDLCERHQASFYKFV HEVHLHDNGLFGSLMGWIEDILDFLRHGPVGGKLDMNALLHGAKDVGQIDKDKALDEI NALIKWHEDRKRWHLNKTRQKMAAEGTGNDPFPTFKGSDFGLDEGDLEDLAISDAESD PTDELDEEDDLDPISAERRRRVKQQDQLRRTAGEPVKPEVKEILKLAEPFGVMLRMVL AE AOR_1_2576174 MRIFKRLPRSTFYTDRTSSFSSSKGQDAGSKKEEKCTRDKPSVE QSEFNTPTVNEDARMLSQCDCSYLAMEARDLVYKAPAFSLMETKELGLDYLVRLMDLL EEISLCEKCSNVEVYRAFLQPLCHSILGLCRLFYRSLREMYNEMDIQPVSLKGKEAVD DERPMILCQKAYLQIERLHNSVRRLVETLKDKPVFDEDAWKLIDSGAYRLVVCTASLT GFDSDLCY AOR_1_2578174 MGRTGDLENAEFFPMTRRRSTSGTSSRSSTDSGLSVDTAYLEDN KHNNFANGTSGLTDETKYRDVEDAEADVDEPFLPTSSKKLGSGSRTRQIFWALVILCL GGWVLALVLFLTHGRASSQTASETLQQQESDSGSTSAGRPVTLQQVLTGSWNPRAHAI SWIAGPDGEDGLLVQRAEVDKEGYMRVDDIRSQEGDDVDSQSGRILIDKAAVRVNGET LMPTFTWPSPDLNKVLLMSNHEKNWRYSFTGRYWIFDVATQTAQPLDPSVPDGRVQLA LWSPSSDAVVFVRDNNMYLRKLSSESVVSITKDGGEDLFYGIPDWVYEEEVITDKSVT WWSNDGKYVAFLRTNESAVPEFPVQYFVSRPSGKRPPPGLENYPEVRQIKYPKAGSPN PVVNLLFYDVEKDEVFPVDVPDDFPDDDRIIIEVLWASEGKVIVRATNRESDRVKVFL IDTKSRTGKLVRFEDIANLDGGWVEPSHYTKFIPADPSNGRPDDGYIDTVIHDGYDHL AYFTPLDNPDPIMLTTGEWEVVEAPSAVDLRRGIVYFVATKESPTQRHVYRVHLDGSN LQALTDTSKPGFYDVSFSDGAGYALLSYNGPSVPWQAIINTGGDEITFEKTIEKNPRL ASMVETYALPTEIYQNVTIDGFTLQLVERRPPHFNPAKKYPVVFQLYNGPTSQRVDRK FTIDFQSYIASNLGYIVVTLDARGTGYSGRKVRCAVRGNLGHYEAHDQITTAKMWAKK PYVDETRMAIWGWSYGGFMTLKVLEQDAGETFQYGMAVAPVTDWRFYDSVYTERYMHT PEHNPSGYENSTITNVSALSKATRFLLIHGASDDNVHIQNTLTFVDKLDLLNVQNYDM HFYPDSDHNIYFHNAHFMIYERLSNWLINAFNGEWHQIANPVPEDSIWDSVKRSVPAF AH AOR_1_2580174 MAEILHVSALGWDKMKDHWWQFVFIAILIACVATGSLWLLYSGT KRLRNYIKTRPRSEKVPLNVSQRLVTLSNKLLSVPNIQRRKPSSFGVYLGSFSSPPDR DQLRLLNEWDLLIVDPFQSGTAQAIRYVEGKQLLGRVDLDRILSKDESTLSAIEKIEN LLTGTFDSANFSGMVLANWEGKFPTPVFTKLVEVIDELGLAVYLETAPPDFLKDHNSM QNPAISGIVIQNASILPEGQKRDYFQLVKMQPTIKAFVSEACVRDFVVMAWETIDDNA ALSNAVVQRSLQWCNFYSAIPWIGQKSALTNAALNVKISEPLSSFGWLKDAEIIKAHD RWRSNLHLKDVASDTNAWNTLLPFFPTLEELLTSSEYGQPESNELTTKLRDPPEWVAQ VKSLGSPLSISMAGIEYNSLGCFPLGSEATALAFAEVLQSQLRLKSLGLLHPVPASKI QSIGLLLKKFHESYLLPNATSSDPYVIPNATSDQLATTIKELSNLATNGSLQVNLGLD SGLRKSVDVRFWAVYQMDSDGFEVFASKKAQGLAGTVLHTFLSAKGYPRHVCFEVETA LAKWSQDLVKDTGLPRRLVEDIDMLSPEERLLLFQHLSLTDSYSELSDTICAYIRRLL IDAPSLAQLKELNTVSYLEGSASPEILVNTRIKWYREQGCQHPSPSKCLALFYQTDAA FTQILREHREGDLALISKGFCQLLQNDHIDTYTDIMALALFCAARKGAIDEIYTEVTD RNPLFNNQSDQAAAFSESFALGSRCEVYFDVQPSAFGKLLSDRFRREYNDEKLPDWIN GAPEMATSYAGAQIDVNPDHKVKSMPGYQRFTFLGVFAFPALIDIILLTIIGRGLYLS AFMTHDELQSATIALMISLLLSGAIGTMIACGGPYYLISMAFAASNMFVLIRLIAGVA FTIAAGLIGFIVISGVKSPQAGIIFYLYLVALTIYLTTFATLASFSYPGTGFLSGRKV IFSCIPILFVSPIITTWSGHDSAIYLAVLYVFIGFLLLGLRSVASKWVTWYQNIRRTD DTEIRKWYIATYGNNDEKVFGNMSDPAVLKMSRDALYKDVLAEKSRSFFSKPTTDTLV RELARDWDSTNFLLDWYCRYADVPRPIPFSSSWNIQTKVGLDVLRNSQKGIRLHNAFI HWRQSSKEIGCGILYFIIALLDKWVELLSGGQLVGLSTSLSDANRMAVGFGLAYYLIG AVLIDTKAQELHDLVGQHSAVAVGSAKEIRPSQKRDVRFKRKVYWKTFFKFLMWLVWS LAIATALIWTFQAPVQAMIMFFAYVLSYTGLLWYQYTKIFTGPHALIPLMTGVVVGLP VGIALKVCLGNNFLYSQVIGLGAATWTVAILSLFTAKMGMPKKVDSPVELGKTFHAYT APWADPEWSQQELQTFFEGVSVVPADARLKLVPGSHPGVEVKTILLSRKIEPRIEEAF PESEKLISMALGAWETGEISLELVPLGSLGPNIQALSCSMSGHLQIAICVGRGLDERI DVSANCQVIAETLLHAVAESMMRMPHEYAVLSESLVTAGVTETTARQLREEPDTPLVV RWSKKELLRQLCLGFEVDSHWEKLPENVRNMLLDRCLGRPCLLSEGSQQWLQTSLCRF DTSDLNVHVARCNLGAATAVSILDYANYGTGEAGAIKDPEIPIYIPEIPKKLPMAMSI IQKPLSYVYHSIGSGVKFFIAALLADSEFQREFDHVMSERHTLIRVPLVFLLNMVWLY FKTVQDIGLSFFLFHGRSNVKRLWNETKGITVSIKKSRFVVQSLEGTFAAFRHNEPDG GFKVYYYSGEHKTEPEGMKLLKYVSTYSKDMLLLIRQEFKDGKVLNEYHYDYRAPTKK SFTLSKAWATRIPLGRRCVRGQNNLQSVQYNRKGLIEAGSYMKDGNLIRFKYHYRKNP RFGDELLRAEFSLTHITCTVSWCAPPLRHPEKVERWIPHSKVTEATFVQGADVYEARW LYDHKFHPTIFTTLNGQKVQTPPMIENDFIGVLAKPKQTSFANDNPLLYCDSLSSNTV TRFLGLTTKRFPVSTSRARSLLWKSWKDRVDFDAIMVRWMDERLLRREKTLAPYWRAR NRGDLAAAKKYLELRADAITASADLDDNISSWTPLAVKISDLFNFGPGGDAVVHTRSK DFGSDTDKSMHVMAADNGTWPNEGGGVSACRRDMINSLRTVKWHMICESANDFGIPKH QTEQNVLSLKVVPLWGLDFLTPTHGLFRNKLDSEVDNVTSASDMDIKLNFIPILTALV KGARATHLSKADIHQATRALVNLNTYFQDSRHWTQIWNSDIVKESWRDLWLTQEMPNT VPSSQWFRTELPTLTSLDTALELWYRYLFIFSIPIPEKIPSVFQASHHSVSASYGVVC KMKRNCTLQIWDHAISWRETNLCLSSALCKLSPFVRNALLGLMRITSALTLHHADIIL PCADFFNPGWEVEIGTCQGTIEHRNVFRRKVDPVVNGITDMQKFAPVKEIKSERPTVT MLSHVWYAKDIKIALLAADIIINQWKFDDYHLDIYGAIDKAPTYSTECQEIIASKGLR GKVTLRGTADPMKVLENTWLFLNSSLSEGLPLALGEAALTGAPVVCTDVGASLRVLSD PDDFSRYSAVVAPNDAHALAKAQITMLGLLGEWSKYTDDTEPAPVLTSSPTPEDVAKI TRRMYEKSDYRRKLGMMTRDIVQKSFSGDRYLREHEQMLWIGKSAKIMANRVGGAITE PTDIATAMRQTLPIEEEVITIPRSAVHSWRSSAASGMSTVYSEVSNFPMLNGYHRPSS IRSGISGMSTDTESFLPLPSNASLPVFAPRQTLGYPSTPDGRLSPSGRLSPLPNPRNS GRRSMSTAGREQLRGLGREELNPYRNSDVSTIMRDEFLKSSIYKNIEGSNSTPNMI AOR_1_2582174 MVLPWLLRTGCYFGLITCDTPPGVWPEGVPSVIAPVPPSRTSGV TTNSPGFTSSVLSFTSSSPPVTGSSSFVTTTTTLASSTSTSTSTSLPSFTSTLVSVST PVSASSTMSLIPSPSSSIIPSATPSASVIANGNVAGNILVIAKDTAAANVATSGLNGY GIPFTTLLVPQSGVTLPELNGTSGGNFGGIVVASEVSYDYGAQGFQSALTTDQWNQLY AYQLEYSVRMVQYDVYPGPNYGASAVGGGCCDSGVEQLVSFSDVSDFKEAGLKTGAGV STTGLWHYPASVNDTATTKEIARFAANGNYGSETTAAVINNFNGRQQMAFFLSFDTTW SATSNYLQHAWINWLTRGLYAGHRRVNLNTQIDDMFLETDIYYPNGTTFRITTADMDG ISSWLPTINGKMNAGSSYFVEVGHNGNGVIEAAAGKDADACNGGGIEHDSPADTPLEF KKPLGTGTDLWPKDLTEYNWTTECTKLDDLFVWWTTPANRDKYGHISHTFTHEEQNNA TYNDVKREISFNQAWLRQSGFYDAKYFTSNGIIPPAITGLHNGDALRAWYENGITNCV GDNTRTPLLNQQNSMWPYFTTSASDGFDGMQVNPRWATRIYYNCDTPACTVQEWIDTS AGSGDFSNLLATEKADTMRHLFGLHRDPYMFHQANLRNVGVDPVEINGETGQWSIMQA WVETMVQEFVRLVDWPIVTITHQEMSANFLDRYNRDQCNYSLQYTIGNKQITGVTLSA KDNTCNAPIPVSFPVAPTDTKGFTTEQYGSDPLTVWVQLSGSPVTFSLSTPIPL AOR_1_2584174 MAGIDEALPLKGKGQAASGWKTWSVKKRMLIIGAIALVIALAIG LGVGLGVGLNKGGGDDEGEVPPTTGGGVTTAKWQPAVGTKWQIELLYALNDTSVDADI YDIDLFNNDKSTITDLQKQGRKVICYFSAGSYENWRPDKDKFKDSDMGNTLDGWPNEK WLDLNSKNVRSIMTSRLDMAVEKNCDGVDPDNVDAYDNDNGLDMKKEDSANFMMWLAN EAHARNMSIGLKNAGAIISAVIDNMQWSVNEQCAQYEECDTYAAFIDKNKPVFHIEYP KGDDTNNNDLVSTSQKKSACDFEGSSNFSTVIKNMNLDNWIQTC AOR_1_2586174 MATMGPDKHVSGRRCLQSRRSRIILGAFVFIAILAVVIPPAVVV TLRKKNSMGPKANIFVPLYVYPAPGAWDPLEAEISSHPEANFTVVINPSSGPGPNALP DANYTREIPKLASYDNVRLLGYVPTTWAKRNISAVRRDIATYAEWPTNSSNPKLAVRG IFFDETPQQYDADALSYLQELTSFVKGLSGLGPDNFIVHNPGAVPDSRYMSSADSTVV FEAAYDTFNERDGTKQFDVLPKSDRGRGQLCIVIHSVPDGVEGSKLRDLVKKLRKTAD EIFITHLSTDYYANFGDRWGEFVDWMAK AOR_1_2588174 MESPRQSDASTPVEPYSPGCVDTPATQSSVLFDGNLEELLRNFP LDQYILVTGGLGFIGSHTTLELLKANYNVIVIDNLSNAFQNVFDRIKLLASKHHEQQG TKMPEMHLHAHDYRDSVALRKLLEQYQIQSRWGTPKTKISGVIHFAAHKAVEESIRNP LKYYANNVGGLIDFATTLGEFGIKTFVFSSSATVYGTLATSGLPLKEELCVHKDEIFE DRDGSKKLMEPGCTGITNPYGRTKWICEAILADLAASDPEWTIVALRYFNPVGCDESG LLGEDPKQIPTNLLPVVVKVMTGQYKELQMFGTDWDTEDGTAVRDFIHVTDLARGHIA ALSAANEGKLKENFRTFNLGTGTGHSVMEVVNTMESVSSKAIPRRAADRRAGDVGSCV AVATRSQEELQWKTEKTLTDACASLCNFLAVSGLSS AOR_1_2590174 MICQRCRTSLLSRLQPQHTVTFSASSCARQLPIHRSQFRSYSDG KPTVSTTPPPPTPRQPIAADITIPSAVSSATPGVSQPFSTPEEVNVDVSSKKPTKPAV ERPPSSCPAGTKLNGLNYFKNKPDIVALEDSEYPEWLWSLLDDAKKQSKSEGGVDPST LNKKQRKRYEKKMAARAATLPPKIPVHHHATDITPASYNRGGQATDDLLVEAAESLGQ RSEITKSAREARRKAIREANFLRGL AOR_1_2592174 MATDTPVHFFDITSTLPGPAKAWSVNTFKTRMVLNFKGIPYTQS YVSYPDIAPLLSHYGVTPHASGAQYTFPAILHKPSINSNAYGAMQDSLAIATHLDQTF PSPPLFPSGDASYALTLAIIKLLGNVTEKGEAILIPKVADLLDPRGQEYFIRTRSVMF GKHLPEVYPKEESEVQEIIKAAKEEMEPVARMLRGRPGKKGPFLEGENLGYADILIVS FLAWVEKTHNTLFQGLVSIGEGEVKELFDACLPWVEGQGETKEWDIPK AOR_1_2594174 MDDLANRTEQITLNDSSKCLESKSSPDLAPFVASHLEVVYSSLK ALPGVDFFRDIQHETTNDGSNNGVVDPLASLAALREYMASPASSAMGPVKQQNYSAPI SDYFISSSHNTYLTGNQLYSDSDASAYTNVLLNGCRCVEIDVWDGERSSESASADDTS SSSSDSISERKIKQGSKRERLKSMAKRHSRLGSMSTKLGGLIGRKSSPEDVPLDSSAP VDPATEVPPPPEPQVLHGHTLTKGTTFRDVCYAIRDSAFVTSDLPVIVSLEVHASLEQ QQAMVEIMEEAWKGMLVEVTPEKEATDPLPAPEDLKRKILIKVKYVAPTSEDKNEETP EGNGDELEALKQHANQGDLSSTDDKPSDAPPKKPSKILEALSRLAIFTKGFHFSHFEQ PEAKVPGHVFSLSESAARAAHAKDPEALFEHNRKHFMRIYPYGLRVNSSNLDPSFFWR RGAQVVALNWQNLDKGMMLNSAMFADEQGWVLKPQGYLSSDAPSTIVRRQLDLSIEFL AGQNIPLPPGHTNEKHFHPYVVCDLHVETPEDTTSPHTEDEGESETENHKQMIKSASG ASPDFEGQMVQFPTLSGLVEELSFVRFKIKDDEFGRDSTAAWACIRLDRLQEGYRLVH LYDCSGAKTDGVLLVRIIKRIV AOR_1_2596174 MRMTKTQTYPIGTRSECERLVRDWGFRHIFTWSDGSNAYYSPHR HAGLTTHLIRQGTLTITYPEDNARFNNGEVKKETFGVGARVDVPAGKLHEVWIGEDGC EYVIGE AOR_1_2598174 MASDNHKEATANVEPVKDDSKQVSAGSSSETLPVAAPKRVKDIS EGAFDTTEDPRFYKPIDDYEGIHRWDPDFEWGEQEEKKLIRKIDLRVCTFACVTFFAL QLDRGNIVQAMSDNMLGDLGMNTNDYNTGQTIFYLVFLFAELPSQLISKKIGPDRWIP IQMLCWSLIAAFQAFLSGKKSYYVCRALLGLFEGGFIPDTILFLSFWYKSKELPIRLS YFWISYEGTSIVSAFLAYGFLHVRRPDGTGGWRYLFAFEGLITGVIAIIAAFWMPASP TQTKGGFRGKDGWFNEREEKIMVNRVLRDDPSKGGMHNRQAVTPKMLWEALCDYDMWP IYLLGLTWMIPNSPATSYITLQLKSLGFDTFESNLLTIPAYVIFIINLLVWTWISERF YQRLILGVGSMIWCLVLLIALETLPDNASPWARWIINVLLIGAPYVHAIIVAMTSRNA GTVRTRTVATAVYNMMVQTSSIISNNIYREDDKPYYRTGNKVLIALAVWSIFVFIGAK FYYMWRNKKNTEKWDVMSSAEREEYLAANGHLGNKRLDFRFIH AOR_1_2600174 MAPSITETVSLRSAPKTSLRTDAGHNKENGVGYRETYQHDNEIK GTAKQPPASFPNYLPVWDNETEKYPPLQPFEHYEHGKDADPAFPDLFPEGKGEVEELT PTIGSEVHGIQLSQLTDKGKDQLALYVAQRKVVAFRDQDFAQLPIEKALEFGGYFGRH HIHQSSGAPKGFPEIHLVHRGADDRSGAEFLETHTNSLTWHSDVTFEKQPPGTTFLYL LDGPTSGGDTLFCNMAQAYRRLSPEFRKRLHGLKAVHSGVEQVNNSLNKGGIARRDPI TTEHPVVRTHPVTGEKALYVNPQFTRYIVGYKKEESDFLLKFLYDHIALSQDIQTRVR WRPNTVVVWDNRVVAHSAIFDWEDGQRRHLARITPQAERPYETPFEG AOR_1_2602174 MSAVHQENEPAALCLHAELLPNIRHITLYVSLPEAMRSQNVRPE ICLSDSRRAITVSLPSPHEDATDTIKLPARVNEASRLALSVAGQRAKDPRDRGLGQQE YSFRMQIDDEDNSLLSREEHMDSFVPWTAIDMTSCTRLCCRHCKNILLDSHVSRGSCA EEKDMQGWMWKDLPSGNWAEMMDFWHCHKPDPHEGHDHGHEHVNGATAEDQNATVKGY GAANQVVATAGTVLVDVATFLLTDSDCRGLKQVETKSTTASPTEVQMELLCENCNSLV GVEDIVAKGWRLFKTSLSVSKRFSEGECEDPEWECHSLEVVVAAQLLELIERESARRF VLHCGQGDGLLIWVFNPDMRYSNSSSDHSITAQRAMKILFQDVVDVDGMLHPDRGKAS SLSLEELRLPSSVLSAIVKTLKSRNMMLPKSAREFREWKVGIMHRHDRTKNA AOR_1_2604174 MLSISLPSLKSFLPWRDRTFIDIPSVKIQEIDTAQEKPARALKH LLKLNHANYSILYNERKFHNHAPHILSSSFLQGADVDDLNRIYEAESKLLDPWVDSPG EISTYDWRDYLGYREYQRAFVDFFEDELVRHGYDWKQVLADYLFSGKEPLFNSLVDDL GHPLIHLAYAFEMSSREVAMEALALAATCYGKMHKYIDDPSYSQAESLYSSTSLLEIL SKVRADKQFNGLFGTPGDNNMDTILRHHEAALLNHWNAWKIEDPVKQFRESQELAVAL LAATQSQTSDKYDFFLVHTLTTSHAVRILLPLIPTRFQYALVRQWWLLTLVVYIAQLR PEIKLEQIEDYELKGRDWKWTAQKAVKGEHSTDAHYVKAIRACKEAAATWGDPEQYYL KAAVKFGEEFNGWGGFV AOR_1_2606174 MKDIRGDDDAQLAAMGHKAELKRNFSLLSMLGLAFAILNSWTAL SASLSLSLPSGGCVSVVWGLVTAGVCNLCIASSLAEFLSAYPTAGGQYHWVAVISWER WMPILSWITGWANVSGWLALTATGGLLGSELILGIISLMNPTFEAQRWHQFLIYTGYN IAAFIINAVMNNGLPYFTKGAFIWSLSGFAIVSITLLACSSPNYNSGEFVFGKFINET GWPDGVAWLLGLLQGGLGLTGFDGVAHMIEEIPNPSVVGPKVMIGCVCIGTVTGSIFL IVLLFVAGDIYKVIDSAAGCLLQIFKDATGNNAGSICLLMFPLVCILFAATSIMTTSS RMIYAFARDGGLPASPFFSRVHPKLNVPLNALYLTFAVITVFGLIFLGSSSAFNAIIS SSVVMLDIAYGIPIAVNCIRGRTMLPERSFVLPNTLGWIANIISLAYISLTTVLFLFP PVLPATGSSMNYCVAAFGIILVISTFQWVVDGRKNFTGPRADVDILAGVIEEVPTHTG TTETHGKSDGK AOR_1_2608174 MDLERRDLHPDQTRRRRRNVGRRRLRNRAPISARFNLDHQLRGN VGVLSDDLANDFFQLSSTDEGKEGSEVRHVAISPYVPGYSSVEDLAWTIIPVRPQPKS RPHGSPLPHSTIAFPDSADSLQPFMQALSKLDPTRHSLQSQRSVEIRVLDVVPLRLDT IYVTVERNLLRNHDDIQNKFAGGFTNSLHGPNGLWAKAGKGLDTKRYSKKAAAEAEER LTAAVRDALGAQQVVHTGDVLPLPLPPHPITYAPPPPAQISFCEPVSQGLLMPTTKIV LVQARPHGPRTQRSLRSGSGLLKQVAEDEADDTSNEQFYSAAEEKATESNTEVDSTST ADESETEGSGGNMSDTSDDSLDDMISLSAPELPQSGVMSAMTATPRAGGRRTDGIHTP GSVASNFTSSTMRLGRVGGGKVFKAEGLLRGIPNELLHPRPREEDDSESFIFVDINTL AKIGCFSGDWVRLEATEEPQSNIFASIKLGSFNEQNEDAGDWRAVKIYGLPGLPSAKP RYSISQSGERRSSISQRPPLRLTPSVFVPPLLLNNLENPKYLRISPMTFLGGNGSTKP GLLNHMKASSARNPPLAKEVTLLKVSTPLSMDRALQPALFAGLKQYFESKRRLLKSGD LVGISVDEGLGRAVFAGPAASDGAAPDDDLTTRLGQVVDSDRAGSKKVGVAWFRVGQV LPSLSDEPDEAREDQWGGVAIIDSATTRMVQAGSDVSRVPGTLNNGWEYWLGVKAVPK AAGDVQTPHGLVTESPQSYVPPLQERIRDLMSVATSPRAIQLGMKPVVILLRSQQRHI GKATLATRACADIGLHTFTIDSYDILTEGGANGGDVKTEAYLKARADRAFHCGANCTA LLIKHIEVLTADRIISAMNEIVADARVIVATTTDVEQIPEGIRSLFTHEFEMMAPEEK EREGILHNAVSERGIKVSPDVDLGSVALKTAALVAGDLVDVVERASSVRAARLEKLAE AASENSESKVSTRDVLVSGGDAARGVTKADFDAAVDAARKNFADSIGAPKIPNVSWDD VGGLTNVKDALIETIQLPLERPELFAKGMKKRSGILFYGPPGTGKTLLAKAIATEFSL NFFSVKGPELLNMYIGESEANVRRVFQRARDARPCVVFFDELDSVAPKRGNQGDSGGV MDRIVSQLLAELDGMNGGEENSGGVFVIGATNRPDLLDTALLRPGRFDKMLYLGVSDT HEKQATILEALTRKFALAPEVSLRRVADQLPLTYTGADLYALCSDAMLKAITRKATAV DEKIKQLPGEPVSTAYFFDHLATPEDVTVTVAEEDFIQAQNELVPSVSAKELEHFERI RQTFESVDKKQDSGSSSGAQPPRTIADALEALELGDQLNGSMGGPVTNGDHLPIGSGK GKGKNGQQPMRSVSSHSTSSKGKGKSPAGAGAKSKNKTVAAPSNDSDSSFDGPTSGSR ADKSISHYDDVSEEDGATNGDEDYIVRTDHLVQADGDSSK AOR_1_2610174 MSSAQPVDESDRRHPRRRVLNRPPPSLSSDSSPPHLLPSNLRLI KGETFHSSNRPRSDRDPILDLKLLPRRSPTCPKALEAIAAGQRRMAHILNRFDLDSLS TRDSLESQDELPVPRGILRTHVKSSASKEDSTKQSEPTPQEPKESHKKIRRVNHHTSD SGLGSSIGSAETMSSTKGNVTAGQVCQASVGHKLSATARVEIQGRILFPLLMKDKFQL FHPLVRCAHQQIEKQQLKCLRDVEKTLLFSTPDVKAPTAAWYSFCRFTIHCLHETSGY LRGRDLTLPNDVPYSNNYFLDLITQINRFARIRDATRSRQESATNGEKAAKSLEPRLT LEGGMSETGRPAELVMHKDGKSISLQTGKPYDEHAIPTFKRTLSVETVDEGVERSMAR RKKNAPPMDINQKCQFCDKVLKRPCDLTKHEKTHSRPYKCPERGCKYFELGFPTEKET ERHYNDKHCKNPRLFRCHQPGCTYASKRESNCKQHMEKTHGWVYERTKNNGKNKPVKQ GSAQPTPQSSGIPSPAASHPTGDFSTPTTGPTVSPSEPPIAFPETIPFSFADPPVPTQ TEDFQLFSNSPTSLGGSPYHNMSDAQGFPPGANFDLNQPQVPGIGSPASGSSEFLTPP SGSTHSPYDPINPFPDSSMFSFEPYMQPKTEESLLFVSGGFGDVPSMFEQNPMDFLTD PMYNSNFMGYETVQPQLEANPNAQFGLDGWDDFMCKPDQSSM AOR_1_2612174 MSSWIQRHAGSQQTQLAATAVLSGAAVAGAIFGYQALKRKEAVK ELKASIPNIDEKHIAAKLTKFGGADPVQQLSKEDERSAALARRAQMGDYDDELILEQL ARNRVFLTDEGLEKLRSSFIIVVGCGGVGSHAAASLSRSGASKIRLIDFDQVTLSSLN RHALATLADVGTPKVHCIRKRLEQITPWTKFDCRNELFSGSVADGLLAPWSMDDSDKG RKPDYVLDCIDNITSKVELLHYCHSHSIPVISSMGAGCKSDPTCVTVGDISTSTDDPL SRSTRRRLKILGVSTGIPVVFSTEKPGPGKASLLPLAEEEFNKGQVGELSVLPDFRAR ILPVLGTMPAVFGYTVANHVICDIAGYPRDYSIARKGKDKIYDSVQTATQGFMERLAR VEVGQHVIGLRLPITKGDVVFLVDDIWRGKSAITGLPGRLILVPWERPARGFVPDPEW EKQGQKFLPFELKDLVCMTKEESARHEKEVLLGGKKPEDLYDEKTIQRVKERMEEAGF YERFR AOR_1_2614174 MAYDPRLASAGTATPDPPPPPPPPPESAMAAPTETESSDGTAAA PTQEQQSDSYKLRFCTVCASNQNRSMEAHLRLSTAPSPFPVISFGTGSLVRLPGPSIT QPNVYNFNTTSYSQMYEELYSKDERLYRNNGLLNMLERNRNLKWGPERFQDWVPGMPR VDHVAKGDKGALGTEGGVVDVIITCEERCWDAVVDDLMNKGSLLNRPVHVFNVDIKDN HEEALVGGKAILELANRLNEAAVQERKANNSEGWENGTGEARRSFDEKVPEILAAWQE KWPNLPALWTLAWL AOR_1_2616174 MKAALVVVDMQEDFCPPNGVLPVQEGRAIAPIINELLAHQGFAV RVATQDYHPVDHISFANSHPRPNNRPFESVITVNNPAPGKEHETKPQNLWPAHCVGET RGAEIIPEIQTDNIDLYVKKGMHSQVEMYSAFADAFGNVDPSITDQSVDADLKDFLAS KGVTDVFVVGLAGDYCVKHTAIDAARVGFKSYVVENAIRCVVPGSGWDGAKRELREAG VSIIQSNGPEISGLAI AOR_1_2618174 MATTLRPSVSVATFTFLDRKLQAPDVSAATTLSHPTTNLSGDSL PYWLVNVPPDRWPAECPSFLRDICEKNIQILSTPDEQYERQGWGLVKEIVRTNQIDRF QRLPSDLRKYLEYKEWIVAEYGSIMRFVVKERLRWGEGSPDDLKPRGRPFEFDEDIRI LHNDWPYGIEKDIVHLVVWTKFELEDDPVTDDLTPRARQEIDNYVKKTFCSRVPSEKV IWFKNWKSLKSVHAVEHFHVMLYKPDPEFLKEITGRDVPMVARM AOR_1_2620174 MSADWGSFFNSSGNRSSTDEPNVVAGDDDTEPDQGNVLSHIISQ LRPGADLSRVVLPTFILEPRSMLERITNFMAHPETLLPMPTVDDPLERFVSVVKFYLS GWHIKPPGVKKPLNPILGETFTGYWDYPDGTRGYYIAEQTSHHPPKSSYFFMAPEHSI RIDGTLIPRSKFLGNSAGSLMEGIAVLRFLNRGSNKEKGERYILTQPNMYARNILIGK MKYELGDHSYVRCPENKLVADIEFKTKGYFTGAYNQIGGTIKNSETGQVYYELSGYWN KEMFITDVRTHKKELLFNASTATHTPPQVRPIAQQGERESQRLWQTTVKALLERNHEV ATDEKTKIEDRQREEAAKRANDGVEWHPKLFRRVQGGPGGADEGEEDLDWIINAQIDA HNPELATKQILSIAPILEGQTESSQYQIPPHKEGREAAPPDHNGAAPSAAQPASSGGE KLEEVQRKDTRTSDVDTFVDAKP AOR_1_2622174 MARSAIVQEYAPPSSTPTITLDQKTIHERQQNGIARPKGYRVSW HANPAVEPHHFGQSHPMKPWRLTLTKQLVMAYGMHHAMDLYLARSATYEEMAEFHKTD YLDFLRQVMPGDMENPEQGENIARFNFGDDCPIFDGLYNYCSLYAGGSIDAARKLCNN QSEIAINWSGGLHHAKKAEASGFCYVNDIVLGILQLLRLHPRVMYIDIDVHHGDGVEQ AFWSTDRVLTVSFHKYDKDNFFPGTGALDSTGPTHPLNPGAHHAVNVPLHDGIDDESY IRLFREVIGSCISTYQPGAIVLQCGADSLGCDRLGCFNLNVAAHGACVAYVKTFGLPL LVVGGGGYTPRNVSRAWAHETSILIDAQDTIDPNIPETVAFRNHFGPDYSLFPPLSEM RKLENKNPRSYLSGLVQSIHEQLRYMQGAPSVQMSFIPPDILGLREDTEKEIEEQMAE QDEAREEREGGGSASKNSRRRELERGVGQRGELFSA AOR_1_2624174 MSAYAVVTFKSFRPDVVLKLGLLLPNLYLNLVSINYILGFVPNQ IPTTHTMPMVTAATGLRRTLEDPNSFVVAPGVYDGLSARIALSVGFDALYMTGAGTAA SVHGQADLGICTLNDMRANAEMLSNLSPTTPVIADADTGYGGPIMVARTTEQYSRSGV AAFHIEDQVQTKRCGHLGGKILVDTDTYVTRIRAAVQARQRIGSDIVVIARTDSLQTH GYEESVARLRAARDAGADVGFLEGITSKEMARQVVKELAPWPMLLNMVEHGATPSISA AEAKEMGFRIIIFPFAGLGPACAAMREAMEKLKADGIPGLSKELTPQMLFRVCGLDES IKVDAEAGGAAFEGGVDLK AOR_1_2626174 MQLKNSLFLLTALTAGSAVARMHGHERRHAHHHLQHEEKRAVGD IVYANFNGVWKSWVNEWSGVSATSASGSTPTTVSSSTSSVATASAVPTASSGPTVTTP GSCKEWHAHQDGDVYTREGFGGQTPNNGKPYIDYIGNLGSPYGKNIIEVAESKACEYK YVVRIQGSEKDTWTIAFWNKIGPDLKLTGWYGNAVLTIKINPGETKYVAFDEDTQAAW GAAKGDSLPLDQYGGYGCTWGEIDVGNLSNDAWSGWDVSAIQAQNAGLDVQGMKICTH DNQKCSSISNLAKAVDNAYTALDAGKDGIGGEWPAGPLRLVVDIDYD AOR_1_2628174 MVLELHVWGPAFSLPSIEAQCLATIAYFSLAVPKDAWVLVASSD PSVSPTCELPALRNGSTWVSRFRNIVDYLRQYSNGEWDLDAGLSGLQRADNIGFSSFV ESRAHALVDLSLYVTSQNYYNQTSPAYGSILQWPNQWILPPKIHAAAKARTDHLGLSS LDLQAIEDQRQREHSAAVAAGQIPPNFIRRPRDTVTSLLGKTSQQNQFRLDALTGELF EPLEEILGDKVYLLTGENEGPSSLDCLAVGYLSLALVPELSFSWLRDAMKSKAPRLTV YTERMRQQCYGLGAEVSHAYTPTPNSGSSLPWRAPERARLTTLGNTLFNVLADNTPIL KDIRAQDRLRVAAESPDSGLSEPDSRKLSALAKGQKKDILVNIAYAVGGIAALIGYMT YEGFFSAEIGDEYEEDDEFEPMPDIEPDSLQVQNMLAGL AOR_1_2630174 MAPIRRYLRISKYTILECRIYLENPSDTRWLLDSRDPVLPRIFG AIRPLVLPKLREENERLFARKKGKPVKDVIAEEDFEVSLFLRESRTRHSLLTRHKEKE GAQNPPRPAESSSGVADAGILVESDSESNIDLRDIPQATAEENDKKGKRHRDADEAVD TTANSRASKRRKDEEPDDKKLRFRMNYEGFNIYGWTLCLLVTRKGDKVRANTGSSEPT RQALMEEWMSTQAQGDVDEEQDVS AOR_1_2632174 MDVLHTLLTRDEDSGPAPACDAGNEYDGRMGLRISSIFVIMVGS MFGAVFPVLAGQFRRSKYLEWAFFVAKYFGSGVIIATAFIHLLAPAEEALTNECLTGP ITEYSWVEGIVLMTIVVLFFVEMMVMRYARFGQSHAHELAHEHNHGEPKHSGSESGSE VLDSKHIPGRDHLGHSREHHDVEMAVHDTSVEEYMAQLTGVFILEFGIIFHSVFIGLT LAVSGAEFVTLYIVLVFHQTFEGLGLGSRLATIPWPRSKRFTPYILGIAFGLSTPIAI AIGLGVRKSYPPEGRTTLIVNGVFDSISAGILIYTALVELMAHEFMFSSSMKKAPIQH VLAAFFLLCLGALLMALLGKWA AOR_1_2634174 MRFSIFSTLLVSLATLSTAAELGIEKTHEVECTRKTVKGDTVQM HYKGTLQSDGSEFDSSYKRNSPLKFKVGSGMVIKGWDEGLLDMCIGEKRTLTIPPEYG YGSRGVGPIPGGATLIFETELVGIDGVSKDEL AOR_1_2636174 MLDLQTTRLCYQFPDHNAWVVKLKLYLDSDRPTHGPHDFPRAFG NVFLSSFFVLSDLGTPFSFSPSTTFGPPRMGWLGVATLIATACFLLYRHPPSSWTSEP PISQLPPKPTLEKPPTDRAPDGATVKDDSKPLEEKDGNAEDSQTTPKASASSPPSLEV PTLHLDSDKADKTTTTTENQADKKPIQNGSAKPSEPVAIAVNNAAMPPPPQPTASSSS LMPPPPPPRLRPTMSQTQQPQSAPVGRYPPRPNPGSSLRPPPSAAASLRVPPSSRPTS NSLAPVKLIAQPSNSSKRAVLEPGYSPLDWAALTSNPKNNLRGANLPPTLVKVTPSML KVQNGRKGRDAWTSYQGKVYNITPYVPFHPGGKGELLRGAGKDSAKLFMEVHPWVNWD AILGECLVGILVSENDGAAENALDAMD AOR_1_3236174 MDSILDGLNSAQRAAVSSPSPILQVLAPPGSGKTKTLTARVAYL LAHHGYRPQDVICCTFTIKASREMRERLAKLVGDRVQSKLILGTFHSICRRYLVTYGY LIGLRKGFGIADSGDSLAIIRRIVKRLQLNIQPNMARGRISYQKAHGVTPEDLEAKQM KGSKVLEHREFIQVYQAYESHLADSNLLDYDDLLLRCAQLLRQHPECVSNVQSVLVDE FQDTNHIQYQLMNLFASQNRRITVVGDPDQSIYGFRSAEIKNLTRMQQLYSDTSVVLL EDNYRSSGSILSSAQDVIEQDSSRPAKRLQPTHSVGTMPVLRKLPTAEAEAQWIVLEI KRCIAMTGKLLKYSDFAILLRSAALSRQIESEMGKQGMPYRMVGGLRFFDRVEIKLLL DYLRVISQPGNTDALLRIINVPSRKIGEESIRSLMSGAEKANKPLWDFMKDVAQGRRS TEKTLSKPTSNGLGNFVGLIESSREKLLECTDCSAPKKLLEFVIKKLSFREYLTSTYG TNEENKWANVEELMGQAEDTAAAGEENEHDDSLPEIQGLSQQQAHPGEEALSRFLANV ALSTEVLQQEGEDGEQSQERVTISTIHAAKGLEWPVVFVPAVYNGIIPHSRAEDSDEE RRLLYVAMTRAQALLYLSYPLEQSRSGETTSVSTFLPEKIIESRFRLLGPNLHEQIVY AIADILRRPRPTLEAMLEGQVSLPSTLDDQWTVDGREAADAVFRWDGSRAVDGEEPSA KRPRYSRESSISTTTTYVSASTYTMNGSNLSVPTTMSLGFSTAREYIATSTAAKQEQK PGLGFENKSKTAGTGSSGRSAVGLSQQSISGFFSKPSSQPKPKSSESAPAHCHKTPGD RNNVIGQAVDGKNKNVLPTNFSTYRPQAQRLQAARPILEPSDPNRYTWLATSSKPTEK PILRSGEEGKEISDKGEAGREWAASEVKRPQGPVGGARPATTYHTTTMSMLQSAAPVP TRRTLGIRRSMNGWEERMKRAGNQRP AOR_1_2638174 MPHKVNQSNSTLASTGSSGDRVVVTDLRGSNTGPRRTQPPVVVH NQGGQIYDETRPSDWDKQRWK AOR_1_2640174 MAYHKRPTSSYQPCETFFVESYEDFPAPRMDPKEHAKLIARERQ YAIADELSKVASDEFRDDILSHMLDMDASTLPDVESIDIQTEIQWFMRPYLLDFLIEA HTAFQLLPSTLFLTINLLDRYCSKRVVYKRHYQLVGCAALLIAAKYGDKKDRVPTIKE LKSMCCSLYDDDMFTQMEWHVLQTLGWTIGHPTVDSFLQMAVIDTSYDPEVEHMALYI SEISLFHREFVSKPSSDLARASLALARCILNRPQPRHTEWASQYDSMTLVGLSQQLHQ PSQILFRKYSSAHFSRVSKILEQFLARQASVANYTPPSPPSDVNAESKPYEGEIGLAT PQKAPHPSNMPHGYITPPITPENDAFVNGGNTTFVKAVAGTSACSPSPTPPPSMQYVD SHVYQESTFTQGQQFLQPQMSFTTSY AOR_1_2642174 MDIHRCRFVPYNPQAINALAFSHPPSAELAGRGVPTLRLAVGRA NGDIEIWNPLRGAWFQETVLRGGKDRSIEGLTWTLDPPESGPDGSKIPGRLRLFSIGY STAVTEWDIEQGCPLRHSSGNYGEIWCIAAQPRWRPTKRGKDGKWLPPAEGEYTGQHL AAGCADGSIVILSTADNDVRFLRLMRPSTKRARVLSVTFQDRHTIVAGYADSSIRLFD IRNGQLLRTISLGKGPTGGTKELLVWSVKCLPDGTIVSGDSAGEVRFWDGKNYSLIQR LNCHLADTLDIAVSANGDTVVSGGADQRTVVYRKKAGEKGDKKGRWVEVMHRRYHTHD VKTFAVYETKDISVIVSGGPDASPIVLPLREFGKEHHRKLSSLPQIPQLASSPSSRLV MSFWDREISIWRLFRGPMSLQENLDGQRHRLVGKVLIQGEENITSAMLSSDGKILVVA TISSVKVFSVRRRKSDEKGTLRIQKLDIPSTLANDGARVVTVSPDSRWICVVRPNSAT YLARIKPASSPQEKSQVLTQLVKLNRATRHARYEKASHGTLGDYEKTIRCAVFSENSK IFAVGDLSGCVDAWSLEKAESKTLAKANAAEDSDEDSDDEDEQPVVEGERWTLTAAES PIPRLRSGVLLLSFRPLNPAGKKLLADGADQDSKVESRLMALTSEHQLVEVDALDGKL SDWSRRNPKAFLPAEFRGVKDRAMGCVWDLNEARERLWLYGTSWLWMFDLNQDFPSPE ELSASADTHDDGQESMQAAKKSSSQKRKRGLLDDEENDRKRSNTGAGDRIPLAQSDVF FGSKIRKIIGSDESKAELISLEKERPRGADEDDEAYDHDEAYTARHDSNLARLRRGNL EGNDVSTPRKQPPKTAVNGDTPSQKQLMQAADNSHSARRWWHTYKYRDILGIVPLNCQ ATDEESQEHEDGGNLEVAVVERPMWDVELPVRCDGNSQLDGKPFVTGVGSYVPPEILH NCAAPSSAEQRWDDSDFILSEEDSFPPLIGDRLHPQPGFLLHESCWELLRGMLHPHAV PIQRLYDICLSCPADIEGWLDWGNNYGGLMDRQPIEGYPWEDVYIAGYIRRFLWDKSS PLTLATSDPLDVLEVRQALAGSQDRRENTTMTSSISSVWITTADCFQKLPQEILEQIQ MLLPARGVANVRLASRSFAGLPLTQSFWASRFACHQERGYCFEARRLLYSGAHALRSR NWKTVYEETAVTSESSNELKNRKRIWSYLKNIADLVLDQPLSSEGMAKELELWPKEPV TAWRPVGGDFSVRSNGNSPYQMKCRVIYEQTISITSSIRSIGVSFRELSDKKYVSGLR FILTNKEQLRLGYILPSKEKHIELDSECFSLSGFIAAVGPRGIMALRVVTGQGEISNW VGSSEGLPQTARLCTKTAIHSLKGSFDGFKMVSLAVPTDLQPLFPDDTSGEHLPLRTT GLWYPEIPPSSYHLHDDVFAGRNISLHDYRPLVHVMFGGRRGSLLKYLTRISVTVSNA AIVGIDFFYTDDSPVKHLQACPSTASGDDSVKIPFIIDGPGGERLTSLQSDGDFLRAS AGYGSYRIKITSLKITTNTKPKPFIFQPSAIPALKLPAGGFPPRKSKKPEIIPGTTLT GMYFMYDSQFAMSSIGPISEDLTEGNLLRDSSIEEEIQARILQSKPQTGPC AOR_1_2644174 MGQSHSKGNSGPGDSLQSYPSFSRSDTKESLRSLRGSIRSKIRN TDSPRASTSALSTGSQTDRSDAGSIKSTGSRRSSTNLSAQSPGANDSASQLDAPDPPP SPTLSSSLKRGHKDVDAMQQSGEVDHVSDAPPTGAAPTGSSQKVGESILIKRQDQLNP ILDFIMNTPLNDTSSSPGMGMGALKSIDLDDMITRLLDAGYSTKVTKTVCLKNAEITA ICTAARELFLSQPALLELSAPVKIVGDVHGQYTDLIRLFEMCGFPPSSNYLFLGDYVD RGKQSLETILLLLCYKLKYPENFFLLRGNHECANVTRVYGFYDECKRRCNIKIWKTFI DTFNCLPIASIVAGKIFCVHGGLSPSLSHMDDIRGIARPTDVPDYGLLNDLLWSDPAD MEEDWEPNERGVSYCFGKKVIMNFLQRHDFDLVCRAHMVVEDGYEFYQDRILVTVFSA PNYCGEFDNWGAIMSVSDELLCSFELLKPLDSTALKNHIKKGRNKRNSMLNSPPAIVS AQSY AOR_1_2646174 MDENQAVQYLESLIGQTLRVHATDTRIFVGAFKCTDSARNIILA STYEYRFPSPSTVRDAATDAENQDPAIGAASQSVKVNMTSRFIGLVVVPGQHITKIEL EETPQQSRVRETLKKS AOR_1_2648174 MSLKRKASFSGIYSPDAAPVVAGRSLMMDDSPKHLNSRTRKRYR NDRPDDKVVYENTLRWLFTAQQQQGPIPHADETIDEDMESDALPSEIVDPRQQTLHKF FQPSRPLSSQPGPNHMKQQTDNIPRTNTGFLKRHDLDALSNVTSTGSNATSPSSQDTT ADMEVRMDSGGHESVQNFNKWNGGLGWP AOR_1_2650174 MQSREASHAGSWYSDNQRTLTHQLDGWLAQVPNSIEGIGSLPVP GARIIIAPHAGYSYSGPCAAYAYKALDLSKAKRIFILGPSHHHYLSTLALPELTSYYT PLSDEPLPLDTELITKLLSTKAVKSNGSTISFTTMSRSIDEDEHSIELHLPYIHRLLQ LHFPNKPTSQYPPLVPIMVGSTSASTESAFGALLAPYLQDPTNAFVISSDFCHWGLRF RYTYYVPQAPKPGPKLPLSANSLPQPGDDLSSVEEKMESVSTGQALQRRDRISSREPA IHESISAFDIATMAAISTGATANFLETIQTTGNTVCGRHPIGVIMAAIEEITSQEEGK KGKFHFVRYERSSDAVDVDDSSVSYVSAFAVL AOR_1_2652174 MLLGILTLVLAGFLALAAATPVAQHMTDNLATDKLVFCHFMIGI TSNRQSAADYDDDMKRAKALGIDAFALNIGVDPYTDAQLNLAYESAAKNDMKVFLSFD FNWYNTGQASAVGAKIKQYASLPAQLMVDNKVFASSFAGDGLDIPAMQSAAGTDVFFA PNFHPGVGDFNAIQGALNWMAWDNNGENKAPSPGHNVTVADGDKSYVDALKGKPYIAP ASGWFFTHFGGEVSYSKNWVFPSDLLWYDRWQQILSLEPRFVEIVTWNDYGESHYIGP LASPHTDDGASKWVMDMPHTGWLEMSKPFIAAYKAGDKSVDKYITDEKLIYWYRPTPR DVNCDSTDTTMEGNPNNSSGNFFRGKPNGWETMQDAVFVVALLKSPAIVAISSGENSK TFEAQTGASAFTVPMGVGQQKFAITRDGKNIMEDTSLKDIVDTCICGIYNFNPYVGTV PAESTIDKLEQAGLAMLSQGLKVPCPTNTLGASGPRVTP AOR_1_2654174 MARIKQGVFSWEDVLAQRYHNNKPSTLPRSRRASAISGRAQTEN RSGPVREGSVQVQSDLLAKLSPELRLMIWEMVLGGMRIHIVQRSDRRMSHVVCPLTNT CDICLGASPQPVKGRARTIGNLLALPTTCRQIYCESIYMLYTMNTFEFSNTWSLTYLR PTIPPSFWDAIRQVELCWAFPGHWLPSKDPVKTVYFSAGRQQWIETCKALTRMESLQS FTLQLSGSWFCEPVEKIPVFLEPLRELNLKQGWKLQLPKQPYYVKEIRNIDGDLRKRG IDCLVRAA AOR_1_2656174 MADSIHHSQRAQGSNNFDVSELTNAFEQLMRNKRFHRLQEHSRA RTHSPSPSPSQVSSPGPYAPPHPSRAPPPPPTAAPFQPPQYPQQPQQYPSNQSSMLQG LPIVPSPPQDQASLKFRNLLHVLSVTPTKYENPGLLDEALSLIPLDRLYSEAEEESQI LQAQAASVGGRPEWGYQDCVIRSLLRWFKGSFFQFVNNPPCSRCFRPTIAQGNTPPLP DETARGATRVELYRCSEMSCGAYERFPRYSDVWQLLQSRRGRVGEWANCFSMFCRALG GRVRWVWNSEDYVWTEIYSEHQRRWVHVDACEGAWDQPRLYAEGWGRKMSYCIAFSID GATDVTRRYVRSSAKHGAARNRAPEEVVHWVILEIRRKRRENLSKTDQKRLMKEDERE EKELRHYTASALAAELNNLLPQNQTTGRLDEQKTPVSRQEAAAEWLAASQRNSGHSGP DHSQGGR AOR_1_2658174 MSKLITVFGATGNQGGSVINHILADPQLHKEFKIRGITRDTTKP AAQELQKRGVEVVTADLNSVESLRTALKGSHTVFLVTNYWEYVNKDTEVTQGKNVADV AKELGVQHLIFSSLVHVTDSTNGRLSHVPHFDGKAEIERYIRASGVPCTFVLAGYFML NYLQMLKKSDDGTYQLFYPVDGAKAKFPLFDAANDTGLFVKAVIKHASKLNGKQVLEA AGYYTAEEIVKIFTEVTGKKAVFVPVSADQYKAVLPPSVAQEFLENHLLIESPGYFLG QSLDKSLKLLDAKPTSFADFVKKNVDAWQ AOR_1_2660174 MAPTDSSLGDSRLLIVSNRLPITIRRSGNGKYEFSMSSGGLVTG LSGLSKTTTFQWYGWPGLEVPEDEVPSVKQRLKDEFGATPVFMDDKLADRHYNGFSNS ILWPLLHYHPGEIVFDEGAWDAYREANLLFAKTIAKEAQEGDLVWVHDYHLMLLPELL QEQLNLLGKKNIRIGFFLHTPFPSSEIYRILPVRAELLRGLLHCHLIGFHTYDYARHF LSSCAHILGLVTTPSSVKYEGRSINVGAFPIGIDPDKFTEGLKSPKVQNRITSLENKF QGTKLMVSVDRLDYIKGIPQKLHALEVFLQNHPEWVGKVVLVQVAVPSRQDVEEYQNL RAVVNELVGRINGKFGTVDYMPIHFMHKSVSFDELIALYAASDACIVSSTRDGMNLVS FEYIATQQKRKGVLILSEFAGAAQSLNGSIVVNPWNTEELAEAYHEAVSISEEQRAVQ FAKLYKYISKYTSAFWGQSFVAEMSQCSS AOR_1_2662174 MTVFLVSLFLPYTIDFRATEYRHRRKSSTSYSHSDDRIVGRLAE ARRRRHSRGYSLSLTPGATTEDEKIFKPYISRAAGEIASTDEPHGPGPSEPRVVSWGQ SRKFNQPRSKATGPPEPSILSRPNPGDGYDQPLYVDGVLETPDSEEDPGSPRALLCDH DWVVKSAEQGNGGMRNAVNAAERAGILSDKMWVGTLGMPTDSLKDETRARIGETLEDN YNSLTVFVGDEEFEGHYSHFCRAVLWPAFHYQMQESPRHTQYDDYSWKQYVKVNEAFA NTIASCWRPGDSIWIHDYHLLLLPALLREKLPGAEIGFSMHAAFPSSEIFRCLNARGA LLNGLLGADLVSFQTEEYCYHFLQSCSRLLSLEVSVDGVQLPQRFVHVKRLPIGIDFQ ALDELRQTAEVKDWIENIISRYSGKRLIVARDRLDAPGGIKQKLLAYELFLKKYPKWR ENVVLVQVASASELPELEAQVSKIAMRINSTYSTLTHQPLVLLRQDISYSQFLALMSV ADIFMVTSLREGMNLTSHDYLHCQDGKVTSQRHGSLILSEFTGSASIFSGHELLVNPW DYKEVADTIHKALEMSPDQKQRNWEYLLEKKASCTAIAWYKSFQTALHKAHSTQLSRE LSQVSSLSVHNLQKSYEKTNSRLFFLEDDALFQSGDSKPSLELGSLLDHLLLDTKNKV YVTSNKSPEQLESSLKEVSSRVGLIAENGCFVRDIGQTQWRALVDMAKAKDWRNGIRK VIQYYQERTEGSQLEERRCILSFLYNHAHDPEIAARQASDLADQINGTRGSEAIRIVL TDGAVSVEPLDITKAKAAESVVGQLGQTPDFLFVAGGSRGDEALFRWANRLQSAGTIS SVTTLTVGVHATEAKAVLPSDMSVATVVNALCSPATNGCHDCQSQNGHNGLNGTIANG VGAAH AOR_1_2664174 MKATPLLISWHNDNAPIYSVHFDPNGRGRLATAGNDNNVRLWRV ESTGEERKVSYLSTLVKHTQAVNVVRFSPKGEMLASAGDDGNVLLWVPSELQTQPPLG EDRSDDKETWRVKHMCRSSGAEIYDLAWSPDGVFIITGSMDNIARIYNAQTGQMVRQI AEHSHYVQGVAWDPLNEFVATQSSDRSVHIYSLKTKDGQFTLTSHGKFLKMDLPAKRI SASSPAPQDTSVRSQPATANAAAITSPAPSTPGTPMANLPMDPPPVSHSRRSSFGSSP SIRRSASPAPSLPLPAVKPLEVPSPGLLGGLGVKNANIYANETFTSFFRRLTFAPDGS LLFTPAGQYKTSHMSATDPTKTTDEIINTVYVYTRAGFNKPPISHLPGHKKPSVAVKC SPIFYTLRQASQPARHITLDTSSGEETFASLPDPVVNSTTDRPSMEPPTSAHNAGDPA KINQTSKDESPSTTPGPMPVFALPYRIVYAVATQDSVLVYDTQQQAPICVVSNLHFAT FTDLTWSNDGLTLIMSSSDGFCSTLTFAPGELGQPYTAPVSTAHQTSTSGVQPTGHVP NPAKPSPGMAPGNATPIPPMPPASPARSNSVSSIATQSTAVQQATGSVVNNPTPTLGS VPLVTATHSSQPPTLPLTTPPQTPMSAVSQSGTSTISNSVLGKRASESEKEEIKDQNS VPQVQQPKKRRVAPTLVSTGNGPSSKDGPQNTDVGG AOR_1_2666174 MGWFWGNSNQDDPVKKLDPGLREYLEHEAPKKYVPATSVPSAQD PSKLVDPQSQSAQPAETTESSKPAVPAASLFPDGRYAHLWKTYKPPTEENTDVKGASR VIEKYKSRNDTVHRAAMENCALEHEDLTLCFQNGNLQKRLMSRMTLCSEENGKFSRCF TTQAKFLQALGYSSSFEWDDEREEKIQMHADKLYHEMLDYEKKVEEARAAGQEPPPLT SLFNPQGKPQQQKAENTSGSLEIPGGEAIPPGFKPSKPLEQLTPHERELEIRAHYAQL EQQKMYAQEASPFIKTHDDARQKRREKAVSWFGETVGKWVT AOR_1_2668174 MASNREERLQMRQRGAGTRKIKEVNFGFSFGFAPPPEEPPQAAS QPADVDISIEPPAAPQPPSPSENTQHPLLSPERQLPPSQDSGQLRTPGGARNSLPERP STFDIPEDDALDLGRSGKRRKIEPPGQSSRVSVEPEVTQQEKRDSPVLQNGVQTSAPV PSKPTEPRDEHLTMQTTTDITQASKEAPDSTTAIVWDNPAAEAPTLEDERSDRNDVHQ EPTEPQRESSRADGTPALEDSTNGKRKQRGSSADQRRSQSIERPETETESTQQPGPRE PSLDTNRDKSMETDSPKSQSGRRSRGRPRTSAQSSPAMDEGSTQHEAASTESMPGESI PTQQQTTKRPRGRKAKELTEDSLASETQNGQPLDEGNVPEEEDSAASGGSRQLRQKSK ATNHIQKQVGRPGKRALDPPESVEAPGPAGEKRKQRKQAEPEPEPEPEPDVESEGPKE PKPIGEKRKRGRRSEEEPELQPQSEPHNEPEVPKEPKPATERRKRRKRSDQEQEPEPE VEPEPEVSRDPEPATERRKRGRRARGEREPEPEPEGQPEVPKEPEPVTEKRKRRRRSD QGPEPEVEPETQAEPDPKSSREPKPAGEKRKRGRRSKEEREPEPEPERQPEAPEEPEP ASAPKRGRGRPSLSNTGPEVTQREEDPAAQNENQEEASRTTRRKPRQPRGETVPVTVH RLANIASLGGHVQPSELSDEEEESADELSTRQKTKLPSRGGVNVADVLSQICRETLEK TLTTLKNGISNEGNAARRSEWTTKKKAVEAFGTELEGRLFELSEMLDSNFVLGVKLKK AKREMMDMRSRLYQLRKEREGVALQMDAVRRKHSKEENASLARTNINNSLHSLDLALE RSRNRPADGSDDSGSSEPSLTVGLEFMLRNVADNVSSRAPGAQGGLLNQIKAFNAQLE AAARTLES AOR_1_2670174 MASKLFSPLQVGRMQLAHRITMAPLTRFRNDDDHVPLPIVKEHY EQRGSVPGTLLITEATLISPRAGGYPNVPGIWSEAQIAAWRTVTDAVHAKGSYIFMQL WALGRVANPANLQKAGYDLVSSSAVPATPEGAVPRALTEDEIRDYIRDYAQAAKNAIA AGFDGVEIHGANGYLIDQFTQDTVNQRTDSWGGSVENRARFALEVTKAVTEAIGAERT GIRFSPFSTFQGMRMADPVPQFKYLAQKTKEFKLAYVHLVEPRIAGNTEVDEPSADSL DFFFRAYEKAGPIMVAGGYKAESAKEAVDSQYKDYDTLVAMGRPFTSNPDLPFKVKAG IPLRAYEREKFYLVKDPKGYTDYEFSEEFKSAQVAA AOR_1_2672174 MAAEQDPQAFEKDFGNNSDGINGAAPTHQLSAEDTARAAARFGY GPLAHVNTAEAQLRPFGGEFQPGLYKSVEHRKFGNPAPLGLSAFALTTFVLSAINMGT RDIAEPNIVVALAFGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWLAFAIILTPGG FEIADALGGAGTPQFDNSFGLFLFGWFIFTTILLFCTLRSTVAFFLLFFFLDLTFLLL GIGYLHRNSEGKPNPPVIKAGGFFGLLAAFAAWYNALAGIADNSNSFFILPVAHFPWS PTARSRKTERETA AOR_1_2674174 MDAKNSTHHSTAPSDRQQVLPLLNSTPGSSATGASSIQNSDSSH RPTPALASDTSSASPAGHDNSHVLSFVDESIRQNITHSSERNSQDVGRNAVTKPRKPR KPSNPGGRSTLFWVHSDPQSAAQGTREETLKSIRSHVMSEHNRKKRLENTKRYKSKTW KHLAFQPPETAASSSSASNPLASAPDDSQKISLKVSQPEQQGGSLENALASDTPGFVA VSTENHHEVDASSHSQALAVVQDLSPVAYLGPGGNDPFNVFHTRLTDLLGTLTQIAYP LQRRYGEKLQAHWTALVHRDPASLHACICVAASNTALTIGEFPVREGQRPSALLLDTF HHRGETIRLVNEGLSDPVKASSDSLIAAVSTLLTIEIASGNPDYLKIHLAGLRQMVAL RNSLADVPPDIRFQISWTDIRVACMAFTKPIFPFLRYARPAHFTIAPHNEDLAKTASA LNSLIEIPSIFGGGMSKIIYDLSELVWYAEWVKSGLNCQEIDDETEGYFNTEVIYVEY ALHMDRYLETGEPKGDANIEGFRPRVPKTDQRSSSGASYHDPSRILPNAPGSVNLGAF HRGMEFAVFARENILRD AOR_1_2676174 MSHNFEKSVKGATKIKLAAPKSKYIEHILVATHTGEAGVAEIFR TLQLRLRDSTWTIVFKALIVIHIMVREGQLDATLQYMAENPRKLAISGFSEVQSQGHN IRRYSDYLVARANAFEATKTDYVRSGQGRMKRLTVEKGLLRETEIVQRQIHALLQCDL LTDEVENEITLTAFRLLTLDLLTLYSVMNEGTINVLEHYFEMSRPDSERALEIYKTFT QQTEEVVKFLGVARHFQAATRLEIPKLKHASTDLTRLLEDDLNDPDFDQRRREYLLRK GGKSGNPGPTFASSNTAGAGNRSVSNPEPPRPHTQPAPQKRENPTDLIDFFDSIDPQP PAQQNPMQLPHIQQQAQAMQFQQTGFQPQQPAFYPQQTGFQQQAQPTGFGQAMPVGDS FQQQSTNPFGQQQAQQPQPLQQTPTGAGFGGYSAQPQTYGFQQNLAPIPQNNLAPFPQ QQQPMSGGQLQPQTTNPFRQSMLLSTPTGTGMPASPLNRQSTNPFARRLSSANPAQFN ASTPPPPPPPPQQTPPQSAQALQPQRTGTNPFARSSSVPPQQSQGLQPPAPAPLRPNP TGSTNPFRQSAFVNQQTGQGWHVGGQQGTMGGYEQLETVPVFPRPGM AOR_1_2678174 MHTQWADLKWSGDPIWGHIHLSPFKDRDSWLPVVNRIQECATTS GITLVEKEVDDIDTSNFQLREQSLPADFLSTPDADESPITPSNARAVNIAAIRSPVEI ARHNYQDLSLCTGAGKRCFWCYKEDTEKQEAAIWIPPILYRWSNIDSQGINSTKMFLC GLFIDALDYFAPNDITTKEFEQHVANHVRIAKVPTPFISTFRSMLAPVHRAIKYKEGA IVTMIDSKKLQTQVYSAKKLLRKVGLKVGRYNGAGEFFIWGKVHESAIISSFKVSSLL QVASEHPYIESILQLDIIGTYEKAGRPLHQVLAKGPGQLDHQSGSIIGELLARLQVPQ QYCHSVGQGIVYSWRLNTKKGSWQEFIEGMNSGYSSLSEVHDPEIPLPAILDPDELDP LEPSSDEDTVTEGESSPDNDDQESDDDSRADTPCPVGLPTRAALPPIELFDGHNRRWI AQEDHTREEIIIDESSTEDEAIDDVFTTVAFSNILFNTNTVDNAESATSTNSEPEFIN SRHQPVVGDQFASDRARVNQILN AOR_1_2680174 MATELTVQSERAFQKQPHIFNNPKTLAKSKKVGQGRRWYKDVGL GFRTPKTAIEGTYIDKKCPFTGMVSIRGRILTGRVVSTKMHRTIVIRREYLHYVPKYN RYEKRHKNLAAHVSPAFRVEEGDWVTVGQCRPLSKTVRFNVLRVLPRTGKAVKAFSKF AOR_1_2682174 MASRSDSAGSARPRSRRSIAHVPRSRFTSGVDKENTTTDISSSQ PILGSARLAGKDKKSRSKSLGPGGLDALQNSNGNRRKSTTAFPLKSILKPTVPVSPVR NIPSFEETRRRTPARGPQHHEDNEGGGSQGKEGMLINLGTPAQPSGSINESNPFDSFN ASSIRDEMAAARERDEKNRRERERKAILEQREARRKSMANRRVSFAPEATLHTWNVVE IPDDSTSSSTSNSTRRASSLTSENQATPAAEQTEPPLSPDAEIESDIAFSPVQYPDLQ QLANQPQYGAYDGMEGSQQMSSSPFSGSSADGSEDIGLQAETRDDDEEDDDNSSTSGF DGESTAMSLDDVTAHSAATARSEESNTSSSARLNEALRQAAREAGTKSIDDDENGEMS MEIADQEITGAFQPWIKKGQRQSFDWEDISARHDQENAYPTDHPTRDAGIHDAPSDNG GEDMSMEVTNAIGRIISKPASRRQSTIRRKSSGEGTNYGEQTMELTNVVGGIAQPASP AGSTGADSNANEDEEMTMEFTSVVGGLLGKPSTQDEDEDDYGAARSSAQEDSNERNFS EWNEDGAIEDGEDMEITGAVGGILPPNEERDEPEDDDQTAGMEITTAVGRILPSEAEA SSKEEAREEMDMELESAERGSSPFQESVRQSPAKSPALFHVATVTSESGSPSLASVRS RHTRQSLGQGSSGTLTSTTPKTSPSENSLAPPKPSTPEVSRPTTPGKTPPSSAKGFRN ASPKKLFQPELQESANKRKSPRKSLFGNSATGESTPLFVLQPKSKRRSSGLGIDKEGL GSPKVAAMLDKRRSIGEDSAEFVPQERQQGVRFEDPLKLQEEVDREREEEESREDGHI PPLQGNDQDATTNLRDMISSLTPKKNKIAGRKSLHVGAARGLLGKRPMELDLPDDESD HTPKRLRGREASPVKNIRLPAPPTKDETVGRLGRSPAPPSIERSPAKVSITPTKEPKG SSVIVNPIDDEPTSSNATSTSEPAQEALDRQEESGPEVEPIQLQEFLNMTNIHFMELT TTKRRHTTAPDSAAKRRARLSSEKSSASKFDDCVAAGFCTVPMLELYQHSCRELKSYI SEGRQVIRSIETETYAENPPLFREYMTAPPDIRLLMDNQFRNVKTHARLLSKATWYEW RMKLLEGLKDGLNRHVEEMRGDDELLSKHETLLSGVVPALVEKHTSLEEQATSLQQLA DEIENCDQDELRDARGKLSSIEEEIASKQKLLEELQAEAQEKTNIIEAGAELKAEYLG QIQEAERVKEECRGWSAKEISELKESVHKIERQTGWSIISATSPSSSSAGPLVTMSYR NQLQLSFHPGAFFIDNSNSQPLATKEKLPIELKHSPQGRTKSVGHSSPLSPIGLLVLK SLQNELATIPQSKIAPKQMLHFVAQAWDLVLNLEEEARMLEFCGATKLKLSEIDAKPS LRARCTLLELPSGKGSSEAKNTGARRVDVDFAVKTRVQRGNSGDVGVLAFETDVIASK VYGFGTKNNSGMSEDEMRRLLRKELGEKSEPQLGNGIWSKAVQTLTGTVF AOR_1_2684174 MTTSSNPKTYTYTRYPPGISPSIPRLDTEEDVKKAVLANPETTF DDTVDTTGGWYQKDMEGKVLAIVSDQMCEELDARRDHAEAWVKENERRKAAGEPPLEP VCWR AOR_1_2686174 MQNPARDAGFVPSWGALGSSSIARQGMRLSNGPGLANRRRNPLA CEMCYKKKVKCEVEGSDTACIQCMRRNITCKFTTRKEKRDNLKRTNYVKTLEERLKKT ESLLRAAGLLDDDDITQLDSGGEDGNDNSEDETDIDPTQNEHYGSMSRDSGMPASTDS IHVANEESNVTSLSTKSAGQSQKLPWDSTLHQPPLFRYDPREDSRYYGRSSFLSILSR DGIEWIKSKTGESRFLTLLHENKDHDNPWDHWRPDVFHDVFSSTVFKPLPPRAEVFSL LKDYFRTVNRLFPLYHEATFMQLVEWQYTQQTCDDAARWASINIILSLAYEYRFSNCQ KSEKDREKAWLYYKNAMSVFPELTLRRTDILSVQALIGMALFLRGNSGTQSASPIITA AIRSCQRMGLHRDVPRPHLSQAEQEQRKRVFWVAYILDQSACIRTGSSPTQHPDDLDI GFPEVDNDDEFTMNGNASFFRQLCHLTLIRSRVYSKLYAVKALQKMSPREIYDIVREL REELEEWHNASPFTQQLKPRGASQDFLVGFATAGLQFGYYNSMIMIHRLPLTIHFAYM RHSTIDVKWDVDHKTIFNESTKASSICVQAARDTLKLVNNLPWGDIAWIWSLLYYIFL AVTILFTYILRDSQHPKAREDLQHLSMAATFFTTLIPGDGPCNYAKFMTKMCANFERV ARAVVEREQKTFKSSDRHPQPQASHSSCTGNVDIPQIEGLPRINSSGYVVPESPSAAS EDAPSGDLPAVSDPLLGGFSVSQPHESTSASIQQSYQYPMDDTFPAPMAHNIQQPELW QIPMTADWEFGGQFLSLFGPQFLDQGGSDVMSAMAGMTAPPMPSAPMNMGFNCEDSDN VDDYPAWMPRGFMNLF AOR_1_2688174 MSDEHIARQTASSLDRLENFNFLLSRHDPALAKSRHYSFDADAA GLAPFQNLSMDYDQTEGMGGISVSSYDSIEDERNPIDVRGYPYHAADKHINYSLPDQM ISYPAHPIYPPISYGPDDLGHAPGALTPSDVSSSISPPNGQLGHTKYSTQIPGDHLAS ALSQEEHVRRAAEEDRRRRNTAASARFRMKKKQREQTLERTVRETTEKNATLEARVAQ LEMENRWLKNLLTEKHESTSSRMPPPPEDSTALNQKGNSGGNGQKHIQPKKKGVGTDN AOR_1_2690174 MHRTYSMRQSRMPTASQIENPPPPLSTTKTNRWIGKGGFGHAFR KNAAGAFGPDLARKLSQLVKMEKNVMRSMEMVAKERMETAQQLSIWGENCDEDVSDIT DKIGVLLYEIGELEDLYVDRYDQYRVTIKSIRNIEASVQPSRDRKQKITDEIAKLKYK DPNSPRIVVLEQELVRAEAESLVAEAQLSNITREKLKAAFQYQFDALREHCEKVAIIA GYGKHLLDLIDDTPVTPGETRASYDGYDASKAIIQDCEEALANWVTSKAAVKSDVSQR TRTLSQRRREAIGKNRDGVDLSTQDQPMRGDRDSWVPADQHPTYVEDGEEVASTVDGE ARGREEEREPVSV AOR_1_2692174 MGVHGLWTVLQPCARPIKVETLNKKRLAVDASIWIYQFLKAVRD KEGNALRNSHVVGFFRRICKLLYFGIKPVFVFDGGAPILKRQTIAGRKKRREGRREDA VRTAGKLLAVQLQRSAEEEAARRRDGAPREQEEVPDNPVYVEDAGMTEKEKRQARSFR KKDAYHLPDLHVSLEEMGAPNDPRIMSREELEEYAKQFYRGEDINLYDFSKIDFDSPF FMSLPATDRYNILNAARLRSRLRMGYSKEQLDHMFPDRMAFSKFQIERVKERNDLTQR LMNINGMNGEDAFYNSGQRIAGERGKEYVLVKDNAVEGGWALGVVGNKDEGREHKPID VDQYGKQEIFPEKDEDSEDDGGFEDVPIEGLNRLPKLEFLREGIFDASIRQQMQENTE RRVTQGLPQSHEDDSLFVQEDVHERPHHHGRRAIDEIFEMDGENEDEGLQKAIEMSLR PTSPLDQHDNDMPDISINRSSAHLAPLKETTPSFSPESDDDGLDFATALAQSKRTKKD PVPNHPFDGPLPFESIKLDKPFKTKNPQHEELDQHAGGFEKEPAKQTKAEQPLPPWFS GGSSTAEFIADNDDSPLEIHRDSTMTPNHVFLKNHQIPKVIDVDMLPGSDEVIDLEAN SEECRQPRATQTLNKTENPRKDTTAVIREIPTAGAEATNIPGRASIAETAHEPSTSHD IEASRDEQADLLRTQPSLSPEFEDVELHPKEAITGTVVPEMESQSHQVDELEDIIGED DGFSDPEDEELMRQLAAEGEEHVRFAATLNSTSHTENTFDYEQELKQLRSQQKKDRRD ADEVSQIMISECQQLLTLFGLPYITAPMEAEAQCAELVALGLVDGIITDDSDIFLFGG TRVYKNMFNQGKFVECYLTSDLEKEYALHRRKLISFAHLLGSDYTEGIPGIGPVTALE ILTEFSNLEEFRDWWTQVQMGMNLSDGEHAAFYKKFRKQASKIFLSPSFPNSQVDVAY LEPEVDSDPSPFQWGVPDLHGLRNFLMATIGWSQERTDEVLVPVIRDMNRREQEGTQS NITAFFQGPQGAGAFAPRVRSGGQSRMEKAFSRLRQEAGSEAVQSAQSTGAETNPVEQ SESTGSSQPPQGKKSTGTKRSAQTKENVSGDDVTGKKRRTRRTTTQK AOR_1_2694174 MHLPLEHIDVCLPVTALKALDLGDARLILQGQGPYSRLIDDESG KILAELKTFKRNNVHEFIVLNQKDEKTGDSYAQFLVWGGESLRVIDLYFARSEAVESP QASLVPISAEYLAPDWILAGCAPDSTNGVDRAYLVTAHNSLLGLYVVHGGSSIYPKSI HLQQLVAGVKSILYSADTISCSASHVLIAAGTVFGEVIVWSCFLDESVHLSPSAVGSI HHFFTGHEGSVFGVRISPAIASLPGRSSGRFLASCSDDRTVRIWDISDCEHASPNDPS AYSTDGFELRSTGFGGAATGDGLGSESCVASAFGHKARIWSVNFLPTRADDQSNMSLL SRGEDATCIVWNLSWEPSSYPGPKFSLGQISSWHNHTGKHIWSLCLRSTAKDTTVYTG GADGAVKSFKIEVNEECAVVLPNRNNSITASAGPGISQSRVDKSVRAFTFVTPEHFLT TTTRGEVQVCWVSPETSTEKIILKETLFHEEDLSSYCAIASLPQCGIALLGNAQGLIR LYNHNTRSLLRIVESGPRPLVLFALDYNRGASDSPETLSFISSHQQQDHLSLIKISLS EGEVSQIETITLALPHSFGVTCASFVCGNRLVALGNAYGSLVLYRVANTMPIQQPIWN RKIHSREGISHISSFSSLYGETSPSLNYFLTCGRSGVYCVHEVKAEGDSQNLATVQTI HRSNATRTIEIEGAYIDRQSKDLMLYGFHGKEFVLWNETTQSEIVRRLCGGSHRRWAF QPNPEEPGSGLFIWIQKNLNVLQTRGDANRTLRAGGHGREIKAMDVAHSADGPLFATG AEDTIVRIFAPTKPHEEKLWGSFKCLRVLKKHQVGLQQIRWSKKGNYLFTSGGSEEFI VWQIRSIPLFGLATNAVASVRDDPFSDLRVTSFDVLQVDENSSDGNFLFCLIFHYSST VDGGNFTLLAEGTYTSNCLTEACFLLRGSSLSLLTASTDGYFTFWNLTSVLEPYYTIS SALRLKQPIGTFSITPENISCENRYQTHSNSIKCLELTRLSDAVSLVVAAGDDNALTL SLLNTNFTSTEAGNATTITIPDAHAACITTGKILMQRQCQDKGTMRAVIATSGNDHRV KIWCAEVDVEKKGANAVQVQMIADRYSSVADISSLGLICEESGETKLLVCGVGMEMMG IQLPSLVQP AOR_1_2696174 MVPLFFSEIFSRNFYLAIVVILPQYRKHWIASKDLTLPTIADAD ALFKEHAISLVCRAAKGAIREWGGSIGNSYTQTGFSTTGEQPSPSNPMGNPALGTGTT TGGENWVGYLTTAQNASLVLSYNLAVGGASIDNSLVQGSTDVDLASQVDIFDETYSSK PASAPWSAENSVFGFWIGINDIGNAFYNTDADTFTPQLIARLASLVERIYSAGGRKFL FLNVPPTSRSPMFIDQGNATVEQHAAYLAVYNRNLEAMVDGFKTNHTDVTVAYYDSWS FMTKILDDPTDYGFPDATCINDDGTSCIWWNDYHPSAKYHQLQAEDMKKVLQPLGAW AOR_1_2698174 MKFRNLFFAAVAGSAVAAPLAKEQKKRDSVFQWIGANESGAEFG ENNLPGVWGTDYIFPDVSAITTLIDKGMNIFRIQFKMERLVPDSMTGAYDEAYLQNLT TVVNAVTDAGVHAILDPHNYGRFNGEIMSTPSDFQTFWKNLAGQFQSNSLVIFDTNNE YHDMDQELVLNLNQAAIDGIREAGATEQYIFVEGNSYTGAWTWTDVNDNMKNLEDPQD KIVYQMHQYLDSDGSGTSETCVSGTIGQERVTSATQWLKDNKKVGIIGEFAGGNNDQC KTAVKGMLDYLAENTDVWKGALWWAAGPWWGDYMYSLEPPNGVAFTGMLDVLQAYLG AOR_1_2700174 MGKGGRVLCIITPYALTIASLVCIIIVGLGCTNSGSSTLNDLYF FRADLQNMTTTATNQSTISQALSDAGVTVSDGDISAALEQVQKQFDLKDFYNIGLWGY CDGNVTNDKFEVSECSKPKGEFWFNPIEVWNLEETGVENGLPSGLRKSLNLYKNVSKW MFIAYIVAFVATVVELVVGVFAICSRWGSCVTTLISGVAFLFTAAASVTATAMFATVT GAFNENLKDYGMHGSMGKNIYVTTWLAVAFSLGAALFWMLSTCCCSGRSPYNHKNTPR GVTAEKTPYTYEPIGPQGHQQASPYNTSYPPPPPTQNRSNAYEPFRHV AOR_1_2702174 MISINAWIAPLVEDFLSRYIKGQVDSSEFEDDGSNLRFARRSPQ YTIIHHWEESEGRYVATLTDSKTQIEAVLAKESLEGLEREAGDRPLNRDVTLNHYAQL LEYEIVLEYAMSAPKIHLYVRNLSIAWDRGKYKGAPQGKLVKKSASIRGLLRKVFETI KSRESRRAATSPKAYSLDDSPKSQTHVSEKHVSQQFLQSQAQTSFVDSGGPSMMPPIQ SKRGSAVSNALLGYLESHTKPADSNLPDNRERGTVAIPVNGDSPNRTEVRETSPHAAK SASDIPQAEGTESHTALQERYDAGHELPTTNIDGEKTSKIGVQQGQDHENLNSSQSSP KQKLPEMTHPSENSSSSYTDPWHSMTEIRTRDIRIPKNQVALLEQHTRQWVPPDHGDS IKGHVPPELLAQWNQIALQRSRLSEREGPRGFESEWAETRELSPDTNCPTAQTNAESD DEPVTSDWSESSPERVCRPRQLPADSSPVAGAIMKRNGVHITKPGNAREEKQPKEVDS TSVRDAQQDSRVISAAQDGNDLPMENSPFENNVNEEHISKSMHNNPRAAGPNLQEESD AGSEDSVMDTSVPCPLGGSQQSNFTNQSEQEIISSGSSFPARGHVQVLETPLANLKHL RSARLDKDKAVLDSHHIEQSSSQVAKSSSQSRIFNTYATNDDDNEGTQTTNVSRVNGA GETNDTGILGTQMSTGDWSMPDATPNSHSALVFDSSAPKQHDSNAPIPMSTFESQDSS KPFSSHDEVLSSMASEEKGPELVPSQGLAHDTLEHGGISPLKRPASPIGVEERPSSKR HKFRYDENTDAGIVGETRPASGVVCRRQDYIRHSAEHLEAQRIHEKFRNDYPNYVGDY LHFRRLCATLQAVRDKGSLQRSFLWDDFIIKHLEEYPHYTEQCHSLETKSLGYEEYFT SHFSKPTYKKRSLTAEGIKVVAAQDIPPTISDTATSLLRLRNGADTSFTASLVDQFSN FHAHSFGPATQSVQSDTDVDRMSLTMSSPTQCTKNNVGPPGDGHHTEIEEHTEETAEQ HPEAEVPQPAAEVQQLEVEGQHSEAVEQAPEVDDQLRLEMTTQLSTLRYLDGNESVVA ESEINSEEDDGFMDETHETASIELGDEEPSTILEAPLSGNESEAASEAESPDENWFLS LRHLFPAEPSWCDGPETPFKKWARADQNVFSQRKFRRNWARIPVDEKGIPQRPCYSNP PE AOR_1_2704174 MASQQSQGTSSPSASSIFLPLGRRGSRTSLSTHAERKGLNAALD QIHTAAYQSDSLTTFNDFTNPPAPTSATEEKSFSGELQGGLSGLYSRFRTSVGGVRDI VSGVGRSLDRSSTEDHGIKSPPSERSVSKSAHGSTASIPDSQQYHPGSSQGSRLHSPT SITHQSTQDGFSSLLAGKGSRLSSKTASISSKASVSPSPAMKSPVVPLPKSTTSSVAV DPTVTELHVNAIKEPHNSSNNSVNPTSHSFQSIDSVSTDKEDGPLTASISSSGWGQRI SHSPVLQAKAQHFPFEGSGTSDVSRSSTMTSQVPDSFKRSDSQVTHDLDTSLPGPVRK ARHSVEGVHVGTERIRSNSGASFNPDKSVAAPVSAPSNVSQFSGNTDNSFSDNQPPST ATTPIPLVEREVPPKESKKALVKNKPKERLLLPSSSRLPGYVASQASPSDTSMGSAAI TPLGTAVDIGPSQDGRSWRSHATGDGVMSQLRSKLLSKDFWMRDENAKDCFHCGEPFS TFRRKHHCRTCGQIFDSKCTLLIPGDRFGQPGTIRVCKPCEAMINGHDDDSSEFSDSE QSPVVVNPRVSDLGLGSYPRPTADDDDTSSIVSQSIDQVMKTPTMAIPATRRAGEGHN RRSAVLEISPDRPLARPTSSRSLKSSLSGRTHSMGHKRHHSRQQFIRNFKPYHDDRAP FQRRHVEDTALESRLPAFHKDNIIDPDLAQYLSDDASSGDEQPSLLSAVSEGSLSKSG GDNERTTFGGFLAAMKKGRSAFGDRSLANYSHVGRELDEGSISSSRAVNLPRPRRRNL SVASSVHQRPSPRASKDSVFNQQDSATAAHVFPTGVTSSGFKMTRSSSMRGAGAPPVE LNKASLQHVRKLLRQLLKDASIPHVQSWETALLPILLKAADEVDPDVQGGDDMDIRHY VKLKKILGGRPGDTSYVSGLVFTKNLALKSMSRCIPQPKILIIAFPLEYARHQQHFMS LEPVIRQEREFLENLVSRIAALRPNLLLVEKNVSGLALELLDRAKIATAYNVKSSVLE AVSRCTQTRIITSMDKLVTTPVTSECGSFDVKTYVYNGRKKTYMYLSGCRKELGCTIV LRGGDSEVLSKVKRITEFMTYVVYNLRLETCLMRDEFAQMPASSDIEAGREAIDAARS SVLTTANKCGEKSGSPPSSPQQDSNEKNDGSIAEKSTVASEVTEVPDDVPMPTYYQDF VHDSEVKILSASPFVKFEPPYLLKRAREMERRLAYLKRLRDQNLDFDPSLDEKTRSQK FVLVTPEMVHESPQDASPKVKEVLHAAHDAEYDRALHNYQTQKRQWEAYIAGAAGVFD PYSHQNIVVLFSLVCTTSSIPCSGPDLLALEYYNEHGDDAMFEPDCALGQYVEDICLN ANAICNVNGCEKRMFEHHRQYVHGEAQISVFIQPYPSKLRGLQDTILMWSACKICGNE TQVFPMSESTWKYSFGKYLELSFWSKNLHARAGVCPHDLQRDHLRYFGFKDVALRIHY DPINLLEIIVPRTRVTWKVDNDLKLRNDIYLKIEQRLNKFMLSVKARLKGINVESVIP KLAEDCKREVEALTKKANEDHAALIKQLQDKYINSRYWEITPLNEVARFAQEKVVEWD TAFAEFEKNFFPSEKDIRRLATLQLKKIFLDKDASVTSLTSTEEALVTPTEPESENTE EPEKPRPMRRMTLSPEKAQDVLVSVVEEHSGKEQKADGQDNGSAKVEETDAHSPTPAP EERLSSSPADEAMAKKEVQHLDLALPSTQHEQTLVDMNAQSTVGKPESEPLAIDSSKG ALEAIIPEQGDSQALSQRDYDTEKPIHSPNSSPNQYRSAIPRPAEGATRRNAKATSPP LFRAQSQPVQFQKETVYDNSPLKGFRINTGRIGGRDGAVSPPPYEVRFKGPDKKLSER FGLNAFRNGRLTPGPSLIPRSIPTRKGHPRVSSLAKHFEQLSREFEKERQRERAQRAA KRSQSRAFPLASSKPIVEVYKNVREAVEEREPSGEGEDLLSSASRTSIDDSSKDGEAL PARPLQEGQRKDLTSQEPPTTPVEADSLIQSTDPIFSEGEAEEVHSDEDRSSVNGLQV TDSNEELPKMSPEDEQLDLKEFPKHERSTLLKLLTNFWSERSASGWTPLEYPLTMSDH VFADCDIIVREDEPSSLIAFALDSHDYKEKLASIQKRYEELDEKDTDLGEGPDAMNEA RVEHALLRSTGTHLKYQFQEGQAKMLCKVFYAEQFDALRKKCGIAERIVESLSRCAKW DSKGGKTKSIFLKTLDDRFILKSLSTIETQAFLKFAPAYFQIMSEALFHELPSAIAKM FGFYQVIIKNPATGTEFNWFLLLMENLFYDRVPTRIFDLKGSMRNRKVQSTGERNEVL LDENMVDFIYETPLFTREHSKKLLSQSVWNDTLFLGRQNVMDYSLMIAIDESRSELVV GVIDCIRTYTWDKKLESWIKDRGFAGGGKNRPTVTSPKEYKSRFREAMARYVLQAPSC WSQFQQPQMYRYTPVEQPPGQAYHALDGDTAEGNESGGS AOR_1_2706174 MAASSSLDHLSNRMKLEWHSKLNTEMVPAKNFRRTSIIGTIGPK TNSVEKINALRRSGLNVVRMNFSHGSYEYHQSVIDNAREAARVQTGRPLAIALDTKGP EIRTGNTTDDKDIPIKEGHELNITTDEKYANASDDQNMYLDYKNITNVIAPGKLIYVD DGILSFQVLEVVDDKTLRVKCLNNGNISSRKGVNLPGTDVDLPALSEKDISDLKFGVK NGVDMIFASFIRRGSDIRHIRDVLGEEGKEIQIIAKIENQQGVNNFDEILEETDGVMV ARGDLGIEIPAPKVFIAQKMMIAKCNIKGKPVICATQMLESMTYNPRPTRAEVSDVAN AVLDGADCVMLSGETAKGSYPCEAVKMMSETCLLAEVAIPHFNVFDELRNLAPRPTDT VESIAMAAVSASLELNAGAIVVLTTSGNTARLLSKYRPVCPILMVTRNPRASRYSHLY RGVWPFLFPENKPDFNVKIWQEDVDRRLKWAISHGIKLGIINKGDNIVCVQGWRGGQG HTNTVRVVPAEENLGLIE AOR_1_3238174 MPPKAARKLKDSIEQEGRILLAISAIKKQEIRTIAEAARIYNIP RTTLRRRLNGHTFRAETRANGHKLTQNEENSLVHWILSLDQRGAPPRPAHVREMANIL LLKRGFSDTPTTVGENWVYTFIKRREELKTQFSRRYNYQRAKYEDPKLLHKWFERVQI TIMQYSIQPDDIYNFDETGFAMGLISTTKVVTRAELADRPFLLQPGNREWVTSIKYIS SRGPLPPCLIFKGKVHIEGWYEMGLPSDWRIETSANGWTTDEIGLRWLQQLFIPFTAG RTVGRYRLLILDGHGSHLTPQFDDICSQNNIIPLCIPAHSSHLLQPLDIGCFGPLKRA YGQLVENKMRLDFNHINKLDFLEAFPQARAQIYTTSNICSGFSATGLIPFNPKRVLSQ LNIQLEATPPGSRPSRNYTEEAA AOR_1_2712174 MVTMFGRLTHYAFDAVLFSAFLAGVKRSTGLTPSLDSDKITDNK DFKKWIDSYLGVGEWVMDQSVAVLGSTSYFERRR AOR_1_2712174 MVTMFGRLTHYAFDAVLFSAFLAGVKRSTGLTLDSDKITDNKDF KKWIDSYLGVGEWVMDQSVAVLGSTSYFERRR AOR_1_2710174 MDSNSSTSLTLEKMGNIPTVQEVNDDEVFPDMRMSTKTTQNIES PLLQLPTELLHSVLSYLSAQDLVRVSATCRCLAEHTANDLLWANVVNSYLPEKIHDPG PFDSFRSLYIAYHPCWFIPQKKIWFSDTEHTGNLILARYDHRRGVIEAYRVIAERRSP SHKFQIWEWNPDVIIQTFHPKPSLWLDSPILLLRNHDGERQYLRGEIRMPLPLESQQV FNSLSFCPKGPPSDPDPYKQWPPPIVPSRNRVSRSTEPHGSEWERNTGLLEIMSEDAF RIRRWAHFRLGMPMFAAGRSETLSTYATLDPHLYTPTRQKPYQGIWIGDYSAHGCEFM LFLQRDSEEGPDDTPEEGESEFLHDGIIQKGSLEAIKLTGDPNVPRGELSFISDDIGP KGFVRVADESLFRGARIVRSRGHVAGIGFRDDSFIASQLILISPDCIAHYWETMGHIS YFRRLDIDGLIRI AOR_1_2714174 MNTRSTRRKRSISSFSNSEPRTSSSCRNPRVPGGTTGVSSCETP RQPTKRVRFSDPGPRLEGNCPGCSTGLTPAMIRTSFGEPDDPTDGIDCTPSRRLRRRS TPLPRSRRSLDSVLPNDGSKSKTVVQFTPLRQILDSRTQRRIRRLGLSNEINQFEREK RESAHYQKSLQALLQERDTLKQELELAKRNSGTPERHSPSKEILGLSPQSKSEHLESQ NSQLRQEISFSSVENTEDQSDGADTEGDTIIMGSGFEGDTVLMSNSPIMRGLQLPRSS PDDFSHLSLPDPSTDASVQTSLSEHDQGAELLALSLDLEAARKEKQDLFNAWRTRLAS FPGAAAESCLQRSSPPPDFFDQILPTLTGALTSASDAIGALDAVKQELSGLGFPGNST DDIISGMRSHFRSARLQLERAVPGETPSSSLDNGSATLSALVRRVELLVKSLSEERTR HEGSVGRERALRGQFDTLLIRYETASQKISDLEESIASSAGDMLHTRMRMQELEREGN EQALGIERLNEALSKYRVEVKSLEKLVTELEQDKVISAKRHEEQMLQQEKRVAEEGSA RRVAESALAERQAHVQGLEEIVESNRIRVCELTAKIETLEKELKQTVDSSEQQAVEQL QHHQQEVGRMNVHVAELTTYLDTTKSEVDKLRQSNAGLEEQLRLEMEARDDLLEKWAA DQARSFAYMKETVKAERRKAKVRTANWELKSDELQSDGTNIGSEPITPVSVARFVDVE VGRGKERRRLDSGIGILTEDELFESEGIDNDALPSDPAEL AOR_1_2716174 MSDNETPKYRLKVTAGPSYDPSTHQLVPVNEDQTLRIENEHAIT SLCVRIRDYTGYPDHSPKTSSYFNHPLHQSDQYSISFAIVFKQPVNANSLLFGNDFDR PIRDRLPPGFNAALRLVKWTIDPSLDGDAYADKPYLYGPAVASWNQFCIGEKIRKNDE VPGMHERVVEEGGEGSGLEVREQMGIPAGVNERRKYFQSEETRTGFEFEEGRAYWVDF GNPYLGFNDFSLHLPGITINVLPYINEDNHSLRYVLKNRDTDEVYLVVLFTLVLQGTD EEPLHKEETERMRKESKEQHEKNNGKLGRFEWEPEPSADDVE AOR_1_2718174 MAAARTLRIGLIPGDGIGREVIPAGRKVLESLPASLNLNFSFVN LDAGFDTFKQTGTALPDKTVETLKKECDGALFGAVSSPSTKVAGYSSPIVALRKKLDL YANVRPVKSTIGGGRNPVDLVIVRENTEDLYVKEEQTKDTPNGKVAEAIKRISENASF RISSIAGEIALRRQKIRDAASTTGLRTKPMVTITHKSNVLSQTDGLFRETARKALAAE RFSSVEVEEQIVDSMVYKLFRQPEYYDVIVAPNLYGDILSDGAAALVGSLGLVPSANV GDGFAIGEPCHGSAPDIEGQGIANPIATLRSAALMLEFLGEEGAAAKIYTAVDANLDE GKYLSPDMGGKASTQEVLDDVLKRL AOR_1_2720174 MPDTGAHPGVRSLLARFENNNSSNQNTTSPPSRGRSPVGSEHSG SRPLSKVRASFIAVDGATQSGAVAGLRSASSRSDSPAAPPSRVRSFNSDDLNAPLKSP LSSPTSNGLDNEQTLSETRPGGMVETVITQVTSPEKGAKPQAKEAASPRKGSDSTSAV SAAPSPKKTQTVTKRPSTIQVDKVTPNQSASKSTSTTLKSAAHPRTPTSPAKPDHVKA SKPARSPRPPATRDSPKGPTTKPSRSSLNTTTKTATRPVRSSMPARDATKLTATSATR TNQPEPRPPTKSARLPASATTTTLSSAARGGATGTTTGSLSRKPSSLKNATSGTHRTT TASSVRKQTSQPSLQRQPAHERPHSRVSNTSSKVVDEGFLARMMRPTASSASKAHEKV EVKSPPRSSRVTRAPVRPVASKTEAHKSRPTKEKSVARKPQENPQPVSTEKEEPQAKV VDPKEDTAHVNVIEPHAKVADEPVQAVVDSSVDIVEKERATEKRLEDLPVEPSMASES APTLAAPSIQEPTISADEAVDSQSVEPSELSNTEETKEQTGVEPLAATAEEKPAENVA ETEAKADDIDVDVGKLSLN AOR_1_2722174 MPPLPGEERALTVFADIHYYFTAPTPKPLHHRFDKGSYLYLYHN AVQRKTRIEVANNPGTRDQDAFNGALDQVYLRHSTQFPTLCTLIVDGRPPSQGAQAFP PPANASPHEWLLPSTDPRGEDKELRLHTLDIYFWTSDDADNFLNLMENYLSPPQIETD RHPYPPPPQASVSTVVQQLENVAITDPAYQNGQTRNSQSEPTPSSPPPTQTFSIPSNL PPPPPLGGPPTTAQKTTNGTPPATEERNDPAQYTPLPYNPAAPAAPEPIQVREKTPPP IDGGDGTGLAAAAAVDSGAPFTPPSQITGGGGFASPPTSQGLPYTMPGSYASPPPSAG LTHSGSFSSRSSIQSPPSIPSYTPSFLAGVGGGHQSNSHQPVTMSFAPPPKDPNAHLY GQTQNLYGGQPQIQPASPPPPPIGGYSNYSYDKVAVQQPVANDYDIHRQLYRPTEAEV NSHSQKIAQKSIQNPGRPRNLEERAHRMESSVNRFLKKLEKKI AOR_1_2724174 MSLVNLAHVCSHLNNATKARLGLTSIPNTQLHLKLCLALQNSGY ISSVVRGGPTPPPQHTLLGVPSVNDEVEGVQSLTQSNVASRRLWLGLKYWQSDPVLGK MSMVSKPKRRITIDVADLREVIRGEKSGYVEGLRSPGESLYLSTDRGIMEARECVEKQ VGGLVLCRVL AOR_1_2726174 MPFNPSSKSFTQGMNNSGRVNNNIRSSLLYYLPGLLLDSNIDLD ELDTIWYSMVRPRRLAIYNGDSRFGVLLCKSSGDGCTDKTGSPRYQDFFHRASPIMLQ FWV AOR_1_2728174 MSPYGKAKVPKGDTFIVLSKEDFTGCSPRRHQILSSQNMGIGNI GDGPTGRHPVRIAQKAGENMRQLCHLIP AOR_1_2730174 MSSTFSYFSGTSGSGSCTRSISSESDSWSRHSAIMHQQPPIYGT ASEPPLVYHSHPSAKRFSPPHRDCGPLDTQPATVRPPKQVSPEFEFPIPYSGLPVTSH ASVGVTVSPVGPLPRPILSREWEHPAHLPPESLRRDYNFAKPAENPEYLVERHGRRNS EKVKGTVRYHSQRRPSNRDEFDGPHQLLDVPSPRIIAAQGRDLPHLPTNLDVSEQDRI LSAVNDRLSQCAFDFVAKYQFPIPLEPDKRQVRVPSDREWTEWVYLLKRLATKRRIPA RVLYNGQIKQLVTVLENSLEMRHAAKHQSRPIKDDRNVLQLISAGTQVAKILKDASAM EYLDRLYVDTEKRIQDRRTRRVKFANP AOR_1_2732174 MAQEPPRKQKVVIVGAGPVGSLAALYAAARGDDVEVYELRGDLR DPTTIPLNFTKSINLALSERGISSLKGSNRDGMIEKILNDAIPMHGRMIHGRDDGKLW EAAQAYDVHGQAINSVDRSTLNNALLDELERTPNVKLFFNHKLTGADFQSNRAWFERR APGDTPLPGSSNRVPEIEVSFDYMIGADGAHSASRYHMMKYSRVDYQQEYIDTLWCEF RIPPSDTGDFRISPNHLHIWPGKEFMFIALPSPDKSFTCTLFAPAAHYAQLESSPQKL FLSFDANFPGVSPDLITPEDLQEQFKENPHLPLISIKAKPHHYGSNIVIVGDAAHAIL PFYGQGLNAGLEDIRVLFDFLDKHDAFDLNASLTARRESRRAAFQAYTDQRTADAHAI NDLSKQNYLEMRWGVKTPLYKIRKSIEEALDLYVPSLGWKTQYARVSFSTQRYSDVVK VVHRQGRILGYGFASAVISSITIAGILAWKIPGRLSPLPALQSTVQLLGHVWTKISPK NT AOR_1_2734174 MAVETAPRKCMGVDCDKIAGTLQCPTCLKQGTDSFFCSQDCFKR SWSEHKTIHKAKTTGLFNPYPAYPFTGSLRPVYPLSARRTVPKTIPHPDYAKDGIPRS EQKFIGRHNITILNKEEQEGMRKVCRLAREVLDIAARELKPGITTDYLDEVVHKACVE RNSYPSPLNYMHFPKSVCTSINETICHGIPDQRPLEDGDIINIDVTLYHNGFHGDLNE TYYVGDKARSNPDAVRVVETSRECLDKSIELVKPGMLFRDPGNVIEKHAKSRNCSVVK SYCGHGINQLFHCAPNVPHYAKNKAVGTAKPGMCFTIEPMINIGTHRDRTWPDDWTST TADGSLSAQFEHTLLVTEDGVEVLTARLPDSPGGPIPMPTVEEPSEAKTEA AOR_1_2736174 MAPERTPTETSPLLGPQVNSNAAHHPSNGAISGLRDPKSEELGK DVIESNPRLSYIFPAISIGVFLSAADQTIIMASYGQIGSDLHALNLTSWIATSYFLTL TSFQPLYGKLSDIFGRKACLLWAYAIFGTGCLFCGLAQNIHQLIAARVFQGIGGGGMT TVVSILLSDIVPLRDRGVWQGIINIIYATGSGIGAPFGGILADYIGWRWAFIAQAPIC VLAFTAVSIILKLPPQENSHWKDKLRRIDFPGAIILVGAVLGFLLGLDRGSNVSWTIP VTIISLSVSAILFVLFVVVEVFYAAEPFAPGHIIFDRTFFSSYGCNFFSFGGWLAALF YIPLYFQAVDGVSATVAGLRLLPSILAGVSGSLFAGFVMKWTGKFYWLTVAAYSLLTL GVTTIFLFSGGATESLVPMIMGMVLSGFGNGIGVTSTLICLISNSTPEDQAVVTACSY LFRSLGSVIGLSLSSTVVQQILRGRLRSALRDSKDIDRIVDGVRQSLDYIKTLDPSVA KVVRGCYGWAMNKGFAFMIAVVFFALISSFFMREKKLNR AOR_1_2738174 MSGSQPRPALSRYRPILYLLTGVAAAYALVYINNLIISSSSQPS LRRRRTIRRPRGLRRRSEIVPVETPSSRAIAHLEQLERQNGVYGTFRIETEDGRRVES GLLPSLLATRDQLMEEVGVPQAHAERMREMMEDTFLESFLALDFPPAHTLEEGSPERN YLTEQLQRRGISRAGIERALARFNEDSNYGEELRRRRQNGERVTLSTSTFPDESSPAQ NMDGGETVVDDQSVFSWREGHNDTSPSREGQNLLNLLYHIAEDQARRDGYIHRGVTCN SCGAMPIQGIRYRCANCIDYDLCETCEAMQVHIKTHLFYKVRIPAPFLGNPRQSQPVW YPGKPAMLPRSLNRSLAKRLMKDTNFENTELDALWDQFRCLANHEWADDPNKLYMAID RKTFDRCFVPNTSIRPPPPSLIYDRMFAFYDTNGDGLIGFEEFLKGLASLNNKSNDER LRRVFRGYDIDGDGYVERKDFLRVFRAYYALSRELTRDMVAGMEDDFLEGGARDVVLG SQPISSAFPGSIPAGEVSRTGEGKRVNHEGDMEIVDNEGILRPDGTDTGDRHAVVGDA AVRSRYGSIRPLFPSVRLPDQGRSGGENVDDASSSDGSSSSVATDRWPPAEHIRDEDI VTALGAYVPLSEVTDPVDRARIGTAVYHRMFDDDDRRVDAARRYGIDERWRRRAFYTD EEDGAAAPEGYETDSDADDVSVEDDLHDQHPEFESHPPSPRSRSSSKVRFQDDITDDY DVRSNPSTSSRSILVGERWGGFEIPEVERDVGKEILYQVTQQGFNELLDILFKPKEDL LMEVYRTRTERKMWAREIELVEQMDAGKHFARRDGRPVDDEVKEEPESSLHNPFGDRP LEELLERAGYSIGSPPLEPVRDGPVLAPPSPELRLPDDDVRPTHLADPGEDPAESQQE EPDFAPAVPSPGSPVSERSQSPSVESEFDPTLPHHRPNEDTQELRDTSGLQSNVYNAS PTHSTFPQSLPAELRLQPNGTTSFPAPPSIAASSPEAEATAPKLSPSPIQPLPPASTS TLPPRDGPTMPPSPLILSRWAYLNRVEREAKERGGTGAKLSFEEFSHRMAADRGRRLA FVASWIEMASF AOR_1_2740174 MASLLGADYESSDDETARPQSQTTVPTATKIVAAPEVNTEDQAH MQMMLANTSSQALTYNATYDDLSRPNQGPANPFKPDGPANGLKRKNVPTGYAEEAAIS AATFATQHRTFQSLGYTRNPGLPGQFVGDLDRAAQYGGRDIVQMKPSKEVSAALRAKR QRKGDSSIVEGEGAYLGPWAKYKDDDHMYQEAEAAEDRELASDEEYVEEEEEEIAPAH MPAMSKQSTDYQDDSSKVETTEFHGSEQFDYLGRTYMHVPQDLDVDLRKEVGSVKNFI PKKLIHTWKSHTKAITSLRFFPQSGHLLLSSAADGKAKIWDAFHSRELLRTFSGHSKA ITDTDFHPTGKTFLTASYDRQIKLWDTEYGKCLGRFSTGKTPHVVRFNPGADHSHEFL AGMSDKKIVQFDTRSGELVQEYDHHLAAINTITFVDENRRFISTSDDKSLRAWEYGIP VPIKFIAEPYMFALTRAAPHPNGKYVAFQSGDNQIVVYGATDKFRQNRKKSFRGHNNA GYAIDLKISPDGQFICSGDSAGYVCFWDWKTGKMYHKIMASGKEGGATTCLDWHPQET SKVVTGGLDGVIRYWD AOR_1_2742174 MSGEAWLYLLAVLINAVNLFLQVFFTIMYSDLECDYINPIDLCN RLNAYIIPEAAVHAFLTFLFVINGYWLAILLNLPLLAFNAKKIYDNAHLLDATEIFRK LNVHKKESFIKLGFHLLMFFFYLYSMIVALIRDESH AOR_1_2744174 MTPEGEGGVCYSQGVTVKENFQMCDVTNRKILDQLKEKKPQVTF SYTCEWGLETTHDRNSTHYKCENIRCKCIPGRMLCGEEGSIDIGDFLDQSIQGPASFT SVSTIGGSANDGSKFQEPAMDNLIKSVFGDESIFLNCQSGECLYKTDVPGYTRPVKQI NTPLIAGVIAGCALFVVAVILTVWYLSRRSYRGRIQLPLSDDSDDEATKLLTDHKPAA LYWDNVSYYLNGKEILSGIQGVSQPGQITAIMGASGAGKTTFLDILARKNKRGAVRGD FYINGEKVNDHDFKSMIGFVDQEDTMLPTLTVHETILTSALLRLPRDMSRAAKEQRVF EVEKQLGIHHIRDQLIGSEEGKGRGISGGEKRRVGIACELVTSPSILFLDEPTSGLDA FNAFNVVECLVTLAKTYNRTVIFTIHQPRSNIVALFDRLVLLAHGKTVYSGPFSTCQQ YFYNSGYSCPPGFNIADYLVDLTMHASVTRSHTDEVVSPLLDVRSDPPKTASSSLRAV KSVASASNASIEDNSSSTLEANRRPKNGRRVSLKQRQDKQLYSRKKDRERPATPKTDE EDVVDVTENPQQWLRLSRQQGNVPPQILDDPDELPPIAPGQTDLDILVANYTSSDVAR SVNDEIVAAVQNARTANGSANSDILSGAPTSTPKSYARVGLLRQFLILSQRTWRNLYR NPMLMLTHYAISILLAVLCGYLFYGLTDDIKGLQNRLGLFFFILALFGFSTLTSLTVF SAERLLFVRERANGYYHPVTYFAAKVVFDIVPLRLLPPVIMGVIVYPMTGLIPAWPEF LRFILVLVLFNLAAANICLFIGIVFRDGGVANLIGSLVMLFSLLFAGLLLNHDAIPKS ALWLQTLSIFHYGFEALIVNEVTFLTLIDHKYGLDIEVPGASILSAFGFDTLAFWKDV IGLGIISGAFIMIAYGAMHVFLIEKR AOR_1_2746174 MVQVKEFAVEQWMDKYEQHAKYNIAETCCASISVNDLQELSEDK SLSPLDLSTKLTYGAIRGSERLRRTLANLYSVKTPTQLPSDNVLITPGAIQANFLLLY SLVGPGDHVICHYPTYQQLYSVPASLGAEVSLWKSKENDGWKLDLNELKELIRPNTKL IILNNPQNPTGAVIPQATLEEIVEIARSSSIFVHADEVYRPIFHSITPMEPEFPLSLL SLGYERTIVTGSMSKAYSLAGIRVGWIASRDRSVIEACASARHYTTISRNIQLAKTNL AILEKFIESYRWACGWVKPRAGTTAFVRFSKMGKPVDDVAFCEMLLERTGIMFVPGSQ CFGEGEDFKGYVRIGVVQETHVLEEGLEVLKSFMEEGYEEVPVIRKK AOR_1_2748174 MPLPLPDIPLSIATPAVATTLAYLNAKYSLFYDVTLIGGLIKSI FKSRLAERRDHLNLFYVLEQHALAPATKDNQFIVYNGRAWTFHETYVMALRYGAWLKK AHGIKPKEIVAMDFMNSSTFIFLLLGLWSIGAVPAFINYNLSGKPLTHCVRTSTARLL VVDEEIRQQFTPEQMETLGSPEFREGGGSVDVVFLTPEVESQIMQMEATREDDSVRNG PALRDLALLIYTSGTTGLPKPAIVSWRKCWSGGTFVAHWLGLAKNDRFFTCMPLYHSS ASILGFVTCLMSGSTLIIGRKFSARNFWREARENQATIVQYVGETLRYLMAVPPEIDA VTGEDLDKKHNVRAVFGNGLRPDIWGRFKERFNVPTIAEFYSATEGTSGSWNLSSNDF TAGAIGRNGSLSRLILGGGLAVVQVDHESQQPWRDPKTGFCKEVPRGEPGELLYAINA ADPVETFQGYFKNSKATESKIVRDVLRKGDAYFRTGDMVRWDAEGRWYFNDRLGDTFR WKSENVSTSEVAEVLGAHPDVHEANVYGVTLPNHDGRAGCAAIILQQQHQATDPSVLI PPTPETLSSLAAHALKNLPRFAVPLFLRLAPEMQGTGNNKQQKHVLRTEGVDPSLVST SDKLYWLQGDKYVPFEQNDWSRLQGGQVKL AOR_1_2750174 MANTEQIYDAKVQVKETGPHVHTLELLSTDAELKSSKFQRGIAV FGRVFSQVSGVEARGIQRVADDERQPPAPNTYTRIFLLWLSSALTGNNIIVGLYGPSL YGLDWTQATICATLGVILGSMCVGYMSTWGPKSGNRTLVVTRYFLGYYLSKVCCLLNI LTMLGYGMVNCILGGQVIYTVSGGRTAVPVGIIVVSILTWFIATLGVHLFQFYTRYAW IIQILTLAIMIGSAGPSFITSPFSTPDIPRATASDWLSFFSLCFASAITWAPASADYF VYFPTATSSWRMFLAGSTGMSLAMALTTLLGIGLATGIDSNPAWIEASLTSPGSLLAA SFSNLHGFGRFCAVILLLGTVSNNIPCTYSAGLNLQMLGRYGPRIPRPLLTTLEVVIY TVCAIVAREYLREIMENFLPLMSYWIVAWLAVCLEETWVFRRGRDYDWTVWNNPHRLP MGLAAGASFVFGILGAVLGMSQSYFVGPIAKALPQNCDLGMWLAFGFTAVVYPAFRCV ELHVVGR AOR_1_2752174 MSLSSAVQGARHSNQNLFRGCSSFRRFSVHHCRRSVADSSATSI AASFLSRFQSLGPQTRSQFLDANQLQLLSLTLNRPSLYPDTPSLSNASTSIPAGTPLP PGYHLVYFTPAFLEDELGADGTDASYNPETPFTRRMWAGGEVHWPRAADGSPNPLLVG QEVQETTRVLSAEPKVVRKTGEEMIVVGVEKEFRNEHGVAVIDRRNWVFRKALAVPSV SSKTTNNPAPLPSAPASSSTVSTAKTHIRTLRQTAVTLFRFSALTFNPHKIHYSTPWA QQMEGHRDIVVHGPLNLISILDLWRDTRSNKSDPASMLPESITYRATSPLYAEDKYQI VLDEEEGLGVGAVQILAPGGQVAMKAEVRWRGNVKLLEILGYEDTSRFRAI AOR_1_3240174 MLLTGIKPAGVHFSVLNAIFNNTAVILPLPGVPQTGRSLTQTLQ YVDADWAALAQSTLEAMSNDLPSLEAVASRLECLVFAGACLAKRYGDLIASKIKLMPS PRSPETGQLPTIYRHEHDFRYDWNYFQFHPAVGARFVPMSAGVYELVFNRTPATELYL PILANSYEFLAQDLYAKHPTIPDTWTHAPRVIPPIHGEKSEPIDFNRNVCSFSDTSAT LEQVPGG AOR_1_2754174 MPFIECSSPPIRMGNTRIDAASQGKLLPTIIDELARDDPNGLWM EYPTSPTSLDVGYSQITYAELANAVNGVANCITSALGRANTGESLAWLAPNSPLCSIT LIAAMKAGFKVCKS AOR_1_2756174 MARLRLKCCRTYPCTNCKKRGEALSCTFVGRGPRGRTSHGRTSP TLVQDRLQHLENLILSLTQKKSLAVNHDIHRPEEHDGPATNRTTDDRVTPRPPATSTG FEPKESPLDPSSKLVMENTGTSYIDGAHWTAILEEINGVREHLQESNDISDDEALDDG HLSTPSPTLLLGLNKTVSKEDLLADIPPRPVTDRLIAQFINSKEPVLTTIHIPTFQKE AASLSWLAFLYAILTLSIAIQQRLREPLPVYLEDSRDIISTFRKRAAQCLVQSNYTQP GKYKVEALFYYTLGEFYRSNDAQVSVSFLLGITIRLAMRTGYHRDPRHFPSISAYEGE MRRRVWATMRQLDSLISFQVGLPRTIQDWQDDVELPRNICDEDFNEGTTHLPPSRPES ELTTASYIRAKSRIMAVFGKISDLAYSREPVTYDEVLEIDRRLEEVYGLVPFNFRIRP VDQSFADPSDLILRRYTLELLYQKARCVLHRRYLGEVHSNLRNGSNNNNNNGRTLTAT RTLPYAPESLYQVISSVESYSQFLPFLTASTVTHRDPETGYPTRAFLTVGYGPLSETF TSRVDCDRSRWIVEARSGAKFGIDSKDGQAGGNFPGANEGIFEYLSTKWELVPLESER PMTKVDLEIRFEFRNQLHAAMMSAVEGQMAGVMIEAFEKRIRDIEGRR AOR_1_2758174 MLPRFLRPQSTLRAVSSLTQKPASALPRFQTRGLHRVPQLTHDT HFKNNGIQELLSPEAFDFAWTQYQTLLIDKLNLLTQDTVDADAKPGELLVKYSRRPEM ASVFNYASMAHNNHFFFNCLSPTPTQIPDKFAKDIVDTCSSIESLKLDFLATANAMFG PGFVWLAKNLEREGLMHIFCTYNAGSPYPAAHSRRQPVDMATHSPDAPLGNQFAGAMG AHSANQKSLAPGAVDVQPILCVNTWEHVWMMDYGIGGKAEYLERWWDRINWEVVFDNY NAVSSMKGTRHAANRNRSLSML AOR_1_2760174 MARVTFAGLVYGRPPLLFLISVISAMTMPNHAFSLAQRDSDSCP SSYQKCGAAGLPDSFCCPSSSTCISLDNASSAICCPKGQACTYIEPINCNVQLQNATL HPTNPVKTIRLDDQLPQCGNSCCPFGYKCKGNQLCEMDNNITSTTTTGTSSTISATST ASDVLPTTDQLKPTTLSPSDPNPSASNSSMTDTNPTSDPVAAACPSFPTQAIIAGFFP GAILGAVLASAAMFCWRRRRNGPKNSHGKLPRHNSVYNSTPFSISHPIPSEESSYRTD FLLGRSHRSSSRSVLHRTGTRVKSLFGSTPKTVIHNLDNIPKVPITPPPQARRQPSTE SIKVYTPPGGLPGTGTQKRGHYISMEPDKGFVEMFDRVGFMNQKGDPCFKVAESPEAS RTNLQTPQNV AOR_1_2762174 MTSKNEDPGSSQTTSTPANDTMSSKTGARRLPPPEKSEAIQTRF KVIAAFWAVIIFLGFPIWWKTTSIYRARLPIQDMVEWADGKTCRPVFPLEIHFETPSL PESEAHHLLRTTQHTLDDLNEFSAHHLRLKLSEENSVAIHEDILEQPQPALGGKADTA LVVRLLPQEDLAAPRSELHPDTTRLDVYYPPSQIPPPSASNPPLSAYIAGELQNLFTE EKAIIAQVLSDNNVGGAITPTSSSSNNQQQPSAILNSISPQLAESITRRLRRSMKYAE TYHLAFSLFTPGSEPSSWDVKTAVEEYISPLLQAFAPISNFTVDTQVQLYATSAPTAP LPVYDETQAAWTLKKEDLSAFINAAEWPLSPSIGSGPTINFILYVPAPSQSPMVVKES SATSWIIPQWGGVFLLNHPLSTADHSSNPPHLSQEALRPAFLTFSHQLLTLLGAPATP ASLPFRLQTLIRIRAATLLLSASSTMGSLARLTESLPSIPIPANVATSVSTTLSHLAS TCEHLREGRFQAALADARVAETEAERSFFEKSMVGQVYFPDEHKVAVYLPLLGPVGVP LIVGLLKEVKRIVTGLKAKKQQT AOR_1_2764174 MAALAVRDIVVDQSLLPVLNSSAVTREQCDKLLALLDPSGDSAS SNSQETVLAASREQKHLFALLARLRGLNREAILRVRETKQSTAEARQEIDRLHLQLQN LYYEQRHLTGEIAACESYDHKYLSLPLIPVEEFLTLFPEHRDSDAHELMIARINHEHA EREKLEQARQELLKRKQALIAENNKRKEDLASLDQDLERFIDAAKPIQKIFEKEY AOR_1_2766174 MMACSAQSVTIESYPEEAAVSSAYATPSEETTALLRDRRRRHSF HAARKLSCDYDADAVFLRVELFLAELERRLHWLEDYRRSHMVQIDASLRRGYATLEAV RDSCSYASGELMGGGKKRAKILVETLEDGYKDALATKETLEQKAQAGVRLMESFLSEL EARAHAVRDRGFYGTLDDGWKAVDSKLVHAREVVDEGMERARKAKDALRENIDQAIAL AQEKRLIAYADLPHPWRVNPHILEGYRFTHSKVECFTSMFTFSNELVNIWSHLIGLFI VLSVAFYFYPLNPNFHLSTKTDVLIAAVFFFAACKCLVCSTLWHTMNSIANQGLMERF ACVDYTGISMLVAASIVTTEYTAFYCEPVSRWTYILLTMSLGIGGVILPWHPTFNRAD FAWARVAFYVTLALTGFAPLAQLTYTRGFAWCLYFYAPVVKSILVYFVGACIYASQVP ERWRPGLFDYVGGSHNIWHFAVLGGILFHYCAMQDLFAGAFQRAKGECPHLTS AOR_1_2768174 MASESSPEGEHCLSFAKSRSEVNVAFDEKNGSQSAREPPCSESQ ESKHVLPSQMISNKDDSVNAVDPSFVSLPRTLQDVHITERKGQESPDSPAEAQQNGSL KRETSFEDDRTHLSNSSTKPTSFDSKSMASVTTFAMDEKDSLRPDDSASVQAVDEEES LSGIASGAPNSLTGSESGARGFRDIQRARAVLQTTGPLFTDGNQRPNGAMIPDSVSNN FVIANQEVFRSGQPILMHPFPMEPDEKLLEAMKSPKDRLLILQLEEKVRHFIQHSKEQ SLELPPSNAFGRLLAHKLGDYYHLTHFVDNNVTSVRLHRTPFTRLPTPLSAIHAATNN TPPPAMPAMKIMRRTDSERPSTEGSAAASSAFASKTGSEAGDSANDGERGSSSAGATP AKDRLTLTREEREAKYQEARERIFRDFPETKPSDGANGDQGTNMSRSSSTNGRKKSQR QKTPHDDSFEVRSQFNVYYPGVHYPHGPGPYNVATNDSSFAGQPCMVGPGVTPPGMGY AQNGQNGAMYPSHMNMGSMPHYSMPVSPQMTPSGPWQNGAVPQQSPYSGYASINQSPA MTSTKSSPAPNSYNVPNAVQFQHTPPGWSSPPYHGGYQQSTHRNQPPMPWANYPSQPV TPTSYPYGQYPGQPMNSGNAGVHPLPGSFNRSPFNPQTRSFVPGGTSLARHPSKSGQH GMNTYPTMQAGVHSQWAGYQEASKNLEATAPVATNAPRGPPAGGRDSIAKWGTPSHLP PKPPPSEVPSEFDLKHRTAPTITHPYSGNAHPNNKNGPLVVSGGARGN AOR_1_2770174 MNRYRNAPSLRGPTKATASTLCQKCLKRDIYECTITAQERPYQY RPSRTQQLQNPKLRPQLSTETPNDLLRSQGVADDLLAKREEERGRKRDIDESDPLDNQ GQTSKRARSASSHSVSSVSTISTSRSHSQSPPRRREFNTRNEPHRTKSTSSHHEKLRK RRYSDSSSSHSAHSYSYGGKDRARSRSREWTDDRNTRRRRRESSPEERGRARNLSRDG SRRGRTRSQSIDQGRIIRERRHSGHSDGRYSRTHDERSGHSQGHTVPQPRRERSLSPY SKRLALTQAMNIGGPGM AOR_1_3242174 MSSNHATLDAAATERKARLAKLAALKRKQPEPEPLTESNAKDDE LEDAAPDITSKYLSGRNYDAETRGPKLGFDQAPADGQITVETQAAEIAKATAEQAKKD EEADQPIDLFKLQPKKPNWDLKRDLDEKLNTLNVRTQNAIARLVRQRIENAQRAAKGK DAGDSGGQTGEDVGIEGEMLVEGIHVREREDEDERRERKEDDLA AOR_1_2774174 MSSSGERSPNNQERKFVYASRAIVDGKVKYIYKTKQVSQETYDF HQSIKMRSLCYDREEIHAWRTTYSKIYRAALLDMDIQGFPASLMLTDADSSLLEKIKT DCLGSFREAWEALDKSAKAPHWKRLSLWLLQNSPHLALEFLLVTCQSVDKPLFVMVSD CFLFLDKYHAGEFQPWEKGGHTYVSLLLTCLDPSNWPVAHLGQKGVRLYLKNAGPEEL YHAWTIVDERRNHIVSETWLCFMRRFTEFGDIHKSLEALELVRRREQDNFLMDSQGVM RHCCKLLLLDSVQDTPNGRNFYILPKLLKMGVRPDRDMMNIVLSNAFKTGDPQVGFDM FRFMRRQSLEPDSFTYLTLLNDAVTRGDRERVQSLIQDIRARGLEKNDWIASKIFHAH FTFNAKHHDPNDDPNGVFYSLLDMYNQLYDIAPLKELSIIPPEYTPPPGGDNLQPSLI ALYLMIATYLRCQKRISHAHRVYTKFKALVSQDHPVIAPLASTDHTYNEFLVAFRDDP RGLRPAVRLVEDMLHASNQDRELDDRVTVHGKPSVRTWTILMSAFTFNKQPLAAEKVR EMMAKHGVEYNQVTWNTVINTYANAQNIAEVAKSIKAMEAQGYSMDSYTMKCLRYLQD PERLWIAVEELDQATDARHDMVTSLDQRPLEHESDEDEHLLEQGLRRLSERKESTR AOR_1_2776174 MSALFNFQSLLLVILLVICTSTYAHSIMPGIMDRNQHGFFGVFW KCARIGERLSPYVSICCILMAVSIFFGG AOR_1_2778174 MSANLPHRPGHRPNVKPPSGRARRLLPPPLHPVQRTSPAPSGPP RQPSSGHVSSQLAPPWPFNPEETALIRAGYHPAFKPDTARNADPPTPEAESSKHKKRS ISTMAEPRARAARHKGQMNFAAELRLLLLAYGDPSPHPSFPSEPLPETVRVLDEIVTD FVLEMCHGAAQYAAYSRRQKIKVDDFRFALRRDPNKLGRVQELLRMERELKEARKAFD QNDDQVGNLKDASKKELEDLGEGTDGKKSKGKGKRNARRDSDATEDTTVSKKRKTG AOR_1_2780174 MAETDSFLHLARPLGPMAVGSAPTTAPLNVVIHPQALFSILDHS LRRNADQERVIGTLLGTRSEDGTEVEIRTTFAVGHTETTDQVEVDMEYQKQMLALHLK ANPKEVLVGWYATSSELNTFSALIQNFYSGQGDGTFPHPAVHLTVSTEPGKDVETRAY ISAPVGVTAERAADSAAFIPVPYEIRYGETEKSGLESIAAARDVESRAANIFTDIEAL ERAVEEVLGMIDRVSKYVESVIDEEAPASTALGQFLLNALALAPKVEPADIERDFNNH IQDVLVVSYLANTIRTQMELSNRLATAQLTLGGESGNAESGQRGGQRGGKGGRGGQQR TQDRSGEEARA AOR_1_2782174 MAPPRNRAPKGRGARARAPIKRRNGFTTSRVDEIESEEPSGGEE GQFEDNLGDEMDYDGPMDDASSDSDEDVEDDKSARPYNELLQLLNTTADSKAPARKKR KTEHKDDKRAVAQNDIVVAANEEELLEDDDLQQQEPSDEEDEDNLDEVDADGQADSDN EDDNDPFEAHFARKEDELSKQIKAVGDNKWKTVKKELSEDLRLARAAPDTGSDLSCLP ALKSIANLKLKRKLKTPALERLSQISGHAQQLAPYIFDYQDVLYGARKSSSSTQLRDI LAVHAVNHVLKTRDRVLKNNARVAKEQDADLDLRDQGFTRPKVLFLLPTKQACVRVVE SITQLFQPEQQENKKRFMDTFSATDDKSWESKPDDFQELFGGNDDDMFRLGLKFTRKT VKFFAQFYASDMILASPLGLRTIMDQADAKKRDHDFLSSIEVVIVDQADALLMQNWDH VDYIFKHLNLQPREAHGCDFSRVRTWYLDNNARYVRQMIMLASFITPEINSVFSTHML NVSGKIKMTPVYAGAISETPLPVSVKQTFSRFDSLSPAKDPDARFKHFTTTVLSSLVR NVTSGRGNNSGGILIVIPSYLDFVRVRNYFATSAQTMNVSFGAISEYSDTRDIMRART HFMNGRHSVLLYTERFHHFRRYQIRGVKRVIMYGVPENPLFWGEIVGFLGMDPAAIDE AAEGGVRALFSKWDALKLERIVGTKRVGNMLREKGGDTFTFV AOR_1_2784174 MTRPSAFSPDENQHPVEDVRSESVAKSSPRSLFSAVFGWSRKHR DNTHEAEDERRPLLGSDSPLSPSPSTSSPWWETTWDSAQELWLQGKGMILVMSAQFFG ASMNVMTQILERKGRNGEGFHPFQILFARMSITVIVSYLYMWYTKVPRPFGTRSVLHL LLLRAAGGFIGVYGLYYSVQYLPLSEATVLTFLAPILSCYACSLFIPNETFTHKQQLA GVVSLVGVVLIARPFSLFSNGNPPDSGEPGSGEQPDSEDEYHHIMAIVMALMGVMGAS CAYSTIRIIGQRCHPLVSVTYFSSFTTIVSTVAILVMPSIALELPGTPLEWTLLLGLG VCGFLLQFLLTAGLSYVPPPRKLSPSEQHRRYGSDGNDEPKEESRSSSGSRATSMIYM QMVFALFYDRVVWGSTLSLLSWMGSALILGSAIYVAVVREAPAAATREDENETN AOR_1_2786174 MRHFDAWLLRDPYSVFHYYPTGRRWQETVRDLIQERKICAPTVD IFSHASSTSSKPVDPYARPMASQSDSLFFRKLPAEIRLIIYNYAFGDEAIHLVQLKGK IRHVRCKHPSSSLDRNRRCCPVTPARWRVQENCTAGQGHSDSVLYPHTHASLPASLSN SSVALLRTCRAIYAEAADILYANAVFDVDDLHTFIAFSLIVCPQRLRSIKRLTVQWMP IWQPMAGQEHKSSIYSHTHNDHLWALFWSRIAALRGLGQLHLSLDLGRFSGNAMGRVI GGKRLRLAIDEPWVAPMLCVRGLKSFELGITARCDAYARRVMEQDLCRDAVALRDALR ERMCSPRGQIPIVPDPQMKRACGMEAEVERKMRPRLAITAA AOR_1_2788174 MSSPSSAGKRKRSASQHLPSNVTSADLLQPSSRDASGEDGDDST GPNTPLSVKYRKQAPIDVTSAGNVPPSKRARKSSAAGEQTNGPATEEPSALSKEDPGE PSETTVASSDIENQSKGRSGLQIKTADVEETEDMMQPPQRAGLQDPVGYHTNPPPVGR PVRVYADGVFDLFHVGHMRQLEQAKKAFPDVHLMVGVTGDEETHNRKGLTVLSGAERA ESVRHCKWVDEVIPNCPWLLTPEFLDEHQIDYVAHDDLPYGAAEGDDIYAPIKAQGKF LVTQRTEGVSTTGIITRIVRDYDQYISRQFKRGASRQELNVSWLKKNELEIKRHVAEI RDNIRNNWTTTGQELGRELRQLWQNSRPGSPAPSARNSVDMGSTRGNVVSPTTGAMKT HLSRVESVGRSESPIGNGRNEDFATGYSLGLIGGVRAWMRSRRSLLESRAPSPTSEEE HESEQERSNGHIEPFKSPANAATN AOR_1_2790174 MGQTLSEPVVDKTSSEGEDDCCIYGVSAMQGWRISMEDAHAAVL DLHAKYTSPEETSTDPAKRLAFFGVYDGHGGDKVALFAGENVHKIVAKQDSFAKGDIE QALKDGFLATDRAILEDPKYEEEVSGCTAAVSVISKHKIWVANAGDSRSVLGVKGRAK PLSFDHKPQNEGEKARISAAGGFVDFGRVNGNLALSRAIGDFEFKKSPELAPEQQIVT AYPDVTVHELSDDDEFLVIACDGIWDCQSSQAVVEFVRRGIAAKQELYRICENMMDNC LASNSETGGVGCDNMTMIIIGLLNGRTKEEWYNQIAERVAKGDGPCAPPEYAEFRGPG IRNQFEENPDDYDMENDRARGFSVRSGRIILLGDGTELVPDQNDEELFDQTEEDRDLP SQVQRELPDSARNEREGTPGPQSKTDATSKSEEGSSASTSESTVTPAGSSTSGAPEKS TS AOR_1_2792174 MVSGQDLDSEISTPLLGTHPSGALVEYKHKRWTLFLLCTVIVTL DFGTFLSIAPQTQIMESIVCHVQGELALISGWKDTLDQIPGIILALPLGFLLDRIGRK PIAMLSMTGLLMEEIAIRIICLYSEAIPLRAIWFTPLFQLCGGGSQIASSVAFTIVTD IFPAEQRANIFFVLFAAVLLAEILATPLSAWLMSWSPWLPFLLGWLCEGCGLLAAILI PETLPKSLNESESESAEEERDCLVPPPSSSKRKGSLYSAGSHIMHLTAFIWGNVNTVA ISIAFLAASVGGQALQLIIQYASKRYSWTMAKASFLISLKGMIDLVVLLLLLPTISHY LNRYLSPAVRDLRITQGSAAILAVGFGIMAVADHPVLFAFGVSILALGWGFYSTLRNV ATTLVAESQIGTLNTTIALVQGIGSMIAGPLLASAFREGMTLGGTWMGLPYMMGAILF LLAGLAASSVRTPR AOR_1_3244174 MSSQSSSSKKTPVKNTPPAETDSESETTVKEQLKQMKNMITQLV NNAKEKNQEIENLKVQLGEAERIRSEQQDHIAQLDAQVGASAPKDAIGKVKLPKAEPF DGTRSKLQAFLTQMNMHIHANRKNLIDEADKVIFISTHLRGAAWNWFEPYIREYYEVV PDNWSNTTRELFTDSGNLRKHLERTFGDVDAEAVAERKLKQLYQRGSASTYAAEFQQI ISRMDWNEKVYVSTFISGLKGHVKDEFARIDRPATLNEAIDFAVKVDNRYHERLMEKR DNEAWRKGSHRPKGQYKSNDQRERTGAKHNDPYGLKPMELDATEGQSQSRGISQKERE RRKREKLCYNCGKAGHMSKDCRQKRNSHQSNRKPQQMNATEDEAEPPRKARFAQLNAN AEADKPHDQARGAYCTTGIKSPIMDEIGEEIEAIQLNANMDLSARETERYRENEPNDD DWITLDWLTTHTNQTIWPRIDQDWSNLQEATEQWYGQLNEHEIDELADHANDETDRLG QVNSESQYEAIMEPIRRRVRYALTHRVDGPDNTDQYNEPVSSIDQSNRVLVEIDPLNE EYGTQWIGHDPNMEGLLEVPETPENPQDEDENAHRRVMALIDTLQEVVSPRRRAPASR PYQTQQIEVEPPRRQETLTNWTNNVIDEIIRNPRRFSRPLRMLLEQCPHWNHECWDSN IENWDEHCQQCNKHPIVCEICGADRFEYYGELELINPDRAKRGHEGTHHWLRECECCH YATEPMHNRYPWVVCFDDSCTHHRIWKQIARFWPQNDANRGTLAATRQGRHITTTIAI NGKPARAMIDSGATNNFMSPRYRENMKIEGRQKENVEPLLGLDGQKLGTGQVSVETVP VTMAVGQHVESIAFDVTPLGNKYDVVLGISWLEDHNPTIDWKQRTLHLNNCHCPKGPC MGYGTRTLTSKCTGSGRIERRDQNTAKGNSAKNMIMAATRYSEKEWLAELMGWAPTNE QERLEVMTLESGSEEEWHSSPETNQTSSESDSDSWTLLDSQELAANSAEQPSLPKEYQ GFRELFEQPRTNKLPEHGPHDHTIPIQEGKEVTCKRIYPMSERESQALKEYIKDRLEK KQIRPSKSPAGHGVLFVPKKGGELRLCIDYRPLNDITVKDRHPLPLITEIQDKIRGAK WFTKLDITDAYHRLRIAEGEEWKTAFRTKYGHYEYLVMPFGLTNAPASFQRFINEALG EILDVFVIAYLDDILIFSHSLEEHVQHVQTVLEKLQRAEVRLKLKKCEFHVQETEFLG HWISTEGIQAEEGKVKAIREWPEPTNLKELQQFIGLLNYYRKFIDRYAHRLAPLFDLL KKSKQWEWTNEHQSAFDKAKEAITTAPILAQHDPAKQTIIETDASDYAIGARMVQAGP DGKLRPIAFESRKLVQAELNYDIHDKELLAIVSAFKKWRVYLEGAQHQIIVKSDHKNL TYFTTTKELTRRQARWAETLSQYDFRIEHCKGSENGQADALSRRPDHEIKGKTIETAI LKQHEDGSIGYNKQTLAAVTVEIKDPTRHLIAKANKKDEALTQKLEASDDLFTKDEDG IVYYRNLIWVPQKLRNMIIQEHHDNPTRGHFGVEKTSEQIARNYYFPNMAKQVRKYID KCETCIRDKPARHKPYGLMQSPDAPSRPWEWITIDFVGPLPESEGWDMITVITDRLTK YIHLVPSKSTLDAVHLAHLLVNHVFVHHGMPKKITSDRDKLFTSKFWQSLTDLMGIDQ KLTTAYHPQGNGQTERTNQTIEQYLRHYVNYQQDDWANLLPTAQFAYNNAEHSTIGTT PFYANHGYHAKVAGEPRNKQPVAEEAIETVEGLKSLHNQLSLDIKFFNHRAAMYYNRH HEKGPTFKKGEKVFLLRRNIKTKRPSSKLDHQKIGPFRVEEQIGNVNYRLKLPDSMKK IHPVFHISLLEPAPENAKIAENIELDEEGTEYESMGNRTTW AOR_1_2796174 MSEPSFSDAEWKRLVKAQNTIEEEEEVILAKLLRLRKQKRLLQK RAGDFIARDIKEIEELEELERQEQKEREAQEKLHHQETAVSCSGASASSGDVQLAAVS GLDPSLTQLMDDPSFWVNFDPSAGGTVEPAGGSPSNSR AOR_1_2800174 MDAYPEDYVVHNLPFVLLSGLVPDSEDGLESCYTDYPLLHEKGV HIYSDLPPLSGSTAEELREILLAEDASRTPWEARENTIVGSAGTEYKIKSVGRSYRLP PRKADPPPVSPPMSPTGQGNDISHAPYFVLHSPISPLTPSSPIFSDGLLTPLWVTKHQ NLVPAAVINFFPFCLDPNMSSLRDNQLKIEINGLKKDWSSSGYKTRFIVVLLSEESNG AFTEDVDDRVTGIRRATNLDQKSIFLLPPDATPGELKEFGKSLLTLLQPSVMEYYRDL SKHARRKRNRSSIPPPTAPPTTGTSQTLSLQGWNVRYEFKMGIFAEFRQEIDAALGNY ESAYETLFGQEVFENIAGWSPRFNDARLLADTIAIRIIRCLLWTGQTAAAVRSWVEHR SRSQDIINRRGKGTRNYGWEAWEARWSMVMAQLIRQAEIPYFTSVGITQDQFNEQYSI FIPREKTVPPGDVSYPWEQLHHEGYWLYRSAKHTMCRRHLAEQIPDEDRIPPGQSPAS QIASKSYLYDTYLAPETHVEAPQSGVAGFNHSALILTALNAALDEFSKRDQVRKTECL RLEIAEEYMRVGSWTEAYDILQPLWSKITWRHSGWWQLMEKFGWALRECAVRVQDSET ILRVDWELLNRAFQPKSDWRYDIHRSLEDITPVTPKPSVVLRAEDIISSVTASFTFER PEGNVGEPLHGQLVITSCAQRSSSPIRFSEIKIAFEGCLRPIKLQSNHVAETDATTPC TISSPSLREPSTSSDTASLQSPTNFLTPLIGTADLTIGPCQTKVFNVACIPREAGESR VASITLLIEEEKFDLTCAMTDLTQRDCFWWKQTKNSVARRRVGKNRDTGRCKIKPKPP NIRITTPNLMETYYTDERIVLKVEIHNEEDEAAEVTAEARLFGRPESAAKVLWLDEEG SPWIQGSESSTPVEGLSHFIKRPLGTLETSSHRQLEIVLTDTRDASDYELEISSVYNL VSDTQTPIIATLRVKIPIIRPFEANYEFMPRLHPQPWPDFFTVDDALLGNDSTPKLGG LQQRWCLNSKVVSFALTPLVIEKISLVLVGVGGGATCHVGPEAIVSPGGPEIYLEELR ESSFAVDIHKTVLGDRRPTALNLALEIQWRRSATDESESAMSSNGTTISTLAIPRFVV PGGEPRVLASAMTSQIRSGLIHLDYTIENPSMHFLTFNLTMEASENFAFSGPKTLVVQ LVPLSRHTVRYNLLASKRGLWIQPQLVVVDTYFNKTLRVLPTEDMRSDKKGPLIWVDA DE AOR_1_2802174 MDCPEDSAASIFNTPFQSSSSFLARRSKSRSHSINCHPIDPASP EVISSLISSLSTISVPLQTHFDSVPCLDSDTDLSLSVLPLERHSSPGASHEHGVGVRK GPPQAFEEASHSPFLHPDDAAAAPVIRMARAPPSPKSKATTTDASASPIRPTSRGSYT SSRATYEDNTFGMITAEPGPRVSTAPSIASTSSRKSLKSQFGLLKKSSRDFTCDKDRH AERLRKTSSYNDSLRHNLPRSRTSVRSMHSIADVAENGRYSRSAKEAFREHGANSQNE EYLALQSARASAPSTPGGIGSGRTIPVRESSLRHSFSSSSKHRRSTRHSRYSSTASKD TKVDSDAGNEAEHVTKRIRELKDQQQKIKNELEIDDSPDKASREPSTKHTQPPQKPSA VDNGDEQSDTGSNRINAFDESAPAPAVMTGRSRSTTRNNPQLALKAINCPTQSLAPRQ SFDKPDQVEKLRYRRSVEQSTAPRHHKRSPSGPVSPGRASVVDERPSSADSIDLAVLD YVSAPRLTQKVTHPTTGRKIAFSEVGDPKGHVVLCCLGMGLTRYLMAFYDELARSLHL RLVTLDRPGVGESGPYVDEAGTPLSWPDDVAIVCNYIKVTKFSILAHSAGAIYALATA LRIPQHIRGRIHLLAPWIPPSQLSSIGSQRAPVPTNAVPYSQRILRALPTSILKVANS SFMSATSASLTASLPKSSRRTRRKATMKDSSNSALIEASGSPRYPSNRVYQQGADLQA LQIKKLQIPNGTGVEGIDTATNQAVAEFEKRERQSDYDNRLTHKVWELATTNANPAVD LVVCLERRQPIGFRYVDITRNVVIHHGSRDTRVPVDNVRWLGQSMRRCEVRILEGEGH GLMASATVMGNVLMEIAKEWEDWMTVVQGKRRATIGTRSGIAVQA AOR_1_2804174 MLPQRRIKLLAIAALALVIMVIYYSSDARGVQNQKFYRSTVAAI EAHTQTKEPGVAAGSSPDEQRLSENPTYGDAAKPADDQMAPAIPRNGDEETEEISIAG RTKMTVPKDRNNPERQVQGSGTDERVEAKTELNSILKRSPIIIFSKSYCPHSARAKSI LLDKYSIVPAPYVVELDQHSLGQPLQALLAENTGRRTVPNILVSGRSIGGGDDVVALD EKDELASTFKKLGGQWVHEVSRNEAGH AOR_1_2806174 MRYIHSEERLPIPENVKIHIRSRVVTVEGPRGKLVKDLSHIAVT FGRPEKDVISIELHHGARKGVATLRTVRTIINNLIIGVTKGFKYKMRYVYAHFPINVN IEKNAETGLYDVEIRNFLGEKYVRRITAQPGVEIITSPNVKDELQLSGNSLENVSQSA ADIQQICRVRNKDIRKFLDGLYVSERGNIVEE AOR_1_2808174 MILDVAQFWKERLLSWWRSKSPLSRLRYRLANAQTYEEWEEAAF ELDELLSMDLWRQNPTSRHYDYRLILGRLEALMSAREDEDILTLVNLLRSGLVRNLGN ITSPRLSLHAYAGTKLLIDDYITQVALSIQHVTALHTATVSEGRFDSQAKLELLHDTR QAFGRTTLLLQGGSIFGLCHLGVVKALHLQGLLPRIITGTATGALIAALVGVHTEDEL LTFLNGDGIDLTAFDRQRKIRLDAGNNPWLPYTTGDSWLWTLLRRVQRYIRKGYFLDA EVLEECVRANLGDLTFEEAYARSKRILNITVATSDKGSTPNLLNYLTAPNVLIWSAAV ASNASSNSLYQPVTIYCKDETGSIVPWGLSRHGSSQSGRRTEYTDAESPLTRIAELFN VNHFIVSQARPYLIPFLRSDVNLLDRRPTGQWSITRSLMRLVATEIRHRLRQLDYVGL LPQALARLLIEETIPGPNLTLVPDLSLKDFTKLFQNPDKESLAQWILRGERGTWPAIS ALKVRCVIEIELDKGYQVVRRRRPAENPSSSVQHTMGQRPMPNEGIPRKWRGYSIDHD RDMTGLLGNLDHQQDDLNS AOR_1_2810174 MAEQTQLAFNGQSRDSNAQIDVICGPLINFKNMDVNPSSSIWRG SVLIVTKPGQKQPQLVLRQAGPVESNASVNGNLPNSQSIMIDGLRLFEDPSKAFWRFS ISLPLESYEACWEYSIPGFQYAEGQGVRSPWDFVVPSINQSMRLMFHSCNGFSVGTDM DAWIGPNLWNDVLRVHKQKPFHAMIGGGDQLYNDGIRVDGPLKEWTSISNPHKRRAHN FDNNLRARCDEYYYENYVRWYSTEPFKAANGRIPQINIWDDHDIIDGFGSYTDHFMRC SVFRGIGGVAFKYYCLFQHHVAPPRSTYTTDAPQTMHAVNGTAGADPRQLENTFILED QAEDDSWIVGKRPGPYVEEKSRNLYMRLGKRVAFIGIDARTERTRHQVNYPDTYDLIF SRLENELTAANGDIKHLIVLLGVPIAYPRLAWLENILSSPIIAPIRLLNKRFGFAGGL FNQFDGQVDLLDDLDDHYTARQHKRERRLFIQRLQEFAKVHSVRVSILGGDVHLAAIG RFYSNPNLGLQSVNDPRYMVNVISSAITNKPPPKAVANLLARRNKLHHLDPNTDETLM DLFDQQPGGQEKSASWNKVTMPSRNYACITEVDTPFANEGYPTQQNDSTVTPLPRDGH SPLHKGETNAGASHSAADGFSNNSGMYGGLDVAIRVEIDPQNREGITEGYGFSIPPLV YDATKDNARPTSQSHSVRSGFHRAESRPQSARPQTAVN AOR_1_2812174 MPYKINLTAASKTLVSPAFRLKRSWGAIKCAHSDNESDTESLSD VGQSYFDEGTLHPAQGIELLEGYSSDISEIDSIHSDDSANLEVREPRNKPPTYSVSHP WEVVDYHLYLCSVEKGKDTHARRNGMFVYDGVDCRPVYYRELLEERVFEPCNVGEEPQ FLFWNLPIADLGPCFNGRHYVLGFDYNNRALFARHFEWMPNKTDDLWCVWDLGFTMYS ITIPDLIILLESSLSEEVHVGMGILSSSPYCMAMGSCDDPGMEIVITILFCRWVLDFA EAIFEQNGYIMERFKGRLARYMEESRIILARASYRAWLVSRGGYTKATSQRNSSIGKF TSDLFTFSKSVEDGSLKTQSWRAPGLDTCNMLRHQDRVQRKAETEQRLESLFTISEVD TPPESPGEILGGMIARSKESLAAFCPDRTPRISPRSKYGSVPLHRRGSMSPETVKVED EAYNGLKETEYHKTPLSLFS AOR_1_2814174 MSNVFCIAWRIPCSGPRSLSLLPTTAASLRSTCRVSSPWCLGTI SARHLGPLNLLYPTTPYQYCPRTTSEQLPSSIRSFTSSRCLRTKSDSKVENGVQLRPQ PFTTAEINAIFGSRAKITPQMGNRILAVLQGRRLDGTLDLDLPSDITRSVRPSSLDAA MKWLRKNYPLDEDAAIIARIEREELQEEEKHVRRAEELGLYKPQSGSYGAELGESNDL YGKSVLKETRKRNEARLLAEQEKKRQEWLDGEQKEREKLEHMRQKNTTLQRFEDTAAL EVRERADPNQRPLLAWIQKHHLRATDWDLDVSKLTNGGRILRILSITLVTFGLCYVFS NNYQAPAKADRLWPDVPPAAATVMAIIGTNVGVFLLWKLCPPAWRLLNRHFITVAAYP RPLSLIGNVFSHQTVNHLALNMVVLWFVGTRLHDEIGRGNFLALYLASGVFGSFTSLT VNILKGNLGLTALGASGAISALVAAWCMLHADEKFTLFFLPPEWQEVASAKGWIVLTG LVALEFVNMFTRRALIDYWAHLGGFLAGTLWSTAYKKRKENERLINKTWYERTFRD AOR_1_2816174 MSAESLPITLAAFAEAIKELPLSSVYAKASELSNSIAHLRRSNQ ELRAFMHESCDTEAEQRELEMYITENEEVIRSMHERNLLLKSEIEGRGQQWIEMWDTA PDARADENQQPSASEPATNGAAAEQPNGAQQELRSTRSVPDTQNGHEQDGVFL AOR_1_2818174 MATFFQSVRQGFGRGGSNKNNNNNNSAPKMNNGSPAQSPVPQVP QAGPVPNSPSIASNMPLDGPAAYDPDAPKYFFQEKYAPLNVKGNFLTLCACPKNVELG EWLAHQIVEQYRLLHGMLQVIQEVNGVTGVPICNETTCPTMSAGRLTYTWLVDGRAAK ISAPKFINRVEKWIISKIHDPVMFPTDKVNGLPDTFALHEISGTSPSSPSAITPSEEW IGKSSGFPQTFYKDCQGIMKQMFRCYAHLYHAHWLHPFWHINKHDILNMCFVHFVTVA KYYKLVSDKEMEPMQPLIDLFIKQQRIPPEALSGGHWGQPNSS AOR_1_2820174 MSSSDEDIVRRPGRSSGSGQPASPSGSEHSNPATARIGSPVGAD PDILNDDDDADLFGSDGSEGGSGNYNDQPQRNLDDEELDSGDDEDRYDRVEDRMDYED GGEGQYQETVNIMDLSLGRAPEPVTSNGEIYTMPIPNFLSIETEEFNPETYVAPPYST AATSLCWRHDPNNDALIQSNARIIRWEDGSMTLQLASAPKEQYRITTKPLAPLNKSGD YETKLDSHVYLGAAAETSSVFRLTSHLTHGLTVHPTTVETDDAVQRLQESLAAAVRGT KKTVDGSAPVIEVKEDPELAKRQAELAEREKLKAARRRQQLADRELDRGRRVGVPHRS GGAGLTIGGLEDDDGLLTTRPRAKKSRRPNRRGEIYTDDEEEYDRRGRTREDEYDEDD GFLVGSDEEPEEVEDDEEEDILEDDNMDAEGEDEDELPAARPRETKGRETEDGSGTAG TPPTRKKNRYIVDDDDEE AOR_1_2822174 MQCDWGQYHTAAVSASGKPDFTSSPVPSLKRHAACDECRKRKLK CSGEATGCNRCLKQSLICHYSVQKQMGRPPKKRAREDSDHLPLFGCSGDEIWSDLNNS PFESSSHCSEAAAVSDAFRICAPVYSAPFSIPQASRRLFSTDDSHNHSWEPNHEKFTE PVPETTGPWPDFSSVSAATPNPFAMPPGLSQIHSPPATPSDSECSETQCTCLSYLYLC LSHLSSLAPFPISQHTLCSLFIAAKTARAVIRCQTCPTKFATAMQNVMFTGTLLNIVA DAWLRVSKTNAEELGKQAAPPAYVAALNKNSADPTAAWKDWLRQIVRSGVIGGPADPA GSVACSDSPSLLSLVQEMEARQRQWHLERHPEARPDNSDAGSKRPAVIGPDPRDEQGW LCFRVAKSAREVISRFEFEPHEYPDGVIS AOR_1_2824174 MMDASKSSKKRKAVTRDVEEEAGVFSGDELQVDVLDGALSDNAN DLSSDEDVSDSEIELVDDFSDEEDGDEEEELDSDEIPSDGGESFKKASGTGNARDESS SDEEQLNYRIEKDANGNDRYVYDEINPDDNSDYSDVEENANTIGNIPLSFYDQYPHIG YDINGKKIMRPATGEALDALLDSIEIPKGWTGLTDPSTGKPLELSQDELELLRKVQMN EIPEEGYNPYEPTVEWFSSKQEIMPLSAAPEPKRRFVPSKHEAKRVMKIVKAIREGRI LPYKPPTEEEDKEQDVVNYDLWADETERPDHPMHIPAPKLPPPGYEESYHPPPEYLPD KKERKAWEEADPEDREREYLPNDFGSLRKVPGYESFVKEKFERCLDLYLAPRVRRSKL NIDPESLLPKLPSPEELKPFPTACATVFRGHKGRVRTLAVDPSGLWLATGGDDGTARV WELLTGRQLWSVKLSEEDPVNVVRWRPGKDAVILAAAAGDDIFLAVPPIADPKIEKTS LEIIDAGWGYAASKPPPSAAEENKKNVPPQWIRPSLSLAESGVCAVIPLRYVVKSLSW HRRGDYFVTVCPGSSTPASVAISIHTLSKHLTQFPFRRRIKGGGPPQTAHFHPSKPIL FVANQRTIRAYDLSRQLLVKIIQPGARWISSFDIHPTSSTASGGDNLIVGSYDRRLLW HDLELSQRPYKTLRYHRKAIRSVRFHPSGRYPLFADASDDGSLQIFHGSVTGDMLSNA SIVPLKVLKGHKITGELGVLDVDWHPREAWCVSAGADGTCRLWM AOR_1_2826174 MRRGGRPSLRQGLDREVYQVVHKIIEDQAENDKIRLSVATIYDS IKKSNSSLNRKPKRILEDSIERVVEVIKTDLLGEDDDESVNGDFEGLEEQQLPPATES NSLNKSIVGMWNNTSSTPSKAPETNGAGAATPAPKSSKRRQHGGDSHSSKRRKAESAI DRSPPTHVSLTDLGGLDDVVQELGDLVILPMTRPQVYMSSNVQPPRGVLLHGPPGCGK TMIANAFAAELGVPFISISAPSIVSGMSGESEKALREYFEEAKRIAPCLIFIDEIDAI TPKRESAQREMEKRIVAQLLTCMDDLALEKTDGKPVIVLAATNRPDSLDAALRRGGRF DKEINMTVPSEPVREQILRALTRKMRLADDIDFKYLANRTAGFVGADLNDLVSTAGSA AIKRYLEILKANSGEEMDIEEAGDLSPKVKELRRLITHAKETPIGEEVQVVLVSNADF LNALPKIQPSSKREGFATIPDTTWEDVGALGGIREELTTAIVEPIKNPNLYASVGITA PTGVLLWGPPGCGKTLLAKAVANESHANFISVKGPELLNKYVGESERAVRQVFVRARS SVPCVIFFDELDALVPRRDDTVSEASARVVNTLLTELDGLGSNRQGIYIIAATNRPDI IDPAMLRPGRLETLLFVNLPSPLERAEILRTLVRNIPVDFNDDLRRLAEDCEGFSGAD LGSLLRRAGYAAIKRRDTIKHEDFVAAKAFIRPSVTDLKKYEKLRRDWSGGVI AOR_1_2830174 MCRPRLIALGRQARTLSVQYVLTMGNTNSSHKISAQDRAILDLK IQRDKLRQYRKRITDLTDRETEIAKECLARDDRKRALLALRRKKYQESLLIKSEKELD QLEQLINQVEFSLVQKDVLFGLQQGTQVLQAINKEMGGIEGVEKLMGETEEARAYQEE ISQMLSGNLSNQDEDEVEDELAALQRETQRLQNLPHAPKSKLPERSNEGESQEIQYQG GKAKAQPAIPA AOR_1_2828174 MSGHHHHDHGSHCHGDDGHDHSNDITPALQSLLYSQIQFDSIVT LNETIPNAGAAIVRKTWAERLNDQPELESDADEQLLMYIPFTGQVKVHSLLIYTAPTP AAPKTLKLFKNRDDLDFATASELKPTQSVEIPQPVPGADVYDLPLNRAQWNATTSITL FFEGNWSDGNEDVTKVGYVGFKGQFMALNREPISFLYEAAANPSDHVAIQGVTGVGGR IMPGQ AOR_1_2832174 MASELDLTSTFIPSLYKPPALLPIARYRQNLLYLVDTYPVTIVV GQTGSGKTTQLPQYLDQAGWCADGKSIAVTQPRRVAATTVAARVAEEMHCSVGEEVGY SIRFEDLTSASTRIKFLTDGMLLREALVDPLLSRYSVIMVDEAHERSLSTDILLGILK KILKRRPELRIVISSATLQAEDILHFFAGDQFQNETDSVEKGGDVGKIISLEGRIYPV DILFLNSPAENYVERAVKTVFDIHLQEAEGDILVFLTGREEIDLAVQLISERTAMLHP KAQALAPLPLYSGLPSDQQMYVFEPMPENTRKVIVSTNIAEASVTIDNISYVVDCGFA KLRAFDPSTGIETLTAVPISKAAAVQRAGRAGRTRPGKCFRLYTQQAYEQLLEATVPE IQRSNLAPVIMQLKALGIDNIVRFDFLTPPPAELVIRAFELLYSLGVVDDYAKLTKPL GMRMAELAVDPMMAKVLLSAESLNCLSEILSIAAMVSLQGSVWVQHEGDKKAAENSRR RFAVEEGDHLTYLNVYQAFITIGKKDPKWCRDNLLNYRSLQRAMSIRAQLKRYLERFG IRVDETPSLHHKADFRRYPENIQRCLTTGYFAHAAKMQSNGTFKSATGGLTLHAHPSS LMFNRKADWVIFHEILQTGEKTFIRDITKIEKGYLLEYAPNYYTVR AOR_1_2834174 MAEEDKSNTNKITSGPYSPKPESPTTARPLDFDDEPQESGITSV SAAVAQQHATDVAPQKPPRPLSPQQQSETTLKEAFPTIEVSVIKAVLVASNWDVERAF HALLGMTDPSAAEQDVPPPKPPRPSATQRQLEADELYARQLAEHYNRRGPQSRLEGGH PYDRPRRDSELLEDREYSFFEDDLPVIRENIRKGFLETQTKVNSWVQNLKKRLDGEDQ DSAPSSQRYRNESDAQTRRSGELGRRSGDRERYDADPQVLSDDFSALEMRDTEAPPPR PPRPLANPNLYKASSPSPDRRKVSFQEGPPTEIGGSLDDASEPSRHSPAGGKPSKWQP LSTVEPSPVGDHDPFSLGDSEDEKDTRPKDQTPADGGDRIKTATAEAIAGELPSASKA NQKADGEKS AOR_1_2836174 MPSQSDDKRQAAREVIDILHEISTLLNTALDRTDLSLCVSLIEN GVNPDALAGANVPL AOR_1_2838174 MALIWLIILAFTWIIDAKLVSNYPINSQLPPVARVAQQYQFVFS QGTFGGSDSSTKYSLSNAPSWLRVESKSRTLFGTPGGEDEGTVRFDLVASDELESASM EVTLIVTEDDGPRPGKQLLPQLEAIAATSAPSTILIHSGDAFDISFDHDTFTNTRPST VYYGTSPDNAPLPSWVGFDQSNLRFSGVAPNSGPQTFAFSLVASDVAGFSAAAMKFDM TVSPHILSFNQSAQTFFVSRGEQFTSPRFKEALTLDGREPADADLTDIQTDSPSWLEF DNTTISFTGTPPIDAADENITISVTDKYQDTARLIVSLQYSQFFHDIAECDAVIGSYF YFVFDDTVLIDDSVQLEVDLGNQLPWLQYNSDNKTLHGLVPADFSPYKYTVKLTAHEG TAEDTKKFTINILDEGKPSDQGTDKSSGSSKGIHGKKVGIIAISVVIPFAFLTSLLLL FCCWRRKRRASTHEEEQLSKEKVPYSNGSELPNCQPSEETTQCTLPKLARDPPEQYSN PPKLELGPLWDTGPLDDSEQGRPIEGRKNTLSASTIDWDIAPLKVPEPEKEKQIDDIA IPPKRLTSQTNPTEEIVETKLSALIQIKKVLQTF AOR_1_2840174 MAAQAALIADTIVGMKRALRKENDFSGPDDPIAQPTNRGNKLRT NAKHVREGALGYIQPEDLYKQKIDHAGYTRYILQPNPVRYDSEGDELDEDDEDSEADA AAAEENPFSEIALENFLCPLKHPSELPTHPSLSHAYTSSALSNMTKAIESKLRQERAL LWRARNLHRLFLGDSSWMPCGTVETPEDRWIFEPRLVTPGHKSPIGPNGQNDVPIVRT SKEAQAVESKDIQSSHTAEQDIEMAGIHNHGRESGSPTNYNEQTREPKSEEVETPVGN LPQHLDIVEANGSDAVNSHLERGQLSDTDGLQGDRKGIAGDRTDSGNEKVDTTHEGPG NVHEDETDKDEEMQDGFSPEPPRRMTTRAQANAANPQEDESDHFPPSPSSDTLSSLPI PHPLFLVPDSIRPDPNLGLSPMEAEDTRRLLWSYVQKQEETVRGFEHMLECLLRACRM KDDVLEWCKAEGHVGEMSDGEDWYDRERWGLVEGEDLKKGADEDEIEMVEESRASNKR GRGRRA AOR_1_2842174 MSTLSDFLDLSQSSLKWAALSIAFNPIFWNIVARAEYRKHYLTR IFGNPYYGCYFLAIAIFTLGIVRDHVYQLALEGQPYYAPVHQPILGGVLFAVGSVLVL SSMYALGVTGTYLGDYFGILMDAPVTGFPFNVTGSPMYWGSTLNFLGVALYKGKVAGI LLTAEVFILYWFALQWEDPFTAEIYAKRERERAKAKQGGKSQ AOR_1_2844174 MALKCRDIVILGTGVFIAWGLAVRCLPVLRYLGYAVGLGILLAS VAFFGLVLFTTRSPNDADNSRSQCLPVAFLTPPNWQVETQGLKKRSIYNPVSLYPQSF MVSEGIDELLALVTRDFIASWYLGISPNPAFVTEVDRVFRAAIGNLRDRLLAEDLISL IVSRIFPILTTHLKEFDVAERSVRGRNLTRNVTESDELDIAIASKYRDGHLHPAAVLC LSDQKLVEQEYLRKVTVGLLPRLFPETVLSSRIVSVLVRELLSCAVLFPILSVLSDPD TWNQLVEAYGRTALQDRKTVRKLRAALDEHASPVPRSKHGNPFPRLSPTDSERAFERF VRAIRHCNNISDARRFRALVASQLKRESMVEGQDPVYLRRLETGKRVLDQKVAKLSAP ASSRASHATAATTHFRPLKSSPAQEASLVDVMHDASGLSYFMEFMDRQQLMFLVQFWI VVDGLRNPLEDDFGDETSPSSTTWTETDKNDMALISETYLSKPQLKVTAESRRAVKTF LSAGKRATPEQYRKARTVILTTQSAVLEELQNVYYPKFRQSDLFYKYLASDETSHFEP HTAAVPHGDVTPAAEVFERRPLPPLMGRTPSQPGFKSKDLRRAAVSSSDVRTMGKLFD DDDTPRRSLDSERSAPLFDDDYDTDPLAMSTQSLGRDSQNGENDANQNQVIETMEAAL NDIITNEPKGNRLEDLRYNDASSSRLSSNDRYPQSPRSSVESTRVDDKTKPNISSLGL VDESSRLGVFADDLFPDHHKFIEDEYEEPAEIEDKDPADEVHEAPPGDLGLTEAIEAL TAEIEKLGSQESVVDALTRKAELTNNTAELRILRKSKASIQREVRRKDMQRQQYMIQE SDNSLYGRSTVRITSIVVGKEEDGREFAMYVVEVQRNAGEQMPAASWVVARRYSEFHE LHHKLRMRYPSVRHLEFPRRRMVMKLQREFLQKRRLALEAYLQKLLLLPEVCRSRDLR SFLSQRAIIPRDEAPRDGETKDLVTRIYNSVADGMDDFLGNFGVLDQLSTAGQNLISA ATSQQASTITDSGLATEDAVTAAEAEAELNAFEDRELEPFIKPICDLFLEAFELNRGN NWLRGRAVVVVLHQLLGGTIERKVREGARSLAQDESLLRYISVVKESLWPGGLLRENR IRTTSERLKSRTEASLVLATLIPDMAGNVVGRTNAQGAARRIFATLNNRRLNTHLMYT ILDEIVLVLFGGGETGRMR AOR_1_2846174 MSAELTKVDSAIAGLSITPKGEKEADKTAKKTHRRHSSQSEGVW NIKDLEEKKIELTLPIETQKTGWKLNTSPSSIEDKDILKLHLVNPPVKKIDLHFPLGL EVTARNLKGVTIKDALDAIHKQFKKKADDELDNPYLAGFEWDKEECWTRLIVHQTKNT TVPQTSSKKSKKKKEEA AOR_1_2848174 MSLFGSVGTTSTTAGQTNTTGDISKDVALNSPPEDSISDLQFSP ASEHLAVASWDKKVRIYEINDQGQSEGKALFEHEAPVLSCCWAPDGTKVVGAGADKAA RMLDLAANATTPVQVAAHDAPIKCCQMIPNPAGGTPLLVTGSWDKTVKYWDLRQSTPI ATVECQERVYTMDVKNKLLVVGTADRYINIINLDNPTKFYKTMQSPLKWQTRVVSCFT DATGFAVGSIEGRCAIQYVEDKDSSSNFSFKCHRETPPNQRDINNIYSVNAISFHPVH GTFSTAGSDGTFHFWDKDAKHRLKGYPSVGGTISSTAFNRNGNIFAYSVSYDWSKGYS ANTQQLPNKVMLHPVAQEEVKPRPGTRKR AOR_1_2850174 MENNLLEGLLDLEEEFYREGYDLGAADGAQAGYTEGSVFAVEKG FEKFLEIGRLYGKALVWSHRQAELDSSNMRDPPQMSQLPSEKDEDYLEPSVCREMSSV PPSSRLAKNVDTLLELVDPASLPMQNTEEAVIDVDERLKGALIKAKLIQRALGEREDA CDIHPNARDIPQQKSSGDGTGSIEDISSLKLRQ AOR_1_2852174 MAWLQILGLVLSGLTHAQTGVTSPIAEACGPSMVCINRYANVLP YHFFRNVSTMDDISIFGDTTVASGTLLEGVNSANFLVYDRERGLEILGANPSYKFMFA VSEAVHEAPVYIASQNKLYLSQLAPPPGYLPQLVVDLNEDPPTLSEYLSDPPVYAPNG GTFHDGKIIWGASGGNRSIGGSEQRISLRTLDPETNKSVTLINNYFGYYFNTIDDLAV HPKTGDIWFTDPQYSWFNALTDTPPQLPSASYRYNASSGAVFVIDDSIGQPNGIAFSP GGSVVYITDTAAVSAPVDPQYGHPGSIFNATQRRTVYAFDVSEDGTSAYNKRPIYMAS GFVPDGLKVAANGYIVAGVGRGVDVLDPSGQLLLTIQTNYTVQNFAWTGPELKTLWLM GNGGISKVEWQLEGQELR AOR_1_2854174 MLRFRQLFRMADETIAKKIKTSSPLIGTHSGHFHADEALAVYLL RQLPTYSASPLIRTRDPVQLATCHTVVDVGGEYDPANNRYDHHQRSFSTTFPNHTTKL SSAGLVYMHFGRAIIAEHTSLPVDHHDVNLLYEKLYTDFIEAIDANDNGISAYDPAEI SAANLEKRFKDGAITITSVVGDMNNPDPTCPPGEPQDEDSLFGRASTFIGNVFTRKLH HASTSWLPARTTVGNSYRSRRDVHPSGRIIVLPQGGVPWKEHLYNFEKEASESDKPKS EEEVYYVLYPESATEGSKWRVQCVSVNETSFVSRKPLPETWRGVRDADLDGVMAAEAE KTGKPKIPEGAVFVHASGFIGGHKTKEGAFSMAVRGLEQ AOR_1_2856174 MWLFRVLSSAFFLSVTVSSIPLAFDVGGKTCGLAFSLSLATFYF LFSLLKLTTPERSWLRASLITIIRSTQWIIIPVLLIWSLNRFSIDADNTTGWAERTFT GKRAQNTSVQAWLFGPGGLVESVTIGNWDNLLRWSTPVFQLVEGFCSLLVIQAAGQIT RWLVNRGGRSDSWMIGLLVFSGSAISSAVYFLWRVLQFPGISNVDAALIGVSITCAVI LCAWGIGSGRGNPVESSLLFAYIVLCIYQIFTDYQPSYPVEQAPSPAQAGDFPPLPPI FMASYTTLLHALSLLPSIIHAAFNVISAVFGAVTPSVLISLAYRICVLYASTRIIPAV RESGARALSQEASLDDSDAAGQFLGLLSYFSPSILIAVYTSLLMQHFASTSQAMGGNG EWWSSQRGGGGNLWRWVNLACTMALYAVELWLGENDDLDSGLASHWKTD AOR_1_2858174 MNPHQQNKVDTASLSPEEQRLLRLYGKMPTKKDLLQNKLKERKY FDSGDYALSKAGKASDVGVTNIGSQHPVPENIPHLTATSPGANNPAAASNGGSTNSQG QQIPGSISGHPGSIGFQSRSPVKEGSYLQRGTSADESEGNTAALKDAKEPSVSPPPAR SGVPIRR AOR_1_2860174 MTDQNPSTKQVTIVVEHLDPELGAWSALEYGCIARESHKAGSKF LLSSVPTTLQMPEDLAATEGLKVEHRSIEEIFADRKSKICLLDPAAQAELSPADGEIF EVFLFGGILGDDPPRDRTSELRKKGYVGRRLGPKQMTTDTAVRVTRMVVHEKVPLEKI QYVDYPEILINEHERTEMPFRYVRGDDGQPIMPQGMVDLIKEGADQGIGDLF AOR_1_2862174 MFSSISRGFAKSETGDTFSFSFKSSWLLSLLVLWGPPLTTAKSA ADYYVRSLPGAPDGPLLKMHAGHIEVDPQNNGNLFFWHYQNRHIANRQRTVIWLNGGP GCSSMDGALMEVGPYRLKDNLTLEYNEGSWDEFANLLFVDQPVGTGFSYVNTDSYLHE LDEMSAHFIIFLDKFFELFPEYEGDDIYLAGESYAGQHIPYIAKAILDRNKNAVSPWN LRGLLIGNGWISPADQYPSYLTFAYEEGLIKEDSRTAKSLEVLQSVCQSKLETGGKDR IHIGDCETVLQELLSKTLDSDNKCYNMYDIRLRDTVPSCGMNWPQDLKDVKPYLRRAD VVKALNINPEKKSGWEECSGAVSSSFLPQKSVPAVQLLPSLLESGISVLLFSGDKDLI CNHVGTEQLINNMKWGGGVGFETSPGVWAPRHDWTFEGEPAGIYQHARNLTYVLLYNS SHMAPYDLPRQTRDMLDRFMKVDIASIGGSPADSRIDGEKLPQTSVGGHPNSTAAEEQ EKERMKQAEWKAYAKSGEAVLVVVIIGVSVWGFFIWRSRQRHRRYQGLYHEDVSGASV LERFHNKRSGQDVEAGDFDESELDDLHSPDMAREHYTVGEDSDEDDVNRQHQRTTINP S AOR_1_3246174 MTENPTASLTLLSDLTPPSLERTWLTAPHPSLPIVATCSSDKTV RVYSLTNFRLLSTISGGHKRSVRTCAWKPHVQGESVLATGSFDATVGIWRRWDSYDDE EWRFAVLLDGHDSEVKSVSWSPSGMLLATCSRDKSIWIWEDLDDGDNNFETVAVMQEH EGDVKCVAWHPVEECLASASYDNTIRLWREDIDDWGQVACIKGHTGTVWYLDWEGIGN VPSTAAVCEQGTSLSAEWKDQRAMSGPRLASCSDDRTVRIWKRRPKEQRAQSQVGSTG IPSIIRPTGTDETWEEDVLLPQIHELSIYAVAWSKRTGLLASVGADGRIVVYEELFLT SPARTPDTNTPTDTSAIIPRTHTEWVAIAILEGAHGIYEVNHVAWARRADRCRKENEE VLITTADDGSIKVWTLRR AOR_1_2866174 MIRRNSDIEVQLPGAAGTADSVNEADIEMLSGEQAPPLSLPTRL ATRFSRKPSVARRSSAASSRRSSISSLHSHHSSASSHGASPTDNIAQHLRRTSILESR KARLADRALHAEKVRLRAALSKAASRNLQREERALAAQQARERLLAEITAKCEEEVRR AKKKAEDNRERKAAEHARLRLEIAEKFAEVEKRRVIYQQSHRRYRTSSLPTSEEKKMA KVVSSSLTQDAAARTIQRIWRTYHTRRVMQEFRTLDLTANRIRHMDFEDVGALLSENT VLTLTARVLQLCGLQDMESGTMGGRGAVRTFLSSYLIVTHPAEVLSSNGEQEQDLIAK ARELIQAFEQAMPLLLSGYRSTPANSTELQTVCEAYNVFFSAFHAWKTHDSSVLIEIM LAQFVELELIWQTVKNDRAGGVADDYRQGIRQNQILLLARLKRLAGSERAMQMVRDAL KKAKRETKRIASRQAIPRSAEVAPSSTETHTESATSPISDTFNNVESTVLRELERQRI SPHERFTKILTALPENRALVHELLINKEFKIEETQYTEPRKQLMNHMCDMMRRDVDAG LGTSWTVAMATVIQDRLLRSLRPGNSLHVLISEVLDPKLVENQCNVGAFSYASFFDFM NTILPKLCAPYRDPIVKAFTEDTSGDAIDRLARLMGIIDLLSLDHTNFMIQLAAPQLI QEAPGYEQRTFEKGLRDGSLSLKKARLFWRTHHKILADEIRKRDPENINGEPQPSTSK IYAQGLVDLVLSNAPVSDDLVPETLELDRQRLERLHAQAFKIVATASILLAAKNLLKR DVRSQWKAEADRIMSLHFGDIEPSRVQSILESTHPMPSNASAQLAATIRRVLAPAAKA CAAISTSVATRTTVEIYRDSPIRQIAVAQNSVNSDRSEAGTASFADPVARLILTRLRA HILSRLSASSAAERIRTTTTASQCLAGAGMPEFVNEVGKLTEELEKVREVDWLCHDMV YERILGEGISTDPRP AOR_1_2868174 MSGLITSLLIEPVVRQARRLSQQTEESSPPVTQASSIYDRYSSD GGEEDCDNIARGVMSYSEQEIRPNIGAYGEYVPSEDSPSSVMLWSTGFNDQNHETEIF SLSHRARQITDNDVSEVSDNHAVIMNGSDLTEPQQATSAAISTHDVSQQTSSTLRRTQ RLSHSHLDLVDTGGKFSLPEDDGMGALRKKIHAIRDLNHSSMEQAHDNKNAATIQAPE LGSISSIAHQENQYCLTAEDLKPTFYPRDELQLPPEDIDDTDAEEFEEACLGCRHYKR NVKLQCYACKKWYTCRFCHDEVEDHHLDRPKTENMLCMLCGHAQPATQFCRQCGEQSS QYYCNICKLWDNDTSKSIYHCNDCGICRIGQGLGKDFFHCKTCCVCLPISIENTHRCI ERSTQCDCPICGDYMFTSPETVVFMRCGHSIHQKCLSEYSKNSYRCPICSKTITNMES TFRNLDRTIQSQPMPAEFNDTKALIYCNDCGAKSVVRYHWLGLRCDMCESYNTAQIRL MNGDIPDSVEDDYAREGFIASRTRSSSQGTGNIALPVLATSILDPNSGNDIDSNARYN APVSAESSGRFSYSISRGRAVSPVISNYFGIPPDRGSERPKSTSFFNGRALQENEDEN SGEIRFWGAKFKYRYGFLSRGTESVDGTSEANDEEDGDEGNGDDDERSWCSRSDDDND DEDDDDEEKIDIFGHR AOR_1_2870174 MSSVAHVDQRAVIQAYRHLYRQGLRVINYSTPSRHVLLRTLRSS FRSSSHHDFDPHRIANTLRFLQRAADAAGVEHKIVKNLMMVRYWEQPQVRKDLRLLKG LGIDQRESNLRRDANEQFNLTLMLLNESLGTCLK AOR_1_2872174 MARVFRRPASALARRASSRAAQRAARKHKVIMESVTQEKKKLRS VISFEAKAPPGYTFIPAGNPQLTSACKEICRKDGLKVFAVTTTPHMHTHNLSQHVHRI GYHFPSAIVATVCMDLGLYLTPTGKAMPFQSIGYTQNRKRTNSECSQTTINTEARDVL KDLFPNIPDNDLNQIIKTAFQKGQRKVGTAVELPLARRAQLAVVAHIRHVYTDYDRLL KTTSFHEARSIVEEPTLAKLVEWRGDDENGKTVLEDVFREVIVISDDEDSDTEGDMPQ TMDRDYSVEIFSSHPRAEDLQMKPVNYANSTYREHHLETSDEEAPPGFHFIRIAPKKS KIDRRGFSRYQAWDRAIHRYRNAANGTDQRKLYASSPNQGRHVYAAQQPWQDNYGVNR DLAPVQAVLHRQISAAPYGNAITGPHISAIDPVVERRPYEVYPTTRSPRQREAVPKVV QVPESFGAKPLLHKGDTPNVPVFVSGPKKVLGNNGNQRGSQRPSAPIQGRPNVNPQDC VLPSIEPPLVPENNTLDNGPLDHIAGGMPGVSSIHSLTPRRLPYKDIQRPLLQDRVQD QLPKRRRVAYYEPVNANRGISHIPRTSNPVDAFTGERYIALGYPPRQSSAQDGLHISK RYLAPFDPIPQSESQPGKVQVSSLSATPLDLKPGAVLPGSYWRSQVQLRPLPELGIAF PTAHHSDRAVPRRSSNFIEQTNCHQYHYTNESTRPSNISESHKSTRGNINDHIHMALG NTPSRRHYADDFVRTIDSQAPIPMEYPPQHHLYRNHARDPLTQRAYVQHPHHPSFNTT VPGNVSSRQPSVRDPRHSRVTSAAQCYATMVNSDMRYHDAGLVESASTERPSHDLHNF SRYALDYSSLFA AOR_1_2874174 MSEHKYIFTVTMSCGGCSGAVERVLKKLPGVKSYDVSLDSQTAT VVTEPSVSYETVLVTIQKTGKTVTAGEADGQTMAV AOR_1_2876174 MDEHSASALQYGHLGKATYHQETQNWEFSRTLASPPRITFAGAT KTTITSPLTAPQSSQIENKSLVPKVYPELAACWPLVNNETLSHTITTTSEICDPLVSS LLDLGYAVDLENDESGSRTVPIAVVASGECGNAISLYKLDEDCVDLRLGTTVRMRVPS IEETGSAEWSARGAPVRQICFARTVEEKPTWMAARFPHSTWVFRPLHHRRPVPAHICL DSDHMLLGRSRNSHLDANPLVEISNSQTGGSAHAAVTFNPWYQKQIGIVDERGNWSIW EISGRHRQNKGNWTAARVKYGALPWLDLGDSHDIDGYPRHDGWAAIEWVGDVNSFIVS DRRCPMLYRMEDGQACPYSIELGLKKKSEWILDVKRSSCNVSNIFILTTSRVFWFDVN TDLAVAAKDGTRPSLFPRLSWRHFRDPEDTTLRVAHLSIYEDLYLALYSRLSHVVLVF HCPAVSTGHDDIEPMPDPFVLDIPLESEYDVESQPSMAQFSSLVFKNIMHLPSTTGRD DYDPGLKLIKLFVLDSRLSIHESIYAGPSDTGASDRQDLEKDIIRLKRRYQTTRQGNL QSSRSYGDFIVDDYDESVAGPGTPTFPDTGISNITPLAISQWSIDFSQVYEVAIGRLV VSPGGDPSQRHNKGFQESLEELKRKIPACPESQATSQTLLEILGRSSPLDDVDQNAQD VEDLLSALLTDNACTHKHHQQLIVQLPGHLSWRSTKPVQSTEPSRSGLIEIYDQSVND WLTLSHNIPVRARITKEKIIRDVAADFALARVAARRINYESNGTNPQTTNQKSAPSSK SEFTFRFRSGKQTLVPSEIPGESDWSSFATEGHGTSDVKPKFLDSTLASFTDFDSERK RFVSPDVANILQHWLPETDPATYDWQRTIQALELEESQWGTNDTTPKRKLKKKKVDSF TPRPATSVAPTVREWGSQPEINEQPMVRLQNSQVMEDDLPMTQVERGAFGGREAGRKS TAKAKKKKRAAGF AOR_1_2878174 MASSFEPSLSTSGMRPPLTSADAPSMADSLPSINFGFEDLRNRM AQFTARFDAFIEKGRKQILEERNQFKIGLAELQEDQRMKQRDIEILNLKSQTHDQTIQ KEAAEAAEMHGTIASVTMERDSRLAKRDRLKQQINETQKAINQKLEAQKAHARHLDAQ ARLNIPELEFWQDYLCLRIEGAGREDRLKFIFSHLLEKDWEAEAWFELGTSSRDYDVF HTRPKVDRDALNGELDILNEDRDFGAFLKRMRRLLVEKMQQKRPTI AOR_1_2882174 MPMLAHTKAGLNDLTRRHFTMSKQYLSCGSADNAHPTDIFSLAV TNKQILSASGVSALKVHSTADPDFPLVQSIDEAHKLGCHHVVTDGNGSRSVSVGFGGE IRVWSCHDGNWSENKTVSGSTGVWAIALSGDGQYLAGVSQDGHIRVWDLDANGEQIRY YETKGSFGTCIDLSADGRFIASGHENGSVYIFSTETGRMPFSLSGLVKPVRAVAFSPG GKFLAAAGDSNVIVLYDTSSGEQVANLPGHSAWVLSLSWSHTGEYLLSGSFDGKVKVW SIDTRTCVATHSETERAVWNVKWLPKIGKSEGFATAGASRSISFYREATGG AOR_1_2880174 MALRSLSFTPTSQILPRIFMASEAISFVGGRWSRIWQTSGQTSL GFSRTLLKPAALSLNIPELLSDVWDSVLRAVPKKKTSHMKKRHRQMAGKALKDVKSLN TCPGCGQIKRAHVLCPHCVENIKKQWKQTQTA AOR_1_2884174 MATTATSTSTTPATVFPRSHVGFDSITSQIERKLLKRGFQFNVM CVGQTGLGKSTLINTIFASHLIDSKGRLTPNEPVRSTTEIQTVSHIIEENGVRLRLNI VDTPGYGDQVNNDRCWDPIVKYIKDQHSAYLRKELTAQRDRYIQDTRIHCCLFFIQPS GHALKPIDIVVLKKLSDVVNVVPVIAKADSLTLEERQTFKERIKEEFAFHNLKMYPYD NDELDDEERAVNAQIKDIIPFAVVGSERTIVVNGQQVRGRQNRWGVINVEDENHCEFV YLRNFLTRTHLQDLIETTSQIHYETFRAKQLLALKESSAAGGHSGGSRPISPSADREL SRNSQRMTMNGY AOR_1_2886174 MQGFSKSRITLHIYSGRFQLFTRRSVLTMSTTAGSLQKFRPVVV SGPSGAGKSTLLKRLFADYPDTFGFSVSHTTRAPRPGEQHGREYYFTTKADFLDLVSK NGFIEHAQFGGNHYGTSVQAVKDIAKKGRICILDIEMEGVKQVKRTDLNARFMFLAPP SVEELERRLRGRGTESEESLSKRLAQAKNELEYAKEPGAHNKIVVNDDLEKAYTELRD WIVDDGRFGAEQ AOR_1_2888174 MHEDGNPKTKRKNKGSAKNGEGNDKNIEAKQDTSAKLREEGSSD LLISSVVPNSTEETVTEKQKARMSEDGSVSNDGIKNDSSNQQQAVSRYGEKEDICSMA KSPPDALQSKERFDALVRDRDFLRAEVTDMRRSLEEIQSKHRADMEALQHKLNDAEGK KEHAESQFQKLLERVNTIKSQLGERLKEDAEELAQARLKIGELEEQNAALKDNFQGKC SELAELSEANEHKSKEILTLRDRTNLSQQNWLKEKEELFEQQSYLQSEFEQAKEAMHN WEVLAMEERSIRETLGEKVVDLEEQLASLRDAYERTSDERDSQLSAVDGLQRALQEIQ TARRKELRELVESSDSQLEELKQALHCAEKKALDADKALGSAQKELERVRPFEKEVKE KNLLIGKLRHEAVTLNDHLTKALRFLKKGKPEDNVDRHIVTNHFLHFLALDRSDPKKF QILQLIAALLGWTDEQREQAGLARPGTSSTSNKLRVPSTPIHRTPSTPTLATEFLDNG NSNKESLAELWSNFLEQESQATQEYTQPKP AOR_1_2890174 MVYYFTSNVVEPSAFIYVGKDKFENEDLIKYGLEKDVCFMLITC PVHMSIFVSVIVNHGTISHNPFWKTAHSLQRRILLRGIRKTTSQSSIRHGRT AOR_1_2892174 MAARHSRRLLRPLLYTSAAAAAGAGVLYISYRPRNIPGSEAPAV PPPGYHEGKLVPPSFPSIKSRLEQIQDLKRSSSGDDSDVYDLLIIGAGATGSGIALDA ATRGLKVAVVERDDFSSGTSSKSTKLVHGGVRYLEKAVWELDYNQYKLVKEALRERKY FLNTAPHLSSWLPIMVPVQKWWQAPYFWVGTKFYDYLAGSEGIESSYFLPKSKAIDAF PMLRKDNMIGAMVYYDGAHNDSRMNVSLAMTAALYGSTVVNHMQVTGLTKDASGNLNG ARVKDIIPGKNGQEEGEFTIRAKGIINATGPFTDSIRKMDEPDVKEIVAPSSGVHVIL PGYYSPSDMGLIDPSTSDGRVIFFLPWQGNTIAGTTDQPTEITTQPEPSEKDINWILS EVRGYLAPDINVERSDVLAAWSGIRPLVRDPKVKSSEALVRNHLITVSPSGLLTCAGG KWTTYRQMAEEAVDEAINVFNLKPRHVSQVPDISGVGGSGLVADGAVLDGSCQTHQVR LIGAHGYSKTLFINLIQHFGLETDVAQHLTQSYGDRAWQVAALSSPTNARFPVRGQRI SALYPFIDGEVRYAVRHEYAQTAVDVIARRTRLAFLNAEAALEALPNIIDLMSEELNW DNKRKDLEWKESVSFLSSMGLPKNFLGLSRAQVEAGKVKQVDSAEHQASSRTDPPADV LNSDLHPETSVASEASSRLISSESAANK AOR_1_2894174 MAITATLFSIQKSLIHTPLQSIAIAALFTSCLYFIVNEFIRAFS RVPGFKGPRGLPLIGNIAQIRKNAAEQYRIWSKTYGPVYQIQLGNIPVIVVNSAVSAK AIFGQNAQALSSRPEFYTFHKIVSNTAGTTIGTSPYSDSLKRRRKGAASALNRPSVES YVSHLDAESKAFVAELLKYGEGGNVPVDPMAMIQRLSLSLALTLNWGVRVVSQEEDLF NEITHVEEEISKFRSTTGNLQDYIPLLRLNPFNTNSKKAKEMRDRRDKYLNGLNRDLD DRMEKGIHKPCIQANVILDQEAKLNSEELTSISLTMLSGGLDTVTTLVAWSIGLLAKR PDIQDKAAEAILNMYGPNQPMCDAADDQRCAYVAALVRECLRYFTVLRLALPRTSIRD ITYGGNIIPKGTVFFLNSWACNMDPDTWSDPEEFRPERWLEQPDAPLFTYGLGYRMCA GSLLANRELYLIFMRTINSFRIEPYDEIDWHPVHGNADPTSLVAIPKKYKVRFIPRDN KVLEDVLGSAFSLKRVI AOR_1_2896174 MTYTSRPTSMLPSVSSTGSSFRQPSTHHAAPQQQLSALAARIAS KKAELDNLKQLREMSGALAMQMQVLEEKIGTLKDGTEAVACVLANWDNVLRSISMAST EAVNVQRPTVQNPNTLSEGDKSVDTPMPATLVRIPADQAASARE AOR_1_2898174 MARLNESTISTESVEILKRRFVRQNREIARVNSIQSLRIRSLES EVSHLLSENVSLRERVINLSQRIERFEAAELFQNGVYDIKARLDNKLMELGSLVADLG ALPRKFNKSASRITEVAHQERPGLDSRRNIADLEAYPAEQDGRLPVILEDKYYPQNTL EPQEMEELMNNNMNPPQSSGQEEPSISQIDSMADDTYSTLSEAVLDTQEFDGSPGPNE ALLPPTLETRKKRKARSGKVREEISDMNSGEFPTRRESNFLPNPGSKRKFPGSDDSDF ASAPPDEDDFQFTRPTQMPQLPHDQLLLTRSDQSPIKRQISQRGGSKGDSRPKRKALE SKSTNLSSIEHQAIGAHGQDCKTLARMNKPTDENGPIDPAHLKEAIHGQKIALNERPH CNGSDKARQEEDGDEYYRNHSHVAEDTSRSSSPPVDVSRVQHELEASISLPNASSRPT RRQRSVVSYAEPNLRDKMRRPTDEFIAAVGGDHHPRRTSGSIPGRTTSNDEFDERKGG KINARKKRDSDMEGRDPSAPPTASSDNLPRQPANLMSRKQRKASLASREDAPPVDGPM MNEINEAQACQIAGGRELDGVSSDQPMAEVQMGSTGKHRSPTATDPSDMPMELSKNHL GGIIILQNTG AOR_1_2900174 MSRSRQIAPSGTSQVESVPQQPNNTSTVRVPGTLRLRAENEPTV ESNTEGRGLHRHIRWSEDVIDNEGMGKRSSKVCCIYHKARPVGESSSESESSDSESSD ADSDNEIDNPRNTLGRSSVHHITDNHSHEQESEHDRERGRLTCCPNHGHRKLKRRRPS PNAYEKMPKTTKGR AOR_1_2902174 MSVSIQELDNTVQAFYEGKGDLQKQAQQTLTEFKQNPDAWLIVG NILQESSYPQTKYLALQVLDDVIMTRWKVLPREQCLGIRNFIVNFIIENSSSEEKLRS ERAFLNKLNLVLVSILKQEWPHNWPTFINEIISSCHTSLSICENNMAILRLLSEEVFD FSQDQMTSVKARNLKTSMTQEFSSIFQLCSEVLNTANQPSLIKATLETLLRFLNWIPL GYIFETPIISTLLTRFLDVPEFRNVTLKCLTEIGGLQIGNPYNYDERLVHMFTETLTV VSKIIPLSMDLKQTYAKSNSRDQEFVLNLALFLSSFFSAHLNLTEKLPNRDYLTHAHF YLIRISQIDDREVFKICLEYWTRLVQELYEEMQQLPITDINPLVSMGVSGLSNGGAPH PSTLANYPLRKHKYEEVLSSLRTVMIEKMVRPEEVLIVENDEGEIVREFVKESDTIQL YKTIRECLVYLTHLDVVDTENIMIEKLAKQVDGSEWSWANCNTLCWAIGSISGAMNEE TEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNKL FEFMHETHEGVQDMACDTFIKIANKCRRHFVALQPGENEPFIEEIVRSMRKITCDLSP QQVHTFYEACGYMISAQGQKGLQDRLIENLMSLPNSAWDAIIGQANQDPSILQDGETI KIIGNIMKTNVAACSSIGTYFYSQLGRIYHDMLNMFRASSQLISDAVVRDGEIATKTP KVRGLRTIKKEILKLIDTYVQKADDLEMVNANMVPPLLEAVLVDYNRNVPDAREAEVL NVMTTIIHKLHNLMEDKVPLIMESVFECTLEMINKDFHEYPEHRVQFFKLLQAINLYC FPALLKLDATQFKFVIDSCMWASKHDNREVENTGLTMCLELMNNMAETDTQTSNIFFR QFYIPILQDVFFVLTDSDHKAGFKSQAMLLSRMFYFVEAGKVQDPIYSPEQAPLGTSN KDFLQEYVANLLQNAFKNLQEIQIKQFVIGLFAFNDDFNKFKTHLRDFLISLKEFAGD NAELYAEEREQALRDAKAAERDRAMKVGGLLKPSEMDQEDEL AOR_1_2904174 MTAVTSASMINDHESEGSRTDHSPSRFTAVNGKDSLISATPTSV PAVPGSATDEDSREPSEHCGRNGYDDTTHRHEERMRENGNIKNDQEDRRSQRSSSQCA VSVTGRSKRKRSGSGEHEDDAHTTHRAPKSPASHLDDTTNQHVHPCTSNGSTTSQADQ DFKNTSPSVYARPEGSEDSRTSANTTWHEYDAQLVSQAQRAQQIDASDAQLAEALQRE AQGHDVTQKNWTTVSRSIEGTTQNEQSSSSLAGFSQERPQTAVQVAPKRKRVFSNRTK TGCMTCRRRKKKCDEQHPACNNCIRGGFLCEGYSSRSTWQKPSSGKTPVPLQSKEGYA DVGSQYVHDISQQHDRQQSLAEQLEGGKVRSIIVEDNDRTTTHLNNSSPTGVGSGRGS WSKRSWPNTGHTTYITDHIAKSDYRDVPSIHELPRESHSKTDYQIVPPIRELSHGTAH GKPGVSLFQGGIDQRPTLATNVDTSSPQAQARMALSIEHQLSARTIPGEETEKDKMIR GELYRPFDVHLVEERERCKAALWRFNSACNPVSGLSNKEQNRLLKEILVPPTSAVGSP SGVTSPRPTGSIGQGAVVEAPFQCHYGYNVHIGEDVMISESCLFVDDCPITIGAHTWI GPRVTILSSMAHANMQERKGSQSRHQGRPVTIEEDCYVGAGCTIYPGVRLRRGAYVAP GEVVKSDIVAYGFQGLKPSYM AOR_1_2906174 MSFSNLVSDLAFRDSHDDRSSQISQVQSQATARSYTSTAATSVS ISGDISSQLHSGYSHPLSRSWQAERQLTKEMLIYPLFITDNPDEETPIPSLPGQYRRG LNRLVPFIKPLAHKGLRSVILFGVPLHPSAKDALGTAADDPSGPVIQAIRLLRSRFPQ LYIVTDVCLCEYTSHGHCGILREDGTLDNTQSVDRISDVALAYAAAGAHCVAPSDMND GRVRAIKLKLIEAGMAHRVLLMSYSAKFSGCLYGPFRDAAGSCPSFGDRRCYQLPPGG RGLARRAIQRDIGEGADIIMVKPASSYLDIIRDAKEIAKDIPIAAYQVSGEYAMIHAG AKAGVFDLKSMAFESTEGIIRAGAGIIVSYFVPDFLDWLSK AOR_1_3248174 MSSTVGKTITCKAAIAWAAGEPFSVEDVEVAPPKAHEVRIKIIH TGVCHTDAYTLSGKDPEGAFPVILGHEGSGIVESVGEGVTTVKPGDQVIALYTPECGE CKFCKSRKTNLCQKIRTTQGKGVMPDGTSRFRARGKDILHYMGTSTFSQYTVVADISV VAVTTKAPTDRACLLGCGITTGYGAATVTANVEQGSNIAVFGAGCIGLSVIEGAVKNK AGKIIVVDVNDGKEQWARKFGATHFINPTKVKNGKTIQEELIELTDGGCDYTFDCTGN VGVMRAALESCHKGWGESIIIGVAAAGQEISTRPFQLVTGRVWRGCAFGGIKGRSQLP GLVDDYVAGYLKVDDYITHRESLGTINTAFQHMKSGDCIRCVLDMKV AOR_1_3250174 MSSKEKVVIIGGGWGGYRLGYGIDHRKYDITLIAPDNTSAVTPL LASAACGLFDPRLAHEPIRRKDFHAKYIKAFVVDIDFSRQVLVCQPAFEELKEDRFEV SYDRVILTPGCRSNTFGIPGVAENAIFVKTVANANTVRTRLNDILEMASLPGISEARQ RQLLHIVIVGGGPTGIEVAAELTDLFEGDLGILFPHLKGLTSVSVIDVAPQILAPFDQ RLSEYACSALKTNKVKVKLNCHIVNVTKDTIETRESGITGYGMLIWATGNRSIPLVDQ LQLRKTEHGLVRILTDDHLNVFSPDGNVIPNVFAMGDAADIEGGTLPTTAEVAIQKAD YLIRLFNSGLKDTRPFKYQQRSLVTYTGAWDGVVQGQREYTGYGAWLSWRSGNFFWTR SWRRRVLMCYAWFMDWLDGREIIRN AOR_1_3252174 MTLGAMCGAFANGPISDSLSRRWSILCANIVFLIGSVIQCAAEN VAMLFVGRFVFGCAVGMLAMVVPLYLSELATPNNRGALVALQQLSITLGIMSSFWINY GTQYIGGTCREEEAKQVLVRLRRLTATDYRLTLEFLEVKAARVFDEESRLAKYGDNSS RFQIAWNQYKELFTVPHLRRRTTIACLLQILQQFTGINAVIYYAPQFFEAIGLRGNSV NLLATGVVGIVFFICTIPAVMYLDQWGRRKTLILGSIGMSIAELIVATFIACVNWVMP SEMFPPATRGKAVGVAIAANYLSNFIVALITPWMLQSITFGTFYFFLVFSITLGVWTY FCVPETNGVPIEEMDTLFGGNEGEADLQRIASIRARLGFETSEDRKMVLEETKHDSVE HCERVD AOR_1_3254174 MGRRVWWYLIVTDWLLAVSEEAAQHHVDLLDAGLHLDSPISQPT EMSYFLQRLRLAEIPRGIVDHTPMAVTSAGGASYYTHVTAIDFELDQMIHDIPSFFHL DTYKCSSDSTTSGIFIQAYLPSSVIHTQRCKLYLTYSRILHPDRTTTWPTRPHETCLK SARQLIRAEAQLERAQHPFVQM AOR_1_3256174 MARIVLLADACVNGTGSLQDEIRYGEVAEALRILEDARSHSLAA ANLLQSLMQVLEKHRAYTTPVMSVATAQMYQRPDTLSLSGTPNPVDANRSGPLGAVPD QTPVTTGQSSYGNQLAQSLEELVDIDGFQWDDLFSGMDGSTFF AOR_1_3258174 MEMCETVRNARLHNWFAVLECCFDSPVDLVDLLVAAALINALYP TRMSDDAPYEEEINKLVAEHGAVPPPYVTFPDIHPFEISWRIGSGESYLMMYSAWSAK EGMGEAQWIEYFRKFPPPPIWLTWAIDCIWSVAEKVEENIGENSDDDHDEFNLDPLEF DYWCYFRRTAALGFGTESDCKRAWEEWVPEI AOR_1_3260174 MPNTPCPVESDGSREGCLRLDRKERTKAETRGIHRISTSELLEQ ILLHLDMQTLLVSAIRVCRAWNSLITKSPRLQRALFLLPEDDRDASDTNTVVNPLLEK HFPPFFTPVYDIGCPFPIQGLAPDEGCYDYLFEDISYNGMSNFKSMPVYQLSMANNDF IDHDGQDPNANAKARENNPYLRECASWRNMLTSQPPARKLGYCMLSTGGNSTIASTKI LSIKCRKDGDDQGGSIEVNSAANQNPPIRMANLYAQVLLYMGSKHFGFKIMCNLQNHD RDYVTQYASLPYYPSFAWMEDNIGVLYDQGADIVLQHVVRPLGCYFMGPNPEAGAVWR RCQPENLQSGLHSEQISKDWR AOR_1_3284174 MDKIQLSQDAFNRLARAGLHDSIVGCLVGSALGDAVGLYTEFLS GDMSAAAYPARKFVLSPQSQATPFRRDSHRGPHRPGEWTDDTDHAMLLLLSFLHTDLK TLDPTDFAARLHVWVQFGFLPLDTLPLGLGRAVGAIVRTKTYLDDPEAAARRHWTNCK YNVAPNGSLMRTHPLGLVCLDRNLDETFDLGAAFSVVTHVDPRCVASCAIGTALVRGL VLREIHTEADIDSMIGAAIHWYAKYRVRALQEHPERRDEPDLDVSELRRHAKVESLDD LELDDSGKIGYVYKTLGAGVHLLRLAMRDTATGMLTSRALAFEPLITDLIMRGGDADT NACFAGALLGAYLGYANLPLNWRNGLRHGEWLLGKAEGLSQMLGVADGEYVGSADRET ARDGGRGGMPSEADNGEEGNGAAGMDGGARARGQAESQQTGGQGLVQVEEVAMSIHTI VDM AOR_1_3262174 MDSSNFAPPCRQLTPPFHQIPASCSTVDVRVIDTNTYLYLKPGA FYEPAIPSDGPRVPSYCFLLSHGDRHLVFDLGVRIDWQNYAPQIRHQWVDNRSSDIEA IVWSHNHFDHTGDPSRPDGTVLDSDAVGREIREINFDNTGLRIGRLAAFDYFGDGSFY LLDAPGHTAGHMCALARTTAYPPSFVFMGADACHHPGVLRPSQYLPLPRPLPRGDPVG CGGCPGDLLMQLASWKSPNEPFYHLARGQFFPDYAEAIDTVAKIQELDAAGNVVVLLA HDNSLEEHLPLFPQLLNDWLVQGLRDTTIWSFCKEIGHDQWV AOR_1_3264174 MQFKPLRWYTINNLLTHPATLQALSSELITANLTLPYPKWNEVC DLPYLDACIQEAVRLHPPFALVLGRVVPAGGVTVLNHYLPEGTLVGGNPYVVNRHAET FGPDVEEWRPERWLEGEGRKRLEQSVPTFDAGRRVCLGKYIGILELKRLVPFLVLKYD MKIIDPERFSVENGFFFKQRGFYCNITRRKEGSDRDKADPK AOR_1_3266174 MSLNMNIKEGNEEHHSLMDSTHRGMSANAAPETIELTVPESLKR EVYWGMLRWLLSFILLGSTTAILVSKSVPGLVPFAIVNELCVGLSPCDSYLQP AOR_1_3268174 MASATTANHAMSVAEMRVSHVVAAVVYFGTSAGVATTLVSDIAV RRGTLLLSWNETIK AOR_1_3270174 MKSPVSFVAIMLFCISGARASFTDADKQRAVSLHNNHRSSVTPS ASNMKPIEWDEGLATAAQQLADSCKFEHNRAGQNLYEGSDPADLVKQAIDAWHNEHKD YNYDSNTCGPNAICGHYTQVVWADSSKVGMAVSSRKCESGMYIVVANYDPVGNYAGEK PYKQQ AOR_1_3272174 MESPEYELLYLKENPKAIFFTDFDGTITLKDCNDYLVDNFGFGM EMRRKLEMEVMKGHMAFSHLTNSTNRDAFHAMLQSVQMPLADCLRIVQDNIQLDPHFL DFYYWAKGCNIPIVVLSSGMTPFITMLLESVLGSNPENIFVVANDVEPHSFGDKTSGS GWRIKYRDDSAFGHDKSLEIKPYFGLPSGNCPLLFYAGDGVSDLSAASQTHVLFAKEG LDLVDHCKERGIPFIPFDNWSSILDTMQGIYEGLSRAGITGYSNVV AOR_1_3274174 MGSAAEPAYLYKNVTHDPTVPSVKSAEGIYIFLENGQKILDATS GAAVSAIGHGVGRVKKAIMSQLDQVEYCHPGFFPNTPAMDLADLLVESTGGKLSRACI LGSGSEAVEAAMKLAYQYFEEQSPNTRRTRFISRHGSWHGCTLGALALGDFKPRKTRF NSILTSNISHVSACDPYHGLMENEDPETYVARLKDELDNEFQRLGPETVCAVFLEPMV GTALGCVTALPGYLQAVRDVCDRYGALLVFDEIMCGMGRTGITHAWQEDGVAPDIELV GKGLAAGYGTISGLLVNDRVLDGLRHGGGYFVHGQTYQSHPLGCAAAVEVQRIIKEEN LVENCRKMGQYLGQQLKLHLGDHPYVGDIRGRGLFWAVEFMADPPTKTPFSPAFTISK RMQSRGMERGYDICLFAATGAVDGCNGDHVLLAPPYIVHKEDVDEIVSRLVRTIDSVF EDVAALVM AOR_1_3286174 MSTQQDLASQAPLLSDSAYVSYGSYQADGRDRDNVSEAGDSYSR QQPERWNEPSVNLWRVLATFYSFIVVGANDGAYGAMIHYLGRYYDADYTTVSVVFLSP FLGYATAAMANSWIHERFGQRGIALLGTGMHVISYFATTQHPPFPLLITIFILAGLGN GIVDASWNAWIGAMHNSSQLMGILHAFYGLGAALAPLTATYVITQRGCIWYHFYYIMG IAATIEFVTSVAAFWSARGSLVEASELGVPGDNVQQDDRDSSRRNTTLKNPTLESLGL VSTWIISLFLLVYVGIEVTVGGWVFTFLVDLRNTPPSVAGVVTFMYWGGLTVGRVCLG FITPYFKRQRLVIVVYLLACVVCHIGFWLATDLHLSMIAVTLLGFFLGPLYPEAVIAQ AALLPKHLHVAAVGFACALGSAGGCIFPFITGAIAKAHGIKVLHPVVLAMLMLCLILW FALPGQRRGTKEAASPAWSSSPTLS AOR_1_3278174 MTSMNKVFSGYYERKARLDNSDNRFAKGIAYVQGSFVPLADARV PLLDEGFMHSDLTYDVPSVWDGRFFRLDDHLSRLEDSCEKMRLKIPLSRDEVKQTLRE MVAKSGIEDAFVELIVTRGLKGVRGNKPEDLFDNHLYLIVMPYVWVMEPAIQHTGGTA IIARTWGDLTRGLFEAADRGADYPFLSDGDTNLTEGSGFNIVLVKDGIIYTPDRGVLE GITRKSVFDIAQVKNIEVRVQVVPLEHAYHADEIFMCTTAGGIMPITKLDGKPIRNGE VGPLTTKIWDEYWAMHYDPKYSSAIDYRGHEGN AOR_1_3288174 MNVCKGAMKQKPRMGLKWASVLLLIGLSKAEKSIVHGSLISAVA QGASLGIEGSGAPVDTGGENTGLYQSPPYNSARIDRSSWIATCDSELVGHECINAIDG DNSTYWHSGDDTNGIASLPHNITINLGTVQNVSGIAVWPRAVEDGWIGTHDVSLSTDG VTWGDPVAHGAWWPDSTVKLAVFEPKAVQYVRLIARSSSNGDNATSIADLQIWSANSI PTAPQGKRLSEVGAWGPTIDFPLVPASAAIEPSSGKVLVWSSYRKNQYGGTSGGLTQT ATWDPNTGVVSRREVSDTEHDMFCSGISMDVNGRVIVTGGNDDTMTSIYDSFSDSWIA GAPMNVERGYQASTILSDGNMFVLGGSWNGPQLQNKNSEVYNVTADTWTQLPNAGSQP MLTHDNLGPYHADNHGWIFGWKNLSIFHAGPSQAMHWYFAQGEGNVTNAGNRSTDYDQ MSGNAVMFDATGGRILTFGGSPNYEDSDATKNATLITIGDPNTPPVTVKAGGDMGYAR TFHTSVVLPDGSVFITGGQAHGLPFNEDTAQLTPERYIPEEDRFVEHFPNNIVRVYHS WSLLLPDATVINGGGGLCANCTANHYDAQIYTPPYLFDADGNRAPRPHIETVAPASLR YGGQITITADSPISNASLIRYGTTTHTVNTDQRRIELVLEDAGTNMYTADIPNDPGVA LPGYYMLFVMNANGVPSVSKNVQITL AOR_1_3282174 MASEENLTTGVNKDNVQGNIWPGLPKEFELFYFFKISKTDSFRR HLHYLIPHITTANSALKSRDQIAKHKAAVSDGLTHPATIPLAGVNIGFSANGLKKLVK NESDRLKAGPFLGGMLADLQGGTAGGEGRDNPDDWEKRFKDNDIDGIILVTGDSERTA YAKLYEVKSHFIGFFWFNSSIEDRFTIPGYKRPGQESNKEHFGYREHISQPQLVGLDP SPTGDKEPPPVPPGYIITNTDQDPSPQPDWATEGSFLVFRKLQQLVPEFNKWLNETAP KHDLTADQLSARLMGRWKSGAPLCHTLWKDDPALAENNNFDYLPTNTQEHCPFAAHIR KARPRGDLADKFSSAIIRRGIPYGPEVTKEEQDKQTTKEDRGMLFVCYQSNISNGFRR IQEQWCNKNTFPSGKKRITGDPGPGADPICGQPNGPDPFVMGLCDGKNKNIHVDLHCC VIPRGGEYFFSPSIAALKNISNGST AOR_1_164 MATPTTKPAWYQTSVTAIDPAAQSMLENYSGLKPEEVIPHVLTL RDEAFQIFPYPCIGQMRFLSCHLSRLPFYQHVLARLRVSPANGFLDAGCCVGQELRHL VYAASIPGSQLYGFDLEAGFFELGYKLFRDNADAFPATFVGADLGGSDEDWEKAEIVG TMKGKIDVVWAGSLLHFWEYEGQLRGVERLIGLTRGEPGSIVCGRQMGSTVAGVYDLN GLTDKTMLHYRHNVESLVEFWKEVGVRTGSKWEVQAELEIGETTTNMRDKAKFMDDNT RVIWWCATRVE AOR_1_2164 MSERMSSSSSAHFSTLTAAELVAWSQSLKPVVLGLCITMLALGN LGVILRIWAQWRIQKRPMQEDYWLVMAVLFANVVSIATIVAVHYGLGYHIFRVESEDP SRQALKWIFLCVWLTAAFNGPSMLATKVALLLYYRRLFIVAQIWLRIFWWTNMVYVVL WGIGSTITYVLSCVPPSFYWERFDSQSTMHGTCHNTTIADGLPLILDLVSDVAILILP IATIATLQMPLARKSGLMIVFSVGFIAIVSAVARVVVLYKETNLHSDFTYAAAPFELL DVIQLNMAIVCATAVPIASGFRVAFSKKFRSSKSWTAGGVGGNTYHSRVSTGAARAAR LRREEEASSSTEQLHMGHFTTSCASKDPEDRARAGALVSANGIVVKIDVDIR AOR_1_1356164 MSKDQLQSNSPESIHETRPHGEATSLLELLQRAATTSAGVTFYK TSPSDTEAGERLSYYQLLDQARHDAHWLQTSMPNLKRDTVFLLHFDTHEENIRWFWAC TVAGYLPCIVPKLAVSTDRRIAQAKHILQMLDDPVVLSNSRMACAFQGVDTPRLYRMD QESALTSTTTSSSSSASIRLPMGRNQRADDLGALMLTSGSTGFSKAVCLRQPQMLAAA AGKTAHCRATSQDVFMSWVALDHAVNLVEIHLQAMRLGAEQIQVATDLMVAEPRRFLD LVDRHRISVTFAPNFFLALLRDLVCYPTPEQKAKPAPTWDLSCLRCVFSGGEATVRQM TVQLIRALEPYGAQTFIRAGYGLTESCAGMAWDLVDRTLDDLRDATSEFMSCGRPIPG VEMRVLRETDKSEAATGEEGMLQLRGTVLFSQYYRDPAATRAAFTSDGWFITGDNAYL DVHGQLYVTGRTKDTLLLNGLTIFAVEVEHSLEQARIPGLTPGFTLAFAHRPAGAVTE SYCVVYLPSYALDDAAARVATNEAIERIASLAVHVKPIAILPLPHNYFERTSLGKLSR AHFRRIFESGALDSEREAHETSIHAHRQAHRQAPSSPTEQTILTLVCRRLNIDPDSVS VTTNLFSLGLSSLDFYTVTQDLQQTFEVVFTVPDLLMDPTVTGLARRLASKQQASPIP ALSLVSDTTNEYDPVVPLQLSGPKAPLWLVHPASGNVLIFTNLARAFHERPIYAFRSR GVQPGESLFATITEMADTYAAAMRRTQPSGPYAIAGYSLGSSIAFEIAKRLESAGEEV RFLGALDGPPDIADLVGRLTWNEGLVMVGYFYELIDEPRCVALMRQLRDVDAELALDV ILGEADKERLKVLRLDRAGLKHVTDVIAGFSSAAKGYAPRGSVEVPVDVFAVDPLLTV TDDRVCWVERYLGQWNKLSKKGMVVHQCVGRHADMLGAKYVNELQLVLRSVLEERGA AOR_1_1358164 MSQNTSINWHVEGMPITLTVASTAEADYDVIFNDLEEIINGPGN QTLQDRVDTTIKCRMDNITLLAHAAQGTTDGIKEGTFQTIDYQLKLRTLSDELSSPEI QNKLRGILYVIQNFLAGQNMKNQPAASISNLETLLGAVSAISNDLSSLRESIQESAQP GPELLLDMDKAVLLQDPQLTTLTLPPSDDMLDAETAGPSQNRQALLLLVRSAADISEQ LTATIEVVNRHFMRSLGLTERMESAKSLSVYGLDSLAAVDFCNWLRQELKVTITTLDV SSAKTLSALSSTTTSTSDLPGTIKFLAIDLSDLRTVKPFVTAFFSQESRLDILYNNAG VACISTVPAHCPGTGAAHGHQLSAASDTAPNSVRVIWSSSLLVDTSALSEGVPPGDLE KPSEDAIRNYTISKTGNWFLADRFAKRFNPASVGTSDSSASEKRVVSITANPATVRTG IYDNAPRLAIWLAMPFFATVRDGAHTFLWAGCSKDITVRDGGRYVIPVGQWHPNPRGD LLHAMTERNNSLDATNYAKGLEERAEKLTREFQ AOR_1_4164 MSAVDVEDDYNIQEAWERACGAFAQTTKVDLTTSPQFTVDEVLD QIRIKQDEGDEKNKKFKTAKDVIGKTLTLITVLGGIAAQGASMVFAPSSLCFNAVSYL IATGAKYKRIFSSLAELFRRISDVLERCKIYMRLPADAVDISLRKIINEELVCFVDIC ALSIKVLKGNKILTALKVFAFDSDEGVSGQLDRLALLVERESEMRATLGFESQKTSER AVIETRDGTQKVNASVDKLLTFERKRDADNAAQRLLSNIDTNLGTPSETYKAIQALYK CRLNDQISGSGEWLQHDPLYTAWADIRRSQFSILGVAGGEGVGKSFLFAAIVKHLQEL YAEATEDMTCTAISYYIFDQQELKDPSLIQALEVLAWQIAKVDMVYRKELGSVKTAGS NQIGSLCRQLFGKCYKTDSTFFLLFDGIDQMDKQHLKEFVQLLEEWQSTACTWPRFTL RILLIGRTETMNKINAQIEDEISVIDVASANRDDMINFINDRMNKMEILGGSSDQVAA LRGDIVETLTKETKGDFVNVGLLLDEISRKKRPREIRDILARSGENRSDTIARKIEML SDTLSDDDISDLNYLLTWVVFAEYPLTLGQLEAALFLKSREPSLRPLAETIKDQYSSL LCIEGVHVYLVSSSMKDFFRTKSDSEGTRDHQDLDTVGDVSEAEVRIVKRFLESVCDP ELFNKFGFDEFFKRKMKRKTVRIAVDAETAHLMIVSACLEIICSKASLDLNPLLRYAE NNLGYHLKQADPSLTQPHQKIAIGPQLVKIFTDDEVIDRWWRASSYRLRVLWIYHDDH VEVTLKWLQDSAVTKNISEEERKWVKSLSSKSELDADLLEHIGRVCARKWLQYGPRDI ADVFNAVRGYITKIENRKDPKIERLINDPDAEQVEASQILDAAQWAQAQIGLDTLGYE ENCNLARTLRVFDKYDEAIEHFKLTSTLAQDNWCSQWGLADCYAGRKEFTTAIEILEA TKKGIESGVIGNAEELKDELAEMIRDLAEWNKGAGRSEITLDIYEKLLQEAPHDYDTA LALMTLLHKQGNYQRLLEFLQSMKNSTDESSGFDRQIQNFHTHYDRPEYHEALFASIR SDQEFNIVLKSYEAAINAAKIRVAQGKKASRPEEEWRAQICQIDLMYHLALLYYDNSA GDLNRVELAINQWLQILHMNASDDWIVADRQARTASELAIVCFEKARQYPDTAAIYLE HLENVVALKLGDDTIHGTYPARLLARYHALHGDEQKIKNVLRRYIKLNLDLLSDDDPL NDWQGYNGLAMHFMFAGHDADALAAWSLITPNDTTENTETPTTSDTSERKIEGPLRDI CDGDCGTYWTFANNFFLCKECNYIKFDQRCLDNLRNCTAEGRICNKDHEMLHVPAYDP MERRRIGDGNVKVGEEILSVNEWLQRIRKEWGIQSAEEFGKR AOR_1_1360164 MLSPAVCYHTDEGKNGYTFPNLETPKNGVVQTPVQYLIQTAPLH DFCSHQMVDLHLKADAIYGTKSKSLLEGFISEVKKSQQSTQLENERILTEKLLLPLNP RGTSSLSWLQNDLLCATGGEHGEQSTGGFELAQCGSWIAISASFNPLIDSTRWVNDYI EVFRSFLVALVVGDDELSAPENYLTPEDCARIRNWNSAVPPEINASILDVFSEQVKAH PGSTAVSGWDASFTYHELEDCADQLAYQLQSRGVGPGMLIPLCFEKSAWTVVAIIAVI STGAAFVLLDASQPEARLRSIVMQTRATLMITSSQKKDLGRRLAPEVVSVQPTKSTKN SERSRTLRPVIKPDSLLYVVFTSGSTGQPKGAMISHSNFVSAVHYRRSELYTVTPRVL DFASYSFDISIESTLAPLLLGGCVCVPSDASREADPSDAIKVFNVNQVMLTPSVARLV EPENVPSLRLLHLGGEQISRFDIERWPSTVKLINGYGPAECTVVTTANTVSPSSPEAH TIGRGLGAVTWVVDPADTGRLVPVGAVGELVIEGPLVGSGYLHDEGRTLAAFIVDPPW LRAFGRRGRLYRTGDLVSYNSNGTLTFIGRKDTQVKVNGQRVELGEIEHNLQQEIYNQ GNCVVDVVVDLISVNSNSGKQSILLAFLGLERAAQERFAASGTPSVQELTSAMWEILE PINLFKVLPRYMVPSIYIPLWRMPLLPSGKINRLKLRSMGESLSVDDLAAFRKPQVAT VDTQGRITPQEKLLQSLWGQIFPHRAGSIQPDDNFFSLGGDSLTAMKLVGLIRKHGIE NKHSETIRIADILQWPRLADMARCITRVDDKQGDVQDALFDLLPDHIAPSNARQIAAP QCDVQPEQIVDIYPCTPLQEGLMALSAERSGAYIAQNIFELSDRTNIDTLRMAWTHIV VSSVIFRTRIVQLDSQTLAQAVVTVPIEWECYDGKLNDFVAIDRHRPMGIGKALMRLT VVTERSEESLLKCFLVWTAHHAVFDDWILNLITSLVGKMYHGRPPSSFHLTPYKRFIR HLQELNRESFSNYWRQELEGTNAAIFPHLPSAVDKPVADATANFAFSLNDSKLNVHAS LPTILRAAWSILVSRHTQTDDVIFGETLTGRNAPVAGVEEMDGPTLTTIPRRVKVQNE MRVAEFLEEIRRHEINSIPYEGFGLQNIQKLSDDARTACQFATLLVIQRDPEVSFDSP MINVTEKVLHEDCGEDGKPYASFFTTYPLMVTISIKDNQINIYASFDSRIIERSQMQV LMHQLEVITRQVIQEPHEVVGGINCLTRKELHQIWSWNRLPWVPVSGSVHDSIAEVSN TRPTAPAVCAWNGSLTYGELDNLSTRLGSYLFKVGVEAESLVPLCFEKSVWTIVSMLA VIKAGGAFVLLDPTQPKQRLGEIIVRAKANYVLTSPLQYDMVSDLASEFNLTIVLVSK SPLDALTDDATVTDRMPQHLDSDRPLFVTFTSGSTGKPKGVISTHGSYLSGVNYRRSI LQLPNLDMRVFDFASYSFDVSTDVILSTLLTGGCVCVPSDFDRKNNIPGAINALRVNA ADLTPSVSRLLSPESVPGLKVLKLGGEANTAADHALWLGKTTLVNIYGPSECLVVTAK TVLPGTDPCNIGRGLGANTWVADPTNHDRLAPIGSIGELLVEGPILGRGYLDDQKQTD AVFIHNPTWLVKGLPGFQPGREGRVYKTGDLVRYNPDGTLHYIGRKDRQLKVRGQRVE PAEIEGAIKRHIQSKLGMTIDVVADLVTSNRDQRKRLIAFLGLNQVLESRGYSEKDHL GDTVLRDIMWEVTAGLEVLLSQTLPPYMVPSVYVPLRHIPLLPSGKTDRRKLQSAAAS LSPEDLSFFRERPKAQNRAIATPKEEKLQKIWADALGVKSIQAEDNFFTIGGDSIAAM RLNVVMQLSKKMDRPLREINCLSEIDTQQIWKWNHQLPESISIPVHEIIAQQAREHPA TEAVCAWDGTFTYRELDTLAGQLAYHLKELGAVSTPGYHIPLCFEKSAWTVISILAVM KAGGSFVLLDVSQPQDRLQHIVSHIKANYILSSPRQSDLASSLAANVVVVSSDFVRSL RQLHTPGPLNPNSALYVVFTSGSTGKPKGVIITHLNFASGVHYRQNVMHMPGFRLLDF PSYSFDASVESNLVPLMIGGCVCIASDELCQNNLSAAISSTNANAVMLTPSSATLISP ENAHSLKQLHLGGEKLTAANIETWADKLKLVVGYGPAECAVTTTGRIVKGMVPQKENI GPAFGAVTWLVDPASHDRLVPLGTIGELLIEGPIVGQGYVNDPERTAAAFIENPPWLL AGGGMFAGRQGRLYKTGDLARYDSDGTLIFIGRKDTQVKIRGQRVELQEIEHHVYQYL RDLTGLGLSIVADLISTCSDIANPTLVVFIELEAVMTQKGHLPDPGPAVLYNEMKSMV PGLDEALRNALPRYMIPSAYVPRWKLPMMPSGKLNRKQLRSDAESLTAEQWNHFRSLI SAVSPAARGREVATNDEAAVQRLWADILRIAEKQIKADDSFFTLGGNSITAMKLVELA RRRGILFNVADVFAHPVLSDLASRIGRVETTPLNQDDLAYAPLQRLMSSNPTLIQGQS SNIPLVMIHDGGGTTYAYHRMGPVNRPLYGIHFPGYASGTAWKGGIKSLGRHYADLIQ KSLLSGPIILGGWSSGGLISLEVALCLKSGPFEVKGVIMVDSVFPAPSLVDETFLAPS SSETNDTAMAADGTLAKMNMFQFNSMLKEYSPPRFEDLFQDLDASKAGLCHEQRSNVN PITEDTHPAERFPVHLLRASSTKASIGELDENMKSFLDATLGWEHYRPRLVSEVDLID AEHYSLFSANTVAETTRHIRDFCDRITKSRKV AOR_1_8164 MGSRSNYVDVLIIGAGPAGLTAANCFLGSRLNVRIIDKKNGIVE AGKADGLKSISLEVLDSFGIGDGIRNEAHRVEEITLWNSDPAGGLSRACIIPDRIPEL GKPREVSLHQGRIEHHMIENLRKRSTIEVEWRKQPVHMDIDLDQVDNPEAYPITVSVE TSEDGSDPGLLWQPMHAERDSIRRPEIVKETIHAKYVLGCDGARSWIRQRLGVSFIGD LTDSTWVPKTSFPDIRKVAVIHSSKGTVMSVPREDKLVRFYIQIDAVNPNAASGLARR DLKVEDLLDAARAIMFPYTMEAAECAWWSAYRVGQRVANEFARHGRIFLAGDSVHTHS PKAGQGMNTSIQDAYNIGWKLRACAEHHCGREILATYEGERKPVAEALINFDRDYLKH FAKQHASNHAEFLDAYLKGQKFTTGIGIRYPPSLLISEDVDARSLHGGLVPGLRLPDF QVVNQSDGVPIRIHQRLRADGKFRIVVFPGDVSQEHAMSRLNQFGAWLARSQLDVEVS HSDGGSEEFFIETITVHAARRADVELEDFHEALHPWSSRHINGVGSAEITIVW AOR_1_10164 MTAPAGSTEKSETSETTTLQTTEVSTVDVDPDLPRVLAKVPGTV WVVAFIAAAERFTYWGITTPWQNYMQNPPGHQSLPGALGLGEAKASTIYNVFMFFSYL TPIPFAIISDVYLGRYRTLRLSLIFYLIGCVILIATSVSSATEYRVTFGGLIAAMVLI GLAVGGVRATVTPLMMDQYAPTEVKVTTLPNGKQVVYDRGLTTQYIYNAQYWLVNIAS LSAIPTTFIEKNVSFWAAYLLPSCFLAAGLVLLIIGQKRFRKLPPTGNILPKAGSVLS CAIRGRFQLDAAMPSYQREHFAKEVSWDETFVNEIRRGLVACRVILGFILFFTCLSQA SNNLISQAGQMKTYGIPNDTITAMNPIFCVIMGPVIQKGLYPLLNKNNVKFQSITRMA TGFIMMSASMAFAAGVQKIIYDTGPCYDRPLTCPGAENGRIPNQVNVFLQTPTYIILA VAEIFSFVTLSEYTYTKAPTDMKAVVQALGQLGAAAGSAIGIAITPLAHDPSLIWMYT GLAVAMFLVAVVFWILFKKYNAIDREDK AOR_1_12164 MTMLSDLPKIPTLDWADFAEGDTDQRLKLAQGLVQGFKRFGFVK LVNHGLSDELIQQLFAEVKRFYRLPDELKQKAAHPPGPNPQRGWSGIGVESTSKLYGE QTERPSGKLKDAKVGTDFSSSKSSRELTHMKEHYDIGPPTDTQFPTRWPDEQDIPGWR AFMESYYARGQSFCLDLMEALEIGLELPKNTLRSMCIPDGSELRLLHYPEIPAAELRT GDTARIWPHTDFGLITLLFQDGVGGLEVEDPLQQGHYIEVAREQPYEMIVNVSATFER WMNGVIKAAVHRVNITPEGKHVEDAVVPERWSAAYFFKAHKMAHAGPLPAFVTPERPA LYDDITALEFQKRRTDLVYTGQQLKVEEAA AOR_1_14164 MTEAQGPVVSIGSATVTYDHPPIISPVSYPKARPTQILDIRKRT SRIDLYHEILAGLRAKDKELPSLLLWNDRGLDLFSEILNSDEYYPRRRETQLLQTHVN EFTRSISSGERLIELGAGNLQKTVSVLRCLEQSRKHVEYCALDVSHAALQASITELKA QLPFASYVTIRGLLGTYNDCASWLKQSGATVRTTFLWLGNSIANFEPEDATSILADFL QTKASPSHSPQMIIAVDGCQDVEQILEAYDMPNKLSQKFVFNGLSHANQILGSEVFRP QHWTFEGKWNPVKSMHESFYVAKKPMSLDIGNERFHVHAGEKIRAITSGKWPKDKVTS ICQSAGIKVLKGWTDEEGSYGLYLLCQDQLQVQL AOR_1_16164 MTATTTTTSQIFVSEDYNQDPNWYAVDNYTLSHLQPPTRPNHAS LHQTLANSAKRGLEDISAFPTQAKFMALQCQLGGVKHALEVGTLGGYTAIYIASLNPD IRIVSIEIDPKSAEVAKENIAAAGYQDRIEVLVGAAIDLLPILQAKVESGEQERFGFT FIDANKDNGWDYFDYAVKMSRPRASIIVDNVVRAGKLVQEDYIKNDINVRGSRRTVEN VGKDDRVDAVVLQTLSEKSYDGFLMAVVK AOR_1_18164 MPAPKSIIIVGSGVFGLSTAHAMSQNNEFASSKITLIDSWNFEP SGPSASAPNPSAANFDTSRIIRSDYSHRIYATLAREAQQKWKAEWGADGRYRNQSIVM IGEGHSMKQPMKALESINYVKHAYAQSYERAGRNSDIVHILDSESAVWEALGLGTPDE ASKAGPNASELRGYRNHNCGWAESGATMAWLRQKTIHSDRIDIHIGQVVGLRVCSDSP SESHVNAEPRVCGVILDDGSQLTADLTVLAAGAMTPRLLGSPTLCDVYSETVAYVQLT EMERRELVRREFPLIVNVARKIFAIGPDNQGFLKLARFSWSGYRDVQKFAGVDVGPRS QAAPQGEDGYGACGDLDQTKLSPDVESTLQDYRGFLRELFRSRDGGDLGCLRNIATRP FAQVRRCWYADTVSTDFIVDYHPAYGKSLFIATGGSDHAFKFLPVLGERICELILQSY NGKAGPSESIQELQRLWKFPGGDSHAKL AOR_1_20164 MSSGEPTTMTPSPSERTPLLSNGSGGAADDGGTTVTISKHNDGV RRIADSLPLSVWLISTIELCERFAYFGTIAPMQNYIQNPRNDPLRPGGIGLGQASATM VNQAFMLWCYITPVLGAVVAEQYIGRVKTIIYSSSVYLCGLVTLFLSSLPTAYAMGIS LPGLLVSLFLIGIGTGGIKTNVSSLIAEQYTGPKESRRILKSGEEVIVDRDLTIQRIF TTFFLYINIGSFSPLLITIIEKEYGFSAAFSLSAITFSIGFIIVLVSRHLYISRDPDS SIIFNACKAFWIAIKHKGNLDYARPSYQTEQAATRRLSWDDSFIDDLRRAIASCKIFI LYPIYWAAYSQFLTNFISQAATMETHGVPNDIMTNIDPITVLILLPVLDRIVFPFLRR QGVPVRHVDRITIGFLVCGISMLYAAFVQRTIYAAPPCYDHPRAPDCMGGQVPNRVSV FLQSPAYVLVAISEILASVAGVEYAYTQAPKSMKSLIMAVYLSAVSAGALIAITVSPL TVDPKLPWMYFTLGVENFLAGAFLWIFPA AOR_1_22164 MTLRTVDFSGFLHGTEEQRRQVAAELVDCLKSLGFVRLINHEVP EKTIEGLLEQSKRFFTLPTSEKVKIANDPGPHPQRGWSRIGMEQTAKLREENLAEAAG REVSDKKEHFDAGPLGDEQYPNKWPESMPDFQPFVQESYQICQRTCFQIISAIELGLG LQAGRLTQCCQPAASEIRLLYYPPTTKNLFDEGLKKRAWPHTDLGIITLLFQDMVGGL EVEDRAAGKPRSFIPVKRVSPNEMIVNTSDSLQRWTNNVIRAGLHQVTAPDAAKLSNG VDMLPARCSSVFFFKAGRDTSVGPLPEFVTEDRPAAFEDMTALQYQQLKTRILHGVEG AOR_1_24164 MHSELDLDEGMIGSNRLRDEPTIKRTPPAIADRLAHDPFPTELW SGLDISHASLDSSAVLSHVPDPGNMVESAAAQRANSNTLPSHQHGWPEDPHGALNYFE ERAHDLPDVMSVSSPTDVRLGLDTVDRRPAAATSRTNQAIHSDTVNMHSQAPGSGETS ERGLYSRASNTVADAAQLCTTQLSELNMRLMKDIESTTSFRQGMSAASDPNYPASGLG ETSPSSSMRLRSPTVELRGSSNSECSYGDLEYSSNEYSCSRSQSRNHSTSASSRSKDG RISAGGGLQSVLNSDTIGLSPSLDPIKADSSALDFSAFLSILSCYTHILRAYDALFTE ILEMLMESSCIQLDLKIHNLVPEVSLGGFRLSGHGDLQIKCLLHMSFIILEKIESMLG VNAPEKDPYGSNGGLLNNSQLRGLLEALYHQKEFDYIRADGTRAARVKKTMKSIQRIL DSI AOR_1_26164 MSRDGFPSMIFFDVLGTIVEWRSCIGNELNATARKALQDQDRHL SADVRARVSDMSTSSWQEIAEEWHRSYMNFGDTYDSSKPFISVDEYNRLSLEKILIKQ RLRDLFNDDDLKHMTLAWHRLDSYSDSVPGLSLLNTKFETSTLSNGNVKLLEDLQEHN SLPFKHITSAEHFGAYKPSPEVYHGAARRFGFRSSQCCLVAAHLEDLQAAKKCGFQTV YLERHLEEAWDSREIARAKEEGFVDLWVEVGGSGLIEVARHFGIEPEF AOR_1_28164 MTKPEAQAEYHEHVQKVEQSEQDVIYQTYASQSPEWHRKMTKQL LRKVDFHLLPCLIVMYLLNFLDRNNLSQARLGTLEKDLKMKGTDYNLATSILFVGYLL MQLPSNLLLTRVRPSLFLGITMAIWGVISACQAATQSFTGLVLSRFFLGFVEAPFFPG AIMLMSSWYTRQELSHRIAWFYAGSSLANAFGGLIGAGVLGNLDGAHGISGWRWLFII EGCITVGISLMSILLLPNYPATTSWLDETEKLYAQWRLIQDAGEADEARSNSLREALW LVFSDKRIYLFILLQHSSLLSQNFQYFFPTIVQTLGYGNIETLLITAPVWIATFMVSL LVTWSSGRTNDRSLHIISLMLVSVVGCVICTATTNIGARFFGMFLMPMGAVSAYQIII AWVANSFPRPLVKRSAAIATANMIGNTASIYGSYMWPSSSGPRYIAGGGATAAIAFLV AILALVIRMVHSQMNKRLDLAQESSQSGIRYIL AOR_1_30164 MIVRINQREAEGAVGVGNDTEILIEPEKEDVPAGKKGGTNGFLV SLAGKVMTEYLMVRVVADELGRSTLQRVLTFGETKLYVLEGIAFIAAITSGVAVAMVN LVMGNFLTLLSDFSFSDARSMPENLMSAVRTSALYFIYIGIVRLMATYIYASLFTYVA YHLTRNVRQSYLRAALSQEIAYYDRGATGSISQQATTNGKLIQSGIAEKLGIAIQATA TFVAAFIVAFVTQWKLTLILIFIVPTLLIVVGMVGGIDATIETKILQIYAHASSYAES VFGGVRTIQAFSLQPRVLAKYDSYLQHAYTQGMRKNKLYGMVFGGQYFVVYAGMGLAF WQGIAMFDRGEIPDLGTVFTVLFSVIMAANTVTQIAPHMVTFSRAATAASELFALIDR QSEINPFDELGYQPDKTTGFIDLYGVRFSYPTRQDVSVLEDFTLNIPAGKVTALVGPS GSGKSTVIGLLERWYNPQAGSICLDGKDIGQLNLKWLRTNIRLVQQEPVLFNASVFET IANGLVGTQWEAASQEEQMQRVQVAAKLAFAHEFIQTLPQGYHTRIGERGGLLSGGQK QRIAIARSVISEPKVLLLDEATSALDPYAEGIVQKALENASKNRTTIVIAHKLATIRN ADNIVVMSKGKIIEQGRHEELVSRNGIYATLVKAQDLAPANIENEDRLESSKTSDRIS EKENYHVGRIQSLARMRTPKTQQLAALSNPEDHDLYDKTDIIRNIWKLLRGTRDIWLW FAVTIATCIGGGNIMSVFTSSNVVTRGNFISLMFFVMSLGILVIYFVMGWSTNTIAHR LSRKMRREILESFLRQDLRFFDRPENTVGALISRLDSYPQAILELMGFTVAIVFMSVL NILVSSILAIVVSWKLGLVGVLVGLPPMMLGGYVRVRIEADMDDKMDKRLSASASVAS ETITAIRTVSSLALESTVLRKYVYELDLAIYQMRRPMFHMMIWFSLTQSVEYFVLALG FWWGSKLINDEEISLYQFIVSFMGVYFSGQATALAFSFASSLTKANQASNYYFWLDSL HGTIRETGGKREEGPKHGCRSYDFHDVHFSYPLAPDHRVLKGVSLSIQRGDFVAFVGA SGCGKSTMISLLERFYDPVSGAITIDTSAPLSSINPLLYRKHVALVQQEPTLFPGTIR ENISQGLPDLGVTEAASDEALEEACRAANVWDFILSLPEGLDTPCGTSGSQLSGGQRQ RIAIARALVRKPNVVLLDEATSALDTESEKLVQGALVGAASSRDRITIAVAHRLSTVR DAKCIFVFYAGKIVEAGTHSELVARGGMYAKMCEAQKLEGAA AOR_1_32164 MLIRKRPQAERKATEGHGLEDLEESCSPPLFGPTPSTPSLSSTP HQGTLPYNPTSAGVHEVHDSSSEAEYEGESLLFAHAVFASRFLQNAIDSTTNPELAQE MQAALDGLKTAVHSGNQQSHTLGTLYPHAKAIPSGSTTRNLPLPSMDKVFMCLRMARE CPQVATLWLGDYIRPSQFNDYFIKIASPGSATEADMIIVHCGLYWLFCECSKAVPDED TKRDYDAQAFLCAANLETVLANLRFHQPTDLDFAYAMGMASLYCLQKNKPSAAWSFIR SASHMVQALGLQHDVPAGTEGPETKAQKRHLFSTIYVTEKMLSLRLGRPSTFRDQDIT LSHFGMERPSGSFLAELAPGWINMASIQGRIYDDIYSPGALRQPPDVRTSRARALAAE LRTVMQQSQDIHNQYGANKGHVLGLDFHEIARRSDRVIGLSMLTLIYRSIPPEKPSMS AFCQECIDAARDTLREHDLCVALITRARGKTVFLEAYINWTITQSPFIPFIILFCHII ETSEAADLQHMKGLVETLESASNSRVHSTCSKQRRLFRALYDVAAQYVEIRSRANGGR GGMSWSVTRQQYADAFASATSNGLGFSTLGSGETVGALGTMNPADASGPMASHDKANG DRVGLVDGLVEPMELQNTAFGDIDIEMDYSGAQLWDWFNKNQAFMRMLEDT AOR_1_34164 MSIPNRCTVLVVGGGPAGSYAAAALAREGVDTVLLEADVFPRYH IGESMLPSIRHFLRFIDLDSKFDSYGFVNKNGAAFKLNSKPEAYTDFIAAGGPGSHAW NVVRSEADHLMFKHAGENGAQVFDGVKVNSIEFEQIDGLTVDPSLSELGRPVSATWSC KATGGKGSITFEYLIDATGRAGLVSTKYMKNRRYNQGLKNVASWGYWSNAGSYGVGTP REGDPYFEAIEDGSGWVWLIPLHNGTTSIGVVMNQEAATAKKRETGATTKDLYLNTIK NTPGVWQLLDKAELQSDLKSASDWSYNASSYASPYLRIVGDAGCFIDPFFSSGVHLAL ASGLSAALTIRAAQRGDCDEQAAVSWHSKKVAEGYTRFLLVVMSALKQISDREKPVLT DFDEDSFNRAFDFFRPIIQGTADVDKNLTQAEIAQTIEFCVQAFQTASTDEQDAVMTK VAAINSQNGTEGALRELHASLSADERRTLTTIQARQIIRSEDQMNIDNFTIDVIDGMV PRLERSSLGLARFVPKAQTSREDGLRATLGLPEKQKSIFSY AOR_1_36164 MVNEVGTVYWPYVAALAVILMVAHRARRQHTIPAVGDANDSNLL EALKEGSQRYPESCFQIATKDIPTVIVPLKCLSTIAYAPEEKLSLGREVYERLMGRYT RMVKSDHLAEFVRAGLMKNAGNSVALLQEEAERTVSSQIGHCPDWKSVALFPTMVKLV SLHISRSFIQSPLSRNQEWIDLTLDYAISTVTVAGKMSNTHWALRPFKGHFLPETADM SRQFTRARELLRPTLEARLQQRDKVPNDLMQWIINNYPDQEDDLTLHTRLQLEAVQAA TYNLAFQLVHFFYDLLAHPEYLQPLRDEILSVSESCNGHWTPAALSNLRKCDSFLKES QRLNPIGIVSVSRFALSPFRLPGGSTVPAGVSVSAPSMMVNLDDSLWTDPTSFDGYRF EKLRTIKGNELKFQYASTSTSELNWGYGTHACPGRHYASNQIKLMIVSLLSRYEFQFD HEQTDKKAIVERPPNVVDGVRIMPNPQTLVMVRSLGNVNEGCE AOR_1_38164 MLFSLGPLTIVYGLVIFVVAKTIYNLYLHPLRSYPGPLLARATR WYYSYYVKIGLLPQKTKELHDQYGPCVRIAPDELSYNTAEAWEDICGHRTGQRTESFE KDLTFFPPAPNGVDSIIVAKDDVHRRFRRLLSHPMSDKALGSQQEIITGYVDQLIHEL RQRSERSEVVDMVRWFNFTSFDILGDLAFGESFGCLGSGLMHPWIELIFTSIKSVMDM QIIRRIPGLFSLILTIAGLQQKQDLQEQFMFCQKKARERYTKETTRPDFMTYILRATE EKGMTPEEIEANAQILIMAGSETTASALSGTLFYLLKNSMAMQKLRQEIHATFQAEAE ITMRSTQSMEYLHAVLQEAMRVYPPVPCTFPRTTPPGGAMVCGRFVPGGYIVGVNQLA AMTSEKNFKDPLKFIPERWCGDERYQEDSRKAYQPFSYGPRNCLGKNLAYAEMRLVLT RLLWNFEFDLLEESKDWHAKQKVWMMWDKGDLKIRTQGQLLVLVDLEQSKAKVGQDIS PRQVIPKGRQVSASDARSIAVAGAALLHTTLEQGYDLIDSDQQQHGAVGALFMSPFAQ DTRYFSEGNFVRLHNHVQGYNAALDAVFEEFHYAVTNENCPASVIVVMGLATAVCLAS YLRE AOR_1_40164 MSSTTSTTERPGSSTKPVRWRSACNQCHAAKVRCSGERTGCDRC NNLQYQCVYAISRVGKVPGVRARGNKAVRTTTEALQRPATASTLPDADSTGEFQTDQR SENDPLSRSDFGEQDAAHDALSPKSHSALFPDWTEASDKSLNAYETADLFILPSQLMS SDQDPSRSRGHSLQAPSHSGHSIADSHTAAMPDGGLFCPFNKPTTPIPALPDLDLHIQ DFHPMDVPMHPVGTPVTVARDT AOR_1_42164 MASSLELPKFEPDRDRLVWYLAYGSNLSSQTFREDRQITPQAAV TITVPGWRLTLSSAGFPYREPSFASIVNVGSAGPTDEKHDGPCELPLHGTAYLITWSQ WIKIVASEGGGIVYKEALLRGQPIQPQDQQRWGAELSVLTLVSTMERWPEPRPSQRYM GLILDGARAADFPASYIAQIRHKHPCYQPPSTTWERIGATLFLGFWTPVLTLLSLLTH AAARAGPGDDGHVPEGVRALVRFAMFTMWWVHDLIWSRIWGRGDGLFPGAMQLNG AOR_1_44164 MDQPSPSAEDSQPERQSTGTRGTRFWAVFVSLCFASFVASLDIT AITTALPTVTRELDGGENYVWIANSYTLASAVVQPLIGQISNIVGRRNPMIILMCLFA LGSGICGGATSTGMMIAGRTVQGLGAGGILLLLEVIVCDLVPLRERAQYVGIALSTCA LGISLGPLVGGALVQHATWRWVFYINLPCAGVALVALVLCLNVQHKREVSWGRALARV DWVGNTIFIAAICAIMYALVIGGSVHPWSSYQVLVPLVLGAFGWVLFHIFEASPYCLE PTMPPRLFRNRTSMTAYVLAFLAAMLMQWVVYFLTLFFQTVKGQSTTMSGVDVIPFTG FMIPSAIVGGAIMSKTGVYRPLHWAGFALLSICMGVFSTWDAGTPRAEWVILQCLVGL GHGLLLTSVLPAIQAALPESDNAAATSAYAFLRSFGFVWGVEIPAVVFNGQVDRFISR VHDATVRNKLAHGGAYSLAGTSFLSQLGDEADAVRSTYTDSLRTVWQVGMAFALLGFA LVVVEKHIELRTTLETDFGLEGSENRAATSVEGVETGPVSKAQ AOR_1_46164 MQLAVLTLALCWAYPVTIYISYLLSRLGIALYRKHKDSTTGAWY EKHRKAAFTAIFWLQLVQCFDLAISITITGYAMNISPQVEAWWVDKEFLASNIAVFMF LAAGLLPDPDVPFSPSLSHSHAWIAGIIMEALQLAMFCNQQSPVSVLSRVEYLQLGLV MVRLVLFVAMVALYFQPMYAWSRIQLDETEPLLGEVDSKPVRDAQHGGWLDYVVGFST LFPFLWPSDSRRLQLRAIFCFVLLVIQRVVNILVPHQLGIVVAHLGSGTIPYQKIAIY IALRALQGQQGVIGSIRALLWIPVSQSTYRRLTSSAFEHVLSLSLEFHLGKRIGEVMS ALSKGSALNTFLDGLVFQLFPMVADLWIAALYFLIQFDAFYSLIVITVTWLYLFVTIY MAKYRGRARREMVNREREMEAAKTDALMSYETVHHNSAVPHEINRFNRLVQAFQKAEY FVFFSLNLLNATQNLLFTAGVAIVCLLCAYQISADMQQVAMFVTLLTYLAQLQAPLNF FGSFYTQVQNNLVDAERMLALFKEKPLVQDRDGAIDLNTCAGRVEFTHVNFAYDERRP ALQDVSFTVEPGTSTAIVGESGSGKSTILKLLFRFYDVAAGSVRFDGVDARDMTIASL RSHLGVVPQDTILFNDTLLYNLLYARPQATMEEVYAACRAASIHDRIMSFPDGYETKV GERGLRLSGGEKQRIAIARTFLRSPQILLLDEATASLDSQTERQIQGALDNIAKGRTT ITIAHRLSTITKANQIIVLHQGRIVEKGTHEELLAANGMYSQMWAKQTKAKEKKDSNA TLVEVA AOR_1_48164 MASAGAGLSKRGASNVDAIMPGIRAALLERTRPTVPRIDLSTAE NWLLRNEVIELTKDAIRDGLKPHHLSYPNEFAGDADLIKALAAFVNEYFHPHIPVEPD HIATAPGAATCLNTFLYNLCEPGEGILVPAPFWNGFDWLFTARSSAVPVMVHVERSAD TLTAKLIPALEKAYEESKIPIRGLLLTNPQNPYGQCYPRSVMEDCIRFCHSKGIHYIS DEVYALSNFENPELPDAPPFVSALQIDVKGIGCDLSRVHTFWSTSKDFGSSGFRVGCS ITQANEAMHVALALASNTESSSLSAVASTALLTSPRLPELLQLNAQRLQEAYCLMTNF LKKHQIEYIPANSAPFLFARVAPQAQTWEDEKAVIAQLKEAGVNVSGGKAYHVNEDQK GWARLTFALETSRAEEAIKRMETVLGKQ AOR_1_50164 MSSTAFTSSLSNWDLYPTNGSITPHLLLVGAQILFLSGPHFHGR RTLAATTILSLAAIAQYNRFTNNPGVANLFALAWPHWLSAVEKIVFASPEGPEADLWR VDRVPREAMSWPVFGWRKVKWAVTLLLNLRGIRWSFQVKNVPKMPERMTRGQFLRWRL GELVWVLLMTDLVSQMMLRFFFTDAAGALGNLDSKYITIRDARWGWSLLKALTFGLGP YFFINMQYLVVSILAVAMGISRPEDWPPLFGKLKEATTVRNFWGTFWHQMLRKSLSTI TGAFVDVVGIRRGTNASSYTQLWLAFTISGMMHALSQLLMPRPGNVTTSEIAVGIFLF FPWQALVITTEDFVIWLWKQWYGSYQPRWAPVVGYLWVIVTFWIALPWPGDSLCHLKM GEVPPLPFTVVAPLVQMIPVP AOR_1_52164 MASESSIPLYDCLIIGGGIAGLSSALSLVRTLHTAVVFDEGIHR NDQAPHLATVPTWDSQDPKRFRDAAKLNILSKYSTVEFANVKLEKVNQLTDGPYKGYF CVWDTKQRQWLGRKVILAMGVEDLLPTIDGFAECWTKGIFHCLVHRGYEERGSASGGV LAIDGDATFFAARHLAFQARNLTDHVVIYTHGNDELAQEVESQLGPCGFRAESRRIEK LVQHPERAQMEVHFEDGQSETVGFIVHRPRTSIRGPFAEQLGVEMTPEGHIKTQFPFN ETTVSGVFVAGDAGSQFKIGTQAVVMGAFAAGGVQMQFNKALGKPFLTKVFGHDYVVL PSKYFDDIKRASPQSLSFFQALSDGLNMEASVGHLYASTTEIDVVVKHLNPRLTQLTP LLCDEAEYAIEREVGALPDWKKFNVSNLIAAIVHRTTNRILVGKELCRNEEYLAITTK FSRSLFISGIFWNFVRLGPLRKLVAWLTIGLHLRDRNAAAKVLLPHVLARRQEKEAGV DVATKYPDALQWTIDTAPSFPGDDEPLHQVYHMLHLTFAASSASGVGVTQCLLNVLAY PEYLEPLRDEISTVVARHGGWTDKALSQMALLDSFIRETMRLHPAGSLTVARTVMDDH FRFHDGLTLPKGTNIIAPALAIHYDPDNYEDAHRFDGFRFARYRQKQGENHRWLASTI DQKFLQFGYGNHACPGRFYAIRKIKLVLAKLIMDYDFKWAQPRPVHDRPEDFAIEAQL VAAPDAEILIRSRNLSN AOR_1_54164 MTSLAHYPAQMSFIAPRLASDEPPFYRSAADEGFEVCNFTQQPH NVSVHDARPLRDSFQLDTHGFAFAVDSDGNRPEILETIRSGDKEAVREVYYPLVEQII KRQTGASRVVIFDHTVRRREVSLAGKNPNGREQPASTVHCDQSPLGARRRVYQHLPEE ADRLLKGRAQIVNVWRPLRGPVQDWPLAVMDCSTLAQAHIHPTKLYRNRFELRGETVS ISHDESQRWYYLDGQQTDECTLIKIWDSKEGISGHMCAHCAFQHPNTPVDAPLRESVE VRCLVFYENQE AOR_1_56164 MAAPLFDCLIVGGGPAGLAAALGLCRAIRTAVVFDSKSYRNPTE HMHNVSTWDHANPHDYRLAARKELTEGRYNTVTLADVALRKIWKLDSGEFEATDAVGK VWKGRKLILATGVKDEIPELPGYADCWPKSIYHCLFCHGFEERGAPSVGVLAIGPVAN PKPAEHLSRLAHNLAKTVTIYTNGNEELAAQLRPSIEKDQWLTLDNRVIKQLHKTDGI PVRVELDDGTTKEEGFLVHAMKTTPRLDFEHNLNLELSAQGTEFVASPPFSETTTPGC FATGDCGMAIKAASMSMSNGSLAAVGVVSQLAFDEKAK AOR_1_58164 MCDSSQSTADVLIIGGGPAGSNAAWELGQAHHRVILFNASIDRL RDPDVNTASTRPALDLLLHSRRKTPDVFRPFRQEIGKESSEVSVHNQRITQVQRLPNG FFQAEDDVGHVWTAKVLVLADGAEEILPDIDGYDTCWEQQRILTHPAEDEPRSLISSC LAVLAVGDLAELTMALHTVWQARQFAASVRVYTHGDEDLARALETRISPDARIAIQTT PIQSLQPGSDSPSQVVVHLADGSSIVESHVYHRPASQLQGPFARQLNLELTESGAIRI SARVPYMTSLDGVYAGGDCASLGQRTLFKALAMGQGLAAAVAARLERGNWGNAVEEQD AOR_1_60164 MDKVLPYPSSANLLQPPYISSTERTTIMDAPLTDAERTALQTSL EALNRQVEATRNILRSNSQKALLQTLHTDQELPDPALEALAGKTINLLHETQQLLEPG HLVLADHFLGYVSTKCLCAAVELKLVDILADADEAGMTVDELADASGAHPDRLQQVLR VLRNDNIFDYDAVSHRYRNNRVSALLHSEHWTQWHNWVDLYGNEFYDIARGIPRSIRR EEARWAAQINFDTNDDMFTYFQAQGWLPRLHRTLGGGAIAQAPGIVADYPWHEIGSRT VLDVGGGGGGFLASLLREYPQMRGGILDLPRPYFDLRERVPRENLIAGDFLKAVPAFE IYTMKWVLHDWKDPDVLTILRCIRASLIPGPDSRLVILESNLSDGQMGRLSRYGDINM MMTANGQERSEEQWRALAAASGWEVSRIYPMRRAWVCAIDLRPSASESGDRKHS AOR_1_62164 MSSNTISSDPNGAVVMGDMEYDGRVILYIIKADETSYINYIKPL ILAEEIQFPHVLSVIDTRDEWFYSIHPERMVPSLKDQDPVTGEKVIVFESTACLQYLV DRFDTDGTWSGRTVAEKGAVLSWTAYQTAALGPTAKYWLYFKRGYPTRANPVQLPRTI EKLHANTLRQWDILEKRLKEPGQQYIALKDRPTLADLSYFPFAMPWMFTFLGVDIKDW PHIQRWSERMLSRPAVARVLQRAPTLGH AOR_1_64164 MDCFSLDGQMLWILVAVVVIVGISSPFTRRITIEILSTILDRYL RSRFPIFSVDGSRTLPTCPYKWPNGQGDVAKFLQGIENRDLWEKEHGQIYRIWSGMKS EVVLTQPSHLQAVFRDSNKHSKAENNNSGYLMSELLGQCVGLVSRERWRTLRAVTEIP FQHDKMPSYLELIQRHTRHHFDRLLASGDLRQERIHPAQDLKMLPFWVVAEIFYGECD AEMKTELQQLCVLREDLFKRMIQGGIVRWQWSKYLPTATNRALAEFQRRWRAFNQRAY DRACQQQRILPIVLMIEAAREGSTSVEQIYQTIDEALFANLDVTTGGISWNLVFFAAH SDIQERVRQEVLSATDHDAYLLSSSTLLAACISESARLKPLAAFTVPQSAPTDRIIGG YNIPAGTNLVVDTYALNIRNGFWGADSQCYRPDRFLEHRATELRYQYWRFGFGPRQCM GRYVADLVIRTLLAHLVAHYELGWVEPDPGKNSTWQRDLESWITIPDLQLRCVQRRND AOR_1_66164 MAMDKKGDLEWMPPRPEPVHWRNRQRSLAYSVTLTLVALFFTFA LRPEAFPSFLVRKGLHKSPLEQVLTRVPLTDGHNDFAIWTRAFYQNHIYRANFTDHDE LYGQVDFPRLRKGRLGAQFWSVYVECARNPNEPGVQYEIVRDTFQQIDLVHRMINHFP DFLVPASSVADVHHNFYHSPGRISSLLGIEGLHQIGGSASVLRMYHELGVRYASLTHT CHNEYADSEAPEEPRHGGLSTAGEAIVAEMNRMGMIVDLSHTSLATQRAVFNVTRAPV MYSHSSAYALCPHSRNVPDDLLQMLKENDGIVMISLYPEYTNCQDADAASLADVADHI QYVGNLIGYRHVGLGSDFDGMSHGPKGLEDVSKYPDLIQELLDRGVSVDDLVGVTGGN VLRVLGDVEHVARSLADTLPLEDDVKPFFE AOR_1_68164 MNPSSQLLVQQLAAVLEVDTAELDLNSGFVHNGGNSLSAVEFVS RSKSLGVSLSIASILSSTNLRALFTDLLSSESNLIPIPDPSDDSDDLSNPSSSTGGSP RVATPISSNVSTAAEDDYLTQGSVLTQYATQSLSEMQASLLHGSLKSPGTNIIYHYET YQTDVIPVLKRAWKTVIEGEPIFHSSLLDGSARNQEYFTWSEVTVETEAEYREQLQTL WLKSVSSSFKVVHWKRSPPASSQSTVIWAVHHALVDGYSAMLLFCKVRRAIKGLPIVP GPSFSDVEKRIRVWRQEHKSQGDEYWAGHAAQLDQAQGELLLPAPTPEGTSSAITESE EVYVAPSVSNTQLHCVAKRLGVTLSTCYYAAWSLVLSLYADSASVVFGAVLAGRNLPL EGVDEVVGPLVNTLPLCLTLSRQQSAQDFLKNLFSRMVELAEYQWTTPDNGYTRNFSS AMAMQVPGPECKDGVSPIEPPYTRQTTDVPLSINILTDGAARFVYHTSQYSRADIVRL GKYFQRALQLLLRPHRPIEECLQGLLGCVDLQTLMGFGNCSSSLTTTVAIKEDLVTLF ESAVSRNPMDVAVQKGNCHLTYQELDTHAGRVAATLRGYIQDGDVVCLHADRSVNWIV GIMGILKAGGVYCALDKALPQEARETIFSASGSRLFLVPSLSDQSFCPTDCDRLLVVE DLVKDDNVPITHRDSPRPQTDAYLCFTSGSTGKPKGVMCLHQGLVAFQRDLEVRLFAQ PGRRVAQIMSVAFDGSIHEIFSALSYGAALVLQSGDDPFAHLSDVDSAILTPSMARVL NPADFERLSTVYLVGEPVTQDVCDRWSEQKTLYNMYGPTEGTCGATIKQLHPRQRVTI GPPNPSTRIYIMNQHQELVPPGVIGEIYIAGVQVARHYIGMPEQTAQRFVADPIIRIG ERMYKTGDRGYWSEDGEVVCLGRTDRQIKLRGFRLDLDDLETRMIRAFPAVTAVALTR QGNHLIAAILPASTDVDAFSARVAQVLPPYATPRKILALDEFPTTKAGKRDYLAIAKL SAQAPVSTGRTLTSPMEKLVGDAFRDILQLGKDVALHTHSSFRELGGHSLLQLLLATR ISQGVNRQVPLYVVAQHDRIDHLAAAIDSGLGLQQLVTTDPMGLGESAIAPIEREWWH KYQINESTSSFNVNFMAKIDDCLVDRARLVHACNEVMARHRVLRSRYIFSRAAGRVVR QYSPLAPRVQAVKTVNPWVEVNRPFSLSRSAPIRAVVSDSYFILTISHIVADLTTLQI LLREISSHYQGGSLPSIPHTYMNSTLWYEKPTSCDLDFWSDCLGQLPDTTHLLGHGGY RRGYRGRSALCEVPPTTYQSMRHFLRQSSITAQQLSLATIALCLDDPSVPMPTETDIV LGIPYINRKSQEDLDVVGLFLEPLPVRISFGQETHNHEKASYLDTVQRSVRSSVGHAV HWDQLLEHLQVSTTPPDHPLFDVVVTFHSQSHSNGLELSAPGLRTCYTYAEGAKFRLL CEFSALSEDRLLLRLEYDTDCFTEENIQLLQARIPLALSLLVQNVPYDMIRQTLACPP ETQPVKVLKPDVVFGTPLSDI AOR_1_70164 MTTVIRYGTRLEHLHEAVKLSPHGQTALSVWINDDIRPLPPSRR TWSTMTFIGWWSVWQLSLTNWQLGGSLVASSLSVWQTMVAVVLGRTIAAIVAILIGYI GAEWHIGFPVYSRAIWGVFSNVGVILKGAFFPTLLRIGLTVVGFAFQSYTGGLCVTAI LSGIFPTFFRMSNTLPASAHVTTQQIIGWAIFNIISIPVLYRRPERSEKLMIGMNIMS FAALLGIMIWSLSHAHGAGDLIHQPSQLQTSDSLGFGIMQGITTVVGTLSIALTSQMD FSRFARKPSDQVFGQWFTFIIIGSIMPLFGCLTSSATQRDYSSTSRAAAVFAGIGLVS SQLALNVVDNGYSVGMDLSGLLPKYINIRRGCYVGLILGMALCPWELLASATTFVSVI SSFSIFMAPFCGIHISDYWFIRQRRLKLSDLYHARPEGIYFYTMGFNWRGVLPWLVGW VPLLPGFMHSINPAIKVSVGADHLYALGFPYGLLSSMAIHTLVNKCFPPPGIGEIDRD DTYGTFTVEEAAKLGVNKDSTEEDSDRSLRRESREVVETKV AOR_1_72164 MSKRIRLGIVGLSADPSHCTNYIHKIPLTTTPLKEKYEITAVSM SSPEKAEAAAIAHGLPRERGYHSVESLAKDPDVDLVVVSVKVPRRAELAMAAIEAGKD VYVEWPFASNLAAAEALAQRARERQVKSMVGLPTRLAPQVLKMKEILRSGSLGRILAT NLLVTDDLFLKFHADKRHSHDKTNGANIVTIAGGHLLDAMAFLLGEFTTLHAHTSMLF PKPVLCDTDGNLKTGQFNDSPDTFTMHGKIGISEVPVSVCMYSHPPTTPNLFQWVITG EKGSLKMEGPSLMIHAIPPKLMMTSFGSETVCWEEISLENTIVSGAEYQAWLDNDTER IVTLDEAVVRYRMVDAILRSAESGQCTSYRYD AOR_1_74164 MNDYIRVNCTELRWPELVCAEPGSNSRGHSPPFHIANASITQLK AIDLLFLIDFTLTAVASRVVDLASEVTQLLEPAYLALADHLFGYQHTQCLAAVVELRI PDYLASGPQTFEQLSISSGTRRDRLRQVLRLLYNNGVFSYDAESDSVRNNEASEMLKQ DHWTQWHRWASVCSKQFYQMAQGLPRAMSAGVTRSPAQVHYDTDESMFSYLERNGTMV QLRECMGAAAIAQTPGMITGYPWAELSNHTLFDLGGGDGSLIAGLLRAIPTLQGGIMD TPRVLPFLQEAFHHPSSKYADVALRIPPERVIAGDFLQEVIPSEAYVMRWCLHDWNDE QACQILRNIRRSIINSPVSRLIVLESVLADGRWGRMSRLGDINVMVTAEHGQERTETQ WRQLAACTGWKVVSITQLPGAWPSAIDMRPVERPENV AOR_1_76164 MDSDSETAPLLSERVSTDNPPIHNEAPSQHHVTASQFLPVSLLA SLGMAATVATTIYAYADLLCTDPTACEDTEQSAYAAVVAIANGIAHTVAILILGPLQH LASKYLKAGLFMWIICRAASVVCLVLGVALRSVPIAVSGRVFEGLASDNLLHFNLNTI YVSVASPTRKEASRPIAASLALYMLGTAVAPIAVTVFRSYTASFTTSLVIFALTMAYL VIFVRQPVSHGTGTLSNESAQPGWHKSPLGVLLSPLRPFYTNRKVIPYGLSLLLYTAV QGHLFPVIMVFASIRFHFGTLENGLIVSTAAICAAFQISLQIYVAPMLVRLSHRFGWG AQDASRVRHQDHNAVVGALLLQMVALSAITQVRSPVQLYLAVALSSAGLAVPAFFKAH FVSFMPDAPQAISALTFMESVGGLLSPFVLGAWQAVIPGTSVFLLAVALLGTTLCLFL VAGLFHSSGRV AOR_1_78164 MGSHKLTWLITGCSSGFGLSLTRAAQAGGHKVIATSRNPSRTPD LVAEIEGKGGKWVQLDVESPQSGNVISELEKGGEQIDILVNNAGGSIYAPIETSTEEE IRAQMETMYFGPLRLIRTVLPYMRQRRSGIIVNMSSGASLDGIPTMGVYGGAKAGLDA LTKVLAKEVAPFNIRTLTVVLGTFNTNMLNSVVLGKTPLPDDYKGTFTEQVQGLLLNG KIKPNGDKDKAMQALYQVVVGEGVGEGHQEEKLLALGSDMTPRLKGTQDYLGHALEVF GSVTNGVDLDK AOR_1_80164 MPSSPKTILITGCSAHGIGAALAIKLAEQGHFIFATARTIRKIP ESITSLANVHPLPLDVTDPTTISDAVCAVTERGHGLDILINNAGAGYTMPLLDADLDQ AKQVYEANVWGLLRLVQACSDLLITSKGRIINMSSVGAVVNTPWIGVYSSSKAAVTQL SETLRLELAPWGVTVVCLMAGTITTAFHANEPEVVLLPTSRYAAIRQTISDWATGRAG PKGCSADEFAASTVDDVLGSTGGLVWKGPNSAAVQFVSRWCPTWLLDRIMSSGQGFNE LSKSVTSAERHP AOR_1_82164 MGEGDITLTLQKYDLKSRGATPTSRAIVPKTFREAALQHLRWYL VQNKRLPKEYVNQRFCDKDGADVPDDTTFDVYTKLNKESEEDSFNVYFIAPGEQESIW TGMDDGTAAFLKEGLDLKTSQQMAFLNPSLNKLTSSIKKDDWKASAGKADTHAADMNE RQWGIVMRNNDLLNGKFFEGGNTNSKIAVPTSISRARYTAFALKERSIPDYDVTFEAP KDIPGNTKKPEIKFRIPRFQICDSSRVEVFETKSAIADSMASNAFSQTTVEASVGGGA FGVSVGVKAGATTSGSSSFAQSSSKDESRMHVAYLFPRVEIFLDVDDLEVTEECKKYL DKIRDPKAKKEDADTFFQKFGHVFVPHVQLGGRLHSVESTTSIAGATTEEKASALKAA ASASVSGWGFQASVSASHETTSNSKTEKSHSSSNHSITWHADGGDTLLCNNPPAWCPT VHSFYNWRVMNQIGMVDIIELIGKIKGYEDIPLRIQEIYFANVQFKIIKHDDHPAAQP YLGMVSNEELLERPEAGGGNFSRRAMRHVLTKSHSREEHLDSSLEVLALKNQLVWEGS NGTTARFKFGTLYPMRFSVWNRQTDERALYAVRRTTTAEHYQNENVLYAGMNEEPNVL VRFSKLKNEKKPNGVSFQMDFDAATRADKIGAHDWVRMDFYDIQTKENVGWLQNNGGI AKLNELGDLQMDASVFKFMYL AOR_1_84164 MKLTQFASILTAGFVAAAQALDESPIPGYGIQEPSWEVETTPGG PKVILNGTVQQVHAQLLEINPNYDDDFATVLDKRDATVFGKRDDIKCNNFPQARRGDI EAGIKHLRGVSGQPSNGPGPGNCGRVSCSWGTAIWWCNDNTFTKVLPSFNNIADGAQV ILNNCQRGGVKLSGQDFHSDNWNVIVRGDSC AOR_1_1362164 MLKHDDDSGNARDTQVSPSGEQQGVIKKAEIDLNGVAKTLLVTL IARAHDFRTPRPILGDPYAQHILDRLDFDVTKMTMAPNQMASIAVRTSNFDRWTSDFL QHNPDTTVLHLACGLDSRMDRVNWGDNTRWMDIDLPEVIELRRKVQPTSLPGRDYSLL GIDVLDEYWMHELSTDGPVLVVMEGLLCYLPEDDVKRLLQRLCQTFRRGELLFECINS MTLQALNGTKPIQPVSGIGAEFHSYVDDPKTLELLHPGLKLMESIRLAEAPGVEMLPL GFRALMYLRSWIPGVRDAARFLRFQFGEIGEVANE AOR_1_88164 MFSQPFLFAFLSAGAAWATQAPLGSASGNGCLSLSSGNHRTAYD TCCSGGSTSGKGSVNGVEFTYSCGKWATGSNKSPIKGVDALTTQGYSTASGSNFLLIE KTGGIVDEPEPESGCGKPVEAAKTQCEKDAAARCEVEKAALSQAEKVECEKEITEKCN DAAVAVEASKAQCEQEKATLGHAAKAECDKRIAETASAAETSNAQCEAQKAAVARIEK AECERRTAEKCKTHTSSAVEALKAQFERTKADITKDMENKCEVEKSNQAKEWEIAKAK LEAEKAELQKALDALKVTGVGGTASHEHDGSDVARRLAEVGPTPQYNICPQFGGREFT TATSSGNTARWRVNCNMFVKGHWYENREYKGLFWNHVNICHEVIPTPGTGGMYLIKTP NFPNHAFIERID AOR_1_90164 MVKANGLLALLVGISAYHVEARGSSRGKTKYTLDNILPLTDPSS GLVKCCPEGTEFDGQACVLGVPTCPEDFIRKDNKCVSKFKPICPDGSEYDGNLCVSDG LPTCPPPTTLKGNSCVAGPPTCPSGLEYNGKVCQSKQLPACPTDYTFNGKTCVSVKKP QCPEGLKLGDGKCISVGSPVCPAGAEYNENLGLCASVVEPGCDEGSQLKNGECVSDTP PSCPEGGNFNPTTAKCTSAEKPTCPPGSELDLHSQKCVLSEEADCPPGSVLSTDLASG VARCCPNGMAWNGDVCLFETDSSGKCPPGLTQVGKYCHKQATKIPQCPPGAKLDGSRC VLTELPECPPGHILEGSTCVMIEKPECPPGLTLSGGNCISTVEISCPAGTRFEKGVCT SVTPPTCEDGFVFDGTNCVSTTHIPICPEEGHTLDGEECLIPLMPTCPENTAFDGTRC VSTISPSCPSGSVHNGQGDCLTTSVPQCPPGSTLLGGGCVVGVPACPKGTVWDSKQCI SPKDPECPPDHKWSNGKCLNLVTVECEPGYALVNGECVSDSKPECAPGTTFNGQECVG DVPNCPEGLVFDGEDCAHPEEPACPAGMKFNGKKCVAEKPPSCQSGTTFDKKTKECVA VEPPQCPPGQVFNGKNCALASGDCMSFEYCPVMGGLSNGGLTTGGPSTGAEECPEGTV YDKYTGMCADW AOR_1_92164 MNEKDNAAEEIEEAGSKPLQALGLVNGGDAESVQQWTNEEEKKL VRTIDWRVFPMLCTIFGLSLLDRTNISSAYIAGLGTDIQLSQGPRYNIALLVFFIGYG LFEIPSNLVIRRIGARAWLSFLIISWGACVLGMGFVRSWQSLTVCRALLGIFEAGLFP GAVFIIGSWYRQYETATRISLFYTAALIASGFGPILAYVFSLIRVGDGIYAQGWRWIF IVEGIATIVAGIAAAFFVVEFPDKARWLTARQKQIAIARLSVDKQEKEYKHPSFREAM VMIWDWKVIVYSIQYFIAASSVYSIAYFKTIILREGMGFSYALSQILSSPPYVFAVIM SLVMAWLSDKYKIRWAILVVQSLSAVVGLLITLYSGPPGVRYFGLFIAVFGTQANIPG TLSYGQSQIPTVEKRGVIAAAMISVGAAGGIAGSTIFRTQDAPRYLPGMWATIAMQML YTVVTVIFSFYLKRQNRLVDENKRGDLEGVPGFRYAP AOR_1_94164 MFGLPRFFGFRGRALNLAISSLGSLDFLLFGYDQGVTGGLLDLP SFIKYFPDINDKAEGIDQALKSQRSLNQGIAVASYNLGCFVGAILTIFIGNPLGRRKT IFIGCCTMATGALLQSTAFHLPHFIVGRIITGIGNGMNTSTVPTWQSESSKAHDRGKL VMIEGMLITGGITLSYWINYGFSFIPNSEVAWRFPLAFQILFAVVIFISIMNLPESPR WLVMQGRNDEALEILEYLNEKPRDDPYVINEFRSIQETVKEMSKGSYRSLFDMSEYRE FHRVALAYVNQMFQQISGINLITYYAPSLYAEIGLGEGNLPKLLAACNGTEYLMAAFI PIFIIEKAGRRPLMLFGAAGMSISMAVLAGTNYRLTHLGDSQAGIGQAVFLFVFNTFF AIGWLGMTWLYPAEIVPLRIRAPTNALATSANWIFNFMVVMITPVSFTNIGYKTYVIF AVINAFMFPCVYFFFPETRYRSLEEMDNIFKKSTNVFNAVTISIKEPYRYDKHGQLKP EYLEEAIRRESVTAPAPVKPFESDDSTTEVKA AOR_1_1364164 METYLCCFPIGDQGQVAYEIDKRRGRCTNICVSPDSDTEDDDVD HLAIELAQKATIAAQRADTYADINEDAKEIVTIDPGHGIEPFEQKRIFEPIGDCVNGD TRAVERYLETSSEAQLFIRGRDYDGKTTLISAAAEPSSEMVSLLIKHGAEVNAVDKRG RSALMEAALFGRAENAKVLLQNGADKNTRDSDNRMAIDFARDHYKNRRERYERTGGNL ISSSNRLPGRHEDTFKRDIDRQDIVRLFSGENRKSKIVFGSPPTLSGSKSYSFTPSPR QDSLVLHGPIEEYPISSSYKTVARLERGGKFPSIGAMSGWAHGSVQSLRVDGRQWTDD VFYISNVAGHALASHSCDQGKDGRYNACHAEKQLIAYFIDRHVFLPRDADPDSELEKR IECIEDELQEFLSGTEIGRKVASLRKRKEDLKHQIFDGDEKLVGKHDEIKALNLELKS VETALNLLIADSQARPLLKLESQLKVLNKRLDRHADLTEMASAPPPASLVEAVILISS RPCQDCIVFTDKVNKRFGLSIQLFAAL AOR_1_96164 MRSSIALLAATAGTTLASSNNYTEWMASSWLSKSVPVSRNYAYG VLYRGIELAHNKTNNAEYLDFIESQLSGVVSDSGELIDYNLTDKISLDDLRIGTNFLA AWAATGQEKFKLGADTLRRQIDITPRNEGGGLWHRDPTYPNQMWLDGIYMSTNFYALY TAWFDADNSTAWDDIMLQFDLIEEHCLREDGLLVHGFDYSKAAVWADPETGAAPLVWN RALGWYFMSLLDILDYFPKSHPGWETNLSRFQKLAQALKQAQDESGGWYLIMNDQYPS DPRNYIESSGSAMFTYGFLKGIRNGFLEESEYSQVADKGYKLLVDRFVSKNDNGTLNW EGTVEVGSLSSNGSFEYYISVPVVQNDVKGAGPFMYASYELEAF AOR_1_98164 MPAKLIDRYDHVPVTKENLDWAELVTLDLSQYDQPGGKEDLVKQ LDHAVRHVGFFYVKNFNISQDEIDRQFALGREFYALPLEEKLKYHSASDLEKGEYNGY RPAGHRALGNGVKDNVQVYNIPKFDGYHQRQQPPILGDHLEEIEAFSRKCHTEVVEKL LRLFAILLELPDEDQLVKDHQYDVKGEDHLRYMHYAARGAEENKIVGGMYVPGHTDLG TVTLLFRQPVAALQILNSQGQWKWVRPQDGTITVNTCDALTALTGGLIKSSIHRVHVP PADQAHVDRLGVLYFARPNNHVVLDPIQNSPLLNRLGLTQNVFTELGQHLTTEQWVKV RQTQQQRRTRDAKISEDGKYTYQPKDLEIIPGLHAKVYN AOR_1_100164 MSPSDQITQVTGLDKNYELTLKPTIGDPQQSQGVTRMEAVYREA KSDRKTLWLIGVSVLVCAWAYSLDSSTTSYYSVDASSYFKQHSSVLSTLSIATSIISA VSKPFIAKISDITSRPYTYTLVLFFYVLGYIIVATCRTIAGYVVGEVFVAIGSSGLDL TNDIIVADLTPLEWRGFASSMLSTPFIINTWFAGKIVDAIDSKGQWRWGYGMFAIIMP VALGPAVATLIYLDRKAKKNGIVNIASSNAARRAAGNLSEREGRDIPHGTVSARAAGP SEPWMRSARRILDEIDALGLVLLGFGWSLLLLPFSLKTYADGGWRNQSLIAMMIVGGL LLIAYVIYEVKWAPVPSAPRRLVFNKTFTMAIIIDSFYMLAGSVRGLYWDSYVYIAKP WSYQNWVYYGNTLTLALCIAGPFVGLLQRWTHRYKAIQIAGLVIKIIGMGIILDGNMA TANTGAMVMAMILVGFGGSMSVVGSRVASQASVPHQDVALAISLLALWSKIGRAIGSA IVAVIWADQMPKQLRKYLPSNATEADVKKLFGSPTSIRKLYGFDDPMRVGAVLAYRHA LYYCLATALGLAFIPLIASLFQHNYFLGKSQNAVTNVGNDGLPLAETRRSELEPPKNK KEAFLRFWAGK AOR_1_102164 MQLLLPFLASTAAAAAAIDSTSSSNGSDHHGSSFQAECESFKAK INVTNANVHSVTYVPAGVNISMADNPSICGGDEDPITSTFAFCRIALNVTTSSKSQIF MEAWLPSNYSGRFLSTGNGGLGGCVKYDDMAYAAGYGFATVGTNNGHFGNNGVSFYQN TEVVEDFAYRALHTGVVVGKELTKNFYPQGYNKSYYLGCSTGGRQGWKSVQTFPDDFD GVVAGAPAFNFINLTSWGARFLTLTGDSSAETFVTETQWTAVHNEIIRQCDSLDGAKD GIIEDPDLCQPIIEALLCNATQSSTSGTCLTGAQVKTVNGVFSATYGLNGSFLYPRMQ PGSELAAYSSYYSGTPFAYAEDWYRYVVFNNTNWDVATWTVQDAAIANAQDPYQISTW NGDLSPFQKKGGKVLHYHGMEDAIISSESSKVYYKHVADTMNLSPSELDSFYRFFPIS GMAHCANADGPSAIGQGTGTFAGNNPQDNVLLAMVQWVEEGVAPDFVRGAKLNGSTVE YRRKHCKYPKRNRYVGPGSYTDENAWECV AOR_1_104164 MSTTKNAKGNRVEYHYWDYSFEWTDEHRPASEFESWIHSCDGLA DECNQILNDLPAPVDDEGGNVSKRDRYALLTGNREKDPKLEELWNQINTVPEWVNWAQ IQRGQDVYWRYMLPIMNSLTYNSLLGGMGAIRVGETLSRTGGFSATVVRRRLLETAQH AFQVNSSVDSMKPGGAGHLACVRVRLLHSTVRLKIMSLVERDPSYYDVQKYGLPINDL DAFATINTYSSTVIWLGLPRQGIHLSNQEMEDYIALWRLVAWYMGAPAEPFESAAKAK IWSESLLINEFAPTDTGRILAKNIVIGMENTAPTYASKEFMDALARLLNGDQLSDELH IPQTSLYYRMLMWGYCLSVKLQAKALPNIGFIEKYVFESRRRMMWKGLMDEKHGLGKE TIFDFKFVPSLNRTTHEGKRKSYRFKRPGLEVLSYMGLLTAFGSVAALSTALYLAAAK VLLGSQVVPDLSYLIRA AOR_1_106164 MRDVPDSSAPKRMRIARAPRSKSGCRTCRIRHVKCDESPDRCLN CESTGRQCDGYDLDRLPSKKGPASSTALGLHQMRLVLPDQTSDERRCFSYFQCSTIPM INVWFDHQMWERLTLQMSHAEPAICHAVVAVSALQQLVETTGIPVLPEDMTNRYHRFA LGQYNRAVTHLISRMNSNDPSVKNMALVCCLLFVVFELTRGRYDLAIVHLQNGVKLLG ADAQRPNYSSLYHAHPSLALERQIDPSFAAAIMHLDLQSAHFGVSKLHDGLDLEMFAH QVESAPAIDDFRTIQDAWIVRDRIFIQFCMFGSLCESFSADEISANYSVLCTEQRKQQ AQLAGFAAALDRFEEASLRHRCLTPKEQRAMAILRMHHAALSVVTDICLIKCSETIRS ISTERFNNVVDQAKSITTSLKEIAPRSTPRRPTLLMETGTIAPLFFVIAKCDNPGVRQ RALKVLKSWPHREGLWDSQLAATLARQMMFAEAR AOR_1_108164 MAGGMASQGISAKRAELAGGRVGWRGLVSSKKAFGIALFASLGG LVYGYNQGMFAQILTMRSFIDATQGYAEHTGTAQGMLTSILELGAWLGTLANGYLADA LGRRVTVVVAVVVFCVGVIVQACTTNPDFVYAGRFVTGLGVGNLSMIVPLYNAELAPP EIRGSLVAVQQLAITFGIMVSFWIGYGTNFIGGTGEGQSIAAWEIPVCIQILPALVLA VGMMLFMPQSPRHLMNQGREEECLQTVARLRDASVDDMLVRIEFLEMKALRMFEEETA RKKYPQYQDGSFKSRFMIGVHDYMSLITDKSLFKRTTVACLIMVFQQWNGINAINYYA PQIFKDLELGGTTTSLLATGVAGIFEFVFTIPAVLWVDNIGRKKILIAGGIGMAVCHF IVAGIIGSYQHTFAEHKAPGWVAVVFVWIFIINFAYSWGPVAWIVVSEVYPLSMRAKG VSIGGSSNWLNNFAVGLSTSPFLSASNYGTFIFFGCITTIGVFWVWFLVPETKGRTLE EMDELFGSGGMAAEDEARKRRIEREIGLLALLGEEPEHPTDEKVMEKPTHVDNVSE AOR_1_110164 MRFLLPFAIGLTPLASALSINKPGANSTYAAGSTVTVNWSTVDT DPTEISLYLWNFVSWPPSYVPLAQNVPTADQSYSVQIPCDTNPEWGYQISAINGTNVY IIYAQGDRFTVSDPVNGTSCSDPVTPPPASTCGPTNAVSTVYVTVSPTGSSSRLIHHS SLGLPSSHLPQSTHALPAPSSTVTASSKYVKPGIVPKTIGWCSDYSHPVTLDKVPTPT AVPAGPNDAGHDTSSAVVTAAPSVVTGEAKVVTITTTVSVPAAPGDEQCLFV AOR_1_112164 MQLPQAIAILTLVASASAHAIRREEDKPKADFSRTCDKISVPKG GNHLEAECTRSNGEVLKSSLDLNFCIQHTYGAAISMETPDVGAFKKAELDLDIMVWNN DGLLQCYSRRADSV AOR_1_114164 MAEHPSFTLAALLPVGGVAGYLRTRSAPSLIAGVGLGISYACSG YLIKQNKDYGTELAVGNSLVLLGAGVSRTIKTRAKSPIPMALGATGLLASYYYQKKVR EFRYGV AOR_1_116164 MKNIFVATLGLFAAVSSALPYTTPVNDNPISALQARATTCSAKA TDNLIFKVSMKTFQKARKAKNPSKCNWSSDNCSKSPDKPDGYNFIPSCQRHDFGYRNT KKQKRFTKAMKKRIDDNFKKDLYKYCSQFSGWSSWKGVECRRLADVYYTAVRHFGKRD EALEFDPEVEFEKRDEVADVQPDEFDNFDGSEVDPDIEGQVIPEVLEDDGVDVENLDD IENL AOR_1_118164 MAPPSSPPTKPASYIDQGLEGTPSFDGVEEAEVLVPMTQTVAII TSITCITGIGNLLAGLHTSPGADSKLALDQTFTAVCITVFLVWGTLNASEQLTVLYLQ DVRAIGLSFPYLKSSIAVPAGCLLSGIAPLLLATLCGVDGPGYWRGVFQAMALNSLGA DLVYTIAKLVITDSFPAKTQALAGGVFNMLAQVGKSVGIATSALIARQITSQMDHAES ATAMLKGYEAGWWYNCGLGFVSVAVSFGGMRSVKVIEIKRD AOR_1_1366164 MPRLLPISAATLALAQLTYGWGNLGHETVAYIAQSFVASSTESF CQNILGDDSTSYLANVATWADTYKYTDAGEFSKPYHFIDAQDNPPQSCGVDYDRDCGS AGCSISAIQNYTNILLESPNGSEALNALKFVVHIIGDIHQPLHDENLEAGGNGIDVTY DGETTNLHHIWDTNMPEEAAGGYSLSVAKTYADLLTERIKTGTYSSKKDSWTDGIDIK DPVSTSMIWAADANTYVCSTVLDDGLAYINSTDLSGEYYDKSQPVFEELIAKAGYRLA AWLDLIASQPS AOR_1_122164 MASYHRVLEVFDRSVDDKTIECDCDIHPWEITIDGETWPGRIRL IAFVDVFFIISYSSNDRFMKGVIIYKDEIALRELNKLLPPNQNLQGKGDAPASQKPQE KGGSPPSQSSNVAVNGEEPDGFKFKKGSVFVVESLGHEIGMRTTFPTSLVRKYTIEIN RPVNWALGRRMNRGLKRHTLTRMVQDVVRCGLITKGQLAGHVVGSLVAGGRWNDTISR SITSIGSGSSAQRQALANERI AOR_1_1368164 MASEIPNVKPPQGMPDEARRFSFYKDAEAKFKAPHWVEDEVKRL TLYKEAVAAIEFTKEELDNIMSELKSNPEEGEKDDRQAKLHRMLAHYIAPTVGSDFEI FNDSKIQRWQTAEIPDREKWHVMPAPAPSTPLRMIDVDTGDLVNTTSCGPLDQYCILS HTWKGDEITWDYLVKAKKSNFKSQSSEGDIEVAKDRSDIEAVSARSDKKAYKALERLQ KCIATCRKPMDLKVPGLDFLENPSVDVVLQKYFQVQAAERDHARTSKEVQQVLDYYVS ASWEASYYKSNWKSLGGKSSSHPDQHNTNEQDRNSLPRELKAVIDHLLSDAEQRQYAV SKDYDTAQGTLSECAAIKDFFRINRELVYAIEKLLRALYYRKSARKLQQTVNKAKGIF DECWSSKSGGRRYVWLDNCCIKKSDAGELTEALARMGEWYANAAFCLVHIDTPRSDTE WIQECNQILLPNGERPGDSAQSSVVKTYEGLWNKTKLKIEWATRGWTLQELVLSRVTY YFNENWEPLRRDVDAIGPYYYLVPFIEQYLRRPSTSPKVKGDVNLQDGIQKARELISK LGDIGLEVPMNLQKTTARGQIGRMVNLAAEKMAEDGRGALQTLCPHLDMSKVTARIDA LNSVLGDIVAHLKPAIEADREHVRKIGRLSRLQNWWDGTDPVNSSARSIIAIASERNV TVPVDQVYSLMGILGVRFPVFPAEDIPKALCRLIDEVVVTSNDVSVFNWSGRYLGSPI RGRSLYPRSIEAFHQTDAAITEVRASIAQYLRGERLKRGRVTKSVLVRLRELMDTTTS IDRGCRILEKLQQLFECIKNAPFEAMVDCVNDMINIREELETNINREKANATRIKDLR QAQRDEQVEKVSARLRETVQEQGQKLKKVVPSSVMGIGRSMSKRLPRGILYDPYGGKN EASQDPPNPSSPSEKLEPVEWDGLRQSLEDFMKRLKQPKQEQQENHQNMQPAAEGKEP SQKPDRKMVCPNPIIVTSAGVRGVFDVQRVVVQMESPLTLRAKVHQAVDGEMIEGCCT ISTGFSRVFVSFTCEKEILEEQLDITEVIERQLSKTRKGTGREKNAQSTQPGANDNPS PVKPNQEDTSDKAADDLEEWSTSEEPTRRHLYRMVQFVQEDNLRAIAGEWVLARFSDV EGADWFLCRFELGSGDEFYARRIATDAFTFENGIPEAGLIDIWQDYMAEQKRLMCSFL ISSLKGKQHLHETDEALRKLEEKVDERWGEAFKNVREKDLPAKLVKELISKSTITEAG LLFNSIRPAIAGTPYLVFASYLQTKIHEDAIRKVPPILRGAVKAMGEERQRFPMMYHP SQNIHMF AOR_1_1370164 MKLQENYCTVRSLSCPSCCSTCTSLNVFLCPHIPILTLGLLLVY YVTGYLKRWHLRDIPGPFIAGFSRIWLIVQVRQGYRSLVVHDLHRRYGKIVRLAPNHI SIADESAIQAIYGHGNGFLETDFYNAFLNVDWSIFTTRSRAEHTRKRKIVSHAFSARS LAQVEQHAHNNMEHLVRQWRKMIDSEEGLDDPYAVIDARVWCNYLTFDIIGDLAFGAP FGMLERENATVSMRKAPENPEVTLDAVEVLNHRGDVSAAFGICPDLIPYAKWLPDPFF RQGAEAIANLAGVAGAAVDRRLKMDTSMTEKRGDLLALLIDAEDQAGAKLGHRELTGE AVTLIAAGSDTSSSTLCALLYWVSSTPRVLWKLQNVLDEVIPVDIEVPYLAMVKKITY LQWVIWEALRIHSTFGQGLPREVPPERGPVEICGHTFYPGDVLSVPGYTMHHSADIWG IDVEDFVPERWDPRRLTQRQKDSFIPFSEGPRACIGRNLAEMELFVGCATLFRLFEFR VEGQGPLKVRERWLRKPVSLQVGIRRRYLDARSS AOR_1_128164 MIPQMKKQHVLLTGANGFAASHILSNLLERGYAVTATVRSQEKA AAIIRSHPSWEGRVKFVIVPDFTKSQPFDEVFKSAEVPFAFVIHTASPVTLQAEDMQK LVIETAVVGVTKILGSAHRHGGMDLKRFVLLGSAVIVLNSFEDPARPGPPYTEEEWNP ITAQQALECGDGVLGYMVSKVQAERAAWEFMKMNSPAFELTLMNPHIITGPMIHPISG MSSINATNYFVIAKFIDSVHKDELKDMRFPFYHFVDVRDVARSHVDALTNPAAAGRRV YLISELTTPQLVLNIIRKHFPSLRERVPEGNPAQTLPDGVHPTGWDTRISQDILAKGA VDGQWGYISLEKSVLDTVQFMIDNNLI AOR_1_130164 MQFRSVIALVAFATAVTAAPCDSCDGGNSGDSGDSGKCSPNQEL KCCTGLTQGLNLGILPALCLRRLLRGQWRTPELSHHPALSSSHFTTASNDTRAMSGGG VMRDSVNGYVLLRLGGDSLLPASRCTRIVLGPRCGN AOR_1_130164 MQFRSVIALVAFATAVTAAPCDSCDGGNSGDSGDSGKCSPNQEL KCCTGLTQGLNLGILPALCLPLLANCNNQAACCEANGGLLNCLTIQL AOR_1_1372164 MAQKGLCWKEDEMGELVHTGTSSPDISVIRSLAMKHLPGSYDTM DVAFFAEGSFNKLYCVSSPHLPQDYLIRVALPVDPFFNRESEVATLAYIRKYTSIPVP KVLAFCSSSESELGFEWILMEKIGGVPLSDIWDEMPVEAKESLPTEICGYLKSHQDLQ FIKIGSLYFSLVRERVGTRDFGGTLQAIPTNTTLDCGINTDFVIGRAVSLWFFRDKRL SLSADRGPFPSSHQFMMAKTQMQIERIKHISPLPTDDFYSETDEVLAEEQDDVLDTCY SLEEFVSYIFPPPCEQYEDELLYHDDLSAFNLLVDPVSYHVTGIVD AOR_1_132164 MKLSIVLLGTVLGTALADVPTIVAKGSKFFYSNNGTQFFIRGIA YQQEHTGAGDMKYTDPLADPAACERDVPYFTKLRTNVIRTYAVDPSKNHDECMKKLAD AGIYLISDLSSPTESIERENPKWDLDLYKRYTDVIDAFAKYDNVIGFFSGNEVANAKN NTAAIAFVRAAVRDMKAYIKQKKYRDSLAIGYSTDDDQWMRADVANYLVCGDKDSQID MFGYNIYEWCGKSSFKESGYEERTKEFSNYPVPAFFSEYGCNNPRPRPFNDVPVLYSD QMNDVWSGGIVYMYFQEDNDYGLVTLDKSKISTLSDFNSLSSQIQKATATGVKSADYR PTASARTCPKVGDDWQANAKDLPPTPNADLCKCMEEGLTCVVKDSVSEQEYGKLFGMI CGNEGVCDGLARDAIKGNFGAYGMCSARQQLSFVLNQYYQQQSKENQASACDFKGAAS TKKASKPTGTCSSLLGQAGSAGTGTVSSKPTGSGSGGSSSSTSDSAAGLMIAPRSVQA GAWQIGAYLVTAMVTGAGMIVL AOR_1_134164 METPLADLAIIGAGWHGLAAAKTALALDPSVNLVVLDSAASVGG VWAEERLYAELRTNNRLGSYEYGDFPMRDIIPGLVKPGEHMAGRAMHEYLKAYAAHFG IRDKIKLNCKVDSVEYCERGDGGGKEWVIKCTTTTEPGHEKSNTIRTRKLILATGLTS QPRIPTFSGQQSFGAPLFHAKEFARYQGTLFAKPSNDNTGDQHEGASDDHRPITVLGG SKSAWDTVYACASKGHRVNWVIRPSGTGPAWVSPAAVFSPINLLLESLPVVRALGWFS PCAWVSHPIRNFLHGTWLGSIIVRLFWASLEWDMVRVNRYSDHEETAKLRPWFKPIWI GTAVSIINFPGDIFRLIRRGLVKVHIADIERLQPYKVVLSNGGDMLDTRALILCTGWK VSPGIRFLPGGTEQEMGFPWAADPIDQELVRQARKDIYTRLPMLHSGPERRTYHTKDA EGQTHTNETILHPFRLARFIVPPGLWDDHCIAFLGTVTTFNTPLVAEVQALWALVYLN HGSELHHHHQGKESIINETALHTEFCALRSPAGHGVRTADFVFEIMPYLDLLLGDLGL KSARKGSWWKNLFVPHQPKDYAGLVEEWKRRRSISQDGRKVKVT AOR_1_136164 MSFDSTEEKTRGFSHPIYSSHKFKMSQQQQHYPSHNAPAPPPYT GQYQPMPPNPQYGYPPQPYASHPQPMMGNPQYPPQPHHTQSAMRSLKIEFSSWTSRHL AINDVGQGSLLYTVDLHNRNPQMEFKNVATNNTIATVHMRSLKPEMDIKLHGRDIHLR VHRSMKPETTYHSIAFPTMSFTWKTTSAWKFLSFECVDQNKVTVARFTPASSCSMRKF GQLDILLPQATSGAAMDELMLTGVSFMYYQYLSHTRNTTVAVTA AOR_1_138164 MPGVPSGRACEGCRRQKKKCDEKQPTCGRCLRLNIPCIGSGQRR FKFQQEYTIPIMVKKGKIKGQSPKDQTSSSSDEERTEVSRVSPHPSSALTVLTQAFVQ AIHPSTDIRWNLAWMYGGFLRDVPARLGTNEALDTAADAVICMHREFCTSRKVSVKGL SKYGRALNTLHTYLDDPVKAASTDTLCAVTILLLCQGFLPGQGKVQSGHAEGAAQILK ARKNFRPRDDFEAKLLLTLRGPVLFEGLFVSSIDLSGEEYESLVESDLDAGTPDGHMM RCLARVPGIRDRIASTMPGDAEFESLRHETRALYESYQPILTALQARTTSIETPLATG SMYRMCTLLHAQYQRMYGLGLTVAIILNCLARALDPDDPTLPVESTYFAQEIVLLSDT QVAFRPLGSFYMLVCLLTARVGTADKIIRATVEKALDDYQRDFDGGCAAETIAEFEEK VRHVSVFSANEEDNAVSRLEYTTLVEV AOR_1_140164 MAWSIALPREVFTSPILSVTTPTTVGMLVGYLVNRAGGTKQTYK SLQKPAFYPPAWLFAPMWTVLYGVMGYAAHHATVAGYSALTSSTAAQSLGTNWETLYT TQLALNYLWMPLFFGIRRPAWALADILLLGGNVAALMQTWWKTDRTAFWLMVPYAGWL GFATYLNAGVGVLNKWTIGEKPKDQ AOR_1_142164 MNHRSPDVQRQEIDRVLQLKRKQREAKACYPCRQRKVKCDSGHP CRTCQKRGHPHICAYDVSKDSSRHSFPRSGRVDPVHASPSHRPAGIASPLTPSPSALN GAETERAQPLDGPSPSGAAPRSGSTSRDRSSDYVFSGKNSVVSILRLQDPDGSIAREA GSVLGLQNTYEYYPFLDIKTPTDRWCALLDILPQRTEILKFFHHYRLSAHPFNPILVD IDGVESAICTYLESVASGELSNPNKISERWSSDKSIGHISLLLATLASGAHFSDLENP QRSEVCQDLARRSFQALRLANFLFRPSLDIVQAMLILGNMLQNNGQSDAAWALLGTTV RLAQALGLHTERGTSHLPESVRSKAKKLWSMTVWQDCLLSLCYDRPPVVSISGWQQSI GPTPALALSFTDVMHFLCQLGLDIAKVQEPEHWDLTRATELLTQDPMFMPGFDLGGGL TTGDGNYFPEGVLDNTTNISPLTYLDSIMNVPLFNISEDNAFLQ AOR_1_144164 MAAINEVDHSFRAFVEALKADDDLVEINTEIDSNLEAAAITRLV CETDDKAPLFNNLKGMGKNGLFRILGAPGSLRKSKRDRYGRLARHLALPPTASMKEIL DKMLSASQLPPIDPKIVETGPVKDNSLEGDEIDLTALPVPMVHKSDGGKYLQTYGMHV VQSPDGKWTNWSIARAMVKDKNHLTGLVIEPQHIWQIHQMWKKEGKDVPWALCFGVPP AAIMASSMPIPDGVTEAGYVGAMTGRALELVKCDTNHLYVPANAEIVLEGTLSITETA DEGPFGEMHGYVFPGDSHKCPVYKVNKITYRTDAILPMSACGRLTDETHTMIGSLAAA EIRKICQLAGLPITDTFSPFEAQVTWVALKVDTAKLRQMKLAPKELQKWVGDVVFNHK AGYTIHRLVLVGDDIDPYEWKDVMWAFATRCRPNADEMFFEDVRGFPLIPYMGHGTGS PTKGGKVVSDALMPTEYTTGADWEAADFEHSYPEEIKAKVRANWEALGFRKQD AOR_1_146164 MLSSFLPSGTNTSNSGHHSPDNASETQSTTQSAPLEHISTAMPP VPTKGRRKRIVVAMTGATGSILGIKVLIALRRLNIETHLVISKWAEATIKYETDYHPR NVRALADYVHNINDMAAPISSGSFKTDGMIVVPCSMKTLAAINSGFCEDLISRTADVM LKERRKLVLVARETPLSDIHLRNMLSVSQAGAIIFPPVPAYYIKAASVDELVDQSVGR MLDLFDLDTADFARWEGWKKDN AOR_1_148164 MQLSKIFQTIALLGASVPALAWNRIDKDNAALLVIDHQVGLAQL VRDYSTNDFRNNMLAHAAIGNVFDLPTVLTTSSDTGPNGLLLKEIRDMHPNATLVQRQ GEVNAWDNEEFRAAVRATGKKQLIIGGIVTEVCTAFLALSLVDEGYEVFANTEASGTF DARLAEDANRRMEKAGVTLMGIFGIVTDLMRDWRNTPGLTEVLPMLDKYQFAYGLVAR HHAGAIENGTLATVESTLI AOR_1_1374164 MGESTPPSDSEFTICWVCTLLKEYIASRQVLDDIYDETTPASKG ICNYYTLGRIGGHKVVICCCLPADQSAMVSVPRVMEYMQKRFTSMRFVFNVGIAGGAP SSKYDVRLGDVIIGTRVVQHRFRKGTSDGYIFAGHSLSPPRALLHAVTALKTRLFYGL DLSESFENAYTRSPTIEATFRRPEARTDRLYKSQFVHTNGCDCLKECPRQSSEIIERQ ARQDHRIEVHDGVIALVEKDIKDAVARDQLTSELDALCFDRETSELCDSVSCIPIRGI CSYSDSHGNEQWNGYAAAAAAVCARELLLTIPPVQLTSMESVNEPEQWAFNFPDFTQL LEKVPSRIATTMHSALIGICVLLAIFGQLIWSFYVWMLSVAADIRSPAFNPPVKAAQL VEGHTQKLEGAPIHINIYVDQQAVSHARRQSEANWIDSPHQCPTEFSKVQWNGAGRDK VSGSTAELLQGVRALIGKEISRNISISIPHDIGDPLSPIDSSCDPLERVPIERTESVS SASKPPVPPRSKKPRGYISRRNTQIPVPNSMAKRNKLSAENENHKLSESGEEVPEIVA LINEFRGRASMSAQGSFQGAGQAFQGFFIAEDRQILASASFNPPLVQYGAPNLTLAYG NLAELSGNYQVDPGPHMLAPPM AOR_1_1376164 MASTPSFTKDRNPWRMDVGFTPICRPATPANLKLLESENDPQSN FWASEYILQQIVRGSKGHKIVFTHSDLHEGNMPVRSDSTVVLLDWGLSGVWPEYWESY RAIFNPPWRTSWDGMVERFIPPYYPYYVEYDVMKKMFGTIWY AOR_1_152164 MRFFGGVIATFVVCSSLADAFCHDSISCMVGGDNVCNNVCVRQG NPNGGRCLPRDGCPGNDICACYPQSKRSDGVIDGDASIREVLKDFGIDGGAEKELNAR EKRSISCNFPDPFGGLICENHCAYIGKPGGQCSDQKVCTCN AOR_1_154164 MVASLLRLTVLGTLAVQAACKTVNTSSLLSYQDKPRVFILSDIS NEPDDQESLTRYLLYSNQFKTEGLVASTSTWLRDAVHPEDMLQVINAYGNVTDNLNKH APPNAQYASGDYFRSILRSGAATYGMKAVGGNVTLSDGGKLLLERLQSQSETPLWVLA WGGTNVLAQVLYKIHQNYSSEDAAAMRSKLRVYAISDQDDTGPWIRRNYPDIFYISST HGWNQYGMATWIAISGETYYNKDEGVPNSTTVTHEWLRDNIQIGPYGSVAYPDFKFIM EGDTPTFLYLIQNGLGDSENPGYGSWGGRYTKVDPSTAVDYNHYSDAADRVVGCNNKT FSSNYATIWRWRDAYQNDFAARMQWTLPANSSMANHHPVVSVNGSKELAAFKVTAAAG STINLDTAGTYDPDGDKLSYNWFQYEEPGSDDWNVAGQVPALNLTTVQNGQQVQVKIP ASEDSCNGKGDNPSGCWLLHLVLEVKDNGIHPLTTYRRVLIQTTNQTIST AOR_1_1378164 MGYTEVRLIHVKLSFAAWCSVSTLTSPASRHLTSPPTVHGRTLV VARTGSMLGATYDEVDNAEAPRTETYLFFDFGEGKPPVLGQQLPITRDMGRRHGRIAI DKCDVEHVGGPHCQAYGGYLGHRISAPEMRGCRTFQSLVPRLDSHIQEPDDLDIERRS KIILTGIDDASLPERDDSNHTPTPVDWLPARHAVSNGRIVNPFVDDYNISDAEFAFHP WCFGTYMQLSRLRLGYIEVDRLPSFFQNIGRYPRDFYYSPGSDVEEAWFVDMWSCNAG SEWLAANPYHVPKLRELLDRAMTTDASFNLQAGVFNSQAALRNTVNGPAVTPDNFCRL PQEIRNMILSYLNSRDIATLRLVSRTFYRLPVFLWYRLLKEEMPWLWEIWSDESPYFW ATVTGEDIKNNGHRVLDSHTSHPTIVSHTIDVQEHLSQWTLPKPPYGRTNWYMLYLDI KRNWKELRGLRNRERIWNYQEKMLVSLKMHIQDVAI AOR_1_156164 MASPSASDPLRCHLTYEPVPLKFGTSGRRGKVVDLTQLEIYTNV LAEIRYLQSLDQQEGGIKRGDDFYFAYDLRPSSTSYVEEGRGGLSQAVEQALKDEGMH PINLGAIPTPALTYYALKHGKGSIMVTGSHIPFDRNGYKLNTSKGELLKKDEQPINNN VQVTREELLSQPYAESLFNQQGMLRSAPSNLPPVAPEGRAAYIQRYTDFFRGETLKGK KLLVYQHSAVGRDVLVEILEKLGAEVVTAGRSDTFVPIDTEAIDQAQLDTVQNLYDST GQQFDAVVSTDGDSDRPLILAPEGGKLRFFGGDLLGMTVAEFLGADSVVVPISTNDAM DRGSLASVTEPKTKIGSPYVIAGMQHSLSKGRRRVCGWEANGGFLTGSDIERNNNILT ALPTRDAVLPLLCALFAAGNRGITLPELFATLPKRASRAALIRNFPRATSLKIIDRFS PPESTIQEVSYQADHVVAYNGSRAQLEVTESHAKKLEQIRQELETVFSPEYGFSSIAR LNYTDGVRIIFASGDVAHFRPSGNADELRIYAVADTQERADAIASQGVAEPNGLLRSL ERTV AOR_1_158164 MAKARKTVRWLLPNHHQRKSIPDEWTTDPAEIQLDLIWKGENSQ GQIMARRFGLSNPQVVMTSKRETGTPQCMFQSGKRCYIWNEMDDMVWQITKPVGVMAI LRTMVTKGEKALKVKEVEPAEDYNDEDDNE AOR_1_160164 MPRVTSSSQIVDISPSGSIVSELEEQRNEMSDAIHADEKKIEPT PTRQDAFGDETHAEVKYKVLKWWQCGLLMVAETISLGILSLPAAIANLGFVPAIIILI SLGLIASYTGYVIGQFKWRYPHISSMADAGEMLMGPLGRELLFVGQMLFLVFLMASHI LTFTVAMNTLTNHGTCSIVFGIVGLIVSLLMSLSRTLAKMSWLSLASFISIFSAVMIA MIAIGIQKPGAVTTAVNHPSLVTGVTSALNIALSYASHNAFFNIIAELKDPKDFPKAL SLLQCIDISLYLVCGVVIYRYAGEGVESPALGSAGPMVGKIAYGIALPTILIAGVING HIACKSIYTRVFAGTDRMHKRDFVAVGSWIGIAVALWVVAWVIASAIPVFSDLLSLMT ALFASWFSFGLPGAFWLYMNKNLWFSSPKKILLTLFNTLCICIGVIMCGLGLYSSGKA IHDNPSSQSFSCANTA AOR_1_162164 MPIESQCLELLSIDGSAKLNKENSQSGPPLFSLGHVASNNSSDE MGNMSEIANTSSVTSLNSENLTTHMTQFNSPTDGASPSTVRTGLKCFWNWLKTPLGML TTIYGLNVIAWGGMLFLLICNAAPAMCRPTCDDIHSARKKWIEIDSQILNALFCVPGF DLAPWRIRDLYFWVKWRLAGEQIALARLCDIHRSWFYRIYYDFMCYNEPIVETGSGDM VQRFEYDVSGMPGRISEGTPMIFDEGTLIKLSCNGTTPSVVILDYGRNVEGYATFHVS KRSGDTSAFEMTYSETRALLDSDMGDGPIPFAAAMDTYRINRYNITEHKTYTNRLIQG GLRYQKLNLSSAGEVELSGIGFKPTVSSTPIAALPGSFSCSDPVLNRIWQVGARTTQL TEIPANSLPDFWVITDEEAFIESLAPQPLNADFATSMTAYDLEFSVRPIMNGFGFTVL SDTIASGVYIFVNAANSSISAHAGSTERSKPIASAKLTSNITLNQWHTVHSTVKMADI SVRIDGVPVFSFSQSAAFYGSFGLGASLGHSALFTNVSLGVSGKKMYNSPLNDSSVLQ DFLLGTNPLPVSVDGSRRDRIAYMGDLDIATATSFASTYGREYINGTIELLGSFQMPP GFFVPTAKVQQAPRATEINANITGLIGYSFSIVSAMARFYEQTGDTDFLSHWAPKTAR MLDWAHSQTLPNGLFNISNPALGGDWNHYDPALDGVVSKFNLIYAYALKQWLPFMDNA GLNTTLYAERLDNLQNAINTHLWSHTLQAYYVSDSHKDFLSQEANALAVLADTATYGN RTSATVLSTLSRELYVPSGALAFSNKSVASGWAQKISPYASGYHLKAAFHANDSVNAN YLLHSVWGPMSDPLHTNYTGCMWEVIAADGTPGLGSGTSLCHVWSSGPTADLSRYVLG VQPVTPGFKEWKIVPQSLDLNWAKGAYPVPGGRIYVDWSFDSSDLLHMNVTAPNGTKG TVYLPTPLRKSLNKYNATGFLSNEKGSFTVQGGETFSIHQTN AOR_1_164164 MDSHLYDEPPPSYDVAVSGDCMDASTLVRNDGRVDVTVVAKQAG LNDFLPSNLPTETRPLPDVPLSAITPLNIVIQIVGSRGDVQPFIALGTRLQKNGHRVR LATHGNFRDFVHKAGIEFYPIGGNPEELMSFMVKNPGIIPKMSTIAGGEIGRKRQMIA EMLDGCWRSCVEPDPETKIPFVADAIIANPPSFAHIHCAQALGVPLHMMFTMPWSPTK EFPHPLANVKGSGTDASLRNYMSYSMVELLTWSGLADIINRWRVKALNLEELSPRTAA GLMEAMQVPHTYCWSPALIPKPLDWPSYIDVCGFFFRDEPLYTSPEIQEFINRGSMPV YIGFGSIVMDDPAAMTAMIQGACQELGIRAIVSKGWSKLGQGCNDPNILFIDDCPHEW LFKHVAAVVHHGGAGTTACGLLNGCPTAIVPFFGDQPFWGKMVAAAGAGPSPIDHKVL TVKLLSEAVAFCLTRNAQQAAASIAARMKSEDGVSNAAASFHRHIPWKDVKCDLLPSE AAAWLVDKKRGLKLSHKAMVILSQHKQIDMQHLKPYRAKEMRIEKIYTDPFSSTSAAV LNTMTDFTVGLGKLSTSPTKGMTQMTSSLVKGTLVNAPTALAEGLRNVPRLYGERPEK PVPIEGWKSGMTHAGKSLYTGFADGLTGFVTKPYREAKTDGAAGFAKGFAKGSVELFS KPGAAMFGLMAYPAMGIYKSIKKGNLNPTERKIVEAQIELGDYMLQSFPASPAEIEMV LSRFNTLS AOR_1_166164 MGGSDWAKVYISDKHNLFTNLTWSNPELAYEEHKAHDAICDFLE GQGFTVTRHAYGVDTSFECISGTEGRLINLNAEYDALPDIGHACGHTLNATSSIAAFL ALSQLQKKSGIKGRIQLLGTPAEEDGGGKAKLIDAGAFQGVDISLMAHRGPENLGGPT GDGVAGVLMNARKELHVEYFGKNAHAGGNPWDGVNALDALVQAYNGISTLRKQILPEE RIHGAFLDVPKVANVIPAYTNSYWQVRSPTLQGLNKLIAQVRQCIEGAAVVTGCTAKI DEDGLYADIILNETLCERFTRPMAAYGKKFVQKLDQVLTGSSDVGNVSYVVPTLQAMF AISTSNGSFPHHPDFTACAGTDEAHDAAVLTGKGLALLGWNMLTDDTLYTSARVQCEG QIPKEAAH AOR_1_168164 MGTEKEPHNDDEKAVYATQETEQDLSTEECTDFQVSPEAERRLL WKLDLFMLPTMGICYMLQYMDKLALSQATLLNLRQDLGLIGNQYTWCSVVFYFGYLAG SIPTSYLMVRLPLGKYVSTSVFLWGGILMCHAACQNYGGLVTARFFLGVGEAAVAPGF GLITGMFYKREEQPARQAAWFIGNCIANIIGGVVAWGIGNSASGLQSWRLLFLVLGGI TSGYALILFFILPDSPAKASFLSESERTIAVQRTLQNKTGSAAVTEEFLWDQVIDAIL DPQAWLLILYTFCVNLANGGLTSFSTIIIAGFGYSNFESLLLQMPMGLCQLIFLILTA LIATYIRSSRIMSMILNVVVSVIGVVLIYTLDDSQKVVKLVGLCFVATFAANIPLSLS IITSNVAGTTKRSMLSVSLFIAYCVGNIVGPQFYYASQEPVYSSGIEATLCGLVLGVF FLGCLFVYYIWENKRRDRQHGVMNEGLDVHDLVEEQNRKTDRQIPGFRYTI AOR_1_170164 MGVTEIKTPAEFQEKVIDSNEPVVVDFFATWCGPCRMITPAIER LSNENQGVKFYKVDVDGLNTVAADLGIAAMPTFVFFKDGQQIKELTIRGANPGGVQNS VKALLA AOR_1_172164 MYQQIIGRSGRQISTVARRAGTPSHNDNSSNRTQINPSLLRRQG PAWALAGGGMVATLEGVYLMLRSFRRLRYI AOR_1_174164 MVSFSSLLLAVSAVSGALAAPGDSTLVELAKRAITSSETGTNNG YYYSFWTNGGGDVEYTNGNGGQYSVKWTNCDNFVAGKGWNPGSAKTVTYSGEWESNSN SYVSLYGWTQNPLVEYYIVDKYGDYDPSTGATELGTVESDGGTYKIYKTTRENAPSIE GTSTFNQYWSVRQSGRVGGTITAQNHFDAWANVGLQLGTHNYMILATEGYKSSGSATI TVE AOR_1_176164 MELIERCVYNPPTPPKRTREKPMKVLALGMSRSGTESLSRALRI LGYDHVFHGFEMWESTPMLWRSWTMLGRRKWGNAGTAGGRSNITREDFDNLFGHCEAI TDQPGTLFAPELISAYPEAKVILNRRDVDTWYPSLCTVLRPITTGVFYHVLPWFNADL YWEAQYVQCCLKPFFHGSWERHGKWVYEQHSATIRGSVRSDRFLEWTVEDGWEPLCRF LEKDVPAEAFPNGNTVDNTLGAFNNNVDKCVASAVRNLTISVVCVGVVVAYGLGGHRV NWQQWVNYLNIRESIENFI AOR_1_178164 MQLWKHDFRGRTLIMAITMASCQAFLLLGFDQGVMSGLVGADNR FGRDFNNPDANMQGNITALYDIGCVIGSIVSYFIGERMGRRTMLMLGGFIMVIGTIIL ATSNTVAQLIVGRIVTGVGNGMNSSTAPVYQSECSPASYRGTLLTLQGTVTILGVVIA YWMDYGTSFYESSFQWRFPLSFQAVFAILLILQVIGLPETPRWLVQHDRHEEARAVVA AIEDRPLDDALVSKTILDIQVGLEEEQRGGPFSFKELFTWGEVQNLRRMLITISIELG QQFTGSNMINYYGPVMFQETMGMDRNMAMILGGCIQCTYLVGSAIPVFLMDRFGRRTL LIICSAGLCLCFVMVSILLSLNRMDCAYGATAFIFIFQIFYGVGWLPVPWFYPSEINT TRVRTRMSAIASGWNWMAVFAVVKITPIAFDNIKWKTFVIFAVLNAAFIPMVYFFYPE TKGLELEDIPLLFTKGGITGGVYSSKGGRTVMPGQHAQETRVNEKVEGVVQQVEDVS AOR_1_180164 MSFNIARNTLIRTRPLLRTSTLTRTYQIQAHPEAYSSKNQEFFI AASFPDDFESPTLTEKRGVPTESWDELHATLSEASVKADRGEVKMQQLTSREELERML QPDKMDPKIDEM AOR_1_182164 MHLTSLIVTAGVVAAAPSFSRRGAASHKPNVTNIQVGPRPYFLV DDMDEGPLKSKLESCAETPIRHAPQFSIAHRGGPLQIPEHSRQGMMAAARMGAGIIEC DVAFTKDRELVCRHSQCDLHTTTNILTIPELAAKCTVPFQPATDSTPAKAKCCTSDIT LAEFKSLCAKMDSSNSSATTPEEYQYGGPAWRTELYDTCGTPVAHHEYIELIESLGLQ FSPELKTPQVTMPFQGNYTQEMYAQQLINDYKRHHISPSRVWPQSFLDKDIFYWIQHE PAFGKQAIYLDERTDTEEGYKAAVASLPELAEKGVKIMAPAIYALLNATEDGELVPSE YAVAAKEAGFDIITWSLERFPPLAQAAAEKDYYVQSFTSAVGKDGDMYRIVDALAQKV GVRGIFSDWPATVTYYASCMGLN AOR_1_184164 MPLPVHPPPRSPLDRHRQLAPSASVRVTPLCLGAMNFGDAWKDS LRECPKETAFKILDYFITQRQNRDQLVLASKFSSSYKNHESDKLQSTYGGNGGKSMRV SLELSLQKLQTSYIHLFYLHWSFTSGFLITRPGWLLRPINTFVITVYANSPCTKVVSF RGFEREILPMYRDENMGEVLWGTLSQGQFHTEAGYKEREQNNPGGKGRHPQMTDLTSI ALAYVRHKAPYVFPVVGGRKVEHLRGNIAALDLSLSVEEMAQIEAAYPFDHGFPHTFL SGTLFDGSTPRTPDGPGACG AOR_1_186164 MPPGLGGIPNPYRPRTPVSRQAAKLGCNETTQANGYGDMGIKSP RLFHIWILENGDVYANTSDGDMGRIPELSEVRSAEENWSGLTDPALRRKLQNRLHQRI YRRRRRAKPAENTPESDTTLAISKRPRSSSATADKCKGKEQEHTNAGASSKSSEKDTS SPTLSIQTPRSIHEVNRTNIQQIMAQYEASVRKDYALGSPRVDQLLTLIQFNVFRALV DNTSILRFTMDWLEEEEAISPWCTSGFESEISLCPTSLRPTLLQQEIPHHPWIDLFPI PQMRDNLLQRYGDFDETALCNDLVDFYDVSNDETGLIVWRTPWHPTGWEVSETFLRKW SWVVRGCDDLANSTNYWRGLRGEEPLVFDTGL AOR_1_188164 MRLSTTLILLLATIQRIEAATTHRVEFDSNGINLVGNLFLPDGV DLTATNASLPAVVVGHPWTGVKEQTAGLYAESLAEYGFATLAFDARTEDVKNAVSYLT TLSGLVDPERIAGLGICASGGYVSYAAQTDKRIKALATVSAFDVGQYHREPWGGGEVN YTALNDLFAAASERRTREAATGDVELVFSAPMSPEEVTPDLPLMFREAYDYYRTARGM HPRAPNVYVTRSEELMATYDSFDNMRLVSPRPVLIIYGSRADTGYFSQRAYANALEPK EEFVVPNATHFDLYDHTNATVPRLVDFLRESI AOR_1_190164 MPYLITGIPKDPKHPLPIRKDIDDWYLEQTSAGSNRIQLTLFVE ALTVIQNRPLNDQLSYFRLAGIHGAPWTEWDGVPGGQKDSKGNPTGFCVHNNYTFPTW HRVYVTLYEQVIYEAMLDFIKQNVPQNGKADWENEAKQWRLPYWDFARFARHGHDNTQ GDELRLPILVTMPMVKVLVPGQPGKQLSKPNPLYRFQMQTLMGTLERPYAITSQKTEE HGWSFDLPFDKCQSTTKYGLLENYNADVWADGGQNWLRANLALNEHPWYQNLDGWASV PTLQDMTFRLLTTGGLNWGEFSSTRYDDKKEEAQPKNNEQAPKNWMNLEAIHNNVHNW VGGFMFSRPGRHDLKLWGAGHMSSVPVAAFDPIFWLHHCWFNDDKSKVSKDDDLRPFH RFCEKTRKVVFFRSDDVKDWRSLNYDYAITKDASRIRKEISDLYGQRTKEVYKDFGEE DYILSIRYSRYALGGKPFQINIFFGDVDGKDFYDARSQNFVGSVFNFSGSLEDSNCDK CAQQEQEGVLSVSQLPARLAVHYYKKQNKGEVPTPRYVVVNSQGKAEAEVKVEVALHK TEGTFYDAPARGGSDDYRRVADGKRAEVDDAYRA AOR_1_192164 MNTNLPVKILELDPPPLSELSQVIGERLSRHFGHVAANVVPCPD LRQPPFHLAGEGLCGNERIGDVGGPPHLRPSPRLDKKYSLPSIMKMIEMRQQSFVLGA AAGPFHVIGQNSELMPNLARGQDGEWQNETHYAKVNEEGQCHLDKVPDDSKDFGLMAN LFASDGLPGDVIHIRVKHRTGDMNFTEAIQDALKAEYGERPISLGGIFLVRSGTTKLH VMTDFSPDPCPGPDHEWFKYYDSSAPLICLTVFHSVDSESWDLRMEHTHCFSTHGMGG HYHYDTTAEVVEYEAWLNTAKVIYRIDQPGQ AOR_1_194164 MPENRSVKAALALIEDPTKILGMTVGPHADVKPGQYIPKAEAQS HPTLSFDAASPSATYMVVSLDIDAPFPSFGVLGPILHWIQPGLKARNGQLEVTEPFVA NYIGPAPPPGSSPHRYIFFLYEQPEGFDGRRYAPPNGQNLSNWYRMRYDLDAWEKEIG LGKVLAVNYFTSN AOR_1_196164 MLQHVCDAGGEKSGATEAHPRVRIAPEPEWNVSKELPDQRSLRG VLIFHSRLQYLENRVRELEAREETETSANIRAQSTPWRQEQHHHASPTRLPQQGVHSL GPTRTIHPVDVQSPLSRTAQTDDTSGASPAVSSSSRITRDQPLAHEVGLLSLTNASDP KYLGPSSGVTFARLIYESVPQSQGLPLAYSRQNDQDQGLNDPGQCPVLCEALQVDLPS MAECQQYAEMYFAASTFYPFISQGVFYTLLGQVFHLSKTSTWESRLPVKLALAQVFLV LSLGARSLEIKLSGTFGSRELFTTGMSYGTQIKLHDSIEGVQILLLLVQHSFYSPEGL NAWYLLHTILASCLDLGLQRRDNSSKENESPYQRNIRHLRSAIFWSAYSMDRTLTTIL GRPLTLRDEAIDREFPGFDSNDEVEEAATYWDRNPNSQEEAPISRRAPTSYIACIYSL RFDRIVAEIKLMLYRVSRSPSRFPWPADVGAWQREAQRACVALLQEVQDQQPGRLQSG SSPLSGVAVQRLELKYHQCIMLLYRPSPQMPRPSLDAVQECFTSAMEIIAIYADLHRF LNMECSWLSAHSIFVASITVLYCVWTYPVVRGTTPMDVCLKRAELALQLLSFLGQTWT VAQEAGQKLAKLIKSTSEAYDVLTGAPVDPQSLDNNVWTGNDSTGFAQRPNADRTYSN QEGSATNAPPADGKSFLIDELGILRDLFDLGWLDDVPDGNQSFFGLQTDMA AOR_1_198164 MGSDNSTYPASNLTWQILNHANENNYAVGAYNCYNNDGIMAVIR AAERKRSPAIIQLFPWTMYFQGPEFIRYVVRAAHAAAVPIAVHLDHCIKSEDVELALS LPLDSIMVDASTLDEEANIRYCKEIVDRAGALNITIEAEMGRIEGGEDGLPTVDMEAV MTRPEDAETFVRRTGVHFLAPSFGNIHGGYPAGGAEKAWDLERLAAIGKLVSGTTPLA LHGTHPVSDELFQRTITCGVRKINLNRTVRDDYTDFVAKKASSLELTALKVQAVEIYA KSIERMMDVLGSSGRY AOR_1_1380164 MASARYYADPTAAGKFASELLVKAGLSPEDAKSMADCLVMADVR GVDTHGLARLPQYLDRVSNGRVKANPEFKLTEKTPVVAQLDGDNGFGFVVATRAMEEA VKRAEIYGIGMVTVNHSNHFGMAATYVIQALEKNMISLVFTNSAKQMPPFGGKETLLG ISPFAAGAPSNNEVPYILDMAPSVVAKGKIRRAARRGESIPLGWALDADGNPTTDANI ALNGSMAPIGGPKGSGIAILMDVMSGVLTGAAFGGEVGDQYKDTKPQNVGHCFIAIKP DIFMTTDQFKARMDTLVQRVHGVTPAPGFNEVLFPGEPEHRLGMQRRKEGIPYADAEK QMFLEAAKQYGVSELPLSESPLSLS AOR_1_202164 MAAKCATPLRSLHPQRFGRIVSRRLYSNHRPTVAVLFQDIDPPV INGVRKPRKPGGYQDSGADIAYTLQSKGINITTPDPSPQVFKHEGWCFSDTEEGIVSA VNNGATHLWANTILFDSHPLQQSQKLTPYASDLYVVGQPPGLVENCDDKAFLNDKLRA LGGYTLPRSWLVTDSHNLDEFVGSMKSFPVVGKPVRGRGSHGVKLCHSPAQLKQHIEA LLGESPLIMVEEYLSGEEATITVMPPSPERSEHWTYGKVMEECQGVAKLIGATAPIRI DVRRFREGSEFALFDINMKPNMTGPGRPGREDQASLTAMAAAAIGWDYGTLLQKILAS AQRLSAFRDYRSPF AOR_1_204164 MTIVHMVMFKFRPEVTQEHKDTFVRELRKLKELDCVKGHRLVVG GPSVTDPIERTKGFEIALLSFHENLGELEKYQASKEHHWVTSTYMFPYKEDLVRFDFE VAPEDEYMWHFLPVKGMNGANGVNGHETQ AOR_1_206164 MSDKVKHSLQHDEEAVPTEKGMSNRVAAAQQMSAEEFAAAEKSL KRKLDARLLLSVWIMFVMNYLDRNNIAAAKVAGIAKSLNMTSEQYATAVAILFAGYVL MQLPSNIFLAQMRPSWYLPGVMTIWGMLSALVGVTHNAGGLYALRFLLGFVEAAFYPG ALFLISSWYRRDEMGVRSAFLFSGSQLGSAFSGLIAAGIESGMNGVRGLESWRWIFII EGSATVFIAICAAFILPDWPSNTRWLSPTERAVAEWRLIADAGQVDEDDGRWSYGFKR ALADWRLYVFALTFLCIQVSSATSNFFPSVVQTLGFNRVNTLLLTVPPYIVALLISIG NNWSADRLQNSSFHIIWPLALAIVGFVIAAASLNTGARYFAMIAMVAGGHGSNAVLLA WVQKTMLRPRIKRASAVAFVNAVGNLSQIFSSYLYPDDSAPRYVLAMSVNAAFSLAVI ILTLFMRTVLLRANKRLARGETTVEQEMKGGSQAMVPGVTEEERAIRREDFRFIA AOR_1_208164 MSSDPKDIIARLQQWGACDVADGLSKLKYPNGGFLEGLTMYSPQ FQAGETKIVGQAMTVKFVPKSDVSSPKVQGNYIDKIPEGAVVFISQPLPHVNAVYGGL MTLRAQALNATGVVIDGRVRDLNEHRALNFPLFAKSVGTTAGGEVCRPSEVNVPVRLN SENQDAWINPGDYIIADLNGVVRLPQELAEQVLDAIPAIADADAKCAEAIKAGRSVED AFREFRGR AOR_1_210164 MGSITTEHKSSFPKKPVPSGAWDTHHHIFEPDRFPFAEGRHFTP ARASLEDLQKFEKSIGVDHVCIAHGLSYGPDCKSLLYYLKQFQGQARGICVLDLDTVS NELLDEYHAAGVRSVRLDFFRHKAMDNVQIQAELMEATAQRLAKWGKPGWSIQIQQPH LEFWPRLRDVVDRSPVPVVVDHCALIAGSSYRVNDYVTNIQDGSYLAEGERIDLAALC ETLRNGNLWMKISAPYRCSNLAPGYDDLRWLVRRFVDANPRRVVWGSDWPHTQRHKDR VGKSSSSEEAFLQIDDKAWIESLSKWMSEDEWQLLWVENPATLYDYHE AOR_1_212164 MRIGVLKGNGIGPEITAATIRVIEATGIQPEWDFIPIADEAVRL YGHALPPQVIQRIKDVKFCIKAPLLAEKLHGRISCTQTDGSVVTYPSINNAIRRELNL FVNPRPIRGYVGISGRHEKMDMVIMREITEDTYIGWEKPLEDGAAAEAIKRVTRSASW KVSQYAFEYARKHGRKKVSCLHKANVLHETDGLFLRTFQEVARLYPDIVGDDMMIDAA CYSVVRDPCWFDVVVTVNQYGDIFSDLAAGLAGSLGLAPGANIGDSASTFEAAHGAAP DIAGTGAANPIALILSGAELLAHAGYGKGSEAIREAVTRVIEAGRTLTPDLGGRSTTE QVADAISVEVRAILGH AOR_1_214164 MLLFLVAALFGLAAGLPHRDATPGPSLTAPQNACTDGDVCVMSS VSQLIEPRQQVAYEKRQAYSDDIDSVTVQMHVADSYYAGTDYRIFFAFGDAESTFGGV WSQISTIWEFSDKGVQQYNNILKVFDGPSRGDRAEVKLDLKKAFQKDRVSVNDLSNWT PGIGQIVWKGELGLDKWLDQDQKPLSIDEPSSGVGSCPRFIGKGGTIGKRQNDDENTP PEWDVWGAFEEAKKHLEANTQVGRNRYPHQFNNREALQIPEEFRNRRLQEFPILRGQI YSGQAPGAMRVM AOR_1_216164 MSLGLRVTNIHDEMDQMEQNIVRAVGRALADSNAESARVAADTI DRLIRMKYIEERGNGNAEDLETFLWQLWDIVIQGAREILHDHPLQDRLVELVSALTEI PPVTVELWESKTCLWTDLPLLGPSMREAWISPTHNNSRPTSEEAVEWINLNAFAARLL NLDAISWTEFAVWELRVALEEPCEGQALECNVVVAMVWIKHAGHILYALAVSTGEDTD ILEAANGLFYEGRSMLCLERWEFWKRRFSELSGHLEGNAYQVAFEAGKEMEAIERSHK G AOR_1_1382164 MGDHTYSGEVIGDSDLGWLDDLRALGFNPDAVGERKSFLTGDGY YDDAGAINADAGEDPNVPVGPNSQPQDRFYAYMYVICP AOR_1_220164 MIVLQLLVTNPVEISPLTKYLDEIRDIANSEKDTSEPQEVPQSF DIFNTLPYELRQQIFSLLPLSSVLALRAASWSMHTTQLPEKSWKARLEYDLPWLWEVH GIDLTGSQKLEARLSKTIVELEGKSQYRSDKVDYIPGLANRRRIWMVCEDIKDMYHET LAERAKSETSQV AOR_1_222164 MKLSGSSALLLLGFGLLGHASPLVHVQGKNCTVKPLGHGQDDVP NILHAVEKCGQTPGGRISLPAPYTYRINQRMTTHLESSTLEVGGMLLFSDDITYWVNN SYRIDFQNQSTAWRITGHDYVVDGGPERGGIDGNGQLWYTWAKGGSNVFGRPMPLHVL NSTRAVLRNIAIRQPQFWAVLVESSSHVELDNFYVNATNSDPNATEDTVWIQNTDGID TYRSDHVTITNWVYEGGDDAVAFKPNSTNIHVENVTVYGGPGIAFGSLGQYPDRYDIV ENITVKNANFQPSSQRAMNSGIYFKSWIGVNFGVPPNGGGNGHGYVRNVTVEDITFKD VQLPIYIDTCLSYLFDQNVTQYCDTSTFRFDDLHFRNISGNGLATPTNYTGRNITFAV SMICSKEAPCTDITFEDVDIKLPESYSGKSVLCENAGVQGLECNS AOR_1_224164 MRFISVSSLLLALAPALNAVPVEVAGSAQGLDVTLSQVGNTRIK AVVKNTGSEDVTFVHLNFFKDAAPVQKVSLFRNATEVQFQGIKQRLITEGLSDDALTT LAPGATIEDEFDIASTSDLSEGGTITINSNGLVPITTDNKVTGYIPFTSNELSIDVDA AEAASVTQAVKILERRTKVTSCSGSRLSALQTALRNTVSLARAAATAAQSGSSSRFQE YFKTTSSSTRSTVAARLNAVANEAASTSSGSTTYYCSDVYGYCSSNVLAYTLPSYNII ANCDLYYSYLPALTSTCHAQDQATTTLHEFTHAPGVYSPGTDDLGYGYSAATALSASQ ALLNADTYALFANAVNLNC AOR_1_226164 MSASVYLQVTITPPIIPAFSEPPTVPIQVSVHNPSDTPITVLNW GTPLDPSANVLSIFELRDTTENQPVTLPTIKISRRMPPSVDDLVEIPAGSSVEKEVTL PHVPLTMGHEYSVQANGNWHSVWEGPRENVTAEKLERLGDAQRGKFSSEVVPLRIE AOR_1_228164 MTSDAKILLTGATGFIGGSVLTALLQSSASSLQSGPITCLMRGA DRAALLTSTYGERVKPTVYGGLDDLETTTAVAAQHDVVINTTLGYHSASTKALLRGLA QRKAQTGRDVWLIHTSGTSNIGDKPIAKPVGVREFDDLVDAIYAHEKALEAAEPYAQR TTELGVIDTGLELGVKTLVIMSPIIYGNGTGLFNRNSIHTGYMKAMLQIGHAVVVGDG TGLWDHVHIEDLANLYRLVVLDILERQGKSLPTGKKGIIFSANGRHSWLEYSQLVADA CYERGLILEKKVTHLSLEEAVATLIPHLDFAKEVFAEEDLKSAAEMFSSNEITVATIA RNLGWNPVKGDEAWTQAFRDDVNSIIKSKG AOR_1_230164 MQRVLTSRDSLFSGQTGRSEPRRRFLNLYFEKFNPYWLLIHRGS FDEDIEAPFLVQAMIVIGMWMSDEPNARSAAIDLHNTLASAIGQQREAWDVSAKEDID GASWPIPTYQGILLHIIFALVNAGAGNLGIDLKPSLSRTNTDLLNSLVGSCKRLDMLY YPSILARYSQRDSRPYIWLGIEETKRFNLALYRVYRAASVVGKRADDADTHARLTARD LRFPFPTHTRLWKTMSMAEWGSAAGRGVFDHLLDDTMEEMWISRAHEALGIDWELEYT PQD AOR_1_232164 MDFDIGPDEIYWDDENLRPQPGLYTKHQLQQMPFVERLDWHCVY CVITIVLHNTCNNTYRVSGVTANMAAVDGQVLEAVPWDEMLGAIGYPEEDRDRLFNRS RQRSTKFVIQPDPSNIKRVQSLIKRARIRTRARFHIGGRVNRQHNRLCYLPVEILCRV MDYLSPDDVEAVQIAMKYYLGDAYWRTRVPTSLFPEVKSIWHETLDWQFLCLELEWLW ETDDLAFRRYVLDRLDEMQASLRQLANE AOR_1_1384164 MSGRRNDSHSSFSSDDLLEGTDSPICAANDTTYDTYDADATTNY GVQGAKRLNTEKRTATDMSIHEYEAPSGTKIKKTNFENGRGRTIYNSSTGAYDEILDY GTDDAEHHHREKLSADGIYQLRDVDLHRDGTRHVHREYNNPIAGITTSVEGTMSDRAF EADF AOR_1_236164 MYGLKHIAFLGLALAMATGSAAGTATVGFQTADSSFHVDNIPLK TCFDVHAEDVTSVYVSEYCRVFMGRGCTHRQVLLTPGEHESEISIPVIDSVWCENRGP FPMS AOR_1_238164 MSTIPSTQTLMADYKGQPLPELGTLIVLDGLPVVDKAHRPTLIR FLLRKLDSPGPTDTRKVYMPVDSQQRTIGSAIIRYLDASQAEDTITRFNGSHLDSNHQ MTLEKIEVSERYRHMQNMVTGIEQQLGLVFPIDMETELFQEG AOR_1_240164 MSSMEKTTSQGHTPGKASSEFFEKSEANIQSVDATSLLSDQHRD YLLQRHGTLDLDPIPSMDPADPYNWPLWKKVTNLGLVAFHACMGTFTAAAIIPAYEAI SEDLGVTLQRVSYLTSLQIAILGGAPLFWKPLSHRFGRRPIFLLSLILSCVCNIGCAK SPDYASMAACRALVSFFLCPAMAIGSAVVTETFFRRERARYMGIWTVMVTLGVPVGPF IFGFVAQRVGYRWIYWVLAITNACQFFLYIFFGPETRYIGTEVQSGGSPFKREYLSFG RIDPTPFRFSEFVHPLSLFTNIPVLLASVAYAMVFLFASVLNSVEVPQLLQSKFGLNA EQLGLQFLGLIIGSLLGEQLGGFMSDMWMNARARRIGHKPAPEYRLWLSYIGYLLTIA GSVVFLVCTEQATEGTWTVVPVVGTGIAAFGNQVITTVLTTYAVDTYPQDAGSIGVFI NFVRSTWGFIGPFWFPDMFETVGIAKSSGVVAALVMGVSFLPTVYLQWQGKRWHAVAE Q AOR_1_1386164 MKVRTFKYESLPTPTSIRVVTLLHGESEITVFGVPLIPLSIQIV DLNDNPRFQALSYTWGSPFPPELERSKNYGPKNKFPVAINGQLSFLTRNLFEFLQRLK TEKLNVDRRTKPYNKTRLIEAAEAGSVNDVYFWMARGADLAAQDMFGETALHYAAENG YFEVVKILVEAGSDVQRRDSSRRTPLDCAKMRKRRKYAEVIEYLERPDIHQIVGSKPR PLSPMDSQQFWIDAICINQDDIAERNAQVAIMSMIYTKSSGVFVWLGVDDESTMRAAE ATHLPSQEGMDMWYNDVLNNHVMEDPETRVAKQGSNEAALKYQAVVNLFRRTWFQRVW IIQEIALAKQIRIFCGRVEFEYHKLFNLFHNPNFTSHVTSRSLSQALELARWKGIGGS ELSTLTDIRLRTSKQVFERRVMDEYVKKNGITLVSTWEHKLSLSLLASKVWSFRATDP RDKVFALIGISRLPDDPKKRIVADYSKSTSEVFIQFAKIFMQGAPDEPLQTWHTGECE VFECLEGLSFVQESPDVSRTFNGQELPSWIPNFTAPLITTRLWSPRFRAGVSPDTPAV ILPDDDAHTLRLNGIFHDEIVSVEPQIGTSTATSFRPPNWLELILSLDKTYLPTGDNR VEALWRTLMTNKLSSTSDNPVQDARSSFRFFIQKALWSLTHKSDKDTITNLNLIRETD DNNTLPTWEEVQQYGEKDEVGKPRGRVRIDDRDFDQTFVHFYRGRLLYRTKRGYLGLG PWSVQPGDEVWVTAGARTPFILRKPSSDDSSRTERRSLVGETYVHGIMDGEAISGGQT FQPVSLV AOR_1_242164 MFSLARLGTVAGLFLLAQAAPASLRRDVSSSLLNNLDLFAQYSA AAYCDENLNSTGTKLTCSVGNCPLVEAASTQSLDEFNESSSYGNPAGYLAADETNKLL VLSFRGSADLANWVANLNFGLEDASDLCSGCEVHSGFWKAWSEIADTITSKVESALSD HSDYSLVLTGHSYGAALAALAATALRNSGHSVELYNYGQPRLGNEALATYITDQNKGG NYRVTHTNDIVPKLPPTLLGYHHFSPEYYISSADEATVTTTDVTEVTGIDATGGNDGT DGTSIDAHRWYFIYISECS AOR_1_1388164 MQTVINLQSKETKEQAIDFERQILNPERTETCKSGSDDEVGHHK CSYDPSTTTPESEYLADEAESLLDGKGHHPLFVSPANLEVSHYIDPMSEGAVQGAARL GPSGKGWTRKHREVHIKDVPGSQYERYEKLLQELRKPKQSETR AOR_1_246164 MHSKLPRFSILLQTALTLLLTVTHTLAYRPISNKTLTHLPRPNT DFNIHNGTLLSPNLQTRVPGSPGSEATRSHFTNFFAKTLPHWKIEFQNSTAKSDTNEI PIINIIATRDPPGIPAGNISRLTLVAHYDSMNSPEGFIGAIDSAAPCAIIMHAVRSID AALSRKWGTLPTVQKAEGIQVIFTDGEEAIYPDWMEILFGARSLAAEWENTRYPPASR YSSRLKAISLFVLLDLLGSREPKIASYFNTTHHVYKRAAVLEKRLRGLNQFKSTGTRP WFVDVDRDTIGANRFPIYDDQVPFEERGVDVLHLIDANPDTGRFPNVWHTLEDTGENL DLDVLGDWSVLLIAFMVEWLESEGYMI AOR_1_248164 MPHQDLDEKPPLHVQDAEIDEEVEALEGYVVDPSQYPDNAARLK TSPDGRFVLIPQPLDTPNDPLNWPSQKKWFLSMQWELSQATVQRAVVGNLFTIGACGL FVVPLAHYFGRLPVTLFFQCVMVGTCAWSAAATSFPSYLAARIINGFFCSVGQGGALM WIKDLFFFHEHPKVINYVEFSIIMSPYLGPLITSFIVSGVSWRWAFWLCTIMSGVGLI LILFLDESLFDRKHPPSSRGSYISRLTGAQQSKDWKHKSLVQCLALPVIAITKIPVLT ILVYYFLNFAWVIGVNTTIGIWLTNIYGFSTRGIGYFYFFGIVGVLVGWFAGHFLHDG VGQYYIKRHNGRLDPEARLIITYPATIICCISLIILGLAFQYHWHYMVIAVFAATQCI GVMIVTTAINAYLLDSYPEGSGVVGAWVTASRNWAGFMATYIQIDWVTRLGPAKALGI QAAITFASVFCMVFLQVYGKRLRQWQGRMVFSWEGKK AOR_1_1390164 MTEPPGPEHGGKGSLVLGVTWAEAGLALVLLALRAKTASLCPPG QTGFGIFGLRWDFIWVVIALAFALCAQSFMTVSVMYGLGDHQSLLSAHNIVQTNLWSW MAQIVAILCLVISRIAVIAFLLSLQGRTSSIGRIVLYTVGAVQGIINVIEVALILKQC DPTEKLWNPAIAGTCDRVLICSQVGFLQGSIGAAADLFLAFYPVYIIGRLQQMKLSTK IGLCLIMSGGLIAGIAGINKTIAIASITHDDLTYGIYKLNTWVLTEMWFIIIFGSIPV LRPFFVRFTQDIKSAAGYGHSRSRTNPSDYLCSGRNNRESWMQLDDRSHSTYVTPVST YAKTDSYLQRGENGDSLSRRDVSIDLQN AOR_1_252164 MAVSRELAEVQRLVAAEAAGDKNAHGELLRAIRQLQLAVETPVE TTSRFNFQIMQNISIRVAIEKQFLHIIAARDGAPITASEIASKTGENLLLIVRVMRVL TAIGLCDEVGNETYAANEKTHFKILPGSIAAEKHHFDLDFGMGGRLVEYMRGPGIQQF VDEPGAITLFKYAHGTDVIFGLLEKNPEQKQAFDDYMASRRVANLPQWFEIYPAVEKF SDAHQDPNATLMVDVGGGPGQELIRFKERHPDIPGRLVLQDLPLTLQRIEKLPDGIEP MEYDFFTPQPVKGARAYFLRDVLHNWSDAKSTQILSRIVEAMDPEYSTLLIDDYVLPD TGAELRAAEMDILMWLHTAGLERTVSQWESLFRTVGLELVKIWHSVRGNESVLETRVQ RK AOR_1_254164 MEPLSALGLATAVIQITQFTGQLIRGTSHASQAADGLLLTNANI KDVAGTLLSLTEQLVFPPSFVTLSGEERLLHEICLECRKVSESVLDRLGKLQRQQPLN RWDQVRQTFGQLLGQGETNALATKLSNIREQLNTALLICLRNQLSEVFSRQTDPGALR FLSQIQQALEMIISEELFRFAFFLDGLDEFDGNPQVLVEFVGRIAEKDNVKLCVASRP WNLFQDAFEQSPSLRLEQLTYGDIRLYVSERCRQNRRFVNLLQREPDRARDLETAVVD KASGVFLWVYLVVGSLLAGIQNGDSIADLRKRLAALPSDLEQLFEKLLNTVDAFYFES LCHLMQLVRHAYQPLNLLTFYYTDEAVENALTDPIRPLGPDQVIDFHEEARRRLNSRS KGLLEAPSSPKGTIQYLHRTAKDFLHSPKVWVRITAGSNARFDANYTLASAYLRHLKT LKSSRMGTEEFWIPAIGCLEHSVRAMLQSATGEQHNQVLLLMELDRSSRAIWTATTPG DQCALNGRVPRRAERWPCTLGIDYTFDALCVRLKILPFVRYQLEQNHPGQAASYPKLL SKPRLDTRYTV AOR_1_256164 MTTETSFLPSVLITGCSAGGIGSALAETFHERGLHVFATARSTS KMAHLEKLPNITLLELDVTDPKSIESAVEVVTAKTGGKLNYLINNSGQSLVLPALDTS IEDAKRLFDVNLWGVVAVTQAFSPLILATKGTIVNVASLAAFFRSPWLSFYNASKAAV DAYTHTIRQELAPFGVKVVTVTAGTVQTNIFRPVEEISLPPDSIYKAASKQMVGIANG KLIQGAMAPAEFAKRVADDVLGGATGVIWRGTMATIGRIMYTILPTWLMDRLTFPGSG LDNLG AOR_1_258164 MTALHIQPYIAEMPSPGKPLINVGTIGHTDHGKTTLTAAITAKF GTTCMADDQTDNTLKEIAHVEYETKARRYRHIDWADQAEYTKNMLSGAAQMDGVILVV SAEDGLMPQTREQILVARQAGVSYILAFINKCDMVDDTDQLELVELEVRDLLNSHGFQ GDNMPIIKDSARQALEGGLDESDLGERAIVHLVEALDAYIPVSVPAVDRPFLMPVEDV FSVAGRGTIVTGRVERGMIRVGDDVEIVGFGAVVRTTCKGVEIFRKSLDQGRVGETVG VLLRAIRPEDVIRGHVLAKPAQIRMCTDFTAHMYVLRKEEGGRHTPFFNHYQAQFLIR MTEMTGSIMLPEGMVMIVPGDSPSITVKLIAPTAMEEGLRFTIRESGRTVASGVITSI LE AOR_1_1392164 MPATVQPLTPPAGSDINFAAVIDNVDLENITDETFSTIHSALYN HQVVIFKNQHHLTPKAQYHLTQRFDPSSTQYGHGKTIDAKRSILHPDLKTVPHQPQVQ IIGHGSIDSYEGLSNFQLKHPHHKTFHRDAIPPEEDYDFTRFYRWHIDAALYEYYPPK VTTLLAVKVPKGRRQTLRYDDGSDETLDVPLGTTAFVNGERMFEMLSDEDKEFVLGSR VEYAPHPYAHSRSLHWWSDIS AOR_1_1394164 MSPARSLPTGLGLYSEGLELSESELPPIDQSKIMILPMVWKNPV TGKPALQIHPSAVRKIHQKDGSVIDDLARVREIVYRLQRPAISPKHVYVHDWEEGDLV LFHNRGVLHSVVGAFGDDEVRLFRQCNLAAGEAPVGMSD AOR_1_1396164 MGLSRPSPHSSSGETICSTDVDVERKDALPTQEIEYLYLELETP LPTPQITLPPGPNQSPAPECPDMKQYISPFLWPKWRKTMMTWISCGVTALAGYSAGEV SPASTELTAKWGISGVVYNLSITIFCIGFALAPMVLAPFSELNGRRPIFVVSGVVFTA CILACGGTHLFAGLLVARFFQGVGASTFSTMVGGVISDIYHAEDRNTPMALFSGAALF GTGLAPLLCSVIVYHTTWRWIYYSHAIVSAVFVVIIFFFFKETRGSVILSRKAQALNK YYEALEDAGHFGVIMADESGEKQLTKRIRWKVKSDEQRASLGQMISISLYRPFHMLFT EPVVFFFSLWAAFSWAVLYLQFGSVPLIFQTNHGFNVEQSGAVFTSMCVAVIIATLIS IYQERVVSRFVKLPNTPEKRLYFACVQAVLMPAGLFWFGWSSYPSVHWIAPALAVGCA TMGILSIYLAVFNYLADTYHRFASSAIAAQSCCRNLLGGVFPLVTHALFTNLGYPAAS SLLGGIGAALTLVPWVLSFYGAKIRAKSKLASELAH AOR_1_266164 MSVAVQTLVQPDIQYHPDYEKYTARKARRQATEQLSKTLPDGFP QKLDSPLVWEGKDVEKRDDWIYRLNDAHREEIDAALKSFQAQNLSLGNINQDTFPLPT LRPTLRSLSNEIHNGRGFFVLRGLDIDRYTREENIIIYAGVSSHIGNIRGRQEDRRYT PGGGSVVLSHIKDLTRTSAANAIGAPSNTADKQVFHTDSGDIISLLCLHPAAEGGESQ ISSSWLVYNILAKERPDLIRTLSEPWPVDGFNDPEKPYTTRPLLYHQKATDTTPERVL IQYARRYFTGFLAQPRSTNIPPISEAQAEALDALHFLAEEHSAALDFQKGDVQYINNL SIFHARKGFRDEPDKERHLLRLWLRDPENAWATPEPLRERWENVYGNVKVEEQIFPLE PKLRKTVGR AOR_1_268164 MTSKAADKQTTLVQTVPASAIEDAELSFLFSKDEHNQDFWTAMR LHWPAVGWGLFMNLATILKGIDGGVVKGLVGLDAFKETYGYNHNGTYMIAARWLSAFN YANLLGAIVGALCSGAAYNRFGPRIMIAMCSCLSIAFIFIQFFSHTPAQLFVGQLVNG AVIAFYPICASAYVGEVTPLVLRGFAATMTNLAFSIGSLIASGILKGTQSMESELSYK IPIATQWALPCIMLFLVSFCPDPPYWLCRKGRYDAARASLRRLATPSIDVSRKLAHIR ETLRLEEEFQGDRPHYRECFRGPNFRRLMICVMAYSMQAFTGNVFFINYAVHFMELAG LDSSDAFSMNLGLTAIGLLGTCISWFLLSYVGRRTMYLFGCSSLALLLFIIGAVDLAP RQTNTVWAQCGLMLVCTFVYDLSLGPFCYVLLAEVSSAALRGFTIALATVACFVWSVV FAVAIPYAMNEDEADWKGKIGFLFSGLSVLCVIYVYWCMPETKGRTFEELDILFERKV PSRKFKYYKIDIDIDGRRLEE AOR_1_270164 MSELTFRYGQGGAAAITVNICDVSQSTLENDTQLVERSKWYGTL RMFKKGKYANLVIVKVCDESWTVYQVAKLNTPVAVVPMDITQNGLMDIVVCHDFGDTM IQANMQGGHISWFENPGRDKLEQDVKWTQHYIGRWPAMHRLQAGYFTQRTFPELIGAP VVHGREDKTTPVPIIRFQAPEKPLDAKEWRRDIVDDQHFTIIHEISARRAKGPAGLDS LLVSSREGVSWLYYDDGRWKREQIGTGEQRLPDQLDDSISPGSGDHWGTGSADIGKIG GDTFAYVAAMEPFHSTAITVYIKDNHPIYGRTWRRHVLDKYGTPTQLRHWGDGPGHYI TCADVDGDGNDEILVSLFGPLNRDDDGKPTDPPEQSGRNPNKGIYCYKPIDLEHGVFA KWHIAKESSGRSAVGDFCGNGCNDLVSISYNVKDYYREANPQVALYKNEVRQPPPPRA RIVGTLWGNEGMVYLPDPKKMKKDDDPAGRDLIMVANYNIRVEVHPPGSKFRPDNEEG IKVLYGRVTDIDGEWKPLGNKKFPKRYSLTTKDKYLSVSETTGAVILRLKHNGELKRQ WCPQENVPVKNLFDMNDVGLGTLDLKFIRVKDTWWGADFKDAHFFNMTGFHFRFLENK QNIAHMQFWIAGPNVDCRLHDHSDNSFKELHTCLSQGSSEHNETCQGGMWAPKEIYYN EPLDEIKRLRDKCSRDRHKGCQGACLEEYLEHCPLQPLQEHGRIWHADHYGQTVYRKN KTVSYPGHTWIAGPGPYVDVWMALEFDGKLQL AOR_1_1398164 MAACAEYDVLIVGSGPIGATYAKILADAGKDVLMVETGTQESKI AGEHKKNAINYQKDIDAFVHVIKGSLHYTSVPTNKAAVPTLAPISWKANGQIFNGQNP RQDPNVNLDANGVARNVGGMSTHWTCATPRQKEKVERSDIFSGDEWDSLYKEAEKLIG TSKTVLNDSIRQELVMEILNDEYGKRSAEPLPLAAKRNGNTAYITWSSSSTILDAMNC KKKFTLWPEHHCEKFKVEETDNGPQVTKAIIRKLATDKLITVKAKVFIACGGPILTPQ LLFNSGFVPTKPNRDPRTQIPLEDDEKGIPPPPDTLEHLKLPALGRYLTEQSMCFCQI VLKKEWIEAVANPKKNPYQSDGVKRKKWEKLKEGWKERVQEHMKRFNDPIPFPFDDLD PQVTLPLDYHHPWHTQIHRDAFSYGAAPPAIDKRTIVDLRFFGTVEPDWKNYVTFETD IRDAYGMPQPTFRYKLNDEDRKRSHQMMKDMEEAAGALGGYLPGSEPQFLAPGLALHV CGTTRAQKKEKECDPDPKETSCCDENSKIWGIHNLYVGGLNVIPGANGSNPTLTAMCF AIKSAKSILEGNS AOR_1_274164 MANDFAPLFAGRHSTLPIPIGQTLKLLRHLGLHIYAQTNQNAHA THILRIIELSISLTSLSISGTNDFSLDSLKLGPGVRLQYLFLANVSISSHGIINLFEQ FKDSIESIELQRICENNQMELSGPPDASNVALDSFKALCDDVLANSKMYFQPLLDRIE RKIEEMKGLRDGLFNAISAKDASKGTKLAKNSCRQNRYIVVFSVVTVFYLPKDFVTVP HP AOR_1_276164 MAPQSKSSFTITHLTTATAILNIDGINFLTDPYFGAEEGTAYDE TAELINADLSPLGLTSPPPPPHLVNRKGPSVRLHDLPPIDAVLLSHEDHIDNLDPEGR KLLDGRRVFTTMDGAKNLSPRPGVIGLRPWQTVTSVIGGKEFRITGTPCKHFPVGEVT GFVLETDSFGNNAESGKPNAIYFSGDTVYIDELQEIGKRWHITASLFNLGNATFTFPV GTFQITMDGKQAVRLMRDIGTEVMIPIHFESWDHFQEDREGLEKVFTEEGVIDQIRWT EPGVPKRIY AOR_1_1400164 MSPSTYSCNIPGCGATYLRKEHLNRHSAQSHQDASRFSCPHFPS TLARSDLLRRHIKNFHQKYELPQSRVRKACNACRTRKERCDGKSPCSSCERRGIYCSL SPDSAAQTMIQTNTLTPAAVIMDTKDAETSITGPVIQKYIDIYFRNFHPHWPFLHPST FDASREPFILVQSVVMIGMWITGHLAKRDVALELHCRLSDAVRMQAESWSIPTSGSQQ NTRALWPMATYQSILLQIIMALFLAKGNSSTDISLRHQLSADDSYTLVTLVRTCRASG MFHYLKMIEQYSPATPLAMIWVNVEEIKRFGLALYKVCRLSNLAVTAKKSRNTRQDLL GLADLNFCIPDSDRIWGAPAVMDEQDRQLLIALVERRDNSDQKVWISNATRILYDDQV DFEWA AOR_1_1402164 MQDQAYFNLGSHQRPITTSSKDAQLWFNRGLVWAYSFNVGEAER CFERAVKYDTDCAMGLWGIAYSAGPNYNKAWRYFDPKDLRDSIQRANDAIARAAELSN QVTPAEKALVKAVAARFPPTDNIPNDLSPYDRAYADAMRPVYREFGTDPDIAALFADA LMCITPRGLWDLDTGEPTGPHTLEARKVIETGLASTVGRAHPALCHLHIHIMEMSPNP ELALPAADRLRYLVPDGSHMLHMPTHIDAAVGDYRRAIDSNSEAIMADDKYFAQESGT ISYIGYRVHYICAKLYAAMMCGRFTDAMAAAMKLEEVITDDLLSIASPPVADSIESFL GSKAHVLIRFGRWEEILRLELPANRQLKSATTAIILYSRGLAYSALGRIEEAETTQRE FELARAAVPKSRLNSIPCRQVDVLQVSSAMLHGELEYRKGNHEIAFTSLREAIRREDA LPYSDPPPWMQPVRHALGGLLLEQNRVEEAEVLFREDLGFAEEFPRRRAKLNNVWGLH GLRECLVRLGKTKDLAFVEPAHAIAVASADVPITASCYCRLSAVKVTRCCSAKSGCCE AOR_1_282164 MSLDITTFYNVINNELTTTAETRHGINPANQQPNPEVPVSTAAD LDKAVSAARQAFKSWSRTSVEERRKALSAFADAIEANKDSLAKLLTQEQGKPLDQASG EVDNAVIWARAIPKIEVPETVIEENEERKVIQRHIPIGVGGAIVPWNFPIMLAVGKII PAVYTGNTIIVKPSPFTPYCNLKLGELAARCFPPGVVQVLSGGDDLGPMITEHPGIDK ISFTGSSLTGRRVMASCAKTLKRVTLELGGNDPSIICEDVDIDAIIPKVGVLSFLCSS QICMMIKRLYVHEKIYDEFRDKLVAFVKNLKLGEGTEPDVFFGPLQNSMQFGKARNLI EHIASEGLNSVLGGSIPDSKGFFVPPTIVDNPPENSRVVQEEPFAPILPILKWSEEAD VIARANDTEYGLGASVWTNDFERGQRIARQLEAGNVWVNTHFMVQPNVPFGGHKSSGI GSEWGVTGLTGWCNTQALYFNKKA AOR_1_284164 MLYTHATIITVDSNRRIIEDGAIRVENDLIADIGKTAALKTKYT DDEEYDLTGRIIIPGLISTHMHTAQTLLRGAADDLELVSWLCERIWVLQGNFTAEDGY AAARLSIGEMLKSGTTCFLESMFADRYGFDGLARAVEESGIRGCLGKIVMDIAKYAKD DAWAMHPGLVEDRETSLLGTVKMWEKWNGKANDRIRVWFGARTPGGVSDTLYREMTSI SREKGIPITMHCAEVRADREFFSSVNHTPMSYCDSVGLLSPSTVLVHMVHLDDDDIKR LAGSGTHVAHCPTSNAKLASGICRVPDLQRAGVNIGLGTDGAPCNNTCDLLQEMKLAG IIHKSLSYDPTAVPAESVLEMATINGARALGLEERIGSLEVGKKADFVAIDTRRIHLQ PWFNPVSAVVYTATGRDVDIVVVDGKMLVRNGELLTMDEEEIVREAQRRSEEVVARAG LKDRVKARWPVE AOR_1_286164 MTLKYLITGATGGLGRYVLDYFVANKPLHEFAAASSRESNRTQF EDCGIAFRQVDYDDPATLDKAFRDVENLLFVSTNTFDNEKRRKQHQNFVDAASRNGVK HVWYTSLAFGGFKSDSQAAVQTAHLETEEMLRKSGVTYTSIREGIYTEAFPVLLNWYP DSTTVPLPADGPMALTLRSELGEATARLMIAGGHENEIVLLTAEDTIRPSEIVDIINQ TTGREVKFERVSPEGYIRIYGENDRGGKPKAFFQQTLTWYEGIEKGELATTHSLMREL LGREPTKPRDAIQGLLLENPNYTWHQNYVN AOR_1_1404164 MGDQIPPQDRSKLRGRFKDTLKATFALKSIHDLYRKSPVLVDPD HAMKAALVTSWGKPPQYISIPDLPPPAPTQLQLIVLTTSVTRVVRSRAASAHSTAFKT PSPYDPSVDGVAIDEITGDMLDERNDPVKVAALANPVSCSWMTLRCRVIGGCQGRTVL IIGATTASGRCAAIVACSLGATRIIGMSRNEETLAAVEGLDDRVVLREHFALPPSVGP VHIILDYIGNGPAASGILQTAQSAYGENLQPLCILGTGIGCFTVEDWRKELPEIMKMI SHMHIPFTIFTAPLSQVASAWESEDTLTKRLVLMPGA AOR_1_288164 MAAAQLIDGLSGFASGKGHIQKTVITGQKALWGRRANVSGFSSL PSMLLMIGAPLLVLLISVALTHYDGSIARVLDDLYSHGALPFLMRYCPSLTTQGIIAY ISWLVFQALLYQVLPGRIVSGPPTPGGYSLPYKVNGLWSWFASLAVFIGLVKAGRLDP TFVAVHQGELIIIANIYGFLITAASVAKSHLLTQNTRDIRFSGSIIHDFLSGVELNPR LGKYWDLKLFQIGRVGMNSWVLVDLSFAAMQYQKFGYLTNSMIVVNLLHALYVVDFFV NEDWYLSTIDIALDHFGFNLAWGSAVWLPVVYTTQAHYLAYHPVQFSGLQCTLLLVAG ITGYVIFRSANHQKYRTRQSNGTNLIWGQKPSLIQATYQTADGSSHSSMLLHSGWWGL VRHPNYIGDLIFSFCTCAGCGFSHIVPWTYFFFMASLLIHRALRDDARCSSKYGDRWS IYCKIVPWRLIPGIF AOR_1_290164 MSGSTTIVGLSVVAILASLLFTQRAKLDPREPPLVSSTIPLVGH LISFLIYGIGYFATESGKHSLPIFTMSILKQRVYIIASPDLLPSVRQNRSTMSFNPLF TAMAQRAGGIQKPGLQLLREEELGGQGLAKKTVEVMRPALLGNKLDHLNEQMIHALKH IVHQVASSPTLPFDLYEWCSDALTVASTDAIYGPLNPYKSEAIRKAFWDFESNLSLLL VDTLPWLTCRKAWKGREQLVQAFIQFYQADGHLSASSLAYSRWKAQQEAGASLEDIAR LEILTGIGILSNTVPSCFWLLFDILSRPELLSAIQDEIHQNALSIDSTGTHTLDLADI RGKCPTLLSSFQETLRTRSNSGQAGSTLLIPAPSINKNNSTWGSDAGDFDSQRFTKIA HQTHKKSKASGFLSFGLSPHICAGRHFATGEILALVALLLVRYDIRPIQGSWTEPKTN AKAVAASLPPAAEKFMVTAVERPEYKGLEWRTTVTPGKGTYGLIIG AOR_1_292164 MDEFTISAGSLCLLSLVVGFIIQSVYRLFFHPLRKFPGPKLGAI SHLYEFYYDVIYNGSYLFKIEQLHQKYGPVVRINPRELHINDPYYYEQIYAGSSRIRE KDPRFIGVFTTPLPMVATVGHEHHRIRRGLLSSYFSRRALKKAELIIDQKVDRLLVRF HSAFKCHAVLPLQRVFAALAADIVSEYCYGASQGYLEQKVFQNQMIDAVNYVMSMCHI NKSIPIIPKLLRCVPVGLMEKLGLQMADVIGVRNLIRRQAAKSLDKEWLSHDTNMLSK NVFDAIAAADVAPQEKTLRRLEEEGAALFGAGIETTARALTVAMFHLISDETMIRKLR DELKQVMLSPASRPTWAELEQLPYLTGVVNESLRLSFGLVARSPRVSPIESLAYGEYV IPPGTPVSQSAYFVHMNPQVFPEPESFNPERWIKAAEKGQYLSRFLVAFSKGSRQCLG MNLAYAELYLTLARIVRLVDMKLVGTTIDNIRVGRDLGHPAPKAGNFKVKVEVMGIAS KS AOR_1_294164 MPPRLDGSSAQPAFQCKYPGCSMTYQRKEHLNRHMANHEQGERF SCPHCDSTLARNDLLRRHIRKYHPEREPPQSRARQACGACHARKERCDGGYPCNRCQR RGITCPQPQEVAHGKNRPQETQTSLNPDIVNSVPGESRWIAQDFIDIYFQNFHPTWPF LHRGTFELSKEPCILLQSMLMIGLWIKGDQAARDSAMNFHRKLLSAIEAQRSQWYISN STPRCSNDTPWPMATYQSILLQLIFAVLVAKQEAPLDLNLRFQLPDTKYQLLTSLVET CRELGLFSYPNMLAKHHSSAPIALVWVNVEEIKRFGLALYKICRLCTRSALAGTTDSD SSDMRSELLTLSDLDFCMPDSDEMWNAPSSIGAESIRSAIFHQACRDNRDTDNWISQT SGKLYDSRVGLDWI AOR_1_296164 MGFARDSEATDIFVNEPELTRSYTADAEGCTAQALEPAISKLPS LENSSGYEVYWEPEDPENPRLWPLWYKSVSIVTMSLGATVVSLFSTLYTSGIPGLEDE FHISKIIGLLGVFTYLLGMGLGTIITAPLSEVVGRRPVYLVSMTIFLLLILPSALARN IEAILISRFFGGLFGSAIMGNSPASVNDIVSDQHRALAFSIWSIGPTNGPVYGPIIGG FVFQYLGWRWTNWIVLIIGGAVLALMCLIKETYPPVILRKRAAKIRKETGDPKWWTRY DGGDDLSKRLKIGLSRPFIMLVTEPICIFWNSYIAIAYGILYLCFVAYPIAFQTERGW SPGIGGLSFIGIGSGVLITIACEPIFRKAINSHRKDPETGEIPPEAIASVVILGAILL AVGQLWFAWTCTPNVHWIVPILSGVPFGSGNACIFIYATSYMARSYGIYTASALAGNM FFRSIMGACLPLAGPSMYSALGLNWASTLLGLVETVCISIPVVFYFYGRRIRKASPMI QAMERMQAAT AOR_1_298164 MAPTLNITHIGTATAILEINGVNFLTDPFFSPAGTTWDLGIAVL KVTEDPALRLNQLPVIDAVLLSHEDHPDNLDDLGRQLLDGRRVFTTVDGAKNLAPRPA VHGMKPWEEIDSIIAGKKFKIIATPTKHVPGDECTGFIITGEDFGHHHDGLPNAIYFT GDTVYIEELDSIADRYHVCAAVMNLGNAHAPNKEDPNGPLMQITMGGKDGARLFRALK ADVLVPMHYESWGHFTQFGDELRQAFEDEGISDKVCWLKGGEEVSVL AOR_1_300164 MSSNTSPPQVRDTVNRLIHNLVNIKDETGRFLMPLADGRIIDTK SWHGWEWTHGIGLYGIWKYYEQTGDPELLKIIEDWFAARFAEGGTTKNINTMAVFLTL AYVYEKTGNITYLPWLDAWAEWAMHDLPRTRYGGLQHATYLTDNYQQLWDDTLMMTVM PLAKIGKLLNRPEYIAEAKRQFLLHIKYLFDTKTGLFYHGWTFEDGGHNFAQARWARG NSWITIVIPEFIELLELEPTDPIRVHLIDTLEAQCEALQRLQNESGSWHTLLDHSDSY VESSATAGFAYGILKAVRKRYISAEYKPVAEKAIAAVVGHVDGDGELQNTSFGTGMGD TLQFYKDIPLTSMPYGQAMAIMALGEHLRGFL AOR_1_302164 MTDARPPSAGEPQVHQATSPNPEMNDLKKDISHVERVLSPNDKD AVDYSRVDNELQDYANRGRVEIDEATSRQLRRKIDRRVLVIMIITYFLQALDKGTMSF ASIMGIREDTHLRGQEYSWLTTCIYIAVLIVEYPTNWILQRVPIAKYLGINICLWGAT LALHAACHNFAGLVTVRTFLGIFEAVCQPSFVILSGMWYKREEQADTVTYWYMMNGGQ QIVGGLLAYCFGLIGKDKAIHSWQALFISYGCLSVLWGLFVLWWMPDSPMRAKCFTEE EKHLMVERVRANQTGMQNKKFRSYQMWEAFCDPQMWCYCAIQVFTTLPTSGLGSFANI IITGFNFTELQTQLLAMVLGFYIIIVLMSSAWLVKKTQQNLLVMLGFMIPSYVGTIVL MTVENKNLATKAGLLISYYITLSFWSAQGLCLSMVSRNIAGATKKSTVVAATFVSWAV GNAIGPQVFLSNDAPRYFIAFGVHLGCYTAMCLAVIFLRFYLMAQNKKKDRMLQEAGV NPAMADNLDHAFEDRTDRENLHFRYIY AOR_1_304164 MSTDTPPDSPQSFTTALPPSSLMAVHKDRFEAEFGREKRSDRAH RAHVYEDTQWIEDDLEDRIQLIGSSLNRDMNRLNLLLQKDRSDARQISDWQAHIDHLE RELKASNAERDALRIALEESQNRSTQEKDIARHHETLQKQLSEMQNEVQTLNKKAESQ AAMLTKKDSLLQKHTKASNLKVQKEKTQLEEALEKAKRRAADAQKKARIAESERDEAQ KTLEETRNKLVSSRYKRSIVEEQRKALEKQVKELKDELSKKKDKKRRYFW AOR_1_306164 MSLTEIHLRPAVSQDLPSIAEVAARSMLDDELFAFLCPRRREFY SDYRQSFLRRLRAKLISPGWVVIVAGIGDTADESTKRWKETSNDSWWTRVQELFGNVS EHFVTRLYPDRSVDASRLARYNALTVECFPYNDFPEIWFLSTLAVHPAYQRQGIGRRL IEWGLKQATWDGTPVGLEASAKGTHLYQSLGFQIVNEVPLVEGVALTAMLWRPSFRVA HP AOR_1_308164 MGDSLSQVPEGLVGGYQGGLAVKILMVVFSSIALYNAIELIILI FLVFNQYAGLYFWAMLLSNVLGVIPHAVGFLLEFFAKGPLWFAVTLATIGFYFMVPGQ SIVLYSRLHLVVQNPKVLRQVRYMIIFNTIVLLIPTTILTYTTIYVRTEPIIRGYNVM ERMQLAWFCAQEMLISCIYIWETTNLLRLRPDKDPGRRKIMYELITINVIIVLLDVAL LVLEYVGFYALQTTLKAAVYSVKLKLEFAVLRKLVLLVNTRPSDTSSTDHEEYPNFVD PEQLTGDITHAAPVRARTRSRYPWSAISMDSLDRSERRGYSSETTRPP AOR_1_310164 MESNADPTPAVKQGSREGACEIAQLVQEVPAADISAKYGSENEV SLGVAERVYPIRSMVFVDPSSTTASSDDPISPVRGARQYSIIDSRTWDQLQSQRKSDT EGIAPSIEAAQLLPTEAPGSGSETLVQSPATPTNASLGSPAENNGTSHLVTTRFKHVE TDDGHAVLTGRTVDSFRACEDEPIHIPGAIQTFGAMLALREESEGKLVVRVVSENSES ILGYSPHSLFALENFCDILDEDQTETLLDHVDFIRDEAYDPSADGPEVFIMSVTNPAG QAVRLWCAIHVNSANPGLIICEFELEDDRINPISGSSGPSPSVPVDTLGVEFTPEQFA ESTINISQPLRLLRNARRRKGEAAAMEVFSIVSQVQEQMNRAETLDLLLNTTVGIVKE LTGFHRILIYQFDSQCNGVVVAELVDPRVTVDLYKGLHFPASDIPKQARDLYRINRVR LLYDREQVTARLVCRTTEDLERPLDMTHAYLRAMSPIHLKYLANMEVRASMSISINGP NELWGLISCHSYDTGMRVSFPLRKMCRLIGETLARNIERVSYASRLQARKLINTVPTD ANPSGYIVASSDDLLKLFDADFGALSIRDETKILGGSTHQEMLALLEYLRMRRINSVL ASHNIRKDFTDLKYPPGFKCISGLLYVPLSADGTDFMAFFRRGRLTEIKWGGNPYEQK EKIGRLEPRQSFQIWKETVLDQSREWTDSDVETAAVLCLVYGKFIKVWRQNEEAMHGS QLTKLLLANSAHEVRTPLNAVVNYLEIALEGALDKETRDNLTKSYSASKSLIYVINDL LDLTNTEKGQDLIKDEPFDLEATFKEATGMFESEVERKGINYTVLPHPGIPQKVIGDQ RRVRQAMSNLISNAIQHTSGGDITVELWRQPGRSEDGSATIQIAVLDTGSGISQARLE TLFQELEQVSAESDNRGLGDGQDTLREVVDETEKQVLGLGLATVSRVVRNMQGQLSVR SEEGKGSRFQITLNFPLPADAASAKDESEIPVHNVVPTAPLQSKEEFVLVDTRSEGRS NGGSDTNSESGKATQKPATSQPSLDETSKLNPETDGLPTQALAPVDQPSETKTESTSP IKEPPSESDPSPLPDTFCVLVAEDDPINSKIIQKRLTKLRHTVRLTVNGEECASAYRA DPAQFDAVLMDIQMPIVDGIGSTKMIRQFEQETPPESLSRISRLNGRIPVFAVSASLF EKDAEKYISAGFDGWIMKPINFERLNTLLAGLRDDDVRNSTTYQPGEYRSFFIASQPT FEDNCVTVLAELTDEQEEIQEAKLNWGTYQRPDIAKRQRILGHVKVAKADPQMQMRDL MASDRLNGTRNITSGGMSDLTKDAAAVKNFVGTDSINTDIPYEYEIGLDYLSDSEYDQ FVKRGLFSWIVEGINAIIKAVVNLIEKTRQAIETAVKIIVAIAEVSLKLLMVPFGGPI RTRTGFALSKPGAAVQMECKRCGAKANFSFGGELAFSISKGIYRAEVSFINHEDFVFD AIYGITVDAKAFKEGSAKGGFQTTIEKELFALPFYAIKIPKIITIGPQAVVNTAASFY VDVHGEFRAGATDFPSRAGK AOR_1_312164 MEPQRNKASGFTPHIEPIFELKGKVVATADLALPVGVEVALDIL GGTWKKSFGTRHMEYEFKELDITFYETGLGCLSAKGWNATQVEPTTTLFNNVAATFGG QENLASNTTFNLTKLGPIIYEDEHFQSKSSKDDKNKLRKLLKTNGFPLIQDAGQTSTL VSGKDGRIYLANNSAEYDISAPWGDLEVDKNIFSYDVFGRLIWFDAERIASGNDKRST TALPYSI AOR_1_314164 MACRWIGVNLPILSAKCQAAKWLINLRAPLLPKILLGNGFPGCY QTQETSSRSGGEPQEVLQSVRATVVRQERASVLNQTLADASGASLITYPATFNDRPKS PPPSGDSSTELTQDATLVTPTDTGKVTAQSTSYNHRSLPPAPVLSPRLASSVLAGEAA GGSWPKVLSRLREAFCLDPQGGLEEQRMAVGQTHMSHPTALHHAELERLESAVHAFPP QPIASFLLSVLIKHATDTFFYVDQAAFASEIHHFYTDPASPLRSDSSFVCLAMAAFAL ASQWTTLEKPEGHQTTIGLERSDLGRVFFDHARMLIPDIIERPCLRSVQAPFVLGVYL MPASAIGSSYAYLGLALRKALALDLHQDVDDQKLNEREIEVRRRLWWSLYSLERCTTV KLNRPRSISVAAITTPIPSGLPALDRSQKFDNLSFQLAYMRLVQILDHISDPELGSTG DELALLAKYESDLKEWKRSLPLEFKLQDTDPHDSRYRAIFHLYLNYYYAWITIGKTSL ISVVRAKLRSCATGSQPAQVSKVARNLSRSCAKAARKLLGLFETVSTSHKITRFSFTD FQGCSIATIVTLLDGIPERDSGYEARVASGLEHLRQMAIGNATAKMGVRFVEAIQLIT NEAREKVTQSAYAAGRTSQGNSGISSTAEYSQWALWLAQQEQSQGFSERTSSLSVVPE TMTPLSQVGQWPPTHSAAGLRPPWAAYERQMFSPTYVSMQNLAQEPQVYPEEQDADYE FLPALHHDEQTFLMELTGLGVLDVSGLSNPLE AOR_1_316164 MTQTTQVPGTDIKPWLQPAPQSYVFTNANIVDVEAGTILENSSL VISEGKIQSISQGEHQPTDLHVIDCQGRYICPGLFDAHVHLCAVPGFTDLSKAFGNPN DVHLLRQPYTAAQMLHRGFTSIRDCGGAQLALKEAIEDGVFPGPRIFLSGHALSQFGG HGDLRNSHEHGQCCGGVHNQNSLGRMCNGVPECMAAVREEIRCGADFIKIMGSGGVAS PTDKLEHLQFTDAEIQAMVECAANAGTFVTAHAYTVKAIRHCIDNGVRGIEHGNFVDK PTAQLMAEKGVYLTPTLITYAQMASDRWKGYLSPESQTKNSQVLESGLGALKIASDAG VTMCYGSDLLGPLGAAQTQEFQLRSQVLSPLEVLRSATINPARMMSCDDSLGQIKEGF EADLLVLSKNPLENVTIFDKPDEHVLAVMKAGRVYKSRWAALPEDGATPVRL AOR_1_318164 MDIPKSPCADIKDEERRVGELVNLPASYSDEEEKAVVRKIDMII LPFYLDKQSLSYAGVFGLMGDLNLTSSQYSWCSSIFYVGQLVSEYPFIYLMSRLPLTK FVGVTVIVWGGMCMCLAAPKTYNGFAAVRFLLGFSEGAVSPAFVTITSIWYRQKEHTT RTALWISMNGLAQVIGCLLMYGIGKNTALSIAPWRVLFLICGAMTSAAGVCFLVLMPS GPKDAWFLNAREKEVLRQRMAQDHEGGDKTSFSIPQLKEALTDPKAWLVFWFGVLVTM QSPVLTFASLVIESLGYSKLDTMLYTAPSGAVQMALLWIGVALAAILPRQRTLVALML IIPPLVGTVFLFKLDLSAEWGMIAMSWLASCITASMSILLSLSASNVKGNTKRAIVNT MFFIGYCAGCIGSPQLWTNRPRYTEGVITSIVTWCLLFVAVIVYRLLCMQDNKQREAK AGASVDMSGDMLEENGLHGADMTDKNDPSFRYAL AOR_1_320164 MAIDHTTLFVPEAKFQECLNFYLSALKPLGYEVRHQYGEFVVGL GSINEDLDSYKRADFWVFGTKTVPERAAHIAFTSHDHASVDAFHAAAIEAGGKDNGLP SLREFYHPKYYAAFVLDPAGNNIEVVDHGVSLDA AOR_1_1406164 MNFEYVGFHDSAEYASNNYFFQREFPTLLSSSKSCIFCRKLVSI IKDWFAANPGDRTNELDISRTHVVVSLETDWHTVTRNKDGLVDEKAHSDRIRINCSIP RLDDNDPGQSQHLTFFLQRYEESSDDVCYHCPSEDAISPKLQPYAGRQRPIVADLTLF KRWKEMCQEIHGAKCSQIFKGTPNIRPRVIDVERRCLTFAEENDQWVCLSYVWGKAKT LRLLKENIQTFSQPGALSPEVLPNITEDALQVTKGLGERYLWVDSLCIVQDDDQDKAQ FISRMDSIYTLATVVIISSTCTDANTPLAGVKPGSRRQEQEPFKIRDVILVQSLDPSL GVKVDLRTGRAAGYLGETIWDTRAWTLQERFLASRSLVFTAEQVFWECEEAFWCEDSF REIPNISPDPHRTSLCAGELNLSWNSDIVTFDHFYRVLLEDYSGRALSFDSDGLNAFL GIIGALERSTAERFFWGMPTAFLESALAWGHRSHALRRRHGVQTLSQDQSQFPSWSWV GWTSDGQTKLANQNLTMEALGLRFYRVSDDGSTMTEMRQNANFNSEVDLLVEGSKLPN RSSRPHEVSMQDLPTNPSISPSSLLCFWTASAHLTVTSRHSVDDASDSSTWEATLSQG SDRFIQVSWSHTAPSLKTGDSVELVAVAQNRGNWDAGHIDNGAIGVMLISWDSKGDIA SREGFAWIAIRDWTSLREREWKLVVLG AOR_1_324164 MSAVTEPPVFDRETSLAAIPLLAIAAYNSVELFYWIFSFFRRYN GCYFWSLLVTAMGIIIFVTAVVLSLADMGPSALIKIAYSLGFLVMVTGSATVLYARLH LVTMDKTPEHVLCFIIFTTCTLHLPLTILYLVRAFIEFTTRPALESFSRKYEHFVIAC SCARELVLSGIYLWVAFRNLKPILDAKGQEGCRVKSELIVMNAFVLASTVCLVVLDHT DHDAIKHGYGSMATKPAASQPYLNAAPLHRRLSLSNQ AOR_1_326164 MSTPGAQEVLFRTGIAAVNSTNHLRVYFQDSHGSIRESLYESGW ANGTAKNVIAKAKLGTPLAATSKELKNIRVYSLTEDNVLQEAAYDSGSGWYNGALAGA KFTVAPYSRIGSVFLAGTNALQLRIYAQKTDNTIQEYMWNGDGWKEGTNLGVALPGTG IGVTCWRYTDYDGPSIRVWFQTDNLKLVQRAYDPHTGWYKELTTIFDKAPPRCAIAAT NFNPGKSSIYMRIYFVNSDNTIWQVCWDHGQGYHDKRTITPVIQGSEIAIISWEGPEL RLYFQNGTYVSAISEWTWGKAHGSQLGRRALPPAE AOR_1_328164 MVSLWPFKGEDNSPASFERALETLSGKITRANTRLDTHRQNARR FKALWTLYTTFAYLLYSIILALVLGWQNFGVVEYAAIAGGPVVIYAVRTAGSKYFEYR INSNQRYLDDLQKQRDETIEKLKVATKYNSTQQLLEKYGGVPKRTKSKGGDDKRKSES KRKSSNPQQQQPPVQRTGLPPPPTANIRRPTPVQSPGAPSPDFPAPPSPYPPQPQIQQ QPVPPPGPAFDEPGFAPNAFPSAPQYIEQSHWYDRLMDVLLGEDETQPKNRIVLICSS CRLVNGQAPPGIKSLEELGRWRCGSCGAWNGVESEAKKVLDGIRNEPQPADGAWEPVS KTDAENQSSVSDATDEGVMVATSEDDQVESHDSDADTADKEPEQVKEEQPEPETKTRP VRRSNRKKA AOR_1_330164 MAQLNGSNGTGLLYKPRQYQYEMFEASLKENIIVAMDTGTGKTQ IALLRIAHQLEGGGPRKLTWFLTPTVALCLQQYEVIRSHLPAVRACTITGLDKVERWK SQYIWDELLKDKQVVVSTHAVLFEALTHGFVRISQLGLLIFDEAHHCMRRHPANMIML DFYHPTLRKHGRDSVPCILGLTASPVVRSKSQEMKTLESNLDSICKTPQVHKQELTTY AHRPELLPIICKAIDEGPGGRALQALEHAWDTADIDGDPDAIPQNGSLLNGSGEYKAL MVRKTLCNEQIKRFVDRSRHIFAELGEWAADYYICTSVEQLRTTIRDQSLTMDWEDEE RAYLSNFLSKLPVAEVQANLADPNNFTMSPKLAALINFLDKFDDPEFSGLIFVKQRVT VSVLARLLSLHPQTRDRFRCAAYVGMSVGSCRQDMVGDWHNAKKQRGTMDDFRSGRKN LIVTTSVLEEGIDVTACRVVVCFDKPANLKSFIQRRGRARQQKSTYAIMFSTADEHGD LRRWQILEQAMVEAYQDEERRLREAEAQEAVDENVPEMITVEATGAVITPDSVVTHLY HFCAVLPEERYVDNRPEFSFEKDRQGLIKGTVILPSCVHPKVRRIQGKLWWKSERAAV KETAFQAYRALYEFGLLNDHLLPLTKNPEMRPTDHTTLPSLLDVSEQYDPWTDWANSW SCPDVHQMRIALESNGHPADGLIMKLIGPTNLPPLAPITLFWDRDTRLTLSFDVPERI TTVTDNCIANMRTVTALYIQAPRSRNLLNNDDFVTLFGPDLPSTELADWLLRNAGYET AHEAYSRGTMPGAMGIIRDLSRYDEPFFCHRWIESETGLIEIECRPIPRRRNFLHPPA LDNGQADAIVESEHGSAKVHMVAAESCTVDKLPVSTAIFGLFIPHIVDRLESTLIANR LCATILCDVGFADIQHVITAIMAPSAQGVTNYQRYEFLGDSILKYIVSCQLFFQNLNW PEGFLTEGRTTIVQNPRLTRAALDAGLDSFIITKALTPRRWIAPLISTRVGAAPVKRQ MSAKVLADVIEALIGAAYLDGGHSKAQICTHCFLPEVNRQPLDIPSLITQTEHGRTAR HIIDGDLQRHLGYTFKNEDLLIEALTHPSCQHDQTTQSYQRLEFLGDAILDMVIVPII FQYSNKISPGDMTLIKHAVVNANLLGFFCMEFSIEQDKTKVEKTPDGRFAVKSETQHV ELWRFMRFNSLDLQTSRDAALDRHRRLRNKILTSLYHGPSYPWQSLSQLYADKFFSDI VESVLGAIYVDSGGDLSACERFLEQIGLLSYVRRVLLDGINVTHPRNIAQRLSKGDAL FNLRRVSDEKGRSMYRCTVTMNDAQIVLVEGCQCGEEAEVRAANETIEFLQRRQEVV AOR_1_332164 MQPTLAPAPHPSMQTSAQDHADQVLHDQLLAAHQHLSHPQQPRP QPPAAQPPHMQPNTTSPRDQNNIDPAISGAAMLSGPPQTPPQPEPTGQESPKTYGKRP LSTSKRAAQNRAAQRAFRQRKESYIRKLEEQVKEFDTMSEAFKALQAENYQLREYIIN LQSRLLESQGEVPELPGNIDLSQPRTDLNVPQPGAGPATTSSSAPAPPSGAQQAQPPQ GAASNDDMNSLNRIAVAGLGMRKHPNEEANYLGNNFTGRRTRPDETQADSEVTKTEQA PHGLPMVS AOR_1_334164 MPAMINSDCVVACWKWKADLHGYVGANAADTPVQDVAKSIALVD APKLGAVTPEVKEALVGHLNKEHRLDVWAFEDSPLDLQMLSRADKAIVVVREEATRSQ PRCLGNV AOR_1_336164 MTIRKLEGEGSKLFQPLDISNGKIKLSHRVVHAPMTRNRGVPVN ATSTPENPNRTWYPGDLMVEYYRQRATPGGLIISEGIPPSLESNGMPGVPGLFTEEQI AGWKRVVDAVHEQGGYIFAQLWHAGRATIPQMTGSPAVSASATVWDSPTECYSHPPVG STEPVRYADHPPIELTVPHIKQTIQDYCQAAESAMKIGFDGVELHGGNGYLPEQFLSS NINKRTDEYGGSPEKRCKFVLELMDELAKTVGEENLAIRLSPFGLFNQARGEQRVETW TFLCQTLKQAHPKLSYVSFIEPRYEQIFSYEEKDDFLRSWGLLDVDLTSFRKIFGDTP FFSGGGWNQTNSWGVLEEERYDALLYGRYFTSNPDLVQRLKKGIPFKPYERSRFYGPF EDNAVCYVDYPPAEAQ AOR_1_338164 MTETLPGSSLNTINLVTQCLCIPIVTIFVATRFGIRFWYKQFVV VEDVFCFLAWILFMAYCGIAIVVGRYGGGVNYLEVPGDMQVAFRKFCYVATVFYCPMS LFVKYALLSILIRIFSPYRGRIMFIYVLLGCLTIYYIIAEIVKIRMCDPVPAYWTGEP ANCLDQRAALIADSVISVVTDIMILVLPLPLTWSLQMSRSKKLRVIGMLSAGGLATAF SVYRLILVLKDGSSPNATVMFMCVILSGNAEGGVGLICACLPTMNILINKIRKAGYSY GSNKYYRDESSMHLSKMKGANAKGFSTIGSKTSRTEPEFGTDQSHLISYAGAVDMNAT GDGGIHKTVDVSQTVEVLSGEASSSHSDDHHMRF AOR_1_340164 MSSVQSFPEIHNGLFVAPLPMIDYACLEAKDTEETQRLLDACKT HGFFYLNLKNSGTILDDWQQVLRIMETYFSQDLMTKMEDDRHSDTYGYEPCGTSAGAI HGTLDFYETLKIARSEMYGKAPSLPQAAKDNLELFDRFLRACDTITTTILARLSDLFN LIPGSRFEDKHRPGGKSRSTLTLFRYPKQETQDNKVGHNKHTDIGTLTLLFSEQWGLQ VLSPETSEWNFVAPMQDHAVVNVGDSLRFLSGNVLKSCVHRVAPPNVSGRQEEHRYSI AYFLRAEDVVQYKDSKGRVISARDWHDEKYGVFRLSHDESNSDRILTGGMEKGEEFIV S AOR_1_342164 MSKRIQSFFQPIPKKPKVGTSSPDPSQPSDPLYIQQQHEQNQEE QQPPPTNHQTYPFPIPNLPPDLSKSLTTISTTLKAPKPITNHPHLDLLYFQPLLPPQT ANALFHFLRNSLPFYKVQYTIHRGKTPTKITTPRFTTVFGVDATSIFTTDQEKTLHDA KTNTPIPPRTKYKHTPRPIPPCLTHLLQTIQTTTNTPPDYYNFILINYYATNTDSISY HSDDERFLGPNPSIASLSLGAKRDFLLKHKPGVEAGKPLKFPLASGDMVVMRGETQGN WLHSIPKRAGEGGGRINVTFRRALVPGGTENYYRYNVGDGGVWRWDEREGRMVSVDGE K AOR_1_344164 MIFEPASRVPLPTTDVISYIFSDPPYDHDQPIYIDASDSSRSIS YNQAKVIVRKLIAGLRAWGVQKGDCVAIHSFNDIYYSMLVLAINGAGGVYTGTNPSYT PMELGHHIRASHAKFIISEPEIIAPIQAAMKETGIPESNLLVFDVQGQTVPAGLKSWK GLFSAGEEDWVRFDDLKTCEETAAARLFSSGTTGLPKATTLTHRNFIAQHELVFEIEK RPYQIRRLMALPMFHAAAAPSTHWSPLKGGHVVHVMRRFDLVSFITNVEKYQITDLAV VPPIAVALVMSPQVQERPYLKSVRVASCGAAPLSKEVQEKLRVMLADGAPCTQVWGMT ETCCIATRFGAYEQDDTGSVGRLIPNVEAKLVDDDGNNISAYGVRGEICVRGPTVTPG YFENAAANASSFDQDGWYHTGDIAYCDKDTQKWYIVDRKKELIKVRGFQVAPPELEAV LLSHPLIVDAAVIGLSGVLPDSELPRAYVTRRPGTGDKLTEKEVQDYLGQRLAKYKAL TGGVRFMDAIPKNASGKILKRVLREEAQKEVKAGFRPKL AOR_1_346164 MAAASKRKQPTKPVAWIDVDYPPEKDYNPEPVAGCFNTNRAALL EKAIDSGVLVPVLLFRFLDEDRRSRN AOR_1_348164 MHCAQSPVYKRPILRQQHALAVLPSATASRPVKNLLSGLMTEPI PSVLEKGGKAEESNRSSGEYNDLENVPKEDDTTLVDWDGPDDPANPFNWSTPKKARQL VFMAFNTFVSPLASSMFAPGVQYVMRDFHTTDQMLGSFVVSVYILGYMLGPFLIAPLS EIYGRVPLYHACNVIFLVFTIACAVAQTLPQLIVFRLFAGIAGVCPITIGSGTVADMV PVEKRAGIMAIWALGPILGPVVGPIAGGFLAESVGWRWVFWVLAIATGVMTIGCLLSY RESYAPVLLRRKTERLRKETGNPNLRSARGDTKITKELFITALSRPMKLLFRSPIVFL LSLFAAVTYGYLYLMFTTLTPIFRNTYGFSSGLAGLAYLGFGIGSILGLGICGVVSNR IATAKSEQPHLYSYITPADFEVPMVAVKDMGQAFATYLLKPDIPERPHIVDVHGPRSY TPKDVQKAFEEAVGKEVELRLVERKDLSQFFAGFLPKNVAEAFTEMTDAFLPGGIMAP ANAENSSSDRVWRGKTELREAIRELCEGSG AOR_1_1408164 MQFSLSFAAFIALSGQTLAQSATGSYVITESDNILTAKGTSPAV FSPFNGSYDQIWSFNGVGFGTVVIQCQDSPDYLNCDEDGSPCTPSTEPQIFEPIKRGQ ELYQISDDSGDLFLAESSDKTIHLVYDVQDDEKALFTLTRPAECEYYSIHA AOR_1_350164 MKNFFSMHAILLACSAGAGLAAITQGISEGTYSRIVEMATISQA AYANLCNIPPAITSAGKIYNAETDINGWVLRDDSRQEIITVFRGTGSDTNLQLDTNYT QAPFDTLPQCSGCAVHGGYYVGWVSVKDQVEGLIHQQASQYPDYSLVVTGHSLGASMA AITAAQLSATYNNITVYTFGEPRTGNQAYASYVDETFQATNPDATKFYRVTHTNDGIP NLPPTSQGYVHHGTEYWSVEPHGPQNMYLCLGDEVQCCEAQGGQGVNDAHVTYFGMAS GACTW AOR_1_352164 MVHLKSLAGILLYTSLCIASSQQAPASINNAFVAKGKKYFGTCA DQGTLSDGTNSGIIKADFGQLTPENSMKWDATEPSQGKFSFSGADYLVNYAATNNKLI RGHTLVWHSQLPSWVQGITDKNTLTSVLKNHITTVMNRYKGKVYAWDVVNEIFNEDGT LRSSVFYNVLGEDFVRIAFETARAADPQAKLYINDYNLDSANYGKTTGLANHVKKWIA QGIPIDGIGSQTHLSSNDYVNVVEACLEVSKCVGITVWGVSDKNSWRSAESPLLFDGN YQPKSAYNAILNAL AOR_1_354164 MSTQTEVFTGTEGSYLLPHHVTEADRLQRQHRHFAAASDEAYFG FTLPPSTGRPLRILDSGCADGVWLHDMAKRYPEHSWDLHGVDIASHLFNDGVDIDFRY HDIRQPFPSDWNWEGSFDIIHQRLLVWALKKEEWPQVVRNLQALLKLGGTIQLVECQW LRPEFWDTHPQQRLLGMVQVWATEGNGMDIHLADKLEPLLKELGFEDVTTVRYPLPYG AKVKDPANRDRSAELWVESFRHLARLMGDEGIPGVAKTPEEYFAFLDRLVVEMKEKGY IPELRLVSGRKA AOR_1_356164 MANTSLSEASIYSCGPPGICQPESAITPPDRRRGSPACWSIPAG VYTARELVRRFAPLLDTVVHHLGPDPPNTKPARIVLLDNVAANLSTDTRESSLPLYDL SDISRREVRDQARRIGQVLVQWAREYTDEPYDPDLYLRGPGDGHLLLQSSLALMYARC TRPHHMQLLSEYMRQMVVLRDALLPFQNFYAVPIPVDGRARGIRHLEAPRERFLTTVF AGHITQASVVSLARAVLAPDLPVTMTGGYGFQYTHGLILPAILAGGVVPYHLLRYVPS KMDSSAVEVLFDYHFPDYHEAPRGDIPAGPPTILTRYPIDLPNQKEELQTAGIGLQAG SSTSAIRGLELRLEFKSGDTARVDVGQIARGLRHSYEAREETRDSTTNHTVFLHSASE ILIQPGWGLSTAETAGFHVIPVDDPTIALALLGKLYPRNVILLPNDEQLGQTDDVGED SEAKFVIWGGFKRGGLKGVF AOR_1_358164 MIFESKLPLPPVPSCDAFNYIFHHGRRNYSTDRVLYRVDGTDET LTFEELEQKSRQFADAIREYYDIMPNDVVAILAKDKIQYPIAYYGILAAGAVVALIPV QKEVSETDVAARLEQAKAKLVITDSELLRLTEVASMLAGCISVMTLDANDQNWPCVDS LLPMGNPDAQTFELKTPQEVDQHNAFINRTSGSTGTMKSVLTSHAHYIATMEATVGTI PADTNPDRDVWVSPLSLGFFINAKLHMGLNILLGIPVVLMKQTLDETTVDVVARHHIT FLFITPPIAARLARADLRHINVSSVKWLLTAGAPMHENLRRTVSRQFGGVHLTLEWAT SETMLLAMQVDECSKQPGSSGTLVNGIQAKVINVETGRECSYGEEGEILVRNAIARFK GYKDNEVANRDFDSEGWFHTGDYGYLDQNCNVYIVDRIKELLKVGEGYGSHVSAAEIE AILFEHPAVSSVVVVGVRNTETQVDEPTAFVILRTEYRSRTAQVTRDIERYARAKLTG LRRLTGGVHCISSYPTTGFKINRRALKSMVPSKMPKMPVVPSCLLPTMSAISAMRMV AOR_1_360164 MVAFEEDPMSFKLEMHSVEETMSTISSPEGPATTSQLPENGVGP QSGDFRCGICNKTYSRRDLRDRHRRRCIKTAGQERLSKRKSCEICAQKKLRCSMTRPA CARCVQMGTACHYPPTSLPPRIADPQDTPPTSSASVASSRSGQSTNSPNVMDRNGISD TSSAMALDDVPHSGPNGSHPLSVTGQQCNTTTPTHFDPMSATGWNPFGTTSMDSMVRA LDGSLFSPGGSLPWGDDYTPLTDPMSVGNTFAGAMDESTNPGSSYFLPLHEISKPVGP LDAPQPGALTANSYNANSPYNVPSLSSSSSDSHYRDSSSRGEENDPTQGLLRGDHSPR LTLGYHFVPKVGPFAMRDYDETYRDLFTVLRDYPGMILEREFWSPFVHHRLYRCSMGG MAEPMGIALACVSAHASSVESSYGFVDRMINEERDKLVRNFHKHVDTPETCLAAVHAV CLYQILGLFGDNFLPAAIVRPQVPKEINDKRREENERAAELHSSFLMKMARRLYKMHQ EKLLTHHNDETDWNRWKYAESLRRNFFFVNMINILGAKARLLNEQYFEPLGDDIVLQL PLPATEHMWRCCDEEEWAIAREHAMRRPANSPPVARTLRELLEQDKAGTLDASTLLPV TRLIFACAKVAPKGDSLGDL AOR_1_362164 MTHHIHDTWTPQSWSRKPVVAQDVEYDDPKALESVINTLSTLPA LVNPMKIEIARKSFAAAARGKAFIIQGGDCAESFDDVHPHAIQQKVKLLQEQSHLLGQ GLNLPIITVGRIAGQYAKPRSSPLETLEDGTLTHTFRGHNINGPGVEERRPDPYRLLL GDFYSRTTLEMIRHTQSTPSTTGKTFVPAPSSFPLTPEETDVTPLETPTGTIFTSHEA LHLPYESAMTRDRYNTSASFIWIGERTRQLDGGHVEYIRGLRNPIGVKIGPNTGSSTL IALLNTICPEPHLLENIGRVTIITRLGADKVTTVLPPLIKAVQEAGHTPVWMCDPCHG NTQTSEAGLKTRHVGCMLLEAIGTYQAHRENHSLLGGLHLEQTGDFVTECQDDDTLDT ESNLSSNYHTLCDPRLSHVQALSLVRQFVDFVRSWERKEKLGY AOR_1_364164 MKPAETEVVNIMAEQVVVCPKLSTNEDEAMRAFDNGRDLDVRDI DDATNARLLKVIDKNLLPLLCLIYGLNFVDKTTLSYASVMGLQTDLNLQGNEYQWLGS IFYFGYILVEYPSSYLMQRFPLAKYSSFNIILWGVTLTCFAAVNNFAGAATVRFFLGV FEAVVTPGFTTLTSRWYTKHEQGRRVGFWFSCNGVANVVGGLIAYGISRGVEQHGASI QPWRILFLSFGLFTIAVGMAFLYYVPDNQWNCRFLSPADRVLAVQRIRGNQQGIGNRH FKMYQFKEALTDPITWAFAFFGIAVNIPFGGITTFFSQIIRNSGYTSQQSLLYATPGG AFQTIVVILNGIISDRLQQRIYVSFVGMIIGLLGAILLAALPLSNSPGRLAAYFLTQA SPTAFIALLSFISTNVAGATKKTTVGAIYMVAYCVGNIIGPQTFQQSDAPRYIPAEIG ICCCWGACLLDLFLIRYLYCKRNAEKARIRSAPGYEKAEKSEFKDLTDRENPELVYVV AOR_1_366164 MSTPIFPVIDYSRIISSNPMIAAQEKDKLFQSFKDVGFIYLKGF NFPPEFIDTLFSHLHKFFSLPEEQKLAIEGGEKQAFRGWFAPARTAKNPEKADQKEAF GLGNDKDETRPNRWPSNWPEFRRDFTTFYEECYKMHLELLRALTEKVGLDRESLIPSV KAKDCYSALLHYYETSLESFDTRVRSAPHTDFGTLTLLFNDSNGGLQVKNQEGQWVDA PPMRGHAIVNVGDLLSRWFNGQLKSTQHRVVQPPAETRETENGTTTVIPSRYAIAWFG HPNRDAVVEPLKECVTAEWTQKFKAVVAGKHVKERMARLLEHGYLPEKWTDDMHRKPI AV AOR_1_368164 MVQKPETTLRPEVSSESHTQQVPKKFNLTSESLSSKPPTAAHYP ISTTDEDSLKRFARHGGPDLTDIRVLFANEYVEYEE AOR_1_370164 MPPKRVRKTVSTTHKPPSPPLSEKNASVADENADVEPPEAQDKG SMGSPRSPRHDEGNGPPVMMDEDEEMPEESGNTIQHARPEYAVGAGGYNMLKSYKGQV YSGMAVGGSHTWNYDQGVWKETKEEPDLWRIDYQTNKRRARKAPEGSGAPVGTEYHWL IVAHQFVKKTDANTYETNLTGSKYKLAYKSASSNSWSVPTVKKQRDREVELLEDAKQR VQGLPPVLASEKVKVEKSEKGQQKLDSMFTKAASGVSKKRKLAEDSGG AOR_1_372164 MRDMPSRFIEILDPEDSHFRMSDADVRLEDVLADQEALASRPRS STQSSTKAGLDKDRIYREGPSSPQQRWKRLSTILVPARRGSN AOR_1_374164 MSAEQNVANTEAEKDVQNVLAELKGEAETNGAEKKEEPSTEDAE EARIVAAAAQLGEKSAKAEEDKERENRGRGPRRNNAKFDPSSQKETDDPVEIRKQVEF YFSDSNLPMDKFLLSKVGGSQNRAVPLELLHSFKRMRRFQPFSAIVEALKTSEVLDLV DNETAVRRKTPLPENVTEAHDPNVVKVFEDKAMDRSIYAKGFGEEGPTTQLEIEEFFA PHGPVNAIRLRRANDKTFKGSVFVEFESEEKQKAFLALAPKPQWKGQDLIIKSKREYC DEKVRDIEAGRIKPSGHRPRGRGGFRGRGRGRQNDNRDWRERRAEDQKRGFNGDKKEE SKEPREIQKDARGVPVVQSTADAGQKRARENEANGDHPAKKVDAKE AOR_1_376164 MPVYHIVLFRLKQGVTPAQLANWTKISQAMVGQIPGLRSLKTNP PLPISVPRAKGFDMGLVAVLDKKEDVAVYAAHPAHLEVHKLREELCEDTLAYDLEFEE AOR_1_378164 MFKKKPTIKNLSPLRSSDRRKIADQIISDYKIEIPSSAPAGEDS TPSNPAPNLSSIRNALLPDNSLSARFTTTAGPQLREVQGTVYVGTHPGGEERILWFKL EHGPGADGRLYPTVYTLWNNPDLVPLLYTPEMVMRKLHGGADLMTPGLANEPPFPKRA VEGAVVAVASLDRHTVPLFVGVCEIDVSALGEVQGTKGHAVRGLHWEGDELWAWSSSS RPGQPAPEYLPGWDEEELEGDEEVEAIEEKVDELALNEAGSPQAAGNEEPVEAAEAPL DEPAPIEEKEPSTKEIDEAFTKAFIYSLYKLKQDNPSAPNHGLSLPVQPSFLISNMIT PYLPIFSAQQAQYYQIKKTSWKNVKKFIKYLDKQQLVKSKDRSGQETIILDVDFNDRL VEQFVPYRLPSKSALENAKKPAAGANKKPEATGGDPAVGQTLTVQTLYRPTQKLTPTI FPALSSTNPQNYYKYSDVSNHLDQYLQSQNPPIIDQQNRRIISLNPFLANTIFTTSSP EDKSTIARGKTTRDGLLKRIVEDNSLMTAHYAILRAGQTLADVKPKPGATPKVTVILE RRTGSKTVTKVSNLEVFGIIPSLLAEELQKKCASSTSVAQANGAPKGVMEVLVQGDQR RALETALVRRGLKTQWIDVVDKTKKKK AOR_1_380164 MSIAKIAGVVLGSAALVAGHGYVSGAVVDGQYYSGYDMSYHYMS NPPQVIGWSTDATDLGFVDGSSYADADIICHKNAKNGAISAEIAAGKQVELQWTAWPE SHKGPVITYLANCNGDCATVDKTQLEFFKIDEKGLISGSDNTWASDNLISSNNSWTVT IPSSIAAGNYVMRHEIIALHSAGNKDGAQNYPQCLNFKVTGGGSDKPEGTLGTALYKD TDPGIEINIYQTLSSYTIPGPALYTGSSSSGSSGSGSSSAAPSPTASASASATAAPVQ TSTATAYQTSTAVASVTVTGSAPAQTHVQATSSSAAASTPTASSGASTGSGSGSSSSD LTSYFNSLSADELLNVIKQTLSWLVTDKIHARDISA AOR_1_382164 MGPAEAASSPTFPALDPIVVDNEMDNPYQDTNLDFLDSARLQMS SENAGRDFDDLFAHSTSSRTVTESGSVCLSPSELSLKRPYQDQDTLRQPNLVKADSPA ESPEASSRSSSSESPRDHLRNASVASSASAIQSESHIMPFGYTSEDWLSQELGSVKEE SLFGFDPSAIASMDGAFPDLESSNKAMDAAFDFESAASSPSPLKIESTPQPQKSFTTQ FRSTSASKRSASPFHSTGSPYLRHNAKEPSPFTVSALPSQGKSPMNQWAGRSPSSLLE ESFGGINMNASSPLNSNLNFVPNNFTNFGLSFAPSPPQSNMKSETTQRHVLTVHPTSL KSRVETQIPIRLTLFPLPSGVKKIRLPSHTISKPKFLAPASADRAPDTVELHTSLVCT SAMQDQEKLKKAFARARGEPRYRSSSSSPHPVDDVQEDDKPLDGGEVKICSGCIQRER KRAFRKKQRKPEEDELFQKDEEKRVIVFNTNEIKDWTEPSKNALPNYGDIPIPAVPAG AMQVELPMRIACYCRHQNEKLGFQVIFTVKDYKDNVIAQAITNSIMITDDHKTHAPPA PPAPGPSPSLPDGTQLPGVGVFPSGPVLDSGKSSASSQPSSATDLQGLQQRFNTQYQL NSGSFAMPQNSSTSSTSRNLSRQTSPNDFQGPMSKRRKHSNSGRLPSELTMTKLDNAQ PSTGVSGTPALNNEPPFSAPRGFASPVERPFVTASAMSGQFPNSPPTPNSGDSNPFFN PNSQQQQSLDSFIQQQLMSAPNSAQPSRPGTPGPSTRSNFQEQNLSLPLGPNTSTPMW PPLTNAGNRLPSVIHKLVPAEGSITGGTEVTLLGSGFYPGMEVVFGDTLATTTTFWGD KCLNCLTPPALQPGLVAVVFKHEHPTFGQVQTPQPLMPKQQQFFRYVDDRELQMYRLA LGILGQKLGSQADAFQTAQQIMGSDPKSVFGLQKDFQGGSGGGYQRQVPGLESQGKLG DMDSKMLTYLEFVDLDDSPRPPKYNSRCATGQTLLHFAASLGLTRFVAGLLARGANPD VQDNTGNTPMHLAALNGHAHIVNRLRLAGANVNNRSIRGFTPADIASTLPAHQAALVP AQHRRSRSVGSLASSRRRHSSSASLHSLWESSSGSFDEAIDDSSDLDEDDSDDLEFTV SRRSSMHHDVPAPLPNADQASAPEDARPFSPPAALVAWRNQLQTQINQFQQSVANAFP NLPALPPMPALPDYQAHPMMRRITNLVPHRPSTARSAKEGWWDLLTGNSAPNATDLPS YEELYPQQDEQGGEDVTRMKKSSMLQAATDAVLDQHFEAQASAQASTSRTVTAKQENE DLKDIRIGKRVISREQQKHLREQQAQRMKGLGSDRNLYFIWIPLLVLVICAWARSYVP GIWQGFSSGVEFVKTRYAQRALDLGA AOR_1_384164 MDPSPQAGSSSVTVEYTDPSGLFPLVQPVLANKLPLKNLHWKSP TRPVRSIESLRIGFVPAQNEANERESSGDSAVPHRRHQIPGLRQTPYLKIFLLRCDDN DTYKSTSRKALREWIKSHASMSQSTTSQEKHDACEWLILHVVQDGDGAEKAMPSSKWG RATTTVLEKVKADFNGTSKSAVDRVAQLRLPKQGTTQKPPDLADQLEDLIEKIKNGIL ASFDLRVAQYEEDIKEKDSQRSLPGWNFCTFFILKEGLARGFENVGLFDDALLGYDEL SIGLDTAIDEQLEGSGEPHGSTFLMHTEDWQKKAKAALESPVAPKDEEDEEPTPIPEL DVDDFPIDSNKKAYREMILGSNISIFDFRIYIFSRQLTLLLRAAKAPSLLNKEPDAGQ TRANTIKKPENLMLLSEICERATEFISFSARNLRCDLESGLADVDNAGKAEVINNLVS SWAYAAASQILSQTSTPTLTLPESSLHAITSPADTARDFRPELPRRSSSLVSPPKTRP GRSNTDILSPDALSSVHSGLGQGIAKLALAPAPKTGSEQLASARGELLLMARRVMEEI AGRCGWREKWDDLGLLFDSESAGNSDMTEVSLDDGISQSAEKPQTTHYLSGIELPRLK AALRSRKSFRSHFEELTDDMYRHHITANRTYSAQMALADMALVRFRQSDYGAAASYFH QITPFYGSKRWTILEGVMLEMYARCLKELQRSEEYVRMSLRLLAKFASHKQSCLSTRQ KTLDASSIFAEEELVSQYIEELFEASDALQKEVTAPLTDFFADLHVKPAIIHYNDKDG FQLQLSLRFLLGKRIEVDSMKIRLVGTEGSQSNEHWLELSSKTTIKSSSTKILVDSSM TLQGKYYVDRVEMRAGSILFTSGSGNHSVLPLGFREAVDAEEDSRPYIYCYPPPKGLQ AKIVSPHLVNLEEMRTLELELGSGWNDIKSATLRVRPATAGLRLRIAEAEVVEGDIKI SANSETGYIEFSQLGPNSFVRFRIPYTTEEHHPTLSARAEVGYETTNGRFSYSSLHSI VSGLPISVNVQDTFKDQVLFSRFTVSPAMMIPLRILKCSIPSSDVYQVQSNIGDSVAM NIFPKQPASLLYKIQQREEAVMSPGSRRSLRLSVDFTCVDDECLNAVERTFKESIAAS EYQQYSTLLTSHIVDTFRTQLSTSDMEVIGLVREVETLPYSSVKWEMLLSALKEPLDG LKTWLQEWHRNHPIIGLPEQPSIPRRHIIIPVDIPEIQVVHTAELRLCNLADQPPHAA VGQMIAAELSLRHTRRWCSPENRENAGGPLEFSYEIHANPELWLVGGRRRGNFTADEG ETKTFAVMLLPQKAGHLLLPGLEIKTFVPVSSTPPPKPPAPATGPAGAAPVLQRRQIA CEVDYRNHGETVLVLPDLRSTTVSLSLSGGNHGAAWLIDSERRVLA AOR_1_386164 MSSTAIPKRMALNRNPGTDSSVPSVSVSPFDSPRHSPSSTSLSS LASESENKGKMLDTYGNEFKIPDYTIKQIRDAIPAHCYERKALTSLYYVFRDIAMLGS IFYVFHNYVTPETVPSFPARVALWSLYTVVQGLIATGVWVLAHECGHQAFSPSKVLND TVGWICHSALLVPYFSWKISHGKHHKATGNIARDMVFVPKTREEYASRIGKTIHDLNE LMEETPIATVTNLILQQLFGWPMYLLTNVTGHNNHERQPEGRGKGKRNGYFGGVNHFN PSSPLYEAKDAKLIVLSDLGLAITGSVLYYIGSTYGWLNLLVWYGIPYLWVNHWLVAI TYLQHTDPTLPHYQPEVWNFARGAAATIDRDFGFVGRHILHGIIETHVLHHYVSTIPF YHADEASEAIQKVMGSHYRTEAHTGWTGFFKALFTSARVCHWVEPTEGARGESEGVLF YRNTNGIGVPPAKLSK AOR_1_1410164 MIYKWPHLIGQSAQAHVFPRLSTWTTILSIDLTSTHMDLLSVLP DFHTKPYAHILPPLERSKISTVDLITLDTLEIAKRAHVPPADVRRLCASITKALHHDI GFEHIEESASAEPSSSINDDPPITLGPTTKLDLSQWSAISTLDTALDELLGGGIPTGY VTEVTGESGSGKTQFLLSLLLAVQLPSPKGLGKNAVYISTEAPLSTPRLSQLINSNPY LSTLPRDRAPTLENILSINAMDLESQDHILNYQLPVAIQRYNVGLVVIDSITSNYRAE HTSHNILGLSTRSGELTKLGQMLRNLAVQEDIAIVVANQVSDRFDAMDNPSVFPRIGG GAGNGSPSTQPQQIPSARESGTASPLPRVRATESGNVELSQYNAMLPSSPSHFPSSPY TTEEDPQRQHFDGSYLVGNPVRSEILSLLHQQRFFTGWGDSPQSFLPSSYPGFQRPAE KTPALGLVWSTQIACRIALKKERQTAMDPLLPGSTPIPSTAKLLPEPEVPGSLGEREK PEPDPPTETVTEGKDAEAKASSETVPVPAPISENKPSNSQLPPPSSQTPERLVRRTMK LVFAPWTAGSVNEQNQIQDEVSFTIWKGGLKSCE AOR_1_390164 MATAGIERRVEIKIASSSEDFMCGWLYSSQKFTVSNLGPAIVLA HGLGGTKELKLDVYADSFNQMGYTCVVFDYRCTGGSEGLPRGLIDWHQQQEDWKSAIK YTRQLENVDPNQVGLFGTSFSGGHVIQLAATDRKLNAAISQCPFTSGWQSTLCTGSAA TPRLAGIGLLDILFGSERKPITVPLTGRPGEAALMNAPDMLSTFPLLIPKGHPFQERV PARLTLKLPLLRSGSYASRVECPILFGICGKDSVAPADTTLAYAKTAPKGVIKWTTSS SSENIC AOR_1_392164 MGGPPSSGIKWLDDDKWIRREITFDEPIPSRWRIRDWRAEGRGV FLCSDTHGKKAVVKVRLQIPYMGTYFSSSEERAEQAIHDMGENTRLEIDALRCLTQAG CSSSPALLGWKRETQSNTDWVPGGYIEYILMERMPGVRPPPYWQPMAQEERDRLLKAF KEAYLECMACGRVHLDEGTRNLIWDDKAGKCYIIDWEDSLETTAEDTWEDRLYSNYLL QWD AOR_1_1412164 MAPRSLTAKDIVMEPIPDFFELEARRHFRSVGFSNKVLDYAPAK EDDHEFHIKKEQLLCGDEHSVVARFGQNVGHVMTSVLRGGVGTKLRFGDYKCVKPPEV TFNKVPDIAVMDDNYNLLLVGEAKTPWKHDIIKNELDNVAFRKYLGQISGYMYSTNLK YGFLTTYDQTIFFKQEPHREKIGKWVLWHSPVIRHTAHSQEISGNEEDFNNPALYRGK VSLRECFLYLVQQAYHNEFAENTMQFGNWVGKSRSVPKDDHISQASSSESGDDKHGSN PRVGKQQAPATGHEPRRKAGEQSMADITARTAQLTVDNQSRTQSPLAQDPRHQNIQAQ NPRLYSQPQTPRFPGVQPRENRSQDPHPGVPWYTSSRGPVTPITVYPGRGRHWYYETN SGPQYVDVFEYHTSGSTRSYFIQKGYRYEVRYGSERRR AOR_1_396164 MAGLGFNKESQGPNFADYRSIRILPASVCTPALDIRQAKPFMTG NQEAKIARRRAKNREAQRRHREATKAKLAELERLRPQVDSKNTFLPSSPYQAAWGLNE TPSESPPASLDFQDFDFALPTNEENSVLDPHQWYSLGETLAPNASGDYSGTTASSVEE TSRRHRAKSTSLNPPHARSKSSGRSALHLAAASGNIECVRALLTHNADMNATDALGRT PLHACAAAGNTADHVSVAQMLIENGASPTLKDHTGMGPLHIAAERGNDRVLEALIRIG VDVNDSIVSEKQSVHIPLGT AOR_1_398164 MWLGSSFTNLKPVQAAKFLRQFTQEGVLQTGDFMLIGIDRCREV EKVTAAYSDTSERWQQYIQNGLYTAAHTVGDASLAEDWTYVARWDAEEGRHLRFVRSD RHRTVLPDIEISAGEHVLLAQSYKYTRQDALAVFDLSGLTVRYEWVNQPDDCSLFLLE KTGR AOR_1_400164 MDCHNHPHELPHLGVDVIDIRSSCGTATGKSMKEEILDSIRGGG DKHCVVEESGGLIEWSRSIPTGILYEGCGIDLYENITRDPSYYLYRDELSIFQEYSHE IAMLLLGTAATRKGTKRVSSSRHAKNIHLSLVDLGAGRLDKSSCLLNGICRQIELDQT LMKGSRAISTTYCAVRIDKRLLIQQPY AOR_1_402164 MSTQLNHSTPIIIVGAGVFGLSSAWWLARAGYHNIKVLDRWPVP SPSSAGYDRNKIIRTEYPDDIYSILSQEAIQLWRDPLWKDVFHPTGWIYGTDGSQDQD REKTFLTAVSNTHALGDPSKIVELPNWDAAFRLYPGLAFAHDRRQAQVGIYTDGLSTP KGKKPTFRGIYNGNAGWVESTNAMLILKRECERLGVEFVAGESGAVVEFVRDPANPAQ VVGVRTANGTIWRAEKVIVAVGAYSETLLDFQGQLHASGYCVSHIRMTEEQYQRYKDL PVLNIARRGYCFPPNEDRIFKICNLDITVVNRERWPEPSCDWGVRSLPRDQAYHPTDS QPRVGREKTLEFARYILPEFGDAKIESSKVCWDVETHDDNWIVGYHDSAPDSLFIATG GSGYTFKNLTNIGKYVVQALEGQLDPEWKDLWRWRPDRVGVFPDRETRNARFKFDLRD CDGWKHLAPRL AOR_1_404164 MAPWTEQCEPQLGPDSIIDSDPQDYRHAEIILNAGYSQVRLAVQ YRAFVTCHWYRTIDIILEGNPHTFYPGLCLAPTEEQKAFVGEKGPDGKVTQYDQISAA NPAIALVRLAASRSNTQSGLKFILPVNSGYLRRADILEERFRGCDQVECVNGFAVSTE QRLNACNEVGRLSDILLSACGGIIVREPQTGTTIDTVLSQVESEIRRRLSFQWLSSEP VRPKRLAVVQARKEHHTIERFYMAARSLNISLIAVDSPGHWLEADDGPSASLREEFIP FDMTVDETFSIRLYHALKDHNLDGIITSNDRHLVGVAEAAEMLGLPTMPSKGLKVATD KYATRAAEEADTLVPASFQVQSLEELDDLFTRPNAPALTFPLIVKPCLGWSSDCVTRV TTIAELRDAVHRASTRHLGSMSTSTGVVIEPYIDGPEVDTNIILLDGECLFFEISDDF PCTGDAPDANAQTSNFQETQIMYPTALPEAEQWTLRESIQNSLIRLGLKTGVFHVEAR VRNSVASYTTDESGLFDLRVGSDKSDLQAEQPSCWLIEINSRPPGGFSDWATAYIHGV CYHAQAILAAVGDQERFKALATPFQQQGAATACPEPQFHCMLVYLSPERSGILANSPC EDTAGIGVEKKYLGRRIQVWVGCQRILFLPGGRILGVRKYFIWEIGSGKSFVWR AOR_1_406164 MASQAKDSQVESSSPSLTVTEKQAGDIVPINHTGDETSCRPTNQ ELKELVHVADNVPYPVWLVILVGSAERFVFYGASTCLQNYLQNSPNDLVPGALGMGQS NATAVNYAFMVLVNFAPVPLAVVADGWLGRYKLILLSTVIYLVGSLVLFTTALPSALH QAGASAAGLGVSLVLIALGIGGVKASIYPFIADQYPHHEPFIRELPSGERVVVDRSLT IQYMYNWYFWFINVASLSGIATTFMEKYSSFWSAFLLCFCFLWVGLVLMLVFKNKYYK APPAGSVVPKVLRVIWLGIRGGMSLSAAQPAVQQEKYGRQVPWDDEFLQGVRNALIAC QICLAFPVVWLCWGQTYNNLISQAGQMETYGIPNDVMPNFNPIICIIAGPLIQQCLFP FLNRRKIPFRPIARISVGFFLMGASLAWATGLQAFIYRAGPCPDHPLACPDSKNGTIP QHINVFLQVPCYVLMAIGEIFCVTTGSEFCYSKAPRSMKSIVQALFVGTASISYALGI AISPAAKDPYMTIFYGCLTGVQLAITAGFWLMFRKLDKNIIV AOR_1_408164 MSSAIGKKALLLGAGFVCEPAVQALSEAGVQVTVACRTLSVALA LAANYKSTTAIALDVANEPENLRAAISQTDIVISLIPYVHHALVISAAIQYSKPVVTT SYISPALWALDDQAKAAGITVLNEIGLDPGIDHLYAVKTIDEVHKAGGQVKAFTSYCG ALPAPEHSDNPLGYKFSWSPRGGLLALLNSAQWYRDNDIATVDGKDLMAAASPQRIFP GFNLVGYPNRDSVGFRDFYNIPEAHTVFRGTLRYAGFPEIIRALVSIGYFSQERMAAL ERSGTTWVQLTASLLGLSESSSPKDVQNAVRRKIEAFVTDKDDVDRALSGLRWIGLFD PTPVVGHGTPLDTLCAVLETRMAYQPGERDMIVLQHIFDIEHADGSVEKRSSTLVEYG EPLGPGYRSAMAKLVGLPCAVGVLAVLEGRIPATGMVAPWSSAEIASLLRDELKDKFG IELKERVIT AOR_1_1414164 MATLNSLKEALGQKAVTTPSSSRQQLSDTQYSAGFDIFAGGPEY QDFIIPQLPQLLAPLFNSRLHVSVLEIGPGPKSVLGYLPHSLRKKVRRYAAFEPNELF ATKVEKWLCTSLEAEFPLPCLASPPGIHRLPFVLNSNINSDASTSTNISDERFDLVLF CHSMYGMKPKDKFIEQALEMLVEAPQGGMVVVFHRDGTLSLNGLVCHRTACFPTGAIR VLDEDEVLDNFASFVAGFVMEDTEADKATRLEWRKVCRALGRREEAYPDHLLFSSPSV MAAFTQHATTLPELTAQVPLVKDKTVKNREAFHHGSASIVRPTEVQHVQQCVQWARKH EVGLTVVGGGHSGQCLWPNVVSVDMSAFDHIHILTAGKDGGESSSASVVIAGAGCKTG DIVRKTMAAGLTVPLGARPSVGAGLWLQGGIGHLARLYGLACDAIIGAVVVSVDSGEA LCIGHVPSQHRPAGAVRPKNEYDLLWAIKGAGSNFGIVVSITFKAYVAPVHLIRSWVI PLSDSLEARRRLSDSDNLIASKLPRNCSADAYLYWEFGQLHLGITMFEASTTRLISDT STPTPPPVDVDTILGLDGKIDVVDGIGLFDAEMYMSQMHGGHGGCKTSAFKRCVFLKN IGAVNVADILTTAVGTRPTPLCYLHLLHGGGAVSQVASGATAFGCRDWDYACVITGVW PRDQDGTEIAHAVERWVYNVARDLLPLSSGVYGADLGPDPRDAILAAKAFGPNRPRLA RLKHCSDPHNVLAYACPLPRVSMKQRLIILVTGDSCAGKDYCADIWVSALLAYNHKGL TARAVSISDATKREYATATGADLNRLLSDRAYKEQHRPALTAFFQDQVRHRPRLPEEH FLNVVDSAADVDVLLITGMRDEAPVATFSHLVPDARLLEVRVQAGEEMRRARGGCHGS DDDSNDNKNNDNGRLNLTALDHHPDLIFHNDTTGDKAAKAFADYYLLPFCHEDLQRLT DMVRQVPDFPRLGIEFRHVLDISQQPGGLTLCTSLLQSHFTGDWAKVDAVACCEAGGF VYASALASQVGVPLALIREAGKLPPPTISVAKSPSHVSLSTSNGMNEKRIEMARGLIP RGGSVVVVDDVLATGNTLCAVLQLLDEAGISSKDVTIMVVAEFPLHRGREFLRQRGFG GVKIQSLLVFDGA AOR_1_410164 MKISREARMAASIRSILEDIGEDPNRDGLLKTPERYAKALLFFT KGYEESAYDIGKDAIFNINHNEIVLVRDIEVFSMCEHHLIPFVGKVHIAYIPNGRVLG LSKLARIAEIYARRLQVQERLTKQISQAIEELLQPQGVAVVMESAHMCMVMRGVQKSS AMTTTSCRTGVFKTDKEAEEELHFLLKLNQD AOR_1_412164 MATRAPLAPPPNETEASVSRITREGKKLTYKLNVMQQPERARAC GAGAKSSADRRPVDPPPVVELRVYESDPNDDLNKTDITFAYNANFFLYATLETARPMA QGRFAPNPTCPVLTGVPVAGVAYLDRPSQAGYFIFPDLSVRHEGVYRLNFHLYEETKE SKDANENAPIQSLSNPMPSKPMAPKSFLEFRLEVVSVPFTVFSAKKFPGLATSTSLSR VIAEQGCRVRIRRDVRMRRRGEKRTDDYDYDEERVYRSSDRISTPDTHGYAGTPVERP RSTSTSTVDPSFPYGVDAQRRSSGATEYGFQGAQPYQRPLPPAPGPAPAAVSTPAPPA PPAPPSHNPGYQSHLSFGSTQTQYPAPQLPPTPQTASTLAAPYSPHPSYSHARNPSTS AEYETPGYSYPPSRMSTERSSYPKNGLPPLRLEPPKPLNMPSGEPRSSDPNAYHSVAQ SAAPRSQTPSSSLVPSLPPLKALSGDYPNNLSQSSSSTSQSPSHDLGAGKKFFWDTGA SLSKRSYEDSFGHDDRPLYNGMRPDTESYPRRLSDASRNFYNETRDEMAYKRANGRMA TKISPALQ AOR_1_414164 MTLGAEVRPSLTIKSDSSEAPFSPPLALFTPLINSTRPTLADDS HQLRAQMSTDDTQADRQLHQTLESACRRRDPITTHGSRKHSRTDDYNDYDDDEFSSDY SSDVSGRFDDADEEYAISAHPASSPRSTHSAKRRRSNDWPVEELLPPPPLKETGSTGS RWRSPFHSRNNSRTDHGSPRNASGRHGRQAGPSGRGRRSRFIEGMMNDSVSEKPPSIF LRDGKSANGQEGPTHRSSGIFRFGKAIASAFNPFGGWGSVAVWKGSPSAEANKEPVDD DIARVEKAYAELKKAGYRGAVKGEYMAGAGSQSSNNLADQTWKSIQEKMDYKAPTGRH SRQNSGEVHESGSSLRNSFQEIRRAKSSLGITSSFIPLGRRSEDTEQPQLRKQKSKRE KLLRRVSTLEEKLEKARRELQELMGDDAPPVPERSQCQESTHPRKFVPGALPTLPSER LLNSHDPVSPISPTSDSAPMSLLENIQRSMQSQDPTQMTTIEPESTIKTPAKSPSLRT TQSLTVDSPSLKRKSPDPESASAANTPKSQKEGATNHTEEGNQSDSSRRSKLPKTFRG DSPGSVERKQPPRHREENSTRRSPSEERGRRRRSSQPLRSHSKRSPSARRRASNSRNR GTPSLRLKKGRADLRSASTQAMDIDNHDKENQHASQSRQDQQQSDSVDLNQTDPSPNS SPAKRKDQRFTYNYIPPVPPLPKNIAATAAKVDRRLAKEIGRRERNRKSKAQGKTNGT EDGFSWPDDIF AOR_1_416164 MASTSKRLSKIADHLSTSPASPSPNPNFNMTTQLPDNLPWDPNC TQFPSRKELPNIPGAPEGAAWVWGKDDQIGRLNLLTPARVKAAAAEVKTGEMFRLDLP VNVPETPAFGRETFQHSIKTIAKDIAYDDTYTLNTQSGTQWDGFRHFGHIDSKLFYNG TTSTDIEPGPNSTTKCGIHHWATHGIATRALLLDYRHYAKTHNISYDPYTRHPITMSS LHACAKTQGLDLRPASQGGDVRVGDILLIRSGFVERYNELSPAERQAGATRAHEDLTW AGVNQEEEILDWLHDCYFAGVAGDSPTFEAWPPEKEGGFIHQNILALWGMPLGEMWDL EGVARRCRELGRWTFFLTSAPANVVGGVGSHANATAIL AOR_1_418164 MDMNHLIGQRFNLISKSDIRYVGTLHEINPEASTIALENVVSFG TEGRRGKPEDELPPAPHIYEYIVFRGSDVKDISVAEDKKEDAPQEPQQVPDDPAILGS MSRPGPAPQGIPPQSQTPQQSQMPRPPPPGYPQPQFQGGFYPPYGQRFGGPGFPPGPG FPNMPYGAPPGWFPPPGQGFPPPGQFPPQMPMGPGGQHQTPPPPRPMPGAGPMNMPKN TSELPSDKPSSKPGSRNGTPAPSNAAQNAPTPPVESKPPVSEALQAATGPTQVTAGTA KAPPTGPRSGRVQPAIPIAAPGKPPVPQVPGPAGVPQGQAQAAITEATRAATAAVAAA MAKLPQPGAQKKPGDVSVEGVTKQMAEMKPYEHRAPRGGHHPRGGRGGGHRGQHQGKK IEVPETDYDFETANAKFNKQDLVKEAIATGSPVHEVEPHIPNGEPETPDSYAAAYNKS SSFFDNISSEAKDREEGSAARAGGREWRGEEEKRNIETFGQGSVDGYRGGYRGRGRGR GYGRGRGGGYGRGYGGRGRGGMRGGRNMSQSTGVPAQN AOR_1_420164 MSRSSHRDDFFQTTAALDEQKRKDAKSKNTNGDPIRLQSKILAV EADPLNPGAVFVAQSGGTVRKIILETGETAALYKGPTAPITSICFSPDGRLLFAGCWD KYVWCWDVASKEVKQKYDGHTDFVRAVVTTRLQGKDVLVSGGADAQILVFDIASGERL SVMKGHAKGIQGLVVDPVSLDSDSQELVVFSSGSDREIRRFDIASGSKDLTGTDAILV HDTNVYKLFFDRDADLWTASADKSAKCLVREEGWKPNLTLTHPDFVRDVVVYEQGGWV VTACRDEEVRVWNRSTGQLYHTFSGHFEEVTGLVLLGSTLVSVSIDATIRRWSLRPED LQVAVERAKNTSIDEEPEPNPGSMLTEEEERELAELLEDD AOR_1_422164 MTEVARQPSVPDGASSSTLRRYVHVPETKHELDWADLVTLDLSQ FDIPGGKEKLAAQLKDAVHNIGFFYVTNFGLSPEEIDRQFAIGQELFELPESEKLKHR ADLEAGNYNGYRPLGAIEILPGLRDNIELYNVFKFIPRYARSHPEVILRHYEEIERFH RFIYDHIVTKLFRLIAILLELPEDYLVNGHPYDGPSDCHLRYMIYRARSAEENARHQN LWSRGHTDFGSLTLLFRQPVAALQVKTPEGAWKYVKPYPNSITVNIADALQFWTNGYL KSSVHRVIAPPPDQAHIDRLGVLYFVRPGDGLDLKTVDSPLLRRLGLKKDEGDEAQVS AGEWVRARVRRNWDKPPKANDENIELGGVKTTIFHE AOR_1_424164 MGNAPSSPAHQCLLSAVGNDSSLVAFPSQPFYESAAANPYNLNW PVYPAVVASPKTSEQVADIVKCAVEYDYKVQAKSGGRSYANFGLGGVDGEVAIDMKNF RQFSLDDSTYIATVGPGLRLSDMTQKLGAEGRAMPYGEVPEIGVGGHFTIGGLGTYSR LWGSALDNIVEAEVVLANSSIVRASKDSYPDVFFAIRGAAASFGIVTEFKVKTYPSLS ETVQIKYEFSIGSSAERANLYMAWQELCAQKNLTRKFDTRMVVTQGTMIILAQFHGTK EEYEQLGFDKALPASNAGNVVVLTDPLASVGYDIEKLATGIVGGTPINFYEKSLSFET DKLPSNSTAQELFHYLDTADKGTATWFVVISIAGGATNDVPVDATAYAQRNVMFYVES FGINLLGRVSQTTVDFLDGINNLVNETVPGSDRNVYPGFVDPFLPNAQEAYWGPNLPK LQEIKAAIDPKDVFHNPQSVRPAGKGT AOR_1_426164 MPELALFVARASSEEEGWQDYLRNSLLYTRNAYVRHYGPLSEEL PFLVFAQSSNRRFPSDPVPRTITALLTRGRETGRPVVLVINGWDGLSTNPIVLVDLFE AWINEVDITLRVFAGNPRRFFEVSVVHAIEAIRGDYEDDDEAPVLPSDTKIFVDKVHA YYLMGITRNSSPPSAAVPRGESRLNGLSEARSSPSEIGDGGYSPSMEDPL AOR_1_1418164 MALANHGASIPDLIAMGDEQENVTDWQRRCGINKDSQIRLVKLT HMRYQHPDLDQITTFLQDFGMTVAKRTEDGAWYRGASQRPTASDIQELSDVAGGGFLV TLTDPEEFPVNLMFGQKPAEKGAIPEKLTINYEEEKPRIRKFQGFTPGPAAVHKLGHF GLCTGKFEELVHFYTTTFNIVPTDFLYVEKDGRRKNVALFAHIDRGDDYVDHHSFFMS TNASSHVHHCSFEVHDFDTQKLGHQWLTDKKYKSVWGVGRHILGSQIFDYWWDTTGNM IENYTDGDLVNNQTPIGYGPAGDESLAVWGPEVPAWFLK AOR_1_428164 MAETHSKNRTMRSVVFDGQPFEVYVRDIPKAKVVRRTDAVVQVT SAAICGSDLHNYHGVFGSDQVPYSIGHEAMGIVKEVGADVASVKIGDRVIIPDFPDDV GLDLEPTINPAIALYGEGHQFGDLGGCQAEFVRVPLADKSLIVLGKEFDGIKDEDLVL LSDIFPTAWAGVTWSGFEAGDTIAIFGAGPVGLLAAYSAILRGASRVYSIDSVEDRLE LAASIGAIPINFTKGEPSAQILARESGGVQRTVDCVGEECVNENLKPDQSFVTTQAIK CTSVGGGLAVIGVHFAQPSSQGVQRGSTISPSTTFPMTLFWEKNMTIRGGAVDSKLYV EPLLELVKSGRAKPGFVFSSIIDIEEAPKAYQRFSDHLETKVMIRFS AOR_1_430164 MEAKYMTTPDDGSDPREVQTGEVGELYLRGPNIFLGYHKNPSAT ADSISKDGWFRTGDVGYQDFKGNFFITDRVKELIEYKGFQVAPAELRGILVGHAAVND VAVIQLTIQLGSPENLETAPGTVGGLKQFISAARLSNNIPGLYDSRYLSLFFGNKTDG TFREPKPLGKLSAYQLNKLNKKKEDDKKNVAMVKMLHEPYWS AOR_1_432164 MRPQTIQTFLDYHHSSAFASGEITAGTLKFCRIAPDRQPVQIED VRGRKEEFRLDEHGFQFLRHESPSFASFRDEDLSTVQQESVEILQRLTGASSVQIFST LIRNQTDKELSKLIDSSEPDSSVVPFNMPSRRVHVDQSEAGAYLKLKDSMAPDQVERV LQGRWAIINVWRPLKPVPCDPLAVADARSVPDEDLFEVHVGKPQNVQATFYNPKTSRQ GSGTLLGKYGPGHKWYYMSDMTQYDILLLKIFDSKDDGKTARRTLHAAFIDPHTSEVQ EARESLEIRCLVCFGDGIPG AOR_1_434164 MILDVARTKRFFRRLRPRAKDQDNTPKCQPRKAFPAGLKFLCGP NDGTIDIVFVHGLTGDRDATWTAPGAEEPWPKTLLPSKLPTARIFTFGYDAYVADWRG VVSRSLIANHNERPIIFVCHSLGGLVCEDALFTSKQRPEPHLHNIIQSTRGIIFLGTP HHGASLAKWADFVCRSISLVKQTNPEIVKVLKRDSEVLARIQDGFHTMVRSVGPPPIE VTCFYEEVPVLGVGLVVPQDSAVLPGYVPIGIHSNHMDMTKFASVDDPGFVAICGELR RWSKGTGGATKSCPGDSSRTAQAGLARQYGANSQQYNQFGGGSQNIVGSHQYQAQGDM NFGMVPSK AOR_1_436164 MELPGNNSSLLLSSYASVQHLSTMADSDVSHQITRLIEVTEGIV EAYNPIKDLRGLPEAFQEVNNLLPFIRQILRDANRPRRRILSVNDAEALKTALCSCSE KANRLLEIFKKIVKKTNGQYSSSVYRAIVIQHGKHRVETLADGILQDLGALVAHHIFS ADMQRQVELLENARETLAKVSPSLTDSDPAEQPRAVAQYGDYSRQYNLLGEGGQRIAD GHYFEAQGNQNFGMFPPKSFMESSEIAAGQ AOR_1_438164 MEEKITYSSNPPKPVQKETAQFRGIRVTHYGPSASLAAEDVVDY FKSQGFGAEFITRTYPPIEASWTIATTTYPFLSAEVQRVIAIYTSYAICINDTANVST DDLKGFLARLCGGKPQPNPLLQHKLRFIGEEIRKFYGTFASDMIWKSIIEFACTCVVE NEHHGKIIVS AOR_1_440164 MRFRTIIRDFGPPKEVVAYESYKPQVPGLGQVRIRMLLACINPS DLVTISGAYRSRIPLPFMPGFEGVGVIESVGAGVSELHVGQRVLPLGSAGAWQDMKVT EERWCFPVPPDLTDQQAAMAYINPMSAWMMVRQYAPNPPAVVAVNAATSAIGQMIIRM LNRAGIQPIALIRRPDGKRQLSDQLDLSAVICTSETGLRRKLSELSGGRGLAVAWDAV GGTEGDDLVRSLAPGGTLVHYGLLSGIPLSYRLREECPDARIELYRLRDWIHTAKRHE LQRALDDIFELVRDGTAASKVAAVFPLSDIRQALECEATPGRQGKVLLSMSNAMEATH YD AOR_1_442164 MENDLPSTRNSSDGWPRQSGRIRVTRACDRCKKYFDFAVPVDRF VHRPTIEQWMNEFYETRGVMRDQDAAPAQIAVIFMIFAIAQEHTSPKPSTVEADTSVR YFRAANQQLAKEQGPVRLASVQARLCQCLWLLSQSRINHCWSLFGTVARLIFALGLHR NRHASSSSITRLEIECRRRTFWSAYCLDNYISTALGRPRTFNDKDIDQKLPSCVEDEE VQDSMDGTASYASQGLSTMFGPVSYAKLSRILSGILSDIYSIQPMSITERLSLTAKYM SELKSWRREMAGFLDRPSLNAAPLVLIYQRQRNVLNLAYWHTVILTNRPLLLTNFARL TNITRRLLREQNERREQIDESISECLHAAMEIVTVVDAIIQAKQLFRCFWFTPYFAFS ASVILYVYTIQHSKEPGDVYGPFFAAAERCQQQIMNIAEEGSLTSRYCLVLEELHAEA VRQITPVQPSVDQQTQTHYAMEMRSGDIENLTSNVGDFATEFAMAAPNLVGLGPLDDF HVSPSASLEDLTGWDQFESMVFSGFNNL AOR_1_444164 MSLQGKVYAVTGGASGIGFATAKLISERGGTVCIADVNADCLGN VESYFSAKSPSVEFMITQVDVSNKQQVENWIADIKTKYHRLDGAANIAGVIGKDHGIK TVAELEDDEWNKIISVNLTGTMYCLRAELNHIVDGGSIVNMASIHATTGVANHGAYAA SKHGVLGLTRVAAKENGHREVRVNAVAPGPIYTPMMQGFYDRIERPSDAPFDDPIAFK RQGTPEEVAKVVVFLLGPESSFVSGSCYPVDGAWV AOR_1_446164 MGKIVSMEYFRVPPRWLFVKITDETGACGWGEASLEGHTNAVEG CLDAWFERYKGLDAEDIENIWQLSWRGSFYRGGPVFMSALSGIDIALWDMKARKLGVP IYHLLGGKVRDKIRVYAWIGGDRPDDVQVQAQARKQQGFHAVKMNGTGDTAWLDSPSV LHEVVGRVKAVKAMGIDVAIDFHGRVHKPMAKRLARALEPHEPLFVEEPLLVEHIGGI KQVSELTTVPIALGERLYSRWDVRPFLESNCVDILQPDICHIGGISEMRRIAAMCETY DVGVAPHCPLGPIALAACVHANATMGNFAIQEMGIGMHYNNTGQDITSYITNPEVWAV RDGHIDVLSGPGLGIEINEAEVRQMSQNTKAWPTPEFRGPCGELREW AOR_1_1420164 FNFNLATGPAWVNLFFRHNLAQKMTAVNVYMLYGGTNWGNIGFP EVGTSYDYSAPIHETRLIGDKYNEAKLFGLFMRVARNFSKVERVGNSTQYATDQDIFT TELRNPDTGSAFYVTRHEYSPSTELTKFRLHVSTDIGNLTVPTKGSITINGTESKVLV TDFPIGSSGKKITYTTLEILTVADLGDRQVVVFWAPEGEEGEFLLKDAKSGKVMTGNA DNKTVTTTRYGVVTSVGAGNEKTVIDYNHDVQAVVVDRQSAYKFWAPTLNNNPLAWEN STVLVHGPYLVRTAEIDGDTIYITGDWDEETDIEIWAPKNVKNVFFNGSKLKITKSKY GTLVGSLPAPEVTADSLLAELPPLTNWKVAENLPERLVDYDNSKWTDANHMTTPHFVP PDTYPVLFADEYGYQAGNILWRGRFDASEESMPSGAYLRVIGGLASGFSAYVNGEFLG SWLGSMANKTGELEVSFKDVKLNTGDDNILFVIQDTMGKEQRDAAPDPRGILNATLIA ADGSPTNFTSWKVAGNAGGNHLLEPVRGTYNEGGLHAERLGWHLPGFDDNDWESGAPA DGFTGADARFYRTVVPLNIPEGYDASLAFQLSTEKKAKLRAQLYVNGYQFAKTLPYIS NETTFPVFPGILDYNGNNTIGLSVWAMDEAGGRVDVAWKVMGVHRSAFDPLFDGEYLR PGWKDRSQYA AOR_1_450164 MTISTQAPIHLTFVGGGHLAQAIISGILSSTNPWALKCNIAVTA RRAEHVQELQSRYPQLLVTDNNLDKRIWQDARRSHRTSTQDSTTSPILFICTRPADVP TVSKQLAPTLESLDPSVRPTVVTMCPGITVSQLQDWLPTGTAIVRSMPNTPVEVRQGA TGLFASEDATVRVNHVKTVLEEVSPLVTIVPEESMLDVVAAVSGSGPAHFFFVIESMV AAAESMGLPREAAEPLVIQSCLGAGYLASASSKSVADLRKEVCVPGGSTEKAISHLDQ NGVQTLFKVAIQKSLDANLKMQFC AOR_1_452164 MEHHTWALFVDKLDYKAVFGLLLTIIIAVVTTQILHVYTKCCPG IPSIPFHISVYDAYRRVSEIGFHNSRLRPVLETHGAVNIWNSGQWAVLVTKPEYVVRI LRNERVVAKGGFYGKVPHSTLAGLFGENIIDSHGELWKQFTGVMKPGIQRPHSISSLK VASSKLIATFKREQQHAPSDRGVVIDDIIERWAIDVFGESFFDVDFGALNGGTVRAQD ALLAILWNLGGHLIHHFPMFERIGWPLRPTRPHCFSMIRELEEALIDITEKLKYPETP PDRFEKVIYRLKRARDDGLMTDFHYRSNLKMMFFAGHENVKFAFIATLWELSQNPQMQ EKLYREIAAHIASSSDGDDLKSLPYLTAVLAETLRLYPPVSQLINRKTLEPVYLGNGI TIPQGMWVGWTAYGVHTDPNTWGPTAHEYQPERWGDDVHAIQRAISQQQVRGSYIPFN AWTRSCIGSEFALLQLRVTLYEIVRHFEITSAPDYRYSIKVVSSNLCT AOR_1_454164 MFPSLDFSRFHDPSQREEFCRQFVSTLKEYGFAKLINHGISCAQ IDLAFAAARRFFQLPLEQKLKSPHPATAHPHRGFSPVGLENIGAVSDYGSAAGSPYLK DMKESYDIGSEYDPLYKNIWPPKGVDDAFQPTFTAFFEAGYRAELTILKALSIGLGLP EHKLGQLHADQTNELRITHYPAVARGEFAHSTRIATHTDFGTITLLFQDAVGGLQMEV PPHSGQFADIESGGPYECILNVGDCLQKWTGLHSARHRVHLPDRSKEEQINGIVPERF SIAYFAKPDRAAILRPLLLEGVPEEKYLTANEFQHMRIAGTY AOR_1_456164 MKSHILGLDQIWSWNAEVPKTVPGCVHDLIATVAVHHPDALAVC AWDGDFTYSQLNALSHRVAQRLIALKIPRQSSIPLLFSKSRWTCVAMLAVIQAGCAAV ALDATQPDTRLRSIVQQTQPRVVISSPMHTTRASGLTDALILQLDDAFFDNEIVEPTD ELPVAFPSDIVYISFTSGTTGLPKGACISHANVRSAVHYQGKKLGFDSKSRVFDFAPY SFDVAWSNFFHTLCAGGCICIANEEDMLNDLSAAIDAFRATLINVTPTVLRTINPTPT TLETVLLSGEMPYRDNITRWADKVKLLNTYGPTECTFKCAFSLLSPHFEGRPDIGRGV GHCTWIVDVNDSNRLATPGATGELFLEGPLVGQGYLGDPEKSAAAFINDPPWLLEGSS NVPGRRGRLYRTGDLVKYKSDGRLMFMGRKDATQLKIRGQRVEIGDVEHHVRACLQED ISVIADVVMPRGSDTTSLALFVQTQPDNREWVKREIDKLEGKLREVLPSFMIPTVYLP VDVIPVASTGKADRRRLREMGNALDWNQIVKLQSTIVSAVDYREPTTDTERQLRHIWA QVLELDVNRISTGDSFLRLGGDSIAAMYLVAEARKEGLSLTVADVFRFPILNELATKV KNVVQSEVVLPFSLLTGRRSKKDLCKEAATLCGVEVGEIEDIYPCTALQQGMLAISAR EASADYTSRTVFDLPEDINMTQLERAWTDTVAAMPILRTRIVELRGEGLVQVVVKTPM TLLRYECIRDLMNTSSQASHLGRPLCRAGIIQDEFPRLLLMIHHSIYDGWTTRLILDT VEMAFRETRAFSAPVPFQLFVKHVVAMDTAKAIEFWRDQLIGSDATAFPSSQYSPRKK LDFNHVISGVQWPRTSATPSSVVRSALAILLASLTNKDDVKYGATVSGRQAPVLDIER IAGPTIATVPVRVKLNWDQTVEDLLQQIQRQMVETTEYEQFGLQQIRRIDEDIEAAAQ FELLLVIQPAHHGKSQQPGGLFSRAHSVALPGLLDAHSQGGPVLVAKDGQSDSIGIYN SYAMMIICQLEESGAELKINFDSGAIEEDEVQRLARQFEHVLRQMCSEQFAQVKLRDL SPMTSEDLLDIWAWNRNLPAAATELVTSLIEQRAATQPGKIAICAWDNEHTYRQLQER STALACRMRERGVAPGSIVVLSFEKSSWLPITMIAVLQLGCIVLPVSVPTSSQRASQI INALQPTLVITSNASHLCPFTGMVPVTTHDELMKAHIENSPGLPLPELRASDPAFLLF TSGSTGTPKPIQWSHETLSSNIAAAQTCFGIDSNSRAFQFAGYDFDVSTVETLSVLVA GGCVCIPSESERTNRLSESIAHYNANWICLTPSVAESITPKDVPLLKKITFAGERLQQ RTASQWSEYLDVVYNWYGPAEACVATSYKFDPRNWQSGIIGRSQAACTWLVDPKNPNI LAPVGAIAELLIEGPIVASYAGSCGAALNEKQFISPSWLHQGHWKVSGRDGTLYRTGD LVKYTSGGSLLFVGRKQDSQRKLRGQRVDLENIEGLVQGFLKSRNEVKTVAEIFTPSL SDKDSLALFFSSRGMASKPALPVDELEAYLATVLPAYMIPKLYIPLVTIPVGKTGKTD RRRLRQIGSSFTTEQLAAMQPSRQKARQPSGENEKQLQGLWAEVFGVAVDSIYATDNF FRLGGDSIMAMRLVALARNDGAALTVSDIFEAPTLENMSKRLVKGTKYIEEVPPFSLL SPRVGKEDSRRYAARQCRIPEEQVLDIYPCTALQEGLLALGEKDPGQYISRSVLEIQS TVNPDRLRQAWVSTLQKLPILRTRIIDLPGQGLVQVLLDNVPWRFGTDIATYVREDEQ EPMGMGTQLCRAAIVEGSFILTIHHCTYDGASLKMVLDEFEHQYLGQCGAEFTPFRNF IQYLQKTDPHDSTEFWKGQLSNAELQQFPVLPSSTYIPQANEEMDHPISVEWPRAGMT PSTILRSAWAILEAQYVASNNVVFGVTTSGRQANMAGIERCSGPTIATVPIAASIDWD QTIQTFLGQIQQQSINMIPHEQCGLQNIQRAVENTDSVLFQTLLVIQPVAEGKSLQDD RTDPFNVYPLMLICELIGSGLKLHISFDNHILDHRQIRRIASQFETVLQQLCTTDPGT TKLKDVQTASNFDITQFWGNNSKAPAEPQASVPDQISLVAGKQPDSIAIDAWDGQLSY RELDELSTDLAHRLLGLGIVKGSTVALSMEKSKWVPIMQLAILKSGAVCLLQSVAVPE HRVGTVLKTLDVVLAVASPSRVGVMKHFSKCLTIEEVLESPILYNPLPSPGMADPAIV LISSGSTGEPKQILWSHRTLSANIQGAGEVFSMSSLSRLFQFASYDFDVATVETLSTV AYGGCLCIPSESERLSDMPRAINRFKANVLHCTPSTGRLLSPEAVPTLSTLVQAGENL TKEDAKRWSGKCDVINWYGPAECSLASVSPATLPSWYTGVIAGPSELIGSQYLPLCWL VDPYNHHRLTPFGAIGEIALEGPGCAVAYIGNPSLTKRMFCENPTFLCHGQRGNERGR MRRIYRTGDLARYDSNGNLVFIGRKDAQLKIRVVVDGIIEKGGIHLTLVAFIVTEEVG SITNGLNEKLQKSLPRYAIPSYYIPVPAIPTGPTGKVDRKKLREIGSAFTPRVQSEIQ QRKPTTPAEVKLQSLWAIALGIEVSNISANDSFLRLGNSIEAMRFVGLARDQGILLTV GQVFEKPVLAEMATVIQSTTNDMVEKASPFTLLDKSVDIQLARQQVASLCGISEESIE DIFPCTPLQEGLLSLTTKHDGDYTGRNILELRSSVDIGRFKAAWEQTVARIPILRTRI VDLPGQGLVQVVIEETNVWTEAKGAEDYIEKEKQIPIALGSPLMRCSLFTTSSGENSR FFFALTMHHSIYDGVSTGLVLETLDSLYHHTTSRELSTFQPFVQYINRQDKKAESDFW ASQFAGLEASQFPTLPYSAYEPHPSSNLEHSIRDIRWRGDGITPSTTVRLVFALMCSR YSDSSDVVFGTVVNGRNAPVQGIDRLAAPTIATLPIRVKLKDHENIRAMLIGLQNQAT QMIPYEQSGLSRIQKINDEARQACQFQSFLMIQPPETKMDEGGLFSPQESSPQSERDR YRGFNSYAFSVGCTLLENGIQLQFTFDSKVIDCATIGTMAHHFEHLLRKVASHEVDQV AANDLEMITTQDLNQIWQWNSHRYESINRCIHNLISDTAKSQPTATAISAWDGELTYK ALDYLSTQLAHQLVDLGVGRGMIIPLYFEKCLFSFVAFLGVVKAGAAGLFLDPALPVS RLHAIVQQVKPILMLVSPSNETLGCSMVEHVIVVSHDSMRLASEGRGKTTPLPSVHPS DLLYAVFTSGSTGTPKGVLIQHRHFCSAIVHQQPVFNLGPSTRMYDFSAPSFDVTYGA VLPTLVAGGTVCIPSDEERKSHLSESLRRFGATDTLLTPSIARCLDPSRVPTLRNIYL GGESPTHDDLALWTPHVPTVNCYGPAECSVGTLYWKVPSPIPSKIPIGKGYGVSTWVV DPQSSERLSPLGTVGELYLEGPLVGQGYFMDEEKTASAFIESPSWLHRGSPNGRVPGR DGLLYKTGDLVKYDPVDGTLVFIGRKNTQVKLRGQRIELGEIEHNLQQVLIDMSIEAA VVAEVATPEVTGRAALVAFIESDPTRISEIAGDLENEMAARVPMYMVPVTFVPINPMP LTPSGKTDRRRLREIVSQFTLEQLGGGRQSNGRPPATERECLLQKWWTSVIGVPANQI FIDSSFIRLGGDSISAMRLASLARSQGISLTVQNILNQPRLCDMVQAMAPLDANRDDA DQVEVTPFSLLRHPEDKEMTLNYIAQQCDISKSEIQDVFPCTGVQKSLLSMTAKSHTS YIARFALRLAKNIDIPRLQRAWEKVSQTKAPILRYRIVDTPTEGLVQVEVNEPLEWET GDTVSSYIQQDRRSMGLSTSLTRLAIVGNAAEHDTYCLLTQHHAIYDGYSLNLLIQEV SRVYAGLIDPTPVAPFQAFVKHIMAIDQEKARDTVRREFVGFHWPKRNATASTIIRAA WSILTARYTDTDDVVFGALVTGRQGPLQGLDRMIAPLINAVPVRVKLDPEQDVESFLN SIQQQSIDMIAYEQSELLDTRRINTDTEQGSRFNTLLVVQPTQQSGDSRIIDGPFDQR KIVSANDDLDDYNPNAVMILCQLTEDNSLTMEVSFDSRVVDVEQMERIASQFEHVLRQ LAMLTTDTVESIEVVSPEDIKQLWQWNAAVPHASERCVHELIDDTVKQQPESPAICSW DGQLSYRELDILSTSLASQLVALGAGAGTIIPLCFEKSMWHSVAALGVMKAGAACVAM DSTQPESRLRSIVEQVHPNFLLTSSKNYDLARSLSDATLLIVDRYHLLDSPVVHSTAP LPQAHPSDTIYVVFTSGSTGTPKGVVTTHRNFASAAKHQQEILNIRSTSRVFDFVSYN FDVSWSNHLQTLICGGCLCIPSESERRNDIPGAFNRMKCDYVYFTPSVARSLDPSSMP GIKCLAMGGEPIQRSEVVRWTQAEAIIGIYGPAECAQALSFVRLDSNCHNSHVGLPYG ANMWLAQPGCPDRLAAIGAIGELLIEGPTVSKGYFGDLEKTTAAYIKDPSWLLQGTPG HPGRSGTLYKTGDLLRYNSDGSFDFIGRKDGMIKLRGQRIELAEVEYHVRVCLEDASV YDGVAAEIIRPQNSNPLLAVFVSLTDRLGKSENPSAFTELVESLEQKLIHRLPQYMIP GAYIPVEQIPMTTTNKTDRRALRDLGNAQSLERLAELQSHGKKHREPSTEMEKNLQVL WSSVLGVAPASVSADSNFLRIGGESIAAMRLVAAARLQGLSLTVAQIFKAPRLSQMAL LVTQKAEEDEASQPQPAFSLLKTNDHKTFLQDHVEPFLYEDTGIVKDVIPCTDFQKCA VIDALQDPPGRLPIWIFGLPHNVDFARLEWACKALVNHFDILRVVFIQADGRFWQVLL DGFKPIYDTLDVDDDVESFTHTLCEEDLKRSRQLGQSFIRFVAIRHQGGKHRLVFRIA HAQFDGYTWSTMIQTLAALYYQQSLPMQPTFRQFIAFNERKKEQSLSYWTSRLRKSCH PTWSPANCSDTVYSTSDRMTVTTSFPMPNVQRHEGISSATFFHAACAIALSQQFGRKD VVFGRLVTGRSMLPGSLQNVVGPTMTEVPIVVSISPNDTIVTVANQLQAQFLDDSLHE SAGMEEIIRNCTDWPEQVVDFGWRTAFQQADEMEFTFLDSGSTITVHEHDLLPRRRPE VYATPRNGRLHLEFEGNRQLISADIVREVFARIQSVLGEV AOR_1_1424164 MPVPDTFLAATVNKPYAQHVVSNRSLQPLESGEVAIKITATAIN PVDWKIRDYNFFIKEYPAVLGSDAAGEIVAVGADVSNFAVGDRVFFQGIIGRYDSSTF QQYCKMPAALVSKTPSNISDDQAAGISLATVAVVTAFYDKSGQGLTPPWDPNGPQVGN GKAIVIIGGASSVGQYAIQLAKLSGFEKIVTNASAQNHEWLKKLGAHVVLDRAPSSPE DFKAAIGGLPLEFVFDAISVKETQALGVGIVQATKGADKIVTVQGADSDAIALGQSKE PKVAVKQVLGLGSSPALRYLSEPLVKHLGGEDGYIAKNVFVPNRVHLVEGGLNTVEQA LAKNKEGVSGEKVVFRPNEGAN AOR_1_458164 MTADEESPFLQSPPTPERPVSDLERRRRSDRANKLVIYGSFVGV FLASADESLVISTWSSIASQFNRLSQGSWLLVAYNFGYCVSLPVYGTLSDIYGRKNVL LWAYFLFALGCLACGASGSLIQLILARVLAGISGGGMVALVSIIITDLMPSNEVALFR GYANVVNVAGRSLGAPVGGFLIATLGWRWAFLGQLPLIIICILVAYYGLPSSLNQSKA DSDQDDSRSRASDLDYGGIISLATAILILLFLIQSLSTTDDQPGLPYVLAPAFAIAIA VFVLTEAYWARKPLIPLSLLKCSLGGYCVGQLLLITGRSALSSNMVPYFVRIEKVTDF LASFTYVVTAVGVSVGGLISGAIIKRTKQYKTMTVIAVVSTVLLSILIFIRYRDGCYT WELIYLFPSGLSNGILFSTQFIGMSLAAPKERLATSIGIYYLSQQLGFIVGPAASVAV VQRLFAHRLSESLEGLEEKQCIGKVINDLRYSESLPERLQSIVRSSYLYGFQFVPFAL GNWEAAYEKASAFVSGLTTDQKLALITGSNVESANGNFTPLYFLDGDMGLQDFYYVSA FSLSSALAMTWDRDAIYEQAKAVGSEFYNKGVQVVAGPTSQPLGRTPWGGRGVEGFGP DPYLNGLATGLTTKGYVDAGVIPGGKHFLLYEQETNRTSSFGSSGEGSPYSSNADDKT IHETYLWPFYDAVKNGAGAVMCAMTKVNGTMACENSDLLMKMLKTELGFPGMVWPDMN GQNSAKGSALGGEDYGSSSIWSTSTMESFLSNGTLSEARLNDMAIRNLIGYYYVNLDN GRQPTRQTTDVYVDVRANHSKLIRENGAKSMALLKNEGVLPLSKPHVMSIFGAHAGPI MGGPNSNVDVMGSGPTYQGHLATGSGSGMASMPYLITPYDALTNKAAQDGTVLRWVLN DTYSSGGGSSLVPSSTSSTAVEPSFENFATGSDICLVFINALAGEGADRTELYNADQD AMVNTVADNCNNTVAVVNTVGPRLLDQWIEHDNVTAVLYGSLLGQESGNSIVDLLYGD VNPSGRLVHTIAKNESDYNVGLCYTAQCNFTEGVYLDYRYFDAHNITPRYPFGHGLSY TTFHYSSLAIKAPSSITKAPKGNLTVGGPSDLWDVVGTVSARIANNGTLSGAEVPQLY LGFPDSADQPVPVWVAQNEPVGVLRAAHDLANDFGRVLGVNGTVNVFDTDISESPGKA VIITGTVGQSTPIDQLVSDGKLDVSLIEGKWESYVSRIVENPFPNVTWSLVVAGSDRR GTIYGLYDISEQMGVSPWYWWADVPVKTKTGIWVAPEGTFQKSPSVKYRGFFINDESP ALSGWVAENFGTKFNSAFYRHIFELCLRLKGNYLWPAMWGKMFYVDDVDNGQLAHEYG IIMGTSHHEPMARSEQEQKTYLDGEWNWNENQANITTFFQQGIDRAKHWDTIWTMGMR GEGDVASPTLTAADLEELIHAQQKLLVESFNASDPASIPQTWVLYKEVSDYYAAGMEV PESVTLLWTDDNSGNLIRVPIANETSRVAGAGVYYHFDYVGSPRSYKWINSIQLVKTW EQMHLAYQKSARQIWIANVGDIKGLEVPLTHFMDMAYDMDRFTSTDSTTKWLKRWAAR EFNERIADRTADILNHYGTLVARRKYELLSQLPFAFSTIYYDEAEANLAQWESLLAQT QSVYSSLDQATRTPFFEMFLHPVLAGKTVVDLYTKTAFNALYHQQGRISTNRLAQKVH DLFTEDSAITERYHGVKGGKWRPVMDQVHIGYSSWDDPVDNTNVMPALSYIAAPQGAG GIGIAVQGSAASYPEQKTLRLLSMDPYMPPSESRYIDIFARKNTTVTYSISSNTSYVT VSNASGSVSASSNISDVRSTITVDWASAEPGLSHTELQVRAGDGFTAALVLPVNNTFV PTNFTGFVESNGVISIEASHYTSAETKSNVSYVEIPHYGRTLSAVMPWPVTMGTQHPN TGPALRYSLYTTTASPSARLIISLGASHNHDPTRLIKFAYSLDGSVPVTVRPVSTVPP YKEGQAWQQAVIENGWTSVIELPGEVGTGEHELSLWLLEPGVVLQKIVLDMGGYQDSA LGSPESMRVGV AOR_1_460164 MALPLHIPPCIQNPSHKHHLPPHDHPLRIQIEGPLIAIQRLLPH TPWHLNPQSQTFPQPAGPELARLTYQQLYRLGPRQEVTGDLVVRDEYVGWTPEKYPNE QIDYYGVTFDHLVPADDPYPEVLQVNIIEIEDDNRVYANTWLLFAVDPADFIGKKVLA VPRCCQKRKGTKDRWRINALVDQRVNGGEQLKSAEELER AOR_1_462164 MGNNSGNTTNTSVATCCLALIGALGKKVSFPNSQPYNDSINTYF SQQNSNLHPLCIVSPTTAQDVSTAIKIINSTPETPNFAIRSGGHAPFTGASNIANGIT LDLRGLNSIKVSPDRTTASIGVGATWGDVYPHLDQLGLSVAGGRAGQVGVGGLTTGGG ISYFSPRYGWTCDTVTNFEVVLANGTIVNANEHENPELLIALRGGSNNFGVVTRVDLK TFEQGPIWGGTVYHSVDTYQEQLEAFAGVNSAEGYDEYASLITSFGYSAQGKAVVNSI VYTKAEENPVVYRPFMAIPKLYSTVRIAGLHEIAMEQGSFSKVGKRQLTVVTTHGSTL PMLTAVYQHWDSSLKSVEGIRGMVWAISLEPLPPAIYARNASRNALGLADASGSLVVT LLSATWDDESDDEEVEKAARELFDNIDNDARKLGVHEPFVYLNYAAPWQDPISSYKSK NVELLKRVSQDVDPRRVFQTNVPGGFKLPK AOR_1_1428164 MAGAGPERYLQEFWSIFPPRYSTKEDLKEPECVLVNGVFQWKHA LANGHQEENFSVPVKVAVAANGVRSSQANGAVAVGTPPAKITDYKAVKAPYNYINTLP SKNIRETFIDALNSWLEVPAASSTSIKSIIGMLHHSSLMLDDIEDNSVPRRGSPTAHT LFGVGQTINSANYTFVCAFEELQKLQSPNAIGVFIEQLKNLHCGQGLDLYWKYNTHVP TADEYMTMIDHKTGGPFRLCVRLMQGESSGKTEHIDARRFVTLLGRYFQIRDDYQNLT SAEYTSQKGFWEDLDEGKSSWPLIDCLTGSDPEQTMIKGILQHKGVGEMPMAMKRLIL GKMRKGGALDSTFLLLQDMQEDILKELELLEAEFGSENPILELVLRRLCL AOR_1_466164 MAELTVGYVSGIIAAAVFLVRVFVPTVICLVVIGHLPEENTIVS WSVVSRLIHSSYWPTILNSDTAASTGVSRAINACNWLQLGALGLVAITGIVTPLGLYD TIGPDDTPTNIPFRYASDTSAFGKATRSRDGYRSLRVCYADEDPNTDEGCPGAPPNIN DIEDPAEAYSIWPSSVDLFTSGKVPSTVSSLFDIQWRSFRSTTNVALGVNGSAFSEGY YRQISQFIMEEGIIAVEGLIVDTKAGRIGFRNHTVPVDVPTGARWTEDILFIEPEARC VNTNLTVDYRYNPSSETYDSFEDTRDPVLVDHGGFSNLPHAVYEIDTANFQNNVALYE RAYSAAWRHNMALMQFFNVTTNGSDGLAPFAYMNSEVGKKFDLRSIGSSYIAPVTVQT DSRYAGFLELPFPNQSTSSSLRDRPEGPNPVNLTAQDTYAIAQEACHYPSMEDLSNLS FVGVSCGTVFAAPGRLDGDPLIPDTNSTWSTPIYSCAAAAKAAIRKVTFRFNGTGELD NLEIERIERSSKPQLWGVERLANHTIRNVRPLWGIVSPEVGTRDDISTVERDHLWLPG YPDTSVSDLYTGQANMPGSQFYMDRLLSLFGSMVGAIQLIIRR AOR_1_468164 MAMVHVRSSTNGLTLNLSNAWWSTDEDVYALVTEYFAPEPHGRW QDFRVDQSPSATASPWLEVLPLLLDRSRSTSRGASLLSASLKTLGYSIASKGGNGSTR SQWEISRAEYYSKAVHCLKHDLNEGTGVCDESAAAIMCLSMAELLIPTSRDGWIAHVR GIGRMMELCGPRTFNKPISHQLFLGFRPMILVEACQSRQDTFLSADEWRVIPFLSHAA SPLQILLGHGSILPSLLQKSQSLQLLSGAEKDSMAGDILTALIVTLQELDAWEQSMQS TVSGPLFWSSPASATSPGTVQTTKSCIWFPSLSMATALTYLWAFRAVCFSQVAQVLSF DQALASRTDWIWTCLDISGTQNCREKALAFHTMICQSIPFFMQDKMKFYGAASVTLPL MVTQTVLFS AOR_1_470164 MATMRAIAIQGSKGPATAMYVTDIARPVPIPGQVLVKIRAFGLN RMDILQREGLYPLPSYAPETMGVEFSGVIEQLGDEATAMESGFKCGDEVFGLAYGGAY AEYIVVSTKTLFHKPAQLSWEEAAGIPETWMTATQALLLIGEFQSGQSVLWHAGASSV SIAGIQLAKARGASAIYATAGSPEKIHFLEQELGVTKAFNYKTDKWAAELQKLTTGVN LVVDFIGAPYFQNNLDIAARDGRIILLGLMGGAKLPEGVNIAPLLYKRLRLEASGLRS RDLEYQKTLRDMLVDYALPKFCDRSFKVHIEKVFQFEDIVEAHQLLEKNQTKGKIICM IGSQ AOR_1_1430164 MLKGLSRGHFPTLHFLVPITHFNKTRIVRLHSLKGVGVRLIRTV EMEGGNPGVPVHQFQVAFGADIDAISAASTHRLISPWLYGNLAGAKLLVFVAMNMEIA TSQE AOR_1_474164 MRFVQDAESILPESSGGISYQIPRARIEGELELDEGALLFGAAS TPNLSGLHPNPVHIFKLWQTFLENVNPLTKIIHVPSLQQHILNASGNLDSMPSELEAL MFTIYCAAIRSLSDEEVLQGFGKSRTALLAQYQQASQSALIKAGLLKTSNMVVLQAFV IFILSVRGEYNPHTLWSLSGIAVRIAQRIGLHRDGSRLGLSIFETEMRRRLWWQITVV DAAISRMSGSTSSLYPLADTRIPLNVNDSDLDVKMKETPPESSSATEMIFCLIRYELG QWLERQSRSKPAGFDGYWESISGGSIPIEEKDRMIEELEDAIERKFTVHCDPSIPLHL MTMIVAWSVPLILRLAAHHPRVYHEKGELPTQAEKDLVFKTCLSVLEYGNILLTTEEM RKYLWHVDSQFPWDSLIYILDELRHRAIGDETAKAWHLIDVTCSRQYHQPGPRARSPL HFALANLAVKAWTAHVAECERRHMSTIPQPNIIPTFIELTQQKILYSLSASTRAATSS SQDQDQRSIPARAATLDASPFSAVPQLREVVLQSTNPVEDNAHFSSSVGLGPAESSPF DWAQWDASLQEYQQRSNSCGYF AOR_1_476164 MGSLSDVRVEPIAIVGSACRFPGGANSPSKLWDLLHSPRDVLMD FPPSRLRLSNFYHKDGEHHGSTNVINKSYLLAEDPNVFDAAFFNINGLEAQAMDPQHR ILLETVYEALERGGCSLDDIQGTKTSVFVGVMNADYYDIQLRDSETMARYNATGTART CSSSLVALHQAVLSLQNREAEASIVAGANLLLDPTMYIAESNLHMLSPEARSRMWDKD ANGYARGEGFAAVYLKPLSAALRDGDEIECIIRGTGVNSDGRTKGITMPSSVAQTELI RDTYRRAGLDPSVDRPQFVECHGTGTAAGDPVEARAVHDAFFPPSSKRDNERPLYAGS IKTIIGHLEGCAGLAGVLKASLALQNRIIPPNMHFNDLSPSVKPFYGMIQIPKQPMPW PESTTFRASVNSFGFGGTNAHVILEGYYKGGECLNGGCQQARLDSFVGPMLFSGNTQT TLRAMIKEYFDYLSANPSLDLEGLARALADRRSMFPVRAFFSGSNREALLKYMGQALI SSEGSDIGTRSLASSDTPGLLGIFTGQGAQWATMGKALIHSCLLFRVSIENCEESLST LPDPPSWSLMQELLADDKESRIGQAAFSQPLCTALQIALVDLCSAAAITFDAVIGHSS GEIAAAYAAGILSAKDAIRIAYYRGLYAKLASGPDGQPGAMMAVGLSMEGAMSFIAEC GLYGKVCLAASNSPSSVTLSGDKDAILQAKGFLDERKVFARQLQVDTAYHSHHMLSCA DAYLKSLEACNIKPSLPRAGCVWVSSVRGDIEILEKGDFTSLNSQYWVDNMVKPVLFS QAVECSLWAGGPFDMVLELGPHPALKGPATQTLKSALGTSLPYAGIMRRATNEVEAFS GAIGYVWSHLTDYHIDFASYRESFFKEADRAPATLKDLPSYPWQHDKAYWKESRISRQ FRLRHSPLHELLGRRAPDDSDSEMRWRNVLRLSELEWIRGHEFQGQALFPAMGYIAMA LEAVTIATKGQQISLVDIEDFHVLQAVVLEEEHPGVEIVFSLKQTGDCKWDFNCYTCS DEWKDLTKTSTGRLILFKGEGSASELPSRPKKRANLSPLDREMFYRKLSSLGLSYHGL FKPQSSFQRSQSYATGSASWPLDQLGQEYVVHPAVMDVALHSIFVAFASPVSHELWAT YLPVAIDRLSFNPNISLYGTDGALTIEIDTFITESSSSTMKGDVYLLSPDATPSILIE GVRLQSFTEAKALNDRLLFSKIVWGADIAHGFSSRAVECANKDQFELCDAMERTSLFF LQRAFAELAPCEIEQSEPQFRHLHTAFLKVIEQIKGGLHKSIHSEWLGDSWNDVNSLR RSFESSIDLEMMHAIGQSLPDVIRGRRPLLEVMMRDNLLNRFYTDGRLFVPLNLYVAK TVKAMIHKNPHMKILEIGAGTGATTKAILDTIGDTFDSYTYTDISPGFFAQAQERFAL HRQQMRFQTLDIERDTVEQGFERHSYDLVVAANVLHATSHLQETMGHVRSLLRPGGYL LIVEVTGETLQLMYVMGGFPGWWLGVDDGRTDGPGIPAVQWEGLLQMTGFSGIEATVS DLPSDGKHSCSALVSQAIDDKLELFRDPLPRVGDVPIRDPILILGGGTLPVAKLVTGV RKLLRPFRWNIQHAPSVDHLTVPLEGSRSVICLSELDNPLLSEPLTDTRLSKLQAVLG SATNVLWITRDRLTDYPHSNMITGLGRTLQFELPDINIQFLDIRSGISIGADQVVTKF LQLCLVNSPEYLQDDVPWKIEPELSFDGGEWQIPRIIPYKALNDRYNATRRQIMKPLD ASRQSVELACFDGRIIIREGKRVTGTSTGSVRLRTILATRLVSSRLASFFLCMGVEAD NGRTAVAITTRMGSLMDIPSTDAWFLPQRSQCDPALLYFIAGQVLAVALSFASPRSGS VILYEPTEALAEAIILSRSWVQEQPYFITSRSGTLQKGWTYIHPRVSHNIARDVLPGD TAALIDCSDDCPHWAVPTTVGKLIPLASCVVEYLTRTPSTFSSIIEHAYSESLLAALP STAQLSASILSVEDSAGQSSSLLSYPNIVNFDCNNAVLATVAPLDCTGLFSSAKTYWM IGLNSELGLSICRWMIVQGARHIAITSRSGKVDAPWLDEIQSMNGNIKVYPMDVADRE AVHSVHQEILRTMPPIAGVCNGAMVLSDKLFMNMKAEDMNKVLKPKVDGSIYLDELFC TTQLDFFILFSSLASVVGNGGQCNYHAANMFMTSLVSQRRSRGLAASVIDIGLVVDVG YVARAGQSLIDHLVNLFYTPLSESDIHKLFAEAVMASPVDSGLCPDIIMGVEPVHDIS ASLKKPPWYNNPIFSHLRLGTEASSQDSDQSNSTSASIRDQVSSASSVEEGTDVLLRC FAAKLEAMLSLATNSVNVNMPLLDIGVDSLLAVEIRQWFFTKLYVDIPVLKVLSGDTV VEICAEAIQKFAQMSPSAFQGTSSAASKIKQATASPPEIGREEAQSTSRAGILPTDQD NDNSSDSESQRKSGASSSSGSGTRTPTSIDEYFETNVNMLSRSGPMSYAQSRLWFQQQ LVKDPTALNIVVRFDVKGYLDVDRLAAAVTATVNRHDALHSAYFAHLDTQEPLQGVIE APGDIFQHVKVHDDNAASAIFLEMQNRHWDLERGDVFKVTLLTFPTNVQSLIIAYHHI VLDGFSWHVYLRDLSMSYQQQALPPVGPQALDFALIEAQETKNEEYNAQLEFWREELS PVPETFPLLPFSSSKVRQDMQSFQSTTATRELHFDILARAKAASQRLRVTPFHFHLAI AQVLLYKLTNIGDLCIGVTDVNRTNRKFAETVGFFLNLVPLRLRVKPTDSFTEVLHRT SKKALSAMEHSGVPIDVVLRELNIRRSSGHSPLFQVVFNYRVGDMLQVPFGGGRLELH SSIEARSPYDVVFNVTQCPSGASYLQVTSRDALYAPEVSGVICDMYIRLLEDFAGDTS MQIQDGLLNDKSEPGIGLGPRLEFGWPRTMSELFSQRAATDANSIAVKDCRGAVSYAE LQQRVADITQDILGCNPPPNARVAVCIHPSRDTIAAMLATLAAGCVYVPIDITLPEAR RRAILDSCRPSVILCDSTSADSIDQFAPQECRKVDLGDSPTRATTTAMPEPVADDPAF LLYSSGSTGIPKGILLPQKGYMNYLASKGHHLCLGREVVLQQSSVGFDMSIAQIGNAL AHGGTVVVVPQSVRGDPVATAQLMLQEKVTFMIGTPSEYLMLLQHGGDYLRQYRDWRH ACLGGESVTEPLKREFRRLSPNCPNVTDCYGPTEISAATSFNTLDLDRGAANEYSTVG RPIPNSTIYILGDNGDIVPPGLVGEICIGGVGVALGYWNLPDLEKQKFIHDPFASSAD RRRGWTRLYKTGDRGRLGPDGGLIFMGRLDGDTQIKLRGLRIDLEEVANSLLQVAAGL LSETVVSVRGDPEFLVAHAVPARGQKVTNSDLESFKRSLPLPQYMCPAAIVLLDRLPT TPNGKVDRKALQDKPLPTEPDSSFPTEALSLAEGELRLVWQDVLQQTAQTGRIDSRTD FFMAGGNSLLLVKLQGAIKNAYGLSIALKDLYRCSTLGRMATLIDAEKKNQPIFEKID WEDETRVPGSLARGQRLREPKKTDLHIALTGSTGFLGMEILKALLEQPTVSKVHCLAV DAQHGQSLPKSHKIVIYPGSLNVSALGLSTREVDFLKSTVDALIHAGANGHCLNNYFS LRMPNLGSTRFLTELALSRGVPLHYVSSNRVTLLSGDVALPPGSMSAFPPPETGSDGF TASKWASERYLENVAEATGLDVCIHRPCALTGDQAPSEDALNAILRFSVLMKVVPQFP NVRGFFDFEKVGVVATNIVKKALQSVQVTRVHATSVASFAHHSSGVKVPVDKIQDRMQ DLYGGVFGRLALADWVQRARTLGIEPLVASYLEAMESRGEEMAFPFLGMPSD AOR_1_478164 MDESAKPMTILWKRNEYRRYDVETDMTTRPAMVGPVKEQRTGRL VAYMSPRLLRTVAAETENAPQDDGRRSSGSPPRRLSGTGGRGAGVLGKTSEGRLGSGM FTSPTY AOR_1_480164 MQRRRRRQLPVSCQLCRSMKLKCSRDQPCSNCVSRGVTCERAYR MEPTTSSIASPITTSPVQAQTTYLQPGWPANPSAGTGTGSNTEILSRLQRLEDIILRT HGAAAPRPSTEPSYISEGEGDSKWLEGVGTRDTSVLSSMSNGVSVRVLAVQQALETEF SLVEASGNPSSVGSCVARVWLPPKNEATWLLKRYAEDVTYLHHILHLPSVRQQMEDLY KQLSLGLRIEPCHVALILSIFASTAYTLTPLTGGDAVFTNEQTAVKCAFLWSKMALDV LEHSSRSTPGSIEDIQATIILSFVIFNFEGFTMRFRALSASALTMARDLSLHRLDARP DRLPGPHAPLDSDIGREIKRRVWWHMVSTDWILALSGGPQEGTYLMHPAHMRVNYPRN LDDRDLDRYNPQYSRPLSQPTAMTYTLLRIQLADICRSAIDALPPPFSDWGEVNYDRF ISLDQRFEAFIRSLPVFFRLDEASRHQSRDVEHQYPQIIVQRYILWSTLQGRRSKLNQ PFLTRVSMNPRYQYSRKVCLQSARCVIELKALMDHDMASLASAHVRLATFLHNYFLAT AVLVMDLCLNKEGGSSEDRRQEIVDACRVLQEAEATSPMASRFLKSLMDILQKYQIQV LPATTVPDVRPLSANTGASGVPDPNLSDRDLVNPNQILPSTYDPLENANIDDLWQNFI NLDQNCSPGSWDHLFSALDSRIV AOR_1_482164 MSATVDNTEANASPPKEFTLRSTIALIGAFMALFCTLGFQNAFG VFQAFYHATILRDHSEFDIAWIGSLLTFMIFFFAAPAGVLVDRVGPTPLLTFGAIATI LATFMISLCKELYQFLLAQGILLGIGNAFLLCPAMATVTRLFDHHRGAANGIMIAGSS IGGIIWPIMLDQLLNKDGVSFGWTFRIVGFVVLPLCLFMVATIRPAPKTLHDSDREGI ELSHGESESDHKAQGAEGPAAIIKNPTFLILCAGLSVATFGLFSPLFFISTYATDQGL SVSLAFYLVSMLNGASMVGRVSTGFLADRYGNFNLCFLTIASSGLIAMCWTKATNTVG IIFFALAYGYTSGAMFSLQTPCAAQLSTPESRGAAVGILFVAPAIPGLVGTPISGRLL KHGYLALSMYSGAALLAGAGLVLIARLRQNTKLMAKV AOR_1_484164 MASPMKSDFLAGSHMKLPKIGIAAAVAVVASIVIYLALSNFFVG TDEFKNDESQSIKEYPDNTRFMRFTHGKQLSKAGEDLAGSEPYLIHNGKLKELVIFAP EHLQEFHRKDANSHYKPENMNMGDYAGQLLGQCVGQLGGTKWKLARSHMDPEFSYRAS RSMMKRFSQEIDSWVSHLSENPTRRSTQKDVFVQDVKKRCKDLSLRSIAISIYGETFS EENYAILSTMNELHEKIIFVAFLNKRVMSKWYNKLPTAEKRLMDSFQTQWKAFNLAQI KLAREKKLSCPAEKIYVGVEAGDMSLPEFLQSLDEMLFTNIDITGSILALIFQHLAKD QAMQKKLRAEISAHRAQPGYTVGDYISKQNTLLHFSLLESIRVTPAMYFTLPECNASP KRIGGFHIPAHTPTIVDVNRLNKNESIWGTEADAFRPERFFGLDPARYRFGFVRWGIG RDKCLGKNMAEVILKLAILAVTDKYTLHVPPALPDQGEKSEAGFTINRDVEVEFRPAI AOR_1_486164 MATSHPNHGHDRVPTDSGIGHSPPAQVEPIPSEGEMYGDFWDPL FVIHNTISTHKHRDPASTPASLGHVPRHTPAQAPTEVQVLIQRVRQLEQKVFSQQEPE SSLAAPHIQQRHPQARRTVCQANLLGKSHWLVGFAQFDTIVHTLNNRLQDNDVEFRAL FVKCQRLSRAIQQQYSLRASSLQALDGSLPSQEACDVCVNAYCRTFESVLRILHVPTF RQVYNEMWTGSQPTSRLFLLKLQLVIAIGARVVNQSDMLGTPDMASRCVAWIQEAQQW LHAPGESLQASVDGIQLYCLVLLTRIVYGGSNVPCRLGKGSNDILDINETGEGEAWSD FDVSQAEWSLQVEYPDLDWNLLNTWSACVPGSDSGAS AOR_1_488164 MVSLREIRAHNAGLRDAWAGHRHVSLFVGATKGIGLATIMELIQ RIDEPTVYIVCRSTAQFALRIAELQRLNRRAKLVAIYGQISLLSEVDRICNLVLRKES QLDLLFMSPGYLPNGHPSYTPEGLEELTSLAYYGRLRFTVNLLPLLERTAKTNYPDEP SNRRPRVFSVLNGGNERALPFVPEDLQSEKSYTMLNHVAHTTLMNTLALEHLAHKKPS VDFVHESPGKVQTEIVASFLQSPERTRSRLVLWRWLKGMLMLVLQAVLLPVFYVVAMP LAESGERRLYEATVDLSQQWQKQLQSPPHNGIVAAPGFYRMKHTSDIVMDDTVLQAYR ALGMPERAWEHTMAVFRSVLDKGSGKK AOR_1_492164 MPASIHFEISATQRAIKIKGPGQASVEKGCPVPACQPEDILVRV VCVALNPVDWKSADLSPSLDATWGTDFSGEVVVVGEACQSRFALGDSVCGAAFGNNPE DATQGAFAEYVAIPGELVYKIPPDMSFEQAATVGTGLATAGLTLYQTLGLSWPDQPVQ DAQYVLVYGGGTASGCFMIQLLRLSGYIPVTTCSAKSFDRVKQLGAAEAFDYHSPGCG SEIREYTENSIKYAVDCITNIDSMKCCYTAIGSSGGQYVALDPFPIRGHTRRNVKARW IIGYTIYGRPINWKHPFKRDAQPQDREFAKRWYPVAQRLLDEGKLQLHPLQVETGGLP GVIEGANRSRKHQVTGVKLVYCV AOR_1_490164 MFTLTEYFIAACVWLVLYKVGNLLWNRHHYFKQQKARGCGEIKH YRHRDPILGLDFVYTLSKAFKEHRWLPWQQELFAAQGVKTFQANFLGSRAIYTSESEN MKAMSTTYWREFGLEPLRRGSGAADPVAGPGVSTVDGPMWDFSRNIIKPYFTRDGYSN LARLEVFVNRLLDLVPTDGSTFDMQPLLQRWFLDTSSEFLFGKTVDSLTHPENVKVAK AMVDTMRGIRVRLTMSKLMFLHRDPVWMENVKIVRDFVDERIDASLTQLQDVKSGKGT SCTENQPDGRTDLLWDMVQQLQDKEALRGQIMAVFIPSNDTTSILISNAIYALARHPH VYQTLREEVLALGDQEITFEKLRGLRYLRYVINETHRLYPNGIQMVRIALEDTTLPVG GGPDQSQPIFIQKGDIVHANRYLMHRDPDNWGPDAEVFRPERWGDVRPLWKFVPFGGG PRICPAHVLVDTEASYVLLRFVQRFRTLEPRDERPYKAIMRIGPSNLHGVNVAVKTA AOR_1_494164 METVAAIKTLIQQLAESTDQFGRAEINDALRELQHSLETPFDTV MRMSLDTCQVAVARIGSDLGLFKHLSQCASPQSAEELADHLGCGRELMSRLLRYMASV RMVQQTDDIKYISSNITQTLAVPGLEAGMRHAFENLWPVLMALPDFLAERKYPDIVDA KDTAFQKAFNTDQDCFHWLATQPTRIANFKVLLTDERTPNFLSTFPLEKELGSWSAEP EKALFVDIGGGMGHACIRLREKYPNQPGRVILQDLPPVLQAAQATQPLSGIESMPHNF HTPQPVQGAKFYFLRLILRDFPDHQALEILHNIVPAMDAESRIVIDDGVPPEKGARWA ETGTDICIMSALGSKERTQRQWEELAAKAGLQLQALYQYTWPVVNAAMVFSLQ AOR_1_496164 MTPPKSAIIIGGSISGLLQALQLKRAGTDVLILEQDPSPTRASH ESGVSIGPSVLALLKKYDATGTPPAIPAGFLSVAWQTRPRVLNTAWHHDMSNWGSLYL ILRANVDGFASDVVPYPPPGRKGDGTAEYKAGRRVVGVEFDRGDGAMNVLHVEAEASR GSRQGMEVKRERAEMVIAADGVHSTIRRFLQVPTTWTYAGYIAWRGTVREDQLSPETV RYFSDRLNFTLLAGSYFITYIIPSETGSVEPGRRLVNWVWYYPVPEGSVAMDTIFTDI NGVLHNSTVPGDLLDPKVWSVQKRRYISDGTTPHLAEVVSQTRRPFVTKVGELEASAA SYFDGRLVLVGDAFATFRSHLGLASEQAARHCGGTRILQPITGGSIQGAGFNGTIDGG LSAPIHLDARSESGETQITKLPWIYVYGHADDGSPFFIEEAGIGSVGKQNTRVVIQVG GVYARLQEAFLVGQPGREPGGKGARVECWVVPLEGGYCS AOR_1_498164 MEALVAQAIKDTATFRKTSVPWTLDEPYTQTYYGFDPATSTWVS KSPSALGSSSKNDNDSLATTAIALYTWNIDFMVPFAAARMRPALAHLYQLTRLLPLHV APVIFLQECTPSDLETIAATPWVQANFHLTDIDTTNWATTQYGTTVLVGKHLPITSVF RVHYSHTRMDRDALFVDVSTELEEKRIRLCNTHLESMALDPPYRPPQMQLVAQYMHHD GVHAALVAGDFNAIQPFDRTLHVDNNLKDAFLELGGAEDTEEGYTWGQQATTKQRAQF GCSRMDKVYFRGSVKLLKFEKFGEGILAEGDEERRQIVELGFEKPWVTDHLGVMAVVE VLPSTKGQL AOR_1_500164 MSSSGFSPEYLAEDSSGASFAQITALPALATLFVVLRIGVHLWR KVGFQLDGWLTLVSILFAWGLYTVFALGYRNVRVGRHVAVLNRDEITIMQMYFFISYH LYATVLATTKWSILAMYYRMFPTRFMRWSTLAIGLVVTAWWLACIFVSVFGCHPIRRN WDPFVEGWCIDNVKGFIGKAVPNFTTDFVMLALPIMEVRKLHMKTTQKIALGTVFLTG GLGCAASIVRFAQIRELSKDNADPSYILPDNMLWTMVEPCVGIIAACLPPLRPLVTVL LNRAGFGRYWPSRKERGSSNKHAGIPEIVTIGGSGGRKGLVKLETVACSEHSG AOR_1_502164 MPESIAIIGYGFRFPGGCDTPSKLWSLIKSPRDIASNPPPSRFD IDPFYHPVSNHHGTTNATKSYWLDDSPRINIAEFDAAFFNIQSREADAMDPQQRVLLE VIYDALCAAGQPMEQLRGSDTAIYVGMMCDDWHMMLSRDWQALPRYTATGVERGIMAN RVSYFFDWHGPSMTIDTACSSSMVALDLAVQALRSGKSKVAVAAGSNLILSPAMYVSE SNLGMLSTSGRCAMWDIAADGYARGEGVAAVIIKTLSQALADNDPIQCIIRETAVNQD GRKPGLTMPSSRAQAALISECYRRAGLDPANNINDRPQYCHAHGTGTQAGDPQEAEAI AFALFPVGSRAAREAHKLLVGSVKTVICHTEGTAGLASIISTALALKSKVIPPNLHFH TPNPSVAPFLDQLEIPTTAVAWPVATGQVRRASVNSFGFGGTNAHCILEEYISTGEQS ANSTPHTLFTPLIFSAASRTALKQMVLEAHTYLRDNPGVNMRDFAYTLQHRRSTLPYR TAVAAPNTQDAIDTLANVGLEDSGVRHGTSRQPPRILGIFTGQGAQWPRMGARLIETS PFIVTRIAELDAALQSLPNPDHRPGWTIHDQIVASPESSRLTEAAVSQPLCLAVQIII VDILRAAGISLCGVVGHSSGEIGAAYAAGFLSATDAIRIAYLRGMHANKAASPNSRVS CGAMIAVGIPAAEAKRFCKAQFAGRLEIAAENCASSVTLSGDEDAALEAIQFFHMQGV FARLLKINTAYHSCHMAPCASPYLASLDACGIEPCQQGNTKHPVWFSTVFEGQTMTAS SVANQYWVDNMCKPVLFGGGLARAVEQIGPFDLVIEIGPHPALKGPASSLLNCVREMP YISLLRRGTDDVHALCSALGYIWAQLGPNSVQFAEVDMLLSSTTRSPVMLSDLPPYPF DHGRNYWHHSRLSDHFRPRTQIHLSNPILGFRCLEAATPGSFQWRNILQPTEIGWLSG HQLQGQIVFPAMGYVSMSVEAIQLVLADIRPNDSIRLFRLTDLEISRAIVFNTDDAAI ETIFSLFLIAKTEYSVRAEWRCYSSTSSSSMTLNAKGCVSAQFGSPSAEALPFKTTES FGLVSVKDADFYSNLSHLGYNYAPPFRGVSSIRRKPKYSVSTVVDQSASRWEDNLTLH PGMLDSALQTIFAAWSYPGDTQLWSLHAPVSVASIVINPYFSALGPGGKQRYLCSETL IHWKKPTDVLADVAMRTADAEYAFLEFDGVKLIPISPAASEDDRAIFSRFKYASAVPD TQLVSTSGRLLMPRDGCPLSRDTGEFDLVGSPSKRVSACPIDDCAGRIVLAISHRYPQ ADICEICGETGATPSAVLKALDGRYGSYTFTDVSCDFAKSAKERFHRETRPMSFKAFD VERDPQSQGFPECSYDVIIALNIRSGLGGVGGPLSNIRRLLKPGGFLIASGGTSVKSP AVEMAAIGTMSEWSSGTRSARSGGPLLTLAQWDTVLKMTGFSGIDTASTEIKASQRAD IIVSQAVDYRISWLRDPLSFEQMPPGVRIGTLAIIGGTTWPVHKLVQDILKLVAGRFR DTLAFDTIEEYAVSELARSVAGPGGVSILSLTDLDRPYLEEMTGEKFEALKACTSART LLWVTCGSLEDRPYSYMMTGITRTIKSENPAMHVQMYDLDPNLASCIQPTTAVDLANS LLQQITLHSWGNDASLLWTAEPEVYIRDGRQLIPRLVPDHEKNDRYNSQYKSLLTTVD PSNARLELAAGDGGMLELRQLSPLQLLPGPSTECRTLRVTHSVLQAVFVGSAGFLRVC IGIDMSAGETVLALSTCETSPTRVPATWCIPFATPPTPVALVDVAANLIATRIFSFTC WNGALMIYGSDAALQRAIQSRASDNNVHVVFTTTNACQKHMQSPLSVRGKPTVPNNTT LFVNFVEGVIPEVVECLPPACLRLDKAALVSHTAEKEVDAAEIAHVSDLLQQAVSSIP STSLSMECIPLSEASSCDPLQKPLSVVDWNATAAVSARVQPIDTGTLFRADRTYLFVG MASELGQSLAGWMIAHGARHIVLSSRTPKLQPKFVEEMHDKYSANVTAIALDITSRTS LYSVHAMMQATLPPIAGILNGAMVLQDALFTSMTHEQFDAATMPKVQGTVLLDELFYS DTSLDFFIVASSISSVIGWSGQANYSAANQFMTALVTQRRNRGVAGSTMNIPAILGIG HAARSGTFDFAYFQSLGHVNIGEEDLHTLFAEAILSGRPCPLRASYGTTQVVMGIDYI TAAANLNSPLTHRRDVKFAHFITPDTQAGRAHLANEAHPTKQLRVQLQSHIPREPTTT YTVVCNSFVKHLKRVLRLPPDHLIDESIPLNEQGVDSLVAMDIRAWFLSELEVDSPTL LIMGGGAVSDLSQVAL AOR_1_504164 MAASSGLHAHLHHGATDAGPKLVNRLRDSRSPYVRAHMNNPVAW QLWDAEAINLARRYNRLVFLSIGYSACHWCHVMEKESFMSPEVATILNESFIPIKVDR EERPDIDDIYMNYVQATTGSGGWPLNVFLTPDLEPVFGGTYWPGPNSSTLLGNETIGF VDILEKLREVWQTQQQRCLDSAKEITKQLREFAEEGTHSYQGDKEADEDLDIELLEEA YQHFVSRYDSVHGGFSRAPKFPTPANLSFLLRLGAYPNAVSDIVGREECEKATAMAVH TLISMARGGIRDHIGHGFARYSVTADWSLPHFEKMLYDQAQLLDVYVDAFKITHNPEL LGAVYDLATYLTTAPIQSPTGAFHSSEDADSLPSPKDTEKREGAFYVWTLKELTQVLG QRDAGVCARHWGVHPDGNISPENDPHDEFMNQNVLSVKVTPSKLAREFGLGEEEVVRI IRSAKQRLREYRERTRVRPDLDDKIIVAWNGLVIGALAKCSALFERIESSKAVQCREA AAKAISFIKNNLFDKATGQLWRIYRDGGRGDTPGFADDYAYLISGLLDMYEATFDDSY LQFAEQLQKYLNENFLAYVGSTPAGYYSTPSNMTSDMPGPLLRLKTGTESATPSVNGV IARNLLRLANLLEDEDYRLLCRQTCHSFAVEILQHPFLFVGLLDAIAGLEAGSRNFTG VLSTTLLPQTGPGSSDSLSSGSDEPTSVRELIVQRLRAEAGQAISTSTTTVSLIDIRP SHVGDFVGNQSFWLRTRNKLYKDLKPTEPAKNYILVCEGGSCKMVDL AOR_1_506164 MRSSLLMGALCAAGAMANPLDKRAYTTDWTVVTVTTTITAPVPP AATASSSTYVPVQEPVASVEPAPAPVEQSSSAVFVEVPATSSAPAPAEPTAEVQPTTA AAAADQGSAWTSAWTSAWTSSWTSSAAQPTTLASTTSSASGATATNAYQSTVLYNHNV HRSNHSASSLEWDASLEASAQTLAARCVYQHDTTINGGGYGQNIGYGVSSEKIGEMIT NLMYNNEMGYFEALYGEANPSMDNFDAWGHFSQIVWKGTTHVGCATVTCNSLGNVDSS VAVPFTVCNYSPAGNYAGEYADNVLRPLGQAMYVVS AOR_1_508164 MSYSSVAVRHFTAPKPLLDAMATPRSQPTMETKIKPTSVDGVNG QGVASEQPKKKGSTFANQDSLPKLPIPDLESTCKKYIEALSALQTPREQEETKASVQD FLKSDGPILQEKLKNYASSKTSYIEQFWYDSYLNYDSPVVLNLNPFFLLEDDPTPARN HQVTRAASLVVSALSFVRAVRREELEPDTVRGTPLCMYQYSRLFGTARLPTENGCVIS QDPHAKHIVVMCRGQFYWFDVLDENNDLIMTEKDIALNLQVIIGDAEQTPIQDAAKGA LGVLSTENRKVWSGLRDILTKDEGSNNAECLNIVDTALFVLCLDYTEPSNTSDLCANM LCGTSEVVKGVQVGTCTNRWYDKLQIIVCQNGSAGINFEHTGVDGHTVLRFASDVYTD TILRFAKTINGQAPTLWASNSPDPAKRDPRSFGNVSTTPRKLEWDMLPELSIALRFAE SHLADLLKQHEFRVLEFEGFGKNFITSMGFSPDAFVQMAFQAAYYGLYGRLENTYEPA MTKFFLHGRTEAIRTVTNECANFVQTFWGENPAEQKVEALKKATEKHTATTKECSKGQ GQDRHLYALYCLWQRSFEEGSSSSGNSVVSSSNGYSSPVENGSTIDSPKSPLSDDGVS STTSYGLRAIRPAPPTPALFSDPGWDKINNTILSTSNCGNPCLRHFGFGPTSADGFGI GYIIKDDSISFCASSKHRQTARFLYTLESYLFEIRKLLRATNRTTASPRTSRAREMEI IAERLQGDHRRGRLVRGDPGAVRRGADTPTTDSGEIEDDGMGGYGFFDAGMLLHALKG LNTDRERNGEKPERRRFVGKKLRLNEY AOR_1_510164 MATALDQPLFESVAGPATAAASITPPHSANGKKEVPDGVPSELS DLELDPNAVGVPEAASVEEEEEDIEPDHYYGGGKIPVFKPTMDQFRDFQSFINKVEKY GMRSGILKVIPPKEWTDSLPALDEAVKKIRVKNPIMQEFHGSHGTYTQANIERQRSYN LPQWKGLCEESSHQPPARRGERRRNQERITRAPPSSRAQTARPDSQKRRPGPGRPPKR SNQVKVKEEPAEDTIDKIKPEGPPTPVSPESNPVEAKTEELSDGESLPAPKPKGRQPK SVTSRRKHNKGDAIDYVDEEAFKDFDYRIHDNEEYTQERCEELETAYWKSLMFNNPLY GADMPGSLFDENITTSWNVARLPNLLDVLGQKVPGVNTAYLYLGMWKATFAWHLEDVD LYSINYIHFGAPKQWYSISQEDAPKFEQAMKSIWPSDAKNCDQFLRHKTYLVSPSLLK SQYGITVNRLVHYEGEFVITYPYGYHSGYNLGYNCAESVNFATEKWLDYGRVAKKCHC ESDSVWIDVDEIERKLRGEATPEYYPEFESDLDEFEGASDLLTPPRSVPEKSNRGRKR KHDGDTTKAKRMRVNVHVPRKIPCVLCPNDLDYEDLLPTEDGKNHAHRRCALYTEETS ILRDETGKEVVCDIDKIPKARMGLKCLFCREVRGACFQSGVQVEQGEIAVIADDGIHY SIPSVDLKCKYHRQKKPSWMTGGDSPDFDRKLIESAQRMVAGDLVQFQADKEINGAVV LENRPAERTLLVKVLPRGDVIELPYRWMLVVRRSNFSPLAPGTKPLPAHLARKPEARK ELESALPVVGNPFGDGRSPYQWAEFETVDTTNHRFAPPPVQVKLDKGDQIWYYLGQSS TECRAQYTHNPSVPVHNPRSNFLDSVKSLGAVMARIPSYPHRHLPQYATAPPHHLSPA AAAAATAAAAASRPSLLQRPTLAPPPRTPSSAAPPASTAMPSAYRSLPTQSARHAPYP QIAKTHQSHHLSQQQHSPQQSQQQQQQQHSHHLPANTFANVRELIARRRLAQITDHAN VFAGYTIVSPELVVETLLGPMGSVPPPTGLEKLELAMAQQRVQPRAADGTLLPLQPLN MRSEEVTRLLQMLRFSLVSHRDRLDVLQKKETENNKQESAHKGSVAAVKLPRKFAYLE QQQEQSPTVYQSPYNMPSGFSEYAQKTFGLTPSEPELPKPSLANDYFASLSPEDQEKI LKTCGSFVQRAIERSASHSRQSSASNLRLASALAQQTENPTIDITTVEDMPLSGLDFP LHADSPCSSFSRPHLRFQSPNDYNAHGPETHHDHHDLFGDQQANTRFWQHGPWAAGDG NTPNEETRPFFGPHERLKHDYASSDISLGRGPGSLHSVDMAGFGMDATDDLCNVLSP AOR_1_512164 MRQNSTDANADAALARMGYKSELPRNLSMLSILGLSFAIMAAPF GLSTTLYITLTDGQCVSIIWGWVLVTLISIGIAASLAEICAVYPTAGGVYYWSAMLST KEWAPMMSFVDGWLTLVGNWTVTLSITFSGGQLILSAISLWNEDFVANAWQTILMFWA VIWFCAMVNIFFSRWLDIINKVCIFWTAASVVIILITLLSMADHRNDGAYVFGHYDAS QSGWPTGWAFFVGLLQAAYTLTGYGMVAAMCEEVQNPHREVPKAIVLSVVAAGITGVV YLVPILFVLPDVKTLLNVASGQPIGLIFKTVTGSAGGGFGLLFLILGILMFAGIGALT AASRCTYAFARDGAIPGFRMWRKVNDRLDVPVYAILLSTVIDCLLGLIYFGSTAAFNS FTGVATICLSTSYGVPILINVIRGRQAVKESTFSLGRFGYAINIITICWIVLSVVLFC MPVSLPVDASSMNYASVVFAGFAAISITWYVGYARKHFTGPPVTGDDVADVIPGKAVD AENAYPDEAAMGEKK AOR_1_514164 MSDSVDRVFVHALNTVKRIPRTGTARPPASERLKLYGLYKQSME GDVEGVMDRPVGNTADVYAECEKWDAWFAQRGLSRTEAKRRYISTLIETMHRYASQTP EARELVSELEFVWDQVKMNTSVSSASSGSPVQAVAPPLSHSQPSYASIGGRLARSDYE HLVATARGDSRLRVLSPVSQPEEVFQRRRGSLGNRGQDEDEEEEEEYAEAQDNLDEDD DAHDNDDGSYNHATTEDGSQHDVDEAVLRSGRGKKPPDVDSRRWRRRVEQALTKMTAE IAAVREQMEARAVAHHRRNSVWAWLKWLVWVTLRQVIFDLAILGMLLIWMRIRGDRRL EGKLKVGWAEVKTRLSKLKGLRRVSKVHKCP AOR_1_516164 MAAREPQFNQQVLVDTTPMPADIPSVQEVGATSAPLTSAAYFIG DRCKAFNDDYMKCKQEANGRGEFDCLKEGRKVTRCAASVIKDINTHCLKQFTAHWECL ENNNHHLWECRKPEMELNKCVFDKLGLKKTIPGAPEGQTPVHLRPKQIYAQFPGPQY AOR_1_518164 MSLFGNQTQTQQSGGLFGSSTTANKPSPFGGAATGGSLFGSNTT GTGTQQSSGGLFGQTQNQGATGAAPASGGLFGSSTATSQPQQSGGLFGNTTTQNQPQT GGLFGNTATQQKPAGGLFGGLGQSTQQQQPQQQQTGGGLFGGALGQQKPQGSLFGGTL GQTTQQQQAPQQGGGLFGGGLGQTQQQPQQQQSLFGGTLLGGQQQQGQQQQQQPQLGQ SVQQPGSSLWSPGRAVTGVHRTVPTQIAIVKDKWDTASRNSPFRAYLYNHVGEEAAPF YQPGPDDDESKWEEALRKRPAPGYVPVIVRGFFELGKRAQRQKDFLTMMQTRLHEINN CLTELLSRHDLKISVRIADCRRKHLVLSKRCLALAAKTQVLRNRGYAMDDAEEELKKK LALLERSVFDPSLNGREEEIWARMLAIREHSKRLQLEMEKAGPGAAAQADDELDEQTM KTAKKILDDYHTQIKHLQKELESVRKDFDEAEKLQGRVDH AOR_1_520164 MNGNADCMGQAGEQEAQQTIRSAITGGILLYLSPFAIDFVKKFL AOR_1_522164 MSASPEIKPVEEDPVQAPTPGAGDDEAQGVEETAAADLDAADEK DNGSDDESILSEVDEAQFEDFDPENVDVEDRPQLAIDEDNLKLIGRHKRKRTEDGERS TRKREGRREKKSRRMRELEEGGDDGDGKAKKRERKRREPTPEDDETLDPATRRRRALD RAMDEALKKPTKRRFRKADGIDLEQMADAEIEDMRKRMTHAAQMDAISRREGKPAMHK LKMLPEVVSLLNRNQYVNSLVDPEINLLEAVKFFLEPLDDGSLPAYNIQRDLMTSLAK LPINKEALVASGIGKVIVFYTRSKRPEAGIKRMAERLLAEWTRPILQRSDDYSKRVYQ EAEFDPRKVQRTTQSAQATAAEARARELLPPRLANRARAEITHTSYTVVPRPTMVQES KFARPLGASGEDRFRKMRARQIAASKGSRR AOR_1_524164 MKLLTKEEEDAHYRAVLKGGTIGTVLGLIGGYAGVLAASRRYHT IRNLTLPMKAFLVTSSGTFVGIIAADNSSRNFEVERNADQQWYQNREQRLREESLQGM SFMERSLAWARKEKYTIVTATWVASMIGSFVLVGRNPYLSGQQKIVQARVYAQGLTLA VLVASAAFEISDQRKGKGILKKKLEEKEAADGKSGVVVEEEPVRHQQNEGQGDLWKDM VAAEEERLKKKHQSVWEHPELHKEGQQQQQQQAKEVKEEKTQQ AOR_1_526164 MSDTISRGKSNALETLKAPLSEQETIVLSAAPSPHDDGYATPTG EELESLRRVAGSVKWTAYTIAFVELCERFSYYGTTAVFVNFIQQPLPPNSSTGAGHAG QSGALGMGQRVSTGLTTLNVFWCYVTPIIGAWVADEFWGRLKTIQVAIAFAMVGHIVL IIASLPQVIVHPNGALGCFIVGLVLFGIGVGGFKSNVAPLIAEQHKETRHYIKRLPKT GERVIVDPAQTITRIFLYFYFMINVGSLLGQIVMVYAEKYVGFWLSFVLPTIMFALCP LVLYVCRKNYEVTPPTGSVVGRAFKLWAFALKGRWSWNPIRFVQNCRASDFWENVKPS NVQRKPVWMTFDDKWVDEVRRALKACAVFLWYPLYWLAYGQMTNNLTSQAATMELHGV PNDIIMNLNPVTLIIFIPIMDQVVYPGIQRLGVQFTPLKRMYAGYMLAAISMVSAAVI QHYIYQTGKCGKYPGEKSCKTPAPINVWVQAVPYVLIAFSEIFTSITGYEYAFTKAPK NMKSLVQSIYLFMHAFSSAIQQGLTLLSTDPLLVWNYGFVAVLSFIGGNLFWITHHKL DKEEDELNSLEASSYLGRGPRAQSEKTDV AOR_1_528164 MSTTYVLPPLQAPLATGCSPRIRRVLKAPQRPIALRREYMTEAK SLLTFRPQGDPKSAIGYSIQDDDGIVLFTASGRKFNDRPCREFRDSSGLPLFEIYRKS FRNSWSISLPGSSSAKIATVSPRRTSGISGWGDFTITFDNVAAFESKRKEDKELSLEV QSRGNVLALYDIVDGDRKVAEVRESIQHNEKLALMKRFPSSKHGYRPVLDIIITAHVD QSLIAAVAVIISDTVFSANV AOR_1_1432164 MKIWRQRASRPVRVLLYLLALVLLLWIVLPYDHPIRLSARFNVT AFGTALTSYLGGRWWFSERSTFPIVLSDDVAVLMKSGFGTKDRIAAWLEAHEHDKFNN LLLVGDFATPSGQLFNYNGRRLPVSDLVAWMLEKGYLSAELAHPRLMKHSNLSTAISN GDVDIAKELSKSFGWELDALKFISGLELCYDQMPDKKWYIMADDDTYLMQPALKLLLE HLDPEVPLYVGNAVGDYKGRFAHGGSSVILSQATMRLLFSHREVVTSAHLESLEETWG DKLLATTLLKLGIYLDERYAIFFNGGPPRAIRVTEDRLCAPIISFHSLTPSEMINVGR RFQHTDEVLLWIDLWDIYGAPSLDSPVLESGRKDWDHVGGLDESTMTVNEVSTSQRCI QICQNYSKSCLAWTWESEKQLCHISNWMVPGEQAEGKTSGINVPRAKNLVSMCRS AOR_1_532164 MSQHVLLLGATGQTGNSILNGLLEHGEYEVAALVRPSSAGTPKV KAVAERDVKIIAADITGPVDDLASILRDFDVVISAIDALSMHAQENLVTAAKQAGVKR FVPCAFITVCPPGGVFRLRDEKEAIYQHIRKLHLPYTIIDVGFWHQVSFPTVPSGRVD YASMYAPNTTIHAGGNAPNLLTDLRDIGPFVARIIADPRTLNRSVYTWSDVLTQNEIF DMMEEMSGEKIERTYMSAETIETAIATFKETLEKEPENIPARLALTMFQYFLSKAIRG DNRPEYAKYLGYLDARELYPDFEPRSFRSYLKEVLDGKAEKVYKDNEGIEQLKKWFFE SGLPL AOR_1_534164 MTDNLQNEDSNARKADIGIVLTRAEIVRLSNAGQKSKDKRVALD PGLQAMMAAGDSAKQNRTIKQYGKEDRMKQREGEQDCTKLLPKETGELKGQGSERGAD P AOR_1_536164 MMDQPSHIIDPDGEVIILLRNTTLQGPTVEEAVEEAVEVPVDVA VDVAAEEPVRAQIETDLVRESLDEACFRIQVSAKHLILASPVFKKILTGGWKESVTYL QKGSVEITAESWDIEALLILLRAIHGQQYHVPQKLTLEMLAKVAVLVDFYDCKEAVYI WTTIWIDALEEKIPKIYSRDLLLWLWISWVFQLQAQFKESTSTVMSWSDGWIDDFGFP VPFKVMEAMNKYREEAISNLVTLLHDTREAFLSGTRGCCFECSSIMYGALTKYMRSVD LYLPRPEAPFPGLNYKDLVKKVLSFKVLRWTTPSQGYSSGYRHSCSDSSFKELFEMLL SDTIQGLDLHDLIP AOR_1_538164 MVLKYIFWLWMAATAVVAKEEADKPNFIVILTDDQDQQLDSMKY MPKVKKLLTDEGVYFNHHYATVALCCPARASLWTGKAAHNTNVTNLRPPYGGYPKFVE EGWISKWLPVYMQKSGYKTYFTGKLMNNHNANNYMNGLKEMGLDGHDFMIEPGTYQYT NTTIQHNFEKPRSYPGVYATDLLANKSMAWMDDAAKEKKPFFLAINPVNPHNNYQWGK GWTKPVPAKRHEGTFPDAKVPRSVSFNPDRPSGAAWVHELPQLSDAVIKDNDLYYRRR LQALQAVDDLVETTIDTLKRHKMLDNTYIIYTSDNGFHISQHRLMPGKRCPYEEDVNV PMIIRGPGIPKGKTADIVTSHLDIAPTIVEWAGGKGPGDFDGSVIPKEGTTTPDEPWE HVEVEHWGAVSDKRDIPLSGKVNTYKAIRVIGDTYNLFYSVWCEGDHEVYDMSADPHQ MNNLYNSTEKILGVSMKKLEHRLDALTLVLKTCKAKTCQSPWEALHPDGKVKTLVDAL DSKYDEFYNKQNRVKFNECSRGYIVSNEYPIKYHIYGNHSSVDARDVEAFGNYGMF AOR_1_540164 MASGTVDAAIAELLSTFNELNSHVVEELSEEPSPLEFMRFVARN TPFVVRGGASSWKACQEWNSAYLLKALKDQTVNVAVTPYGNADAPTRHPDHESPVFAK PHYEDQPFDTFLEYVVRHETDPNFPQDAEVRYAQTQNDNLRDEYMSLYSDVQKDIPFA RIALDKAPDAVNLWIGNSKSVTAMHKDNYENIYVQVLGRKHFVLFPALCYPFVNEKPL QPATYVRTEDGLVLQMDENDEPVPFPIWDPDRPSENTTPFSQYAQPLRVTLNPGDMLY LPAMWYHKVSQSCTEEDEGFVLAVNYWYDMEFSGPLFPTSAFIRDISLANTSQATQRS DV AOR_1_1436164 MTSTSPRHVGFCEQTAFLWNGTIRENIVGFTPFDRKRYDQVIEA TSLRFDLATLSQGDQTNIGSDGVALSGGQKQRLSLARALYLPTDLLILDDVLSGLDAD TEEQVFRQVFGPNGLLRRRGSTVVLCTHSVRHLPTADYIIALENGSIAEQGAFVDLST RAGYVHRLEVRLKQEGEDTTADNEPGACYEHKGQTGARKNLEPAITVNSTQGPTPIAP AVAAARQVGDTTVYRLYLKSMGWFVAACGLFFAALWGLLTNYPTIWLTYWSDATESVH PAHSNSYYAGIYALLQICAIIALLLLGITLFIVSVKKAGANLHQQALRTLIRATLSFF TNTDTGVVTNLFSQDLNLIDTELPEATLNTLFCASQSIGQAAVMLTSSVYLGISYPIL GALLYFVQKFYLRTSRQLRLLDLEAKSPLYTHFLDTLKGIATLRAFGFIPDDIHKNAR LVDSSQRAAYLLLMIQEWLNLVLNLVVMVIAAVLTTLAIRLHSNSAFAGASLYSLMTF GESLSGIVIYYTRLETSIGAIARLKTFNETVTSEDRDGPGEEDTVPGTNWPDRGLVEL RSVSARYKSTTVSESESLSVVSGATNEPPLALKNITLTIHPGEKVAICGRTGSGKSSF LALLLKLLDPLPSTVNNHNQEPPVLIDNIPLHRIHRATLRQRLIAVPQDPVFLPDGSS FRANLDPTNTATPAECQRVLEAVRLWGFVQERAEGLHAPVTAGTLRGILLLDEVSSSV DRETERMMQEIIRAEFRHYTIIAVSHRLEMIIDFDRVVVMDRGEVVEVGNPAALKERG AGSRFGELVAAAGA AOR_1_544164 MATAVFTLFPNLPPELRNQIWRDTLPDKVRQALYFYKRGCWRTR RLTEDDEDYSHDNDQLNICHEFRHDLLDPMKFEVPLLFVNSEARGVALTWMQGQGLKI RFCEDRQNPIVIRSFDPDHDTLYISLKTWDDFSNEPYDIVFPPDHGSGYYNCAPPAFT RIAVPEAFFQHDIPLSDLFHFYCSLEKVFIIPDAQSDIQSEMNDLKMQRRWELQSTQG PMLFWNNDRDCFEWKHNAGDSNNAMNSVIEKACDGLDEALEFYEMKSFEVRAVYAVRK AOR_1_546164 MGSIPSTNTLCHQILISPQDLKTKSHTTIYQSLAFNKRLRIQDN IDVHFGFDVNMGYFLIVYDMRLAAYIPDGTEFDDVRYAVSADGTGAYFTAYTGTHRQG RRVSVETMRKLWRAYGVYEEAMRGLAMTDLENIHGIEDRM AOR_1_1438164 MDMSLTTSGTGEEGEEYVSLDEMEQTWKIYEVYEMGIGRLGIAS LHQWSDLRKA AOR_1_550164 MATPTHALSVNIYGRGDATLGDGPSHMGIAVYKIGSPTCSMHHI RNPSDTDFIYDPRTQPLEDDPVLRGRCELTTFTSVEQKNQAESLLTNFGCDDTSIPEF GVGNCQDWVAGAIQMLENAGFVPPGEGQFWEEMINLSAAAMQDRCERTGRAWILGPEH VLEGEADARFVDKGELKPVGRLMENSALRERMLALVGNLPVSDSMGVNEGLAGERPFY VSSPFFSRAREVPGSEGASFPAGTVEGRTPSAS AOR_1_552164 MYRFLINFGCEELAIEVTDDKLTPENSTIKSEFQLDVNSVPFDS DARIASVFGEDENATFPTLTLSLIEDSYQECLKRLSTSDDSILAVDLDDIVLWDCMPY DDGKICDILSVVLPSVNPTYQEWLLEELENWSDGPIEVSLIFSSILEYLEKSEL AOR_1_1440164 MDLSDVEGQMKDISSHEIEHVNNEAASFTEEEEKALVRKVDLTL LPTIWVMYLLSYLDRTNIGNAKISGMEVDLELTSNQYSIALVVFFIGYVVFEVPSNLV LGRSRPSVFLPSIMIIWGALTCVMAVVKSFTHLVVLRVILGCVEAGFAPGVLLVLSSW YKQTEQSKRFGVFISAAVLSGAFGGLIAAGAATVGFAIISLFILPDFPATSRHFSERE KQVAVARLATENVTATTEDTEHLSPLEACKVACQNWRTWAFIIGYMVIVGSSTLTYFY PTLVEGLFGNASTKKVNLLTVPIYGVAFFATGITSYYSDKVPTWRGLIIASWLTVSLI CSIIVCAIYNFTARYILLVIMAAGLWATNGGTLAYASSAFAGMHPQARGVALAMVNAL GNLAQIYGSYLFPKDDSPKYIMGFSVISAMLAVGVVVFLFLHIWFRRRLREGIIQ AOR_1_556164 MPPEMLDTTTSSGNSSSAVAPAQTTSDTSPTFLNTQPGPDHDWR ITLKDKVIAITGANRGIGLALAEVCLANEAAAVFSLDVFEPGEEFAALQKANPKRLSY VHCDVTSEESVNTAIDAVIIARGAIHGFIANAGMTKHQPAFDFTRAQLDQLFNLNVFG AYFCATAVARRFIELGIKGSIVFTASMTSYRPNRAAPSAPYGATKGAVRNMTHTLAME WAKHGIRVNSISPGFIKTAMTYFVDQAPDWDLKMQYYGGMPRLADPKELGGAYVYLLS DGASYTTGIDIPIAGIVGAW AOR_1_558164 MSSAMKLIPNAAPVQRGSALVSTRLSIQCIVVTLLASQAVVDSC HQDQQPIRQGSPHVEAQQAPTASTGENVVIPTPKSSDSYVLSPDTESSVTARVAPSIW FECLAKDSTDGDGGFPLSLSELSRNRTENGEDRRNISNLHSRTLREQETFHIAALQRD KEIEQGIVPQPSDTASVAINAPSLWTSKDPIPLSDLEHYLFRHFVRVSSKLLDFYDPE MHFATTVPHIAFRNAGLMKALLALSARHITLGESEKEHLVRVSVDKDDISGRREDIVD RNLAVEYYLEALYYLNKARQYPFYARSLDMIATVVLISTYEMINGSNQNWKRHIKGVF WIQKLQENNGESGGLRSAVWWAWLQQDIWIAMRERRRVFSLWNPRKPVSSLTASELAT RAIYLLSQCINYASKEEEEKVTNLAHRLDWANKLLSLLQEWREILPPEYSPLPSVSNI EIFPPIWVNSPPYAAALQIHSLALILVILHRPSGGIDDYRAAQHMLAVSRGCVYTLHM SDSHFWTSLTHVNEGSVGPESPFEKNWNLSTQKKLSKA AOR_1_560164 MDNPNAVPRKLTTDGITTGPGTKRRRIRPSRARGLRTRTGWERR VKCDDGKPTCVRCSKSDRICRYAQVADERHATGAGAGADYESPKVQSDSREARSQEAL PSVGSAAYYRQVTYSGPTEHPDGSIETPQNDRLNEAGDQRLSSLDPCFSASPLSLSQI SLLNISPFEWYDLLARDAISNIHRLNDASTGDSRWKFPEIALSRRQSPAPECPETHVE QSNRHSEQQQQQIEPPLLNYQQVFEPWNTTSRIELSETDVKFFRYYIEVVGPILDLFD PARHFSNVVPHLALRNTGLLKSILAVGAKHMSLGHMHMPGEDVPGDHATPNVNSPTSL AAATGLPSEPDPAPAHMATQFYYETLQYLSQTLLYPSYADSHEILATATMISTYEMFD ADSATTSGDWEKHLRGSFWIQRSQDNDGESVDGLRQAVWWAWLRQDIWAAFRAGRPTL TFWRARKGLEELDSDELATRIVYICGKCVAYAASNETSNHDPRHSIEQGDRLLYALDD WYRALPASYQPVTVATDTASNTTVFPPIWIHPPSHAGAMQMYNFARATVLLNQPTMGG LNAYCLRDKQLSECVKMVCGIAKACQDHESAMAFVNVQALFGVGQFVRSPEMRGELLR ILEKMLRISKFPARGLVAELKKVWQE AOR_1_562164 MAPTLELLEEPAGNLPVKAVSAIRNGNSADDLKLESPEKHQRVM NVFRAFIADICQQYGEGHAGSPMGMAAIGIALWKYVMKYSPNNCNYFNRDRFVLSNGH ACLWQYLFLHLVGVKSMTLEQLKSYHSTKLDSVCPGHPEIENEGIEVTTGPLGQGLAN AVGLAMATKNLAATYNKPGHEVVNNMTWCMVGDACIQEGVGLEALSLAGHWRLNNLCV IFDNNSVTCDGTADVANTEDINTKMRATGYNVVDVYNGDSDVAAIVNALVAARSSDKP TFINIRTTIGFGSALAGTADVHGAALGVDEVANVKRSFGLNPDEHFHIPQDVYDFFSD IPGRGEAHEASWQAALVKYHEEDPVLAAEFELRVMGKLPEDWSKCIPRKEEQPTASTA SRKSAGVITNALGQNINSFLVGTADLTPSVNVAYKNKVDFQSPELRTACGLNGNYSGR YIHYGIREHAMCAISNGLAAFNKGTFIPMTSTYFVFHLYAAAAVRMAALQGLQQIHIA THDSIGVGENGPTHQPVAVAALYRAMPNVLYIRPCDAEEVAGAYIAAIQATETPTIIS LSRQGLTQYPQYSSREGTLKGAYVFVEDNDFDVTLIGVGSEMGFAMQTRELLFKEHGI KSRVVSFPCIRLFEQQSREYKHSVLKPRAGKPTVVIEAYPSYGWERYADASVSMNSFG KSLPSKEIYEHYGFSPESIAPKVKDLVEEVRRDGIEILRGDFRDFNGGLRIGLEH AOR_1_564164 MSETNQRLIVITINGYRKPGLTEEELHHHLCEVHAPKASPFLEK YGILEYNVIDNFSAARPHAEYLQMSKKLSDHDYIVQFVMKDVEDFKKVWEDPEFRKNV MPDHETFADTTRSGVCIGYLNSFLNGKDSQGRLKN AOR_1_566164 MKLSLAVSLTALVAAVMAQNVPPCLAACVQEVKICKGIDIFCFC KRGDFQAAMRQCMGGNDGNSGKCSKGDQDIAYDFQRSVCGQQKV AOR_1_568164 MGLPVSLRADTIRDLLLGVSSIMTWKTLALVLAVINLKNLPLVW HLRILHHLWWNIRRKPGDPHFPRGKALVTHTGKPTHPVFVPYAITSRTPILETDYNFH KSNSTYFSDLDISRTALVTRLYTPGLRVVSKELDVELGEAARREGKKPPAHKNIYVAL GSVFCSFKREIKPFELYEIETKVIAWDQKWMYVLSFFLRPAKAGGKRTLFATAVSKYV VKKGRLTVPPERVLRASGFLPERPEGDVLVVPDSSVEGSGVGTPAGEGITATAGVDGA LVREVLKVSESDILETKLEEEKKANAESWSAGDWTWEMIEEERRRGLEVVEGYINMDV KLHDEWER AOR_1_570164 MTVGIDDLPEKYDDLPNKRQYWPAAAGSPEEGLGMLRILTPEIV ADAARTQIQTGLRVCLNWDLEQLNPPGFGRKPFEHRIKWVAPGIAFDDEYHFNPQQSS QWDGLRHHSAPAPTPEDQARRVFYGGTTAEEIQDSNSPRIGIGYWAKKGIAGRGVLID YLSWAEKKGITVNGLSQHVVPLDDVLAIARECNIEFQRGDIFFLRVGLTKTWSSLSDA QKQEYSQQTVPKHAGLEQSENVLRFMWDNHFAAVASDAVSFEVFPPLNPEFDLHHHML AGWGLPIGEMFDLEELAETCKQLGRWTFFISSSPLNCANGVSSPPNCMAIF AOR_1_572164 MATNGHFASIGNSASDTTAYEHGVQVIDENKEFNPNLSQYLSLE NVTPSGFNYHLISVFGSQSTGKSTLLNHLFGTHFSVMSELERRQTTKGIWMSKNKNES SSMASNILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWEHQVGLYQGANM GLLKTVFEVNLQLFLKDKNTTHRSLLFFVIRDYSGMTPLQNLQKTLMEDMARLWDSIS KPGGLENSNVHDYFDFQFYGLPHKGYQPEKFVEETQKLSLRFCDGQRDPNLDARKGEF SDGGVFLPEYHRRIPADGFSRYAEGIWDQIVNNKDLDLPTQQELLAQFRCDEILREVM VAFDETIVPFEDKQSQAARLGEPEILGGLGAAMRSSRTKAVKAFESEASRYHKGVYQR KRAELESKADTRLKTLFQGQLNAAHKSGISEFSEAVTAAVKSGQKKGTGYDFAEIVNE EAKKAVDKFEEVARATVVDGTSWSDYKQELALYEKELAEVSARLRRDEMRRLASRVER WVQSRLGESVGLEFNALGSGRAGGGAPEKGDQPTEKKFWDRVWNVFVETVLDAERRFT DRASSFDASLEEVDVGLWRLRRKSWGVLRAKIDEEMIEGNLLLKLRENFEDKFRYDDA GVPRIWRPTDDIEGIYTRARESTLTLIPLLSKFRLDETSAPPPLDRWIGHTPSSATSA DEEDLAPIGGVDEEEGKSLEEEMTIVSDAKRQELTVRFKKAADGVYVEAKRSAIGGMT QVPLYFYGLLLALGWNEIIAVLRNPAYFFLLFVCAVGAYITYQLNLWGPIIKMTEAAS NQAVTEGKKRLREFLESSDTGRQAIAMSTPGGSGRGGEEHEMSRLNQQGKSAAADEDV DDL AOR_1_574164 MSSISRTANLLFRASRASLLRPRAVNPVQHVLGKDRLAARGMAT AFERSKPHVNIGTIGHVDHGKTTLTAAITKHQASKGLAQFLEYGAIDKAPEERKRGIT ISTAHIEFSTEDRHYAHVDCPGHADYIKNMITGAANMDGAIVVVAASDGQMPQTREHL LLARQVGVQKIVVFVNKIDAVEDPEMLELVELEMRELLSSYGFEGEETPIIFGSALCA LEDRRPDIGAERIDELMKAVDTWIPTPQRDLDKPFLMSVEEVFSIAGRGTVASGRVER GILKKDSEVEIIGGSFDATKTKVTDIETFKKSCDESRAGDNSGLLLRGIRREDVRRGM IIAAPGSTKAHDQFLVSMYVLTEAEGGRRTGFGSNYRPQVFVRTADEAADLSFPDGDE SRRVMPGDNVEMVLKTHRPIAAEAGQRFNIREGGRTVATGLVTRVMDGK AOR_1_576164 MKHIRGFISTTQALRRIFLAPIETPRPQCLRPAFLPTFTQSRLL SIRRKPQQQDAQPQARARQVKDEEIRSEYIQLVNEDGKLDPPVRLHDALLTIERPDNF ILQVSPGFRGRAPVCKVVNRLEIREQERAKAKAAHVNKNSLKQIELNWAIDAHDLSHR LKQLTNFLDKGRKVEVILTKKRGKRSPTVEEVKHVMDSVLQATKDANAMQVKPMEGEP GKHVVLVVKRKDLGQ AOR_1_578164 MAQSQRSRYLKTGAIVGALFLMILWLSPSKPAVTGFGREKSPTG VAPLTDKCTKPHDPSKPLIQYALMIDAGSQGSRIHVYRFNNCGPTPELEHEEFEQTEK RKGGSGLSSYKEDAEGAAKSLDPLMQVAMRTVPEEYKSCSPVAVKATAGLRMLGPEMS QNILEAVRHRLETVYPFPVVSREKGGVEIMDGSDEGVYAWITTNYLLGKIGGPDETPT AAIFDLGGGSTQIVFQPTFEKSKSGGMPERLADGDHKYELQFGGRGFDLYQHSHLGYG LMAAREAIHKAVVEGKMASSGNDMAWLNQPIPNPCIAPGMERDVEVKFDKEHPLGSKV TVKMVGPQDGSSSPALCRGFAEKILKKDAECKLAPCSFNGVHQPSLEKTFSREDVYIF SYFFDRTKPLGMPDSFTLEELHQLTSTVCAGESSWKIFEGMGEAMAELRDRPEWCLDL NFMLALLHSGYEMPLSREVKIAKKIKNNELGWCLGASLPLLSQESGWTCRVKEVS AOR_1_580164 MADSDRKRPQADDPQSQLACDAGSPSQRSKSHSNQNNGVGDESD ERRVFSLALRDNAQKAHARPDKIDDDSDDDDYTSSSGSDSDEDDVVDDDEDAQDRDVK SDNGSDHSLPRIPAPQKPQIHRIEKNPDLLSRLSAFLPQMKSANDKLQQEIEAGRGKD LRLDEVDDEADGQFIEMNLGLGVLEEKRPADGVAPSAKSEGSDEGPAYSYAESHPNAP SESNVLETLMGNKDTTSSKKPTIEEMNE AOR_1_582164 MALPRVHVNDKSPLSENSNPKDSDINKRPSAQIIAPTRKSLHQN IFGKLRPLPFQYHWTVWYDKHSDSTDYDNRLYVLHEDVADIATFYRVYNNYPWDKIRL RDTVHIFRKGVRPVWEDQENLKGGCWRFRVPKSKAQEFFHEIAILCMANEFQAVLEQE HDHVLGVSTSVRFNTHLISVWNKLGSNERSIKALERTILDRLSPELRPTGTGSNAYFY KRHDENEGYQEAVERTALKD AOR_1_584164 MAGPEEMPDVQPTDVKRPSVDIESLQRKKFKTEELPLSPTQHRT IEDLLHAFKKRGGFDTTRKKLWTEFDEGEGKVEFTNLLVELAESEITREPELLSRERG KAATLIEGAVDRSDIYKTVEASLDALASKHLPAILESIREIRREQIGEEAAAREEQAG NKTDEDYAAHVKAKREEREKVWQETLRKQKEAEEEEARRKAEEKRKQRELDRQKEEEE RARRREREEQRRAEQRAQDEQREKERQERYERRRREEREKYRDWRDRSRTRDRDSERD RDRDRSRYRRDRSLGHRSDRGLSPRLRDSRRDTSATPKEPTPAPPPPPPPPVDEKSLE EAALQLLLKEGEELAAKARQKPEFDFEEAEAIENGLKPPPTKPKGIAESKFSNTPTKS GSPAVETDQSRRRGSTADERNRTRRRDDSRSRSRRRFTSRFDEDRRSSRDMSARPRDR ASDDRLAIRDFREDRTGIGIGTAIWTELVLGLDTGREAQSVTESEILNIAGTAVATGI GREEIGTEIRTGTGTGKGTGRETGRGSETESEIALAMVTAMTIVDGVILGPARALDTD RALALVRGIGSASMTVTVIVIVVESGIKKVIVNVSEINLAPVCPPPRGEGLAHAGDPR VSLISTVMYPQLAIEVVPLVGVSDPQKERSVTTDRALLRLIDIYPAESETVNVRKMRI EVVNLMIREHEGKVLLGGAEVGRSAAC AOR_1_586164 MVHKVLFWGGFGIAVRLWQLGIEMRPILAKESLWVYPLFAGVGS SFGYWLQGVEDRQLKILAQRREAIIEKRRRRDQGTLSKVEEAGTLAATS AOR_1_588164 MASNLPIPLPPRTPTPPPDDPPSAPDNSSRLDAQDKDSLSPLVD SFPPQRGSLNTEGSNRLSPTRASFTLSPSDNVPQNSQGDNGSAGPFNFKTTTMAKSPV VKSNIGQRRGHKYKHSSISHQIFLEPPPRAPLALPNSLPIPTFKECRASMSKEQKTRF WWSVCHMFVAAYTLWSAHGSLAMTALSHLILFDSLGALLCVSVDVLSNFEVWKRSSVR HPFGLERAEVLAGFAMCVLLLFMGMDLISHNLQHFLESSGHEPHHSHAHERVSVGSVD VTALLAISSTLISAIGLKNHGRIGKAMRFGYIESLPSVLSNPSHFLTLSCSTLLLLLP LVSVRLYNWLDVLLSSTIAISMCVIGVRLVKTLGSMLLMSYSGPGVKDVIRDIEADPS VFGVDDARFWQVHYGLCMANLKLRVSGSEESIIRLRERISSLIRNRLGGGYGSGGQRW EVSLQFTIEKS AOR_1_590164 MDHRGSFFFFLVVFYLLLSSQSHPPLISQDRERQREIAREKDAL RLLNESKYGDFNPPTDRWLPFPGVRKNDSYAWELLSEVQDRARYQLRSAISNAGLEVP KGLDDPAESQALNLTELLLPVYRNATGKLRGDWVRRKLEKAHRPLNTTAIALENEYFT HEFSHNVTGNTGSFYLDLREGGGEELRLRNGHVREIRATLAVENGDFWGNTWYLPLFG IHFPETGGIILTTTSEKFGGIFTLPHLALTSDAYDLSHQLLMKSLSDAISEKQNRAPT LFPWSSLVGAEQVEFPAPKCEHIVYLQQHPIAVHDYLADRQVIEQIEQELRYPMGAPI PSPPLMVMSAVVFSPDCGYVLETKGAPDYPPTEALYLSGPKLEELGKYSARLIFVICG ISVAQIALLMRQVKEASTPSTRSRISFYTIALMAFGDSFLLVFMLLELYPAVSFLLLT TASFLTFLSVSYIGMKFMMEIWAVQAPERREQDRRSNPSATTARPATLPLPATTRLRD TGATPIILTPDQDPPEEEDEPPPNRSTTPTARETRSDVGAMYARFYFILFVMLIISIW SFLWPNRLGALYARALGFVYLSFWTPQIYRNVMRNCRKALRWDFVVGQSFLRLFPFMY FLTVSGNVLFIRPDTTTALALAGWVWIQVWILASQDILGPRFFVPRGWAPPAYDYHPI VRDAAGSDADLESGGVLPIGALRADERDFDTKEDDKQRPKDKKKAVFDCAICMQEIEV PVLAAPGGAGGSSMTDGATSILSRRAYMVTPCRHIFHSTCLESWMRLRLQCPICRESI PPV AOR_1_592164 MSAIARSVRPFASRVLSQKLPLAAACRVSPATAFPRGSVRNFSQ SPFMELKKYTESHEWIELAADGKTAKVGITEYAAHSLGDVVFVELPEVDAEVSAGEPV GAVESVKSASDVNSPVSGKVINVNSILEDKAKFINESPEGDAWIAEIEVKDASELDNL LDAKAYKETLGDE AOR_1_1442164 STPTPVAAMLYELIAVVRPGSLHEVREIARNAGIQVLRSGGVVR GYTNWGTFRLPKPTTKHQARYTEGHHFIMRFDASGPVQMAVRRTLGLDPRMVRFSVVK LGDKLEDIKDVQGKVEWNNARNISESI AOR_1_596164 MLPDRSDDDPQLVASPPTFAELMALKQLDSPSHLHGISSDEPEK IERFQSLASPYPPGEGKMAFGGHVYAQSAYAASKTVEKGFVIHDMTGTFILAGRLDVP YVYTVRHVRDGKMYCTRAVDARQEGKVCFSCLCSFKRDEGPETFAHQPPSAQERFKSI LQAKRVEDQIVSPSVDADWWIEVVEQGGVTEREFPGLDMRKTDMQGYNLTEDIKQNPE KYRQLHQYSLKGSPQDSTVSVSREELKVKEQSGEYDNLYACAHMYASDRNSLLLIPRA LGHKNWTAMASLTLTVIFHQRGNALRMIDWDAVSSHDGTDLPKKWFVQEGWTPRSGEN RAIHESWLWSPDGKLVATSYQDSLLRLRKHDREGKL AOR_1_598164 MTSGEFYNIVKLVPKPGKFNELLEAFKSFSEHVQANEPKTQIYC ALRPDKTEELVFIEKYTDLDNLKAHGTSPEFKKFSKAIGPLLAGAPEMTKADFVGGFE GRSKL AOR_1_1444164 MVKDEQLPYQGSIQDHTELLETSQKVLDYLIQDPRIQKAASPAL IHADLHKRNIYVSPDDPTVVTGVIDWQSTSVEPAFTYCNETPDFASLPSESQLAEVDE SAPDDQKKKLNDAKICHQTYDVCLKGLVPKVRQAMLLDPALFRPFLYCHTTWRDSATT FRQKLMELSTRWSDLGMQGSCSYLPDEQQVAVHVKLYEDFETVQRLKMWLRDSLGTDS DGWVPNDVWEAAKDAHRAAYNEWMETARNVEAKGDELTVEKADRLWPFDSR AOR_1_600164 MINKDHSWGSMSADDREYLKMIECIVRELSAVGLFVDNVHAECA PGQWEFVLPPADPVQAVDDLAKARHTITCVAESFGLRATLSPRPHTGKSPTGSHVHMS LNPVTQATQPLSDAFFAGVIAHLPSILVFCMSQVASYERVAETPLRRMRDNRFEIRVM DGLANPYLALSSILAAGILGIQTKASLGTGVANGMDPAKLSADERDATGAHKVLPASL EESLAALECDQELIDLLNEQLVRVYLMIKRREADDFKAMDPSEQKRWLISEF AOR_1_604164 MKKTLLLVFIHGFKGDDDTFGNFPGHVRALIGRALPAITVATVV YPKYQTRGDLKECVGHFREWLQDQVIDLEVANRTASPTVDPSVHVFLVGHSMGGIVAG ETLLLLASEQPIPAKISPANSETAANSQILESGSFMFPHIQGVLAFDTPFLGIAPGVV SYGAEGQYKNVTTAYNAITEVAGLFGFGDSSGSSTKAASANQGATSNKSLPPQQASAA SPSSDAAATPSWQRWGRYAMFAGAAGAVAAGGAAAMYSQRQRLTDGWGWVSSHLAFVG CLARPSDLRHRISLLSEVQRERGIGCTNFFTALGKNATSLVETSSQGKTSLTQRIIRS KYRTFCTLPPEVENEDAAYDPKAGLGWVKAVNDKAADEIKAHVSMFLPKENPAFYELV NEACKIVVASVDQGWYSTATGPVEEVDGDLPRTETARTPADHDSGFMDGDDVVIVE AOR_1_602164 MGFGDFDTICQKAALPLCSLVGPASSISGATGIIPNCYARNIEL ANTIIFEGAASFVHIIALAMTVIMILHIRSKFTAVGRKEIITFFYIYMLLTMCSLVID AGVVPPRSGPFPYFVAVQNGLTSALCTSLLVNGFVGFQLYEDGTALSVWLLRLTSTAM FAISFVISLLTFKSWGGLSPTNTVGMFVVLYILNAICIAVYLIMQLLLVMNTLEDRWP LGHIAFGLLVFICGQVLLYAFSDTICENVQHYLDGLFFTTICNLLAVMMVYKFWDYIT KEDLEFSVGIKPNTWEVKEFLPEEDRRATVYQDTNSEYAGSMYHHRASAYNNHNY AOR_1_606164 MTVEVVPLTEADIPEAIEVIQQAFAEDPYFQWVFDPSKFNKQRN YDSLAARCLWGINNALFHVAKETGENGVDRVVGVSCWLPPHAASEPESWYSWCQGWVL SWRQMLNNVWHLGRGGLRTNRYWIWKERQQEAQSVIWDDPRGYYFCNIVAVSPKAQGG GIGRKLFEAVTDMADREGVKCYLESSRNVPNVQIYEKMGFRMKKEMECRDGEDVCMLY CMVREPNSEK AOR_1_608164 MASLSLSKICRNALILSSVLSTAQGQQVGTYQTETHPSMTWQTC GNGGSCSTNQGSVVLDANWRWVHQTGSSSNCYTGNKWDTSYCSTNDACAQKCALDGAD YSNTYGITTSGSEVRLNFVTSNSNGKNVGSRVYMMADDTHYEVYKLLNQEFTFDVDVS KLPCGLNGALYFVVMDADGGVSKYPNNKAGAKYGTGYCDSQCPRDLKFIQGQANVEGW VSSTNNANTGTGNHGSCCAELDIWESNSISQALTPHPCDTPTNTLCTGDACGGTYSSD RYSGTCDPDGCDFNPYRVGNTTFYGPGKTIDTNKPITVVTQFITDDGTSSGTLSEIKR FYVQDGVTYPQPSADVSGLSGNTINSEYCTAENTLFEGSGSFAKHGGLAGMGEAMSTG MVLVMSLWDDYYANMLWLDSNYPTNESTSKPGVARGTCSTSSGVPSEVEASNPSAYVA YSNIKVGPIGSTFKS AOR_1_1446164 MTTAHITPLQQDLSVTTTSPVVQLHCSPPESLSSGHTSPSAEHR RSVDPSAVVGANFPKQTNRRASIFRKLAGPRENAKRFLRLGGSVRAQSPPSIRSMSRT QRPRPVSEIVLSPEDARMLASTSLGAGLGTGRMRSHSSSTGSVNQSSAGSVTSAPSSD SMGPFPTLRHEKIVATGSGITVGIALTEPVLYLQGYDHNDPSTKKFAILRGQLHLKVT KSVKIKKISICFRGHAQTDWPEGIPPKKVHYHDKKDLVTHGMMYFNHGDSVLPQNDYG ANFYQHAKPVASVTGKDGSPVTITREIFSKSGSSTSLGNGHPTSKELKRLSLQSNHSR SFGKNDPPPSVVAHPQRNYRMFPVGDYLYNFEFTIDGSLPETIKTDLGYVRYDLEAIV ERSGAFRPNLLGNLEVPVIRTPAEGSLEQVEPIAISRNWEDQLHYDIVISGKSFPLGS QVPIAFKLTPLAKVECHRIKVYVTENIQHWTADKSVHRFQPAKKVLLFEKRADSASTS TYPGSSMRVTAGGGIDWDHRAAAARGDEIVDRNRTNLLGNLASDSGVGPTEMEFNVQL PSCHEMKNRDESHKLHFDTTYENIQINHWIKIVLRLSKVDERDPTKRRHFEISIDSPF HLLSCKATQANIYLPAYTSPNSDPAPPAQEYECGCPGAAALNRASSNGHSPCSEAEEP PTRAVNRSFTNGSGGLARPPQAHLAHEPSDRADEPPPRPMHLLRAPSFAPPAFEDVPP PPPLITPPPEYTSIVGNNDRETVLEDYFSRLSCYEETADDPRGLGRVDVPLTPGGRVN RSMDVPREWVRLDQSTV AOR_1_612164 MATSNFSNPDSLAVLTAMVNQTLIETGRFFRSGGSTQSRAQLKR SIPVAHEQFQSALDNLSEQIFIAKTFLERDYEAIAAQKAALQPAEDVVMSQSETIQDP ETAPQAEATAVDTNQIQSEPCKADTVQSHTSVDAGQQNSSETLVKEEKPAESAALGPN QSQSGPNDINFDSVLDDTGGANDFDLNLDFGDDDLGNENFLSGSNLGTTNTAGAAEQE KGVDQSGNTTTEVPDMENGAANIPTGGDMFDLELQKTEAFSAPAGAPEGQQGNTTEDI MGPGESSFDDLFMDNDNFGSGDVGDPSMLEGDSLMNMNELDDSWFT AOR_1_614164 MADNVPAQTPSTLPPPPQTSAAPGQQYDGAQGNGQANPSHMPPP PRPPVVIPQNTNPIPTAITSPMSGNMMSPTSAGGYVRRAAPEPNKRALYVGGLDQRVT EDILKQIFETTGHVVSVKIIPDKNKFNSKGYNYGFVEFDDPGAAERAMQTLNGRRIHQ SEIRVNWAYQSNSTNKEDTSNHFHIFVGDLSNEVNDEILQQAFSAFGSVSEARVMWDM KTGRSRGYGFVAFRDRADADKALGSMDGEWLGSRAIRCNWANQKGQPSISQQQAMAAM GMTPTTAFGHHHFPTHGIQSYDMVVQQTPQWQTTCYVGNLTPYTTQNDLVPLFQNFGY VLETRLQADRGFAFIKMDSHENAAMAICQLNGYNVNGRPLKCSWGKDRPPTGQFDNFS GQQGNSPFNNSPAPYFPQYGGPGGPMTPQGPNPAGRGWDQSGMGGQNYGQVPGNAGYG RGQAAPASGWNQANNANFGNGFGGYQA AOR_1_616164 MENASDNSREALSLDNDDQLSGRTRSESASSGHKRSSSGSLLSK LSFLRVIQATHNTPERAHSGIDRDDGDGFGSSARGGRAMASALQQRRTRRRRGSLRKT ALLGTRFEYRDKKATRAPIDMPRADMDQQQQLVTGSRMQQPLPGPVSLDQAVVPHGNA EQDSHQDDMVWEGFMNASPKSLDQSAQHHRQNHSQNSILGGEITTDDEDVVSFPRAKN TNAAVAAAAGLHLQSASSSSDSYYALSADSTYRSMHRTKSPLATHAVDITSSQDMNWD YSETEWWGWIILIVTWLVFVVGMGSCFGVWSWAWDVGETPYAPPELEDDPTLPIIGYY PALIILTAVMSWVWVVVAWVGMKYFKHANISGDDT AOR_1_618164 MCRFLVYKGRHEIRLSKLVTEPSHSILTQSYDSRLRLDNRRPVN GDGFGVGFYTDPKLGPEPCIFTSTLPAWNCENLERLASKTCSNLIFAHVRATTEGALS DNNCHPFQHNTLMWMHNGNVGGWQYIKRPLGDSLADRWYLGVKGGTDSEWAFALFLDL LEKEGVDPSSDPGPEGFGQALLRRVMVKTIAKINEFIRDIPKRHNVSGIETRSLLNFA VTDGHTVVCTRYISSKTDEPASLYFSSGTKWKEGKVKGHFKMERHDKGADIVLVASEP ITFERHNWVSVPANSIVTIHKQTVLLHPIMDEFYSEDLNHDRSSCYAVSKGLVSTAPG TTVQPQNTESKAPAISVNGARVDDHAGLAQHQLELANKCAISH AOR_1_620164 MSGRILSHRLVPLLRTGFLARHVHNAGARTGGLLRSDGSAALRG RAWPVGANSIHNVPAVRGISFARILPKLALKLVKVPAMLGTATVAGLAYIQYQTTQAG NYAIDVLKRAGESAGDAASSIFSEIQNVAEQTQRGWQRTTDSVEVPEWLQNILGFSEG SQNDGSGGGGGGGGGQPPRESRAGAAAAAGAAALGYDQGDEHARLTRNAEDDQMMLLT RKMIEIRNILQAVGQSNTLTLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNMVTRRP IELTLVNTPHAQAEYGEFPALGLGKITDFSSIQRTLTDLNLAVPERDCVSDDPIQLTI YSPNVPDLSLIDLPGYIQVSGQGQPPQLKQKISDLCDKYIQAPNVILAISAADVDLAN STALRASRRVDPRGERTIGVITKMDLVDPERGYSILTDKKYPLRLGYVGVVSRIPQTT ALFSSRGSGNITSAIIKNENAYFSSHPSEFGPQSEVSVGVGTLRTKLMHVLEQTMASS LAGTRDAISQELEEATYEFKVQYNDRPLSAESYLAESLDSFKHSFKAFAENFGRPQVR EMLKNELDQRVMDILAQRYWNKPIDDLNPPMPELDPLIDLPKADPESMYWHRKLDAST SSLTKLGIGRLATTVVANAIQNHVESLLANSTFASHPYAQKQIVDACSSILNDRFFST SDQVENCIKPYKYEIEVEDPEWAKGRENITRVLKDELKACEAALKRVEDSVGRRKIKD VMSFIDKVRKGEVVLEGDGAGGAGGFSSALLATGRESAFLRDRADLLKMRLLAVRSKQ CASKKNKYHCPEVFLDVVADKLTSTAVLFLNVELLSEFYYNFPRELDMRLGRHLSDAE VERFAREDPRIRRHLDVIKKKELLELALQKIESIRQLDGRSKHRNADRPLSKEQRNRG WNLF AOR_1_622164 MVGSALAIRSKMATPSVMSSLSRRSLSTRPQVLRSARPLRSSGF SQQPVQRTARRSYADAAPAPKPKKGFRFLRWTWRLTLLSGLGLTGYVAYSIYELRHPI EQIAPDPKKKTLVILGTGWGSVSLLKKLDTENYNVVVISPRNYFLFTPLLPSCTTGLV EHRSIMEPIRNITRQKNAYVKFYEAEATKIDYEKRVVYISDDSEIKGDISQTEVPFDM LVVGVGAENATFGIKGVKEHSCFLKEVGDAQKIRTRIMDCVETAIFKDQTEDEIKRLL HMVVVGGGPTGVEFAGEIQDFFEEDLKKWVPEIKENFKVTLVEALPNVLPMFSKQLID YTESTFKEEAITIRTKTMVKNVTDKYIEAEVTKPDGTKELETIPYGLLVWATGNAVRP VVRDLMSQLPAQKNSRRGLAVNEYLVVNGAENVWAVGDCAITNYAPTAQVASQEGAFL ARLFNTMAKTEAIEDDLKQLSEAQAQAKSPEERNQIFDEIRERQKQLRRTKQIGPFQY SHQGSLAYIGKERAVADISWLSGNIASGGTMTYLFWRSAYLSMCFSTRNRVLVAVDWV KAKMFGRDVSRE AOR_1_624164 MASSVKESTTTTHYNDWPNTQGFDVRYQELEPTELSVQGTIPPY CAGVLFRNGLGPRDIQTEKQTTYRVSHWFDYFAQVHRFQIHTPTPEQPRVRVTHNSRL TCDGLIRRIQRTGYRGEITFGAKYDPCMTLFQKAQSVFKTIPQGVPDEVDISVSLNVN FPGLSATGSKQEKMPEPGIQTLCNRTDNSTFQMLDPQTLEPIGIADQTVLHPSLRGPL SAAHCKTDLTTGDVYNYNLDIGLTSTYRIFHVSRATGKTSILATFTHAATYVHSLLLT EHYVVLCLWNARFRAGGMSLLWTHNFVDALADYDPTQRSTWFVVDRTPGGRGLIARYE SDPFFSFHTINAYEEHSSTNPSKTDIIADVCAYDSLDVLKRFYIDNILSDSATARHFG ESRNHSARGAFRRFRLPAVPEASSPKTLTAELVFSMGKGLGPELPHVSPRVRGRKYRY VYGVTDSGKSVFLDGLVKHDVVTQTSLYWSQHGQTASEPIFVTDPDSEDEDGGVLLSV VLDGIEGRSYLLVLDAKTMTEVGRATVHGVVGFGFHGAHVRDA AOR_1_626164 MVRPTLNRIATILWGREPKDRALLAKLDLTLLPYFSLIWFLFGV TRASYSSAYISGMREALNFQGKDYNYMNTAYLVVYAVCQIPGTSLLTVVRPKYVFVAA NLTWSVLTLITYKMTQAWQVILLNAIEGGFSAIAYVGAHFILGSWYRKSELGTRAAVF CCFGHVGSMAGGWIQAGLLKALAGHGGLPAWRWIFIIVSVMTIPVALFGWFFIPDLPA HRAAWYLNEAEKEHAAHRLGQTSKQTWDRTVLKRVLLSWQFYLLPLIFMLYSLCVQSL SNNVMALWMSSRGYTTIQQNNYPTGIYATAILGTILYSTISDRLQSRWECSLLIGLTF IIGSAILVANPAADAGHFFAFYLLGTTYAPQALWYSWMADVTGHDLQLRAITTGFMNS FDFAFVTWWPLVFYPVTDAPHFEKGYVASLVTGSLTIPFIGLVAYLDRRDTARGVIGR VGPRVVVEDSVVDDGDQVRYEREIEDGDDHPDDPIPTTEVTVASKV AOR_1_628164 MSLPVFSTQSYSSVPHPFTPTLPLYSRTEDSTCAKKRHLETVLE DPDHHWTAPFRDGLPTPPNDMAGVAYNSIPPSGYGAKFGGVTLPPYAKAPNYTRMASG HHSTASVPQSKPPSQPSLQDAPSSEPTSQKKGSSKPVASYLQIPSSINNSKGNLADFA AQMTCLFWFETTAKLNDIEERKNPLLYIVPEAIPSAGFQKWVTNILSTTQVSQNVILL ALLFIYRLKKFNHRVRGKKGSEYRLMTIALMLGNKFLDDNTYTNKTWAEVSGISVQEI HVMEVEFLSNVRYNLFVSEEGWTQWHSKLSLFADFFNKASLAPEAKELESTTSAPRTS PSLGASQVSPSQRLPSPPNSDVLRAQNWSFPSNAPAYAPPAHLGKEFPPMPSKKRTLD DYVEDQPSKRVAMPTTLPTSMSTLPSAALAGVPTLPPVLAATSAPSANSLSGSISRLP RPNFPPSSNIAPGIPTSVLPFPSVANRAMHSVYNPSTNWVPQLPPTQVPPITNGLYNP SVSLPDPARQHNSPFGITSATISPAISAYSSHTPQTNLSPSFFLANRNSPYRPVRNVN TLLIPPPSSSLQQQQRAVPFEHMHYQPLGKTAAERKTGLLPYLHHEAWPQAPFLQPTF HSTPHY AOR_1_630164 MPPRLQILPTQWQRPLSRPLSAISSLSLLFPQIQQQSRNAHILA SLSDTPGAYNKRIRRGRGPASGKGKTSGRGHKGQKQHGKVPARFNGGQTPEIIVHGER GFNNVFSLDLAPVNLDRIQEWIDQGRIDPARPITIRELAQSRCIHQTKEGVKLLGRGA ESTLEQPIHIVVSRASATAIAAVEAAGGSVTTRFYTKSAIARIMRREMHPFVSTAWTK ESGSEGLNNAEGAENLTESAIMKEMGFQYRLPDPTKRKDIEYYRDPAHRGYLSHLLKP MEGPSLFFRSPVERKTAAGVKKEKVLPENRLW AOR_1_632164 MGDEIELLHWGILGFTGNLNYHVGREDPNGPHHALVGVASSRSR DVAKEFLESFDVPECTLTFHDYSELVRSDLIDVVFIATPHSHHFQNALLALEAGNHVV CQKSLTVNAAQAKKLFETAKREQLFLMEGWWLWWPQASRQTCRLLRDGEIGQVNRIVA NFGIRNNYCMFGSASDRLTSKELAGGALLELWPSTGYFGLSQEELVAQPRLRGREIRT KAIASLSTPASPDGQLAHVIIEGSNGKIEIRGWEALPTELYLFKNSYSLSKPYDVTFH EEEPLFVPEADEVARCIREGLLESPEMPWAESLLVMEIMDFVRKQNDLQYPPEIEASE PSVMLPSRLL AOR_1_634164 MAHTERNSALAGLKIDTSFPLTHRPRWRSEAGGRRVTDDGTGIE GDRTNIFHESGARRYGTDEDNSVLSSLHSATPPISVPRHDDHPKEDVSFPERPEDVQS SPLDSFLHSRRRSVSFDPNITLDSGQPQALGEPLAKGVIRTRPQRFQAKGSSLKNTLP QDDADDHYPRHGYRAQRGFDSHEGFLSSPDDDMPVTSAIDRPTSLTSISTASPITEEL RTPPEGSKGALPSPFCVASPVQGFASLDDRSSWSNSVITPFGSKTKGFRGSTRQSSRR STASSGKSPASMFLSMWSSGEEPAPQPDDEGQMVGTEYVLGKQIGFGGFSTVKEAYKA DETGGTKRLAVKIVRKQVSGKNEKENDEVQAEFDHEVRVWRYLNHPNVLTLDAVYETD YATFCFTKLAIGGTLFDLIRQNRRGLDIKLAKKYTYQLACALRYLHEDARVVHRDIKL ENCLLDPIELPDGTKASNLVLCDFGMAEWMNIDNGGVSPDPYDDAADRPPPKTIGPAG SSTSVAGSLEYASPELLLSTSGLIDASVDIWAFGVIAYTVLVGSRPFQDPFTPRIQSQ ILSGNWDRTAVLGDETDLPARKDREHALELIEGCLDMSVEKRWTIRDVLSSRWLRELS EKGEDGEADSIWKL AOR_1_636164 MTRPRIVRADTLDLQDQHAPSAKDHSKQNIVVGSGFGPHQEQSI RHADQDTRTEVMHGPGAEENIIDEHRDGIDIYDDDDDLDDDLGHSQHVGGSEDGDLAD GEGDDDLLDDDLMDKISSSPSIDDEDIDFEFVYALHNFVATVDGQANAAKGDTMVLLD DSNSYWWLVRIVKDGSIGYLPAEHIETPTERLARLNKHRNVDLSATMLGDNSEKSKNL LKKAMRRRNAKTVTFTSPTYIEASDIEFSTDDELDEVASLTDADGLRGDVDDLQESEH EDIVVEPLRPKSQRDKASEDLETVHEAKESDRASPEKQRSSAELLESEAETAVSRSRN GTVRNTDSFFKDDTVETKKISLTPNLLRDEVGSSSVANDARETRGSMEAVEKALSAYD KNKDDKKRKDKKPGMLSGLFKRKDKKTKAAEDETDEVEKISGELSRSSPQPKTSSESI SSPESKSPNPLVVQKQPSKLQKPSPIVQAPPRNGVEQERVTQRSVEANDDLNVMQSQR NEQTIRQVPSEDNIPQSPVSTSIQQDQPLSSPIRTSSPLKKLNTAQPNSGGTVASPIK PHYSQHSVASPPQKFPPRQDVGYQGLRGDSPVEVSSVDISPVEGPSSPGAPEMTMDPS SPGGFSVSPVFPPLSPAVESNGSRNLDAERTSFDVGSADTPTWSDASLRSYLDDESDI RDLFIIVHDNSNVPPAGPDHPITGSLFKEESKRLKEMNSQLDSMLADWVGRKMRKSIS Q AOR_1_638164 MAASNLHTVAFFGATGGLVRDPAKLQNLLRQRGISDSVTAEKLC IVKGNVTDLDAVKQTLMYNGRPVDIIISGVGGKPVFTNPLRPRLDNPTICQDAVRTIL AASRALGAKPVLIAISSVGLTTKKRDWPIALAPLNYWLLREPHADKKVMEETIFEEMS KPDEDRAIRDYTLVRPSWFTNGEGVGLGKIRAGTEMNPAVGYTICRNDVGLWIFENFL RRPLQLDNPYLGRPISITA AOR_1_640164 METQTRTSGLRQSCIFCRGRKIRCSGGRICNACRDRNINCVYGP EARKGRPKRKRTEDKCTLNPGISKRDRKQSILLTNTTIASALSDESPLSASVALKKVR TLGNELEQMFDDYFISKTGSESNLLQLSITSFQRHRRPYTSTPSSHPTQHQVNYDGLL SSIAQEMLELLLLRVGSLRCGQPENGNRNFFIASLVADTTSSMFDPPQHQNPLTALGK HRILQLVDMWFSAHPLSPLVSKTLLTSDVKDGTVDEALLAIILADAYHVLGGTSEQYG AISDESQKLFEFATTQIKQRQLPLGDSEALSTAQTLFLIAWRELCLGHARRATCIVGY TCYMASRLAKTGKNTDMKRVKLNGVEIDLVKKEVLQNIHWLCLTTTTWAFMQFDQALA LFGPDEIPEFPCLDETTSALIRLDQASDNISTLSAQIQALRRLWPLSHIASTVSHIYI LYFDMPAKKVPNASWQEQHIHQLYDLLQSCADFPTLSVKIRDVLLQAVQAVERRITML SSQLYLLTAYHIIITHMLFSQNKTDQESLSLSSTAINAFCQSASALLALSYRSLDPSK GLMPVQRDDGVEFINMLVLGLDTCSRALVHIYDHLSRGSIGNQKEKAAFKKQLADYAD KFHQICKSDAVSRCGSVMRPVKKRLKWAKLAFQHLQILPEPEQVLSSAQHRTPDSSIA LNLDLPHIEGLLLDPAFATARLGDLSSSDIVPDALLVDRQPVSGITDPFFVDDPIIGT LLGLSGVTDTREQLYQDYTRLQQSKQSMGSEQDLLHIQNPMRLLPGDGESLDLVYRGP RGSPLSLANTTDRPSELNSPYEMTEPIRSDMDNFTLSEQPLDNDLLLQLLSNSDDNMG TLYGN AOR_1_642164 MSDPYAQHPHYAPPGPGPEANFYAPADSVYQNPPYDYEPQNPYT QQSPPNQNYQYGSQYDLAQTPRSYPPQMSGPQQDYLNPASAGGFEQENNHRESNADYY NAPTDEQDRHHPPDSRPQEADNTSDNEGTERNLAGALAGGAGGYVLGRQSNHGLLGAV GGAILGNFVGDKMEDKPDDEEDGHHHRHHHHHGHHHHRHKHRHGHRHHSHHGHHRSHS RHSSHSGSY AOR_1_644164 MPPPLIQHLTQALPQHLLGTLSIVSWLFAQLPQIYKNVQLQSTS GLSIFFLVEWCLGDTSNLIGALLTRQATWQVIIASYYVLVDVTLVFQFFWYTHIKGRQ GGYSSLSHSHNEGGTGGVLEGVSFSENNSVNQTPSETTADSDAKDVKGRKEPIFGSYN GQSLSYSNEKLSSSRRSMLRKSSGPSLPIASTRTVLLASMLCAVVANAAPTEPATEPH SHFLSLGFLGTLFSWMSTFLYLFSRPPQLYKNYKRKSTSGLSPLLFMAAFSGNFFYSS SLLTNPNAWYDYHPYGGGGWADSDGNNRAEWLSRAIPFFLGAFGVLFFDGFMGVQFLM YGTRDDESIIEIEDPKCGRSRWMRVRGWMRGWIPSVSPTRDAHRRTPTESQALLEEDC DRYGTV AOR_1_646164 MPRRSVNSSANNPSNSQSTSSNSGKGGITFKAPTTKPSLLSQLF STSPKSKSDSQSANVAARGASGNAHPTSISSASLSLPTISLSTATAGNPNMDEPPTTL FQPPSPEEARRQAKANAQFGTIGHPSHRYSSQHPGGVFPEPIMDEPPYYYLLTTYISY LILIAFGHVRDFFGKRFREENYRHLKPRNGYGALNSDFDNFYVRRLKLRINDCFERPV TGVPGRYITLIDRATDDHNKHFYFTGTTTDTLNLSSYNYLGFAQSEGPCADLAEESIR KYGITAPSTRAEVGTQDLHMEVEDLVAKFVGKEASMVFSMGFGTNANIFPALVGKGDL IISDELNHASIRFGARLSGASIGMFKHNDMKDLENKLREAISQGQPRTHRPWKKILVV VEGLYSMEGSMCNLPGLISLKRRYKFHLFVDEAHSIGAIGPKGRGVCDYFGIDTNEVD ILMGTLTKSFGANGGYIAADKNMIDQLRAANSGVIYGESPAPAILSQISSALRIISGE IVPGQGEERLQRLAFNSRYLRLGLKRLGFIVYGHDDSPIIPVLLFNPAKMPAFSHEML KRKISVVVVGYPATPLVSSRARFCVSAAHTKEDLDRVLTACDEIGNVLQLKFSTGVAG GALPSNEDVTPPPEMEKEWHRKRDPVAPPRWRIEDVIRRGVQDAKGPLY AOR_1_648164 MSSNGVPTGSKELWRHSSPQDTQIYDFMMKLNAEHNLSLKSYND LWRWSISKPALFWERIWHYTAIKSHKPYDRVLESDGDLFPRPNFFEGSRLNFAENLLY PASALDENEVAIIAATESEREYVSWKELRERVRQCASSLKEAGLQSGDRVAGFLGNHT NTVVAMLATSSIGAFWTGVSPDTGVHAVLERLKQIEPKILFADNASLYNGKVHGAEAK IRQIVPGLPNLELLVVFETIKSHQINLEELSPAQGKVSTYESFLSAASDPSAPLEFAS LEPGHPVYILYSSGTTGAPKPIVHGSLGTLLQHKKEHMLHCDIRPGDRLFYFTTVTWM MWHWLVSGLASGATIVLYDGSPFRPFDSEGGNGEMAMPRLIDELKITHFGTSAKYLSI LEQASLNPRKHPHRPVTLQTLRAIFSTGSPLAPSTFEYVYSSFHPDIMLGSITGGTDI LSLFCSCCPILPVYKGEIQCRSLAMAVSVYDYAGNDISASGEPGDLVCTKPFPAQPVM FWPPGAVGEEKYRKSYFDIFGPSVWHHGDFVRLDPQTGGVVMLGRSDGVLKPAGVRFG SAEIYNILLKHFAEEVEDSLCIGRRRDGIDTDETVVLFVKLASQEKTIPQELAARIQA TIRKELSPRHVPGIVDACPEIPVTSNGKKVENAVKQILCGLNIKIGASVANASCLDWY RAWATANS AOR_1_650164 MRRSVLRAVESAKPLARAPRSVSRSFATVNEAGSKDPVELDQIT TLPNGIRVATESLPGPFSGVGVYVDAGSRYEDESLRGVSHIMDRLAFKSTKKRSSDEM LEVLEGLGGNIQCASSRESLMYQSASFNSAVPTTLGLLAETIRDPLITEEEVLQQLGT AEYEIGEIWAKPELILPELVHMAAYKDNTLGNPLLCPEERLGEINKAVVDKYREVFFN PDRMVVAFAGVPHDVAVKLTEQYFGDMQGKKSSNGPVLSGTGIETTLSNSQSAVEEGQ VPTIPQFTPSSTTSTTPASPKSESSLLSKLPFLKSLSGSQKGSVSPLDPSLVEPSTFN LTRPSHYTGGFLALPPIRPPANPMLPRLSHIHLAFEALPISNPDIYALATLQTLLGGG GSFSAGGPGKGMYSRLYTNVLNQHGWVESCIAFNHSYTDSGIFGISASCSPTRTPEML EVMCRELQALTLDNGYSALQAQEVNRAKNQLRSSLLMNLESRMVELEDLGRQVQVHGR KVGVKEMCDHIDALTVEDLRRVARQVFGGNVQNKGQGTGKPTVVLQEGELEGYKLRSF PWEEIQERIARWKLGRR AOR_1_652164 MVQLQEVEDEHYAQEKPQVTKNNVLLASDDEDDDYTDTESEISE DSDIELDGETFYERLSALKDMIPPSARRQVNNTVSSITSFTKSSLMFSGRALWVISTS AFLVGIPFALAYAEEEQYVQMEREQGMIKGANEMLTPGAISEEQKQAQPTL AOR_1_1448164 MPRTYGKRAKQTRLSFAPIALSQDSAEDADEKSGRKATLRYAHP SLPTIRSTRSQPNGPSSRSMSPVPFVKKSSADQQGSVSEAETSEQSTLTKKKKKKKPK KNRQEQRKKKDKKGKEEKDKKKSEETADELPQHSTSETKVPVGRESESDGDEEALASA RKVREAQALKRKRSQTPSDTAHSPSHNPSLSAKSSDSDAQDIISCPRRKIRRKLRRGP TQQPTIVLDDDDSDEGPISTPARKRRRAIDAQPPQTPEQNLDQDELDLREDLEDLQDS VVKETRTRGRLANSARAQRQQHLEALRRRRAGAKETNDERSGTPKSASESEGESNDED GDETEGENTIMQPRFRQRNEDSDVESSVASDEDLDRYEDDFVLEDGTLGAPSSLPDMP FEFTRHAYKQLKDYFQDAVEWMVFNQLNPAFERSSPVYQVAFSKLEDEVKGRTGSQLI SSVWNANFRNALMARPHMEVTGYPTLENHPCDACNRSGHPASSDMKLHGKAYSLETFE PLSDEASDAEEDGQERDRNGHVLPDENTRFLLGRATMAHTLIHWRFRLNEWVVDHLKR MGYMSDKEVLRRSHWSQKKRAKKAAEAVGLMVYEGEIKKLWRDFHIDLRSARESITLG AOR_1_656164 MGACFMFLLVKCFRRLAFEARGNTGILSFGNLLPSRMHPCDSRS KTSRTPLRGSLLKRPRRLSLLSVMERFAPEFSIGNRSEDRKVSAARFLNVRLLRRRL AOR_1_658164 MAFNLMGSKRAYNWYISCVAAACMVLYGYDASVYNSVQGSKNWV LYFNDPDDNMIGAVNTAYTVGAIFGGFFLGGPVADFLGRKYGMAIGCVMVIASTFMQT FAPRGNIACFLAGRCIIGIGQGIALTAGPIYIGELAPAEIRGKIMTFWQMFYSVGSFI CFWVNYGCTEHKENLGEWDWKMVVIFQLLVPCLILVLMPTIPGSPRWYIQRNNDVEKA RKALQRVREPHEVEEELLKIREAIEYEKEAISGSYSALWKDKSLRKRMALALVINAGQ QITGQGTLNTYSTKIYQKVFPNAGQIALINALNATFGIIFTLNAVWIIDRFGRKFLLI VGGIGMGICMIIVAAVETETPSIGDPGSDVKSTPVGISIVFLLFLFIFFYKPSWGATV WIWTSEIFSMNVRAQAVGMASQTQNVANAIVQQFFPTFLNNCGFYAFYMFAGINFLLS VFVFFFIPETKQVPLEEIDALFGGANHVTHGEELVAGEKQLQVMQSNTGTEKAEAVTI ENAPTRQH AOR_1_660164 MSVFADLVSSLQDRFFDDPSVIGVPARYAVMSDSAHPGGAGLPD ENQGPRILAATTITTVFALLTVLARMYVRLFIIRNVGLDDYTMILTMALSLAGWAIII PEVIYGAGRHTAYVKDTATTAMHLNFATQGIYMWAIGLVKISIGLFLLRFAPRKGYKI FIWVIIVLMLLYTTICFLTLVFQCKDIRSIWDMSVKSKCFTPTQLLELSYTNTALNIL TDLIFAILPAFMLRHLQVNRRVKASLVCILGLGIFACAAAFVKLSVLPNYGRTGDFLW DYSTLTIWVVVESNMGIIAGSLPTLKPLFKQVLGSYGSRSKTRPYNTYGSKQYRLRSL SRSRQGQSQTLHSAPRSRTEAEADQKLPTSRHFATTTTTTTTTYPGNDSSNSSEEYIL SPTGPEGIMCTTEVMVSHTSEARNSARGKKAAHFGRDDMV AOR_1_662164 MVALQALSLGLLASQALAFPAASQQAATATLPTTASSSTAVASS QLDQLANFAYNVTTDSVAGGSESKRGGCTLQNLRVRRDWRAFSKTQKKDYINSVLCLQ KLPSRTPAHLAPGARTRYDDFVATHINQTQIIHYTGTFLAWHRYFIYEFEQALRDECS YTGDYPYWNWGADADNMEKSQVFDGSETSMSGNGEYIPNQGDIKLLLGNYPAIDLPPG SGGGCVTSGPFKDYKLNLGPAALSLPGGNMTAAANPLTYNPRCMKRSLTTEILQRYNT FPKIVELILDSDDIWDFQMTMQGVPGSGSIGVHGGGHYSMGGDPGRDVYVSPGDTAFW LHHGMIDRVWWIWQNLDLRKRQNAISGTGTFMNNPASPNTTLDTVIDLGYANGGPIAM RDLMSTTAGPFCYVYL AOR_1_664164 MEMIVAQVMDHEGLMCIAFAYDGPCSLEYTRQAFIEPILAPRST SNHSQQPFNPNQPLKSKMDSFDLAKAPPEIRAYATPIILLNLYTNASWLYVYFGMVYR SVKDKSYAMPLYSQCLNIAWEITYGYIYGDDWMLFATFLVTFPTDCLVIWAAIYHGAK EWDRSPLVQRNLLWYYVIGTGIAVALHMCAASELGVEKAFFAGAIGCQAVLSVGYLGN LIQMGSTRGFSMHLWFFRFTGSLTLVPEFYLRVKYWPERFGFLGQPLMLWCCAVFLGF DLVYGILFWYIRRQERETGMLLADGRKRK AOR_1_666164 MSTDPEKVDECTIEDIKSPSEAPDRSDRDAERRLLRKCDLHVVP ILTLLFMFAFLDRINIGNARLMGLEDDLGMSGHQYNIALFVFFIPYILFEVPSNMILK KVKPSWWLSGIMFAWGTITICQGVTASFSGLVVCRVLIGLFESGFMPGAVYLINMYYR RHELQWRLNLFFSASIIAGAVSGLLAYAINNMSGLAGYEGWRWIFIIEGLATVVIAAI SKFIIVDWPESATFLNDDERALLLARLKEDQGEARMNRLDKKSMRRTFSDPKIYLGPI MYFGIVNTGYAVSFFTPTILHQLGWTAVRAQVMSIPVYVVATITTLSAALLSDLLRHR YLFTLTGCLVATMGYVILLAQSTVPVGARYFAIFAITSGGYLTQPILMGWLSNNMAGH YKQSIASAMQIGFGNCGGLVASNIFFEDEAPGYRTGYGVSLGMTWICGIACALFLGYL VRENRVRGRGGRDSRYQLPREELENLGDDYPGFTFTY AOR_1_668164 MSRPKKVAIIGAGPSGLVAAKTLLHNYPKGTFSPTIFEKGHEIG GLWPIEPRDITTETTTPGQRPHNGFVDPSMPTNQSRFTVTFSDLAWESVIDGADIPMF PQAWQAGKYLQAYAERYIPKETLRLGHKVVGSTREMSGGSRPLWTIQWVLERDNEKGK ISTDEEVESETFDYLIVASGYFSTPYTPDIPGLPSFVEKTFHSSAIHTKEDIYLMLEK CGATKDGSGKLVVIGGSISGAEAASALALFLSSMSATAFQYRGYEVHHICTRPFWTIP YYLPHAAPQDDIQEKTVQFIPLDLVLHDLARRPPGPVQYNFGPVSQQQETKVNEYFLS LLGDQHAGYGNIGGGSRGGTNNTQPSLIAISDDYAEYVQSGSSGQANVDIKSPDGEMT TLQNVTAIVTATGFTPFASLSFLPEDVLSRLEYSAEDSYFPLILDEKGTSNAEVPDIG FVGLYRGPYWGVMEMQARRVAESWYRADSEQGIQFSTEELKNKTPGANFQWEIMWG AOR_1_670164 MSNKVRSQQRKKNARSIVSEDPWRVETPFGPPRISHLHFPEGGI RRIRNTLHKLSPSARAERELLRQKNRYKIPLTERNVDTFVTEQQFTEACYPEKHSNEL HVSAWLERLAY AOR_1_672164 MSRSRLPPTPAMSGELIVKDQVSVDAVDSFALPPAAMSPAKMES ASRRSSKSDQSAYFPASPTSPNLNMGRGANPPRQTGIKRPLEDFDLPPPPTRTRKIIQ MKPKTQTQSQAKPAPTTNKPSKESGKSTSNNNNVSPATSKKKQPSATSAAGRKIARKT AHSLIERRRRSKMNEEFATLKDMIPACRGQDMHKLAILQASIDYVNYLEQCIHDLKTA GDSHMAAPQRMPPAPPSPSSPERMCYQRNLTGIFASQSYPLNKHSYRFLFDPAESSVG SDSPLRQHSTVVPWILGSNVNQPLAGAPTTDSSCVFSRDGP AOR_1_674164 MGLNEEKTTKLEPSTSGEGGSIHVGESMGTLHRRLGNRQIQLIA AGGSIGTALFISIGGGLAKGGPGSLFIAYTLYSCILGLVNNSIAEMNTYMPVSGGFIR LAGYWVDDALGFLAGWNFFFYEAFLIPFEITALSMVMSFWNETVTEPGPTAGICAAVI VCYATLNILAVKFYGEAEFWLSGGKLILIFILFAFTFVTMVGGNPQHDAYGFRYWNNP GPFAEFHTTGDLGRFEGFLAALWSASFCVVGPEYISMVSAEAQRPSIYIKSAFKTVYY RFCIFFVVGSLAVGIVVAYNDPALVNIYFGDGDSSTAAASPYVIAMENLGVSVLPHIV NALIFTSIFSAGNTYTYCATRSLYSLAVEGRAPRILRYCNKSGVPVYCFCVVMLFPFL SFLQVGSGSAQAITWFVNLVTGGGLINYFIMSVTFINYYWACKAQGVDRKKMPYYGWF QPYGAYLAVTVHTLVIIFYGYSSFTPWSVSNFFSNYTMQLVAPCLFIFWKVVKRTRYV RPHEVDLVWERPGIDAYENSITTPPVGFWTEMIGLVGIGRKKNSQDPERDN AOR_1_676164 MSESLIPIFCLHDIPLQILTSILTKAYAHAIEIQSPPTLLLLEN TGNALRRYTHDDVTYPPVDPSFKSPFLGWELNEVVRFLREYATGTVVDESVFLVADQR TAEDESLLLVQSLRDGESVEFVRVAAEFVNTQAVAMAVATTDVQELRSLIDEGGVFRG GSGRGGNLLPRKGGKAPRKQL AOR_1_1450164 MVHSQGSPDDFFPDTQSIGLPKWPSVIPSLELDSEWCKHIGPFP ESIYVSPALTPSSNIPTSMSTSCPIDASIRPLELGSQAMTPQFLPVHLPVQLSPLERA DLDDLFFDRAYPFAPIVHQQRYYARATHESDAREPLTSLQYAIRTLAASMGTQFQGVL PLLYTHTCCLLDVWEQKMPNEALPIEVVQARLLLVLYEILKSNPSKGWISAGRCFHLV HLVKLDQIDNPNSWQTSNLSWIEVEERRRTFWTAYTLDRYANLVNGLPLAMNDQMILT RLPAPETAFRHQQAVTTEYLAPAIAKKGDQQLSPYAKSIVMLTILARCLSHRNQCNVE RILDPTSQECIVRHRALDTILSHEIQTSLSSAAAGFEPCDPTFLFTNMLAQTAVLVLF TALDSVPEVAEMYQDMYGSYETKASMATERVLSQAQKLSQVGSFKVHPFTPIVLFICA EFSRSCNGFNQNFAAQSKAISATLSDMAPINSLARMLELELQRNLEIVPGAISF AOR_1_680164 MTQKTIFQGDTKAQSHVSVGSSIYSEKQGASCTVHGSSQTPHLD RQLQQPQTKEILQALTVALNDIADERQCTKCAVETASGLLTGYVREVKAAKKNGQYSK EEKKALKKEIKDLAKGVKRDVKALWLEGNSN AOR_1_682164 MIVNANDSTLHLPRILCLHGGGTNARIFRMQCRVLERMLHPHFR LVFAEAPLPARPGPDVTSVYKDYGPFKAWLRVRPEDLAQNAHDIVRKIEESLAAARLA DDCRGATGDWVGLLGFSQGAHLAVGILATQQELRRRGEDDKVWPAYRFAVLLAGRGPL RWLRPDLPMPRGFVDAGQCTTGGEPTFHIPLDYTAADLQTRIMIVPTPDIATTPEIAI VGGGIVGLVLAAGLTRRGVQVKLYEQARNFREIGAGIGFTKNTVGCMEKINPAVVTAL RSGGAVNVSLDQQDPKSYLRWIDGYGQQREGDPMYQKPLLKLDAGVKGWETVRRDQFL EDLVKVIPEGVVHLRKRLDTIEDNEDVDKVYLNFTDGTRAEADAVIACDGIKSRARQL LLGLDNPASYPQYTHKVAYRALIPMDKVVAAIGEYKTFRQHMHVGPNAHLIHYPVNTN TIGATVVVSDPNDWPQDKPTTARASRKDVFEALAGWCTPVRNLVELFPEELDQWALFD LFEYPVPKYNKGRVCLMGDAAHASSPHHGAGASFGIEDALCMSTLMSELIMDLREHRT SKATALRTAFETYDKIRRTRTQWLVNSSRRVCDLFQQPEWANPAKRVKTESCFEEVKD RSFKIWHFDSTAMVDDTSREYRGSMNLATNKVKSVNGNNGVSVDHGTNKV AOR_1_684164 MSDTTARSEKSVDAKPPQPQEPPSRPAGPPSPPPNGGTMAWLNV LGSFMLYFNTWGILNTFGAYQTYYESGALFTASSSNISWVGSIAAFMLLFVGLFVGPI YDRGFLRTLLIVGGFMVVFGHMMLSLCKTFWQVLLAQGFVVGIGTGCLFVPCVAIIPQ YFSTKMGMAMGIAASGSALGGVIYPVVLYRLINEIGFPWAVRVIGFIALGTLLIPLSV MKLRVKPPKVRAMLDPTAFTDAGYMAFILTSLVAYMGLFVILFYLSYYSAAERITDQS LAFYLVTIFNAASVFGRTIPNKMADKLGPFNLLVPASLLSGMLMLVMMAVHSKGAIIV MALLSGFMSGALIGLPPMCLAMLTKDKSRLGTRVGMGYAIIALGVLISGPSSGAILRT GGDSLNWHSLWTFGGVPTCAAGLLYAVIRVAKYGPKLAIKA AOR_1_686164 MSEKYDWLVQIPVNASDMKAWANARDAHLLHLKPYVADGTIVFA GPTLAAHPKEPEDKMEITSSVMMFRARTEEEVRAIVSKNAFVEAGVWDMDRAVVAPFK CGVRTAL AOR_1_688164 MGSNSAVLPTTIPTVDISPFLDENASPEAKEQVVDAMRDACTTF GFFYLVGHGIPEEDRQAVLNCAKRYFYLPKEDKMETWIGKAMGRSFRGYEPPALQVHQ EGLLPDTKEGFIIGRETHADAPEAGTFHTGPNQWPKALADEDFRIPVMKYHAKMLEMV KVILKVLARGLPEHWGCSPNVFDELTINPSAPLRLLHYAPQPVKHDNQFGVGDHTDFG NVSVLLQEEDTEGLEVFYPPTETWVPVPVKEHSYVINMGDMMQKWTAGYYRSARHRVV NHNVRSRYSAPFFLNGNLDLKCRALDGSGVETVIGEHIRRRLMETIGGEAGRKLGL AOR_1_690164 MHSSKVIVITGANTGIGLETVKSLLRSNQKYHILLGGRNLVKAQ LACQNLTLETSQSSVEPFQVDVESDDSIEAAYKHISAKYNSVDCLINNAGACFDAHIA DGRMTAREAWNKTWDVNVTGAHIMTTTFLPLLLKSRDPRLLFITSGLSSLQASSDSKN PKNVFPPAGLPKPLPFVGYRSSKAGLNMVMVEWAKALENDGVKVWSVAPGLLATGLGG DTELLKRLGAQDPSIGGDTIRRVVEGERDGEVSYVVRGYLTPVQPW AOR_1_692164 MSEVLVITCPSGKQCSLLIPLLYNKGKFHLRLAAHSEASAQKLK AQYPDADVVTTDLQSLSDCRKLLHGATAINAVLPSLHSHEKEIGFNLIDATVAESRRE GNVIKHFVFSSVLGTQHRNLLQHDLKSYVEERLFLSPLDCWTILKPTNFLDAYPVAAL AAQEHPVLEKWWKPEHANSVIALADLAEASAKVLNEREKHYLAEYPLCSTMPISETEI IQIIEKRIGKKIELKTPSFETGVNKLIRALYGGEEKGDGELGLGLASEGDLRGDLVRD TVEHLILFYNRRGLKGSPNVLRWLLGREPTSVVQWVDGIALA AOR_1_694164 MVNSGSNIKSESLTLVFGPQDPNLDNTFLQSLRTTLLETPELQW ILETLTQLPQEWQKISDAHSELAAFQGQRYLQLLNEWVRRGTLPSNLFPLPNILVTPL VVTTQLTQYTKFITQLNPGITSNDSLQGALKLDTETAGLCTGLISSAAVAISGTLAEL QKHGAAAIRIATAIGALVDAGDSEREDGDKWESLAVGWTAHGTESKLESIVDGSPEAY VSVISEARLATLTVLKADTPELLEKLKAAGVIFTKTALRGPFHCGKRKDQAASLLQLF DSDPSFQFPHASQLAFSTRSADSGKFRIEDKLHHEAARAMLTDKADWHKLFTALHEST STRPSLTICFGSQRFVPQWFLRKLGPKLAYAADLDANPGQLPPALGALLGPIEDDAIA VVGMACHFPGGSDLNEFWDTICAAESQCTEVPSDRINFDYAAWRENDEKRKWFGNFVR DYDAFDHKFFQKSPRETVSSDPQHRMMLQVAYQAVQQSGYFSKAAIDQHVGCYVGIGV VDYENNVACHAPTAYTATGNLKSFAAGKISHFFGWSGPGVTIDTACSSSALAVHHACN AILNGECNAALAGGVNVMTSPEWYQNLDGASFLSPTGQCKPFDEAADGYCRGEGAGAV FLKKLSAAMEDGDQVLGVIRGSSVNQNANCSAITAPSVQSLTGVFNTVLRKARLDPKQ ISVVEAHGTGTQVGDRAEYDSVRRVLGGPGRAEPLSLGSVKGLIGHLECASGVAALIK VLLMIQNGAIPPQSSFRTISSKLDASPLDNIEISTCLRPWATGSRAALINNYGASGSN ASLVITQADHAEVQSEAPRVVEAFAGKRPFWFSGIDDQSLRSYAAKMVRFLQTRKPND NRFTIDNLSYQLARQSNRSLGQSLIFSCASVAELEAKLASFADGWSELKSTQRQQSSR PVILCFGGQKSNFVGLDREAYDHFKVLRTHLDQCHEICLSLGLGGIIPAIFERTPRSS IVELQTMQFALQYSCAKSWIDSGVQVAALVGHSFGELTAMCVCGTLSVRDTLKMIVGR ARLLEEKWGLDRGSMMAVDGELESIQKLLRETNAAHTTEPPVNIACFNGPRQFTLAGT SKAMAVVKQTLSSNPFFSSIKAKQLDTTHAFHSVLVDPLVPELEKLGEDLIFRSPVIP HERATQEAIRDPPAFNVFASHMRDPVYFDQAVQRLAQKYPSSIWLEAGSGSGVTALAS RAAGSCGMVFQSINITGSGAVQNVADATFNLWKEGLNVSFWGHVGQTVKSLLLLPPYQ FAKTRHWLERRKPEVKEAAPVAPWPKSPKGLWTFMGFQDSGNTHARFQIHSTSDEFKK YVGAHLVAQTAPICPSMFQHVMAREALVSLLEGSDIIPELENMENDAPLCLDESRFVW LDAHRTDSESTSWEFRITSVDKDNAGSNATQHVSGRLLFRSLGDSTSVFTTFERLVNR RRPLDLLDGQEAEDVIQGSRNIYKVFAPVVQYNDDSYKGLQKLAASGNESAGRIVKQD AEQTILSVGLADTFCQVAGIYLNCMAECEDGKMYLSNRVERWIRSPNVPLDSRPNQWE VHAIHNRPSPKAYVSDLFVFDSATGKLVWVIIGLHFVEVSIAGMSRLLGRYTGVQSTQ QVAALAPEPLPVLPGIASPAAVPVAKPPANKPKTKTSTSSPTKKAPGRDVLNGVRELF CNLLGLEPEDIRPGSDLVELGIDSLLAMEVAREVEKAFSIKFELEELMQMTDVRSLVN CIQASMGASDSSTTDGDLSDGFDEASAAGIQTPPSEPAEDVKIPSIGSAPITGHLSAD SIIEAFTETKLLTDRFIEQNGLSGYSNYVQPKLTELVVAHTLDAFDQLGCSLRSVQEG QIIKRLPYLPKHDKVIAVLTGLLEKARLIDLDGSTMIRTAAPLPTKSAPQLLQELLHE YPEHAYDHKLTSLTGSRLADCLGGKTEAIQLLFGSAEGRELAAGMYGKSPINVAWLRQ LQHFWQRFLAHLPQQQQEPINILEMGAGTGGTTAALLPLLASSGVPVRYTATDISPSL VAGLRKRFKDYPWMRFEVIDIEEKPPTKLLETQHVVLATNCVHATHSLAVTTKNIHRI LRPDGFLVMLEMTEAVPWVDSVFGLVEGWWLFNDGRTHALAQPDFWKRTLHANGYGHV NWSDGQLPENSIQRIIIALASSESQNLVSICPPAPSITTADFAARQAVVDSLVQKHTH DFAVPTSLPISQTNNDSFRCVLVTGATGSLGSHLVAHFAAQPDVRKVICLNRVSGSDA TTRQLEALQSRGLQFNQNILLKIEAIETNSSAPMLGLSGNEYQHLVNCVTDVAHNAWA MSMTRPARGFEAQFKALRNLIDLCRDAACHRRAGMEKIGFQFVSSVSVVGCHPFLTNE AWVPEQRVNVASALPMGYADAKLICEKMLDETLHRYPDHFRTMAVRVGQISGSKVNGY WNPVEHLVHLIKSSQSLGVLPDLDGVLSWCPVNDVASTLGDLLLGCNTPAYPIYHIEN PIRQPWPEMISILSDALDIPRTNIIPYGEWLQRVSNCPPSIPASENPAVRLVDFFQTD FLRMSCGGMILDTTHSKEHSTTLRSLGPIDRELVMKYIRAWKDSGFLL AOR_1_696164 MFRSLLTLTKLASPQYIFPTVDPKIDGEECRHDCADCTVKWPSK VKIDTTLPMYGYIKQFHTHVLVATGKTDWMGKVEQEKGSLMEAFKSEGGKSKHGRIMV SASNLTPPEGEDGTIDSGKTTVLLLPSFTFVDRVAYGDVRHVVDTFIDNPKQESRLSS RPCPHDYVVLLCSHQRRDARCGITAPLIKKELERHLRGHGLYRDLDDERPGGVGIYFV SHVGGHKFAANVLIYRKKEQQMIWLGRVKPEHCEGVVKYTILQGKVVHPDSQLRGGFD RMKGLTSW AOR_1_698164 MESPRESATIVNDPNREEGNVQSETTPLLQKRASDVTGKIISTN VTLFVAGLNDAALGVLVPYILPTYGVTLFQLSQIYLINCAGCLTASFSNIHVCSRIGT GGTLVLGAVIQTLGFALMYWNPPFALFTAAFFLTGMGGAYQDAQANTFTTTVDNAHRW LGILHAVYGVGTIISPIVANVIASRTPVWHDFYFVMLGLGLLNLCLLRWTFREGLFKP NKRNASGTAASELKATLSNKAVWILSGFFFLYVGAEVTVGGWMVQFIVSVRNGDPKEV GYIASGFWTGFTLGRVALADITHYFGERRMVFVYLTLAVTMQLLFWLVPNIVVIAIAV FLLGFVIGPFYPIGLYVLTQVVPEDLRIGALGLTASLGQAGAAAFPFMTGAIASRAGV EVMQPIMLGLLIGIGLFWALLPRQRAISL AOR_1_700164 MLSVATSIFGLLATVPLAAAGSSPNVLYKDVVVVGGGASGAYAA VRIRDDFGKSIALIEKQDILGGMVDSYTDPKTGKPYNFGVMTFLDAGNATGFFDRFGI EVGSPSLLSVNTDYIDFKTGNPVNFTLPPMADQMAAMAKFLKIVEPWEHLMQPGYGNF PEPDAIPEDLLIPYGDFITKYGLEAAVPLMYESTGLGLGDMTKETTMFVLQGFGASMA RAMVGKQNQLAPASGRNQDLYDAVAEDLAGDVLYSSTVIESHRSNSSVILTVKNNKTG ETTQIHARRLLLAIEPTAANMAPFDLDRNEKNVLSRFTYTNEYTGIVNNAAFAFNQSY FNLPRTAAPDNYLALPEASFTARIDYIGGGDLFRVTIVGNSTLDTAGAKRLVQNDFNT LLRSGRLAKTSNGEPLSWVDFATHGPMHARVSVADVKAGFFQKLNALQGGRSTWWTGG AFSVNFQTTLWEFDELLFPELLKGLN AOR_1_702164 MPTATDSTKDVAADESHRGIIIGVQTALTVLAVVVVCLRLYVRI KIVRSSGCDDWTIALAALCSLGGWILYVYKACHGLGHHIQFLDDMQRMKLDEAAFWQV IICSAAGIALLKLSIALNLLRFSPTRWYTMSLWTSIAFVVAYSFMGAMTFFLHCKPMQ AHWDKGIKDAKCYSVHLFVVFALINTSFNIFTDVLFATIPIPIVWNLRMKRRVRMYLI GVFSLGYFTVGLGIIKAVAQLAYSNETDIFFNDSILFWGLAQFNVGILTACVPSLKPL ARRGLKLSEYTDSRSRSHGLYGRRSTGRWTSSRHSRRMFSIHIRDQYGIEELHSHDLS TRSQSDEVKLTPYGATVSFTAHAERGTLEDSSEMDQSIRGETSLEKRVVGGILKTTQT TVVSSRAAD AOR_1_1452164 MFLAERFAQVEGGLKCLDTFIELLLRCSWYLYEAEYYEESLNLL QVCLQALERKGDTNSSRYAQAHNVTGLVQVDLRENNKALQSLKIALKIREKILPPTDW GIGVSISNVGFAYTEQGDLTQAMNYLQRSLEFRKAINCRMVDNSYANIASCLLRMGKP NEAEAMYTSVPDVRDLTDEQLLRENLPRYSSGMQLLSMIRQAQGRLDEALDFASKVLQ FRRQKFGSRFKTCDSLCHVAKLMLLTKKSMAALQLLDECISVASSLPKAEGYLALAYF RKYKIYRAEGHADAAECLRDAIRAKEIALGRLGSDYDSTLADDDEAAYTQLVAWLLW AOR_1_704164 MGASSLSPTSRPRVWNIVRQRFKDSLHDETDLEICMETFSLDIL LSQLKSVAKAHRNENFLLARLSRFRPSLVYLNDFMTVLVLSFALDGKAGALLWGGICL LLSLLAPLEEIFSEVQTMLEELIAALPGIHPFEDNIILDEKLETAMVGLYTELALFCA RAISFIRVRRQHLSPIRQSWPQLSREFQQGLKRVQMLSQQVERETQRAATTAALKWNN EIITLFQSLSTGHKHAGPELPCFHIPLTLNDRFYIREGLLDQISGTLNPKAGRSGPRA LALYGIGGAGKTQTALQYAYRAREQYDAVLWISADSTVKMAQDYMAVARRLGVLPETQ ETQDAFGAMAKMKSWLADTTCSWLLIFDNADNLEVLEYGWPNGVVGSILITTRDFNAS LHPASQGLHVNVFDNKMGAEALQRLLGPSYDYPSNTPLIAELNEQLGGLPLALNQISN YIRQQKMGLKDFLILYQNNQEKLHKRRPAAFDYQHTIGTVWEFALEKLTGNAAVLQRL LAFLDPEAIDEATLCNAASTLSKQASLTDSSLMFLADPIDFEDAKAELLRAALIDRDP RTKSISIKLDILICAVSFYVRPFQVHGPTRSMFGCIMPGKLARNACDT AOR_1_706164 MELCQVLERLEGAHTQIERVGEICGASSISYGVLHYGDVIYMKS VGLRDQAQRLPADSQTIYPLASVSKGFLAAAVGVLVDEGKLNWHVPIRTYLPQFDPVH DRDLGQYANMIDLLSHATGIAQHELLHIGPFGSIISESSKLVHLLNALPTSNSHGSRF RRWWLYNNHVSALASQVLESVSDGVCYPDYLEQRILRPLHMLRTFISTNKFDSDSNIA LPYARLSDGSFAKLAFPEWLQETTHILASQGVTSCVQDLLIWAKAILERELWEQLQDK EKLDRPFPPNNPLRQVTTIRHGHNPHPLDDALGHPSHYCLGWLGLTLPSSNLGMISFN KETRRSCDHLDYVLGKDSPPLRVILHNGKAPGYNSAIYTFPETTSAIIVLSNGATDGD PADWGAQILTQELFDLRPRMDIVSLAEKEAALSRRWFDDCILRPLREDLQRCERGSRA GNSHLHDLKRYEGRYRNVYLLTTVNIRFDEPSLGLTVSFNHRTDKEYTLRFHNEHGFS FLPPDRDSWLRDCMLEVFDYRTGILKFTHTDDGHISGLWWKWSAWEEASFFTKQPST AOR_1_708164 MTVAQLMDGYESRTFMAYTQDHRCARLPMHEFLLGFGYLLPTKS IDRQSSESFATVHAKQFNMSLLVTIGGIKIRWIDTLGAHLEFDNRTKTLFLFRFPSFC AANLEKDLSGEKWVPGVIHGCTAPAGDPTNWATAEDVTSFLYEVLLSYRLLFGLSAKG RQFYHSICPFSDLPPDQHDPLLGELCGSRTLTTVSMDHHEDVFSLVSDFPILHDRFEA LQTHLACQRARGLIQLWRDKRSTEAWYTFWAVVLIGGVGLFLSFVQTVLQIMQVLYSI P AOR_1_710164 MMGMYINLTLLCCYLGLVHILRFRRRRLLHNRYSKRYLNGELTD NDAWEILTTLGQLEFPAMFQIGLEYALFRTYAIPTISRVLLRKSDFASPKTWYKRYSD TVALMVEALANPPASRRGHEAIARVKYLHHAYRESGSIMEDDMLYTLGLLTIEPAKWI DRYEWDQTSQMEKCAMGTYWKSFGDAMEVSYDSLPSGKKGFKDGLQFFEEIEKWCRDY EIQAMPHHPPGISDLQDQLIRNVALNGVPKILHNLARNMILVLMDDQLRLSQPAPWVY ALTHTVLVVRKYILRYLVLPRPLLFRQLRVNPDPEERSPHRVRIWEAYPYYVAPTLWN RWGPYAWITWAQGRPLPGDDGDRFMPCGFNTRDIGPSYMKGRGRNYARQENVKLRRGR RGQCPF AOR_1_712164 MPLIRKRRADPPPSNSEADSSGSEEDQSQGPTPQRRRTSNQNQH QTQASASDTDDDATHHPSSTDVMVKKMVRLALASEYARQPIRRTEISAKVLGDQGVRQ FKVVFESAQKVLREKFGMQMVELPGRERVTIHDRRAAQKVEKSSSTNKSWIVGSTLPA AYRRPEILPPTKAPWESTYTGLYSFIIAVIMLNGGSLPEQKLDRYLARTNADTYTPID RTDRLLQRLSLAGHHGQGLRARRFQGPGWLGEIDLDSPSEAQAEQPSAQVTPAPQAKS KAIAHSQAQSEDDYLINENWAGAVQTTAPAASATYSYVAATFTLPSVTPTAASSSQTQ AASFWVGIDGATSGDAIWQAGVDIYVQNGKPSFAGWYEWYPANSVNIDLEFNFGDVVF TSVESTSNSEGVAVIENLTTGKNVTVTASAPAATATLTGQNAEWILEDLAVDGDGLTF VDFGEATFTGCVAKAGGKEYGLGGSALYAVQDRSSNTVQAVPTISRIAMVELAREYMS LVDDGVSRGMSEEAVRANISRKLMSNLGMLNEGTAMRIKEGERDELIEEFYRSISRAL RWKRLHDEFGVPEVFLIEGEPAAADDGLLSPTFGLKETCLKLSGMVDMIQRLRGLDQL AAQRIFLVEELGRRIKNVLGDPENLYESPEGDFDCSDNDDDDDDDECMPDI AOR_1_714164 MPHAEPKKMGENAVNGEKVHSHFLDHLTSYPLVSDSISVFKSNK YGAKSIEYADQSYDRIAKPFLPYFSKPYGYIAPYLARADSLGDQGLSQIDSRFPLIKE DTEKLRNTIYDNATYPVRVVGEVKSHVFDTYGSEYKKCGGDGVVASGKALITTSLVLS QESLGFLSSLLQAKKEQVKDVVNDKTDKANN AOR_1_716164 MKFMKVGRVAIITRGRYAGKKVVIVQPNDTGSKAHPFAYAVVAG IERYPLKVTRRMGKKTVEKRSRIKPFIKVVNYNHLMPTRYTLELEGLKGSVTNDTFKE VSQREDAKKTVKKALEDRYTSGKNRWFFTPLRF AOR_1_718164 MPAAHTRDGSRDRELVVRHQSIPMWDSSDPERAPPPLPMNPGST SPATKGNVSPGIQAMAATFTEKMRENAPSPYISNPMPPKSSPEKSLIKGQFHKRMQSF QNSPDPRSEFLNYLESKSPERPQRASTFDSTTKLPEKSLMKSEIEEPESEPEPPNLLI SPRYLSKPILGESTPPSATMLALQNMQLPCEPDSQPKSVESDPFVGPSRPPNYSFESL STQIHSLTDIASNLQREMAQLSRRSKDNATDLVSLKAATNARDEDIRKSLRELSSNLN SRFLDADAATRFDFSTLLGSDSGVNHRESDSSPNSKKSYGRMSSPNPFAAAMERELAA SPTPISDGSASIALLEKVLREMATKEGQEKITELVDAIKARPVSDTPGEPLDSRITKM LEEILSIVKDEWESKALVRTRAPSVTGTAAFKTGRSKSMDPEHLYAPDLDMVHGDNGR LSTRSMSHSDEQTTEEMLSIMRRVKNSVIEGGGMTNEVKALVRELRGEVLGMGRNLAR KLEEIEIAREAAEDKPAGPGKEEISAIVNDSLCELREQLEAIMSENKQHSSALSEFRS AMDGNQIYSIVQRAFNDLNLSHLRDEPRGATMEKDDILDAVRDAWETYKPEIELENFG LERDEILECLSEGLKAYQPRHEDAVTYDQVLAAVQAGMQQFEQPPTITKDEIVHTIRE CLETSQTATRSVHDEKLDAIREDILQAVTESVASQSALTRQSLDSGLGREEIMSAVAE GIQAHFSSARQLEQPHVTKEDVASAVNDAFYAQQSTLSTNVQPTVSRDEILAAIAEGL EAQNSITREIELNKDDLMEAISAGLHEANAENHNVGDQILERVLEHHDGMREELKQQS LAKENDTIQILDAIKDGIAVVRQEVEGYAATAAEASGSHEIMDTVKEGFRLLQADMER TIAESVVASVPRNPDTPELLDAMEKEFEHLRSTISTLLRTEQSTSTDKEEILDAIRDV SEAQKAPKSQDIATIIKQEFEQLRESMNMSLVRAEPQAPKSDKEEIIAALRENLDSFR AEKSERSDKDEIIAALREHLESFRGERTDKDEIIAAFRENLETARGVQSEKSDKDEII AALREHLESFRGENRETTDKDEIIAALRESFDTFRGEKSETSDKDEIIAALREHLESF RGDRIDKDEIIAALRENLETFRDEGSRSRDLGENDFSTGDLIDVFNDGVGNIRDDLGK LLERPVEFDYNELLDTLKEGLSSLKADVEMLRKSQLDAEDVTTTRGGELMLASQANQH IPHNQPDISSDMEALKSLISQLQTKVEAIESAPRAAEPATDALKKEHLDEVLAGLHEL QGSVTGIVARENPADETTAKKEDTDAIETLLRSTKAQLDEMKFPAADELARAEQLGSL ESIVKETKEALFELSTRLEAEGPTKSEIGTLETLMKDMWLALDELKGKSPEDASDTEK LVKADLQTVEAMIFEVKTQIDELKLPDPETLPTKTEVQDLSALVTEFKEKVEAENELT AQGFEARKVEHAGLAEKIEEAKAVVEGLGDELKSKLDGSSEGLSELKQLLEGLAVSAE SFTTVENVKELTELINREFERARGEQDAHKLEKEERDAASLVKQDETRAAIIVELGAK IDEKLGEVMAKYDDMQTAMDTKFSESVERDNAHLEAATDTKSLAEDIKLVIGTMGDSV NEACERISEDTKSFLEKVDVSYNKMEEMHNEVKTQQEQARSDIERAAAATDRVESKLH EFHPQVLESIQEILNIVGQHYDHSQKSAEAIKTDLSALPSTIPQMLPALPPPEPEKYD DSKVQEKLDNLLHHAKNEKVQEALNILVERVTNDQVHEKLDQLLSQTTSTNSQMYDKL DELLNHAAENNGPIHDKLDTLIDHATNTDQSVTQMMKLDEMHKDIMETSRKMTEMFAA QSAMVAEDNERKRREAEEAAVALERRNAQKEQVESEIVDLKDEKESLLKMIQTLKAEK EDLVKQTTKLGKELSSLEMALELRHEEMQVMEDRADSLEKRILEGVLDHARSVLLSRP NNGLNMKKSRGSRARGPSAAGTSSTVREARNILSNSVGMALRKRSQASQAATPTKDGK ERRILSLSNVTGNRGPTDRQSSVSSGFASLKRSHSVKSNVSDRKSSWGGRSSVVNKEN EVFPEEDENRSDIESDVGTERKTSYAGSMMYEAGSTVSNDRRLSGSSTATEIVGKGSH SVADDGDGASDTHDDPKADDPELDSESSKLVLYGQHSDSGIGVASVAG AOR_1_720164 MLDPFPPPPAWLRDRVEPWALYLNVPALSDHIHEVILAFAGYQF IHSFLSPWLSPILFPRHYPQLNKRTKLNWDVHVVSLVQSVLINVFALYIMFVDKERKN MDTGERIYGYTGMSGLLQALAEGYFVYDIIVSTVHIRMFGVGMLFHAISALWVFSFGF RPFVNFYSPTFILYELSSPFLNIHWFLDKLNLTGSKLQWYNGMLLLSVFFSCRLVWGT WQSILVYKDMWSALTQTWSLSPLSPSAAGGSPVALDSAINTAVFGHRAKLAEQCVDEV CKRANEEVFKYAGFTAGGVPTWLVGTYVAANVVLNSLNYYWFSKMVETVLKRFRGPKE GGSKKGEGEKKEEAVEEVVEKVVLEAAASLEEEEGSPFLGEGVARDVDVDVGGDVRRR R AOR_1_722164 MNAPMPPTYGRGFPQAAQRSPATPRRGPQGPAMPVPMPQHPVPA QYIPAQRNMPHPNDAALRRSRKPTDKNIPDGIEDVVIGEGVQQYKNLRDLEKRLDAAI VRKRLDIQDSISKTVKKYRTMRIWITNTVENQPWQGATGQNGSATNPGSGRYKVRIEG RLLDDDTDPTAPEDSDNEGNETQANGDAMDHDGKDAKKNAPKRSKQRFSHFFKTITVD FDKSSTANPEEVKTVNWTKPQLPANTVTLPPTADFDSLQFSRASQENLNVTVSLVRDE TPERYKLSKDLAEVLDVEEETRSGIVLGIWDYIRAMGLQEDEEKRLVRCDHRLRSIFG RDQMFFPQIPESIGHHTSPLDPIKLPYTIRVDEEFHKDPTPTVYDIQVAVEDPLRAKM LALTQNPQYTAGLRQISTLDDQVSLIVQALTHSRAKHSFYTALSKDPATFLRRWVNSQ RRDLETILGEATRGGGEDATGPEFRRGGADGAWDSPVALEAVRYMLAKPEAMLR AOR_1_722164 MNAPMPPTYGRGFPQAAQRSPATPRRGPQGPAGPAMPVPMPQHP VPAQYIPAQRNMPHPNDAALRRSRKPTDKNIPDGIEDVVIGEGVQQYKNLRDLEKRLD AAIVRKRLDIQDSISKTVKKYRTMRIWITNTVENQPWQGATGQNGSATNPGSGRYKVR IEGRLLDDDTDPTAPEDSDNEGNETQANGDAMDHDGKDAKKNAPKRSKQRFSHFFKTI TVDFDKSSTANPEEVKTVNWTKPQLPANTVTLPPTADFDSLQFSRASQENLNVTVSLV RDETPERYKLSKDLAEVLDVEEETRSGIVLGIWDYIRAMGLQEDEEKRLVRCDHRLRS IFGRDQMFFPQIPESIGHHTSPLDPIKLPYTIRVDEEFHKDPTPTVYDIQVAVEDPLR AKMLALTQNPQYTAGLRQISTLDDQVSLIVQALTHSRAKHSFYTALSKDPATFLRRWV NSQRRDLETILGEATRGGGEDATGPEFRRGGADGAWDSPVALEAVRYMLAKPEAMLR AOR_1_724164 MAPSSTTAQRIEEARALAKKDASKAETIYKDILSKGPGSTEASS RDYESALVGLGELYRDEKKPNEIAELIKTSRDSFSSFAKAKTAKLVRQLLDLFSEIPN TLDIQTAVIKSCIDWAIVERRSFLRQNLQTRLVAIYMQKQAYYDALNLINSLLRELKR LDDKLMLVEVQLLESRVYHALGNQAKGRAALTASRTSAASVYTPPNLQAGLDMQSGML HAEDKDFTTAFSYFIEALEGYSSLDESDKATAALQYMLLCKIMLNLGDDVTTLLGSKQ AQKYASPRLEAMKAVARAHANRSLEEYEKALSDYRFELGRDVFIRNHLRRLYDAMLEQ NLIKVIEPFSRVELDHIAKMVGLDTPQVERKLSQMILDKVIIGVLDQGSGCLIVFDET ERDQAYDAALETIEKLSNVVEELYTNQASQLE AOR_1_726164 MRISHQRYRHCWRRSKLQPPFTARELANQPEPILPAKKKYLEIL CPPPKHFLLFFLLPFNTKIKAISRSTASQQAPGSAVVRQPRNLDPAQHPFERAREYTR ALNATKLERLFAAPFLAQIGDGHVDGVYSMAKDPGSLERFASGSGDGVVKVWDLTTQG EVWNTDAHENIVKDVCWTPDRKLLSCAADKTVKLFDPYNSSSDAPPLATYLGQGAFTS LSHHRHLPSFAASSSSQIQIYDLSRPSSTASQTLNWPTSIDTITSIAFNQTETSILAS TGIDRSIIMYDLRTSSPLHKLVLRLASNAITWNPMEAFNFAVANEDHNAYIFDMRKMD RALNVLKDHVAAVMDVEFSPTGEELVTASYDRTIRVWNRAEGHSRDIYHTKRMQRVFS VKFTPDNKYILSGSDDGNIRLWRANASDRSGIKSARQRAKLEYDQALIQRYSHMPQIR RIKRQRHVPKPIKKAGEIKREELNAIKRRQENIRKHTRKDKLKPRESERQKMILTSEQ AOR_1_728164 MPPNPSPSQPKRILTTASSPRTTTTPTQAPKWYSALTLDLVLSV LRRTILHPWPAWILVLSLRAQVTPTTDLAFVLATGYAVLVTVVAMAGVVNARVAYGLP RTVELGEEVVVITGGASGLGLLIAEIYAMRGVGVAVLDLKDEREVEVCEGVVYYTCDV GRREMLEGVLKRIEEELGTPTVLINCAAARINGQPLLSLSAEAFQKTIQTNLFAVFHT CQTFLPRMLAAPNGGTIVNVSSVLGQLCPAGLADYSTSKAGLSALHRTLEAELRASGD DEKVKMILVEPGQVATPLFASVKTPNKFFAPVLEPVHVAQEIVSAIEEGRGGVIRLPA YATMVNWYAVLPAGLQRIARFLSGVDHAVAQTSSQKSYDEPKAAKTE AOR_1_1454164 MPHTLPYNRSRQRSCVACAGGKRRCDRQTPQCSRCLARGLECIY IRGLRQQQRPQSPRSLPIEPSSSPSLTDILAPFPPDDFTLFDNAELIASWLTPSPSLL AVPTLLPAGDFPETSVIDRWSTKQLLQSIKSYPRMFARSRRTSFIHHRLYDVYLPEAI QDAFTVSASYYTKTAATEDTILRIVEAKTATLIRQNHQENTLEELLAAVQALLIFHII QLFDGDVRQRSIAERNMDTLRAWTMQLQVRAGELGPTPTWQEWILAESIRRTIIISIL IEGLYSVLKVGHCTIVRALSVLPFTSGAALWNLTTDASWLAQSHRLGSDTVLYGDFAR AFENGRVLGKLDEFEKTLLAPCMGERYREMLTLEDQWCG AOR_1_730164 MAITELIFPQIKTDPDSLREIEQDWPIISKRLTHPNPGLLNAYR GFLLTENGVDVRNAHREFLLFEWDKAEDFQAFIKSDQFGNFAASIKHLVNGPPTLQLF ETNISPREAASASVVEIIRLSISNPENAETSTQAWARISRFLSGKKASVTYGTSSNLE NEVVGGIIGWHSPETRSQVIQEAEYIEAFNSLQSLGDVNQITVDVAAMELPSL AOR_1_1456164 MEPGPSQSQKGKGPWQPDQGPSKSQMLEETFDASEQPEQGPPQP QTPRGFWQPDQEPSQSRMPKETLFSHEQLEQEPPQPEVPTGALDIIHQMSAWLRAERW NMSLSERNQSMPPALRATQNIDRLCTRCAAFDWKTIWKQMHRGKLNWPTKQHRPLSTM YNHLRSAYEGCHLCTLICVAMFSRGERRVHIPRWQEYHLSVDETSCAEWQSRTQPAPR RWSLEQLDAATSVSHHMESEKGVLLIEVQGCEPAKLLVSFAQRTEEPLLPTVRSDGRT SGFARHWMQICDESHLFCKRTGSILPTRVIQLQIIHEELKARLLVTNGEEARYAALSH RWSCSTSLTVTTHNLERLKKGIDFAELSHTFREALEIAAGIGLQYIWIDALCILQDDD HDWARESAKMGDVYRHAAITINAFASADGMGQCTTAYPPTNLVDYACRIGGEVYVHEE DGGFADVYELEGVTQTRGWVFQEEQLSPRRLYCGQHHLVWKCAEMWARNDRPCGFSGE VFDKPFMSTYPCSNWKFAYRQWRRMVQSYTRHNLTYAAKDKLPAISGLAAVFEQGFPG HHVGDYLGGIWRGDIYRGLLWYRDGNAIDRDRRIEGPSWSWASWDGPINFVFEDDDAS WPARMTWQPQRKYPCKVRKASTILTDPENKYGRLSSGRMVVKGKVLMNAGRLGEQSEN TRPTDPEIHLDHDETFPEDSVLLPITQRSGLLLRMDPRTANDAMRVFRRIGLCIRPER HEDFNWMRGARWETQELALE AOR_1_734164 MPPPQLSPAIQTISNVRLHSHSPDPTTYDLTFSNEQTLSSIAPH TDTKTSPNTSPPPLALPALTHPHIHLDKAYIHNSTTIHPQTGSFQEALTLTTQAKSTF TEADLHRRSEWLLSESLLNGVTALRAFIEIDATVHHKCLTTAIHLKHSWRNHCHIQLV AFAQDPLFSGPNAQANRDLMHSAFTEHGAHIDVLGTTPYVESDLESAKKNIQWAITTA WQLDKHLDFHLDYHLDPTKGALVWYVLQCLRDVGWVKARTRKRVMLGHCTRFTLFGSD EWERLKLEIGDGEHALRVSFVGLPSSDLYMAAPPCALPPSSSCDSGSGSGCDVKEGGV GDRVRGTIRVPELIRRYGLDVVLGVNNVGNAFTPWGSVDPVALACLGVGVYQAGTVKD AELLYECVSTRARAAIGLEEESENRVCVRAGGRADLLLFFDVDESGCGIVRPRRSVAE VVWDPPSRMARAVVSGGRLVRGRGGLWDEDVFGFV AOR_1_736164 MSQQHGVLPMPASIETAPPAHGTSSGLHTSAPTVERVSPSLSSS LKPSRPPSAISSKVQIPKLSPATTELVARVTGHRKGEKQRNETKFVTWNPPSLSQGWN HPRLEPSSTMKASSTIIELPTAPFVYSSHMTTPAVSQQAPVTTPSTTSPSTHTYTSTL PQGGHDKPTSLVNIAPKPAGPPSLEAPAPPQDSLPPPLPSQPQPVAPAAKDSPPSAKR KRAAVDSRQRRSTTNGTKRKKRRRGNDSDGEDIIRAGDSSSDESDVAPTATQTKSGRQ VNRPSLYVPPSASPTVAKDSSNSLEASDTTQRQAAAVRKRKRVYRKPKDGIVNCIHCQ RGHSPQSNAIVFCDECNGPWHQLCHDPPIDSQVVTVKERQWVCRECKPVPITILQPTV VRSNPSLTGPSLGPPVHAPLLMPKMEVGGEGSSADERRGFLSGLSHATLVELLVTLSD QHPAIPMFPKNLKTLQSKFSFKPNNAAIPTPTSTSSNTPTFTNSITHALTNGVDAAQQ KSGVTPDFLPTPSSAPQTQHDLSEESDYEFSEHRLYPRAGNGFRLSTNADDMDIMFED VSCRTFSYALHGPARVRAQANEVAPIWGS AOR_1_738164 MSVTLKLHQAFRARRPALRWSSSVLRTTAQWRTYSSTPADDTLP LKGVRVLDMTRVLAGPYCTQILGDLGADVIKIEHPVRGDDTRAWGPPYAKYQDESRQG PGESAYYLGVNRNKKSLGLSFQHKSGVEILHRLAKECDVLVENYLPGSLKKYNMDYET LREINPKLIYASITGYGQTGPYSNRAGYDVMVEAEMGLMHITGARGGDPVKVGVAVTD LTTGLYTSNAIMAALLARVRTGMGQHIDACLSDCQVATLANIASSALISGEKDTGRWG TAHPSIVPYRSYQTLDGDILFGGGNDRLFGVLCDRLGHPEWKTDPRFVTNSDRVKNRG EIDGLIEEKVKQKTTQEWLEILEGSGMPYAAVNDIQGTLNHSHVQARGMVTEVDHPAC GPIKLVNTPIKYSHATPGVRTPPPTLGQHTDEILEEILEYGKDDIARLKQDGVVS AOR_1_742164 MFTGLVETIGTVSSLEPLDTSASGGGGTSLTITNCEEILTDAHL GDSIAVNGTCLTVTAFDKTWFKVGVAPETLRRTNLGSLSTNSRVNLERAVLSETRMGG HFVQGHVDTIATILSVTPDSNALVLRLQPRDRGVLRYIVEKGYVTLDGASLTVTKVVD GEEGYFEIMLIAYTQEKIVTASKKVGEDVNVEIDIVGKYVEKSVQSYFAGVGGGDFAI LEKMVSRIVDEKLKK AOR_1_740164 MAKARTKKRTHVRAQNASAAAVKGSASSMSKTPKSMVIRIGGSQ VGSSVSQLVKDVRLMMEPDTAVRLKERKSNRLRDYTVMAGPLGVTHLMLFSKSATGNT NMRLALTPRGPTLHFKVENYSLCRDVEKALKRPRGGGQDHKTPPLLVMNNFNSPNATE DGKVPKRLETLTTTIFQSLFPPINPQATPLSSIRRVMLLNRELKSDGQEDDSYVLNLR HYAITTRKTGVSKRIRRLDPKEIRNREKRGVAVPNLGKLEDAADYLLDPSAAGYTSAS ETELDTDNEVEIAESTTKRVLNKRELQRMKAGEKEKAEKKLRAAPEVEKRAVKLVELG PRLKLRLIKVEEGLCDGKVMWHDYIHKSEEDMKKLDKNWEKRKKEKEERKRQQKENIE KKKAEKAKARAEGKEIEDDDDEEMDVDDDEDDWLSDDFGEEEEGAEQQGGEGDDESME E AOR_1_744164 MPAIKKRKIAREAPQQEDHSDSEAHSSASEDAAPNTTEQEQEPS EAPKQAPKSFKELGLIEQLCEACDSMGYKAPTAIQAEAIPLALQGRDLIGLAETGSGK TAAFALPILQALMDKPSSFFGLVLAPTRELAYQISQAFEGLGSTISVRSTVLVGGMDM VSQSIALGKKPHIIVATPGRLLDHLENTKGFSLRNLKYLVMDEADRLLDMDFGPILDK ILKVLPRERRTYLFSATMSSKVESLQRASLQNPLRVAVSSSKFQTVSTLQQSYIFIPH KHKDLYLVYLLNEFVGQSCIIFCRTVHETQRLSFFLRLLGFGAIPLHGQLSQSARLGA LGKFRSRSRDILVATDVAARGLDIPSVDVVLNFDLPGDSKTFIHRIGRTARAGKSGVA ISFATQYDVEAWLRIEGALGKKLPEYPAEKDEVMVLAERVSEAQRSAILEMKNYDEKK GSRGKKFAKGKRSREDMDQEEG AOR_1_746164 MASPAIKKAITEAAAQYVKPEGKVFQYGTAGFRMKADVLNTVVF AVGLLAGLRSKKLSGQWIGVMITASHNPAEDNGVKLVDPMGEMLEAEWETYATKLANA PLDKIADVYEELVKEIDISMENPARVVFARDTRASGSRLAGVLNAALTATEVNFSDLK FMTTPQLHYVVRCKNTLGTQYEYGEPTEQGYYEKLAKAFKGVMRGLKVKGSLTVDCAN GVGGPKLRELLKYLPGPEEGGIDIKVINDDVINPDSLNFDCGADYVKTKQRAPPSSKA AALDRCASLDGDADRLVYYFVDESNVFRLLDGDRIATLAAAFIGDLTKNAGIAQHLKI GIIQTAYANGASTEYIEKVLKLPSVCTNTGVKHLHHAALRYDVGVYFEANGHGTITFS ENALKIIKSTEPQSPAQQRALECLQGLTDLINQAVGDAISDMLLVEAILAHKGWTPKE WLCTYTDLPSRLVRVEVADRSIFKAYDAERKLESPAGLQLKIESLQSRYNKGRSFARA SGTEDAVRVYAEAASRSEADDLATRVANAVRDAGAAKEILQS AOR_1_748164 MYWSSPRRKVFFYACFLVFATFIGKSTASLGDHLPDFKECVKIC QTENCQDGNSEIPFHLRLMWWTCPAECDYTCQHVVTDRRVARDPPMLNPVVQFHGKWP FRRIMGMQEPFSVLFSLLNFYAHWHGLSRIRETMSTWHTSLRTYYLAFGYCGLACWTF SSIFHARDFSLTEKLDYFGAGANVMYGLYLAIIRIFRLDKEEPRTKPTLRRLWTVVCI FLYTLHVSYLSFWSWDYTYNMIANIVVGMTQNLLWVAFSIFRYRSTDKTWTLLPAICV VWIMLAMSLELLDFPPWHALIDAHSLWHLGTVIPTALWYMYLEKDIEEDVRGKRYKA AOR_1_750164 MNGSEQTQSADSAGTMTSSADRMVGMDHAEVRYFTSYDHHGIHE EMLKDDVRTRSYRDSIYQNRHIFKDKVVLDVGCGTGILSMFAAKAGAKHVIGVDMSSI IEKAKQIVACNGLSDKITLLQGKMEEVVLPYPKVDIIISEWMGYFLLYESMLDTVLYA RDRYLVPGGKIFPDKATMYLAAIEDGEYKDDKIGFWDNVYGFDYSPMKEIALTEPLVD TVEMKALVTDPCPIITLDLYTVTPADLAFKVPFSLTAKRSDFIHAVIAWFDIEFGACH KPITFSTGPHAKYTHWKQTVFYLRDVLTVEEEEVVSGVLENKPNDKNKRDLDITISYK FETTDNLRYSEGSCFYRM AOR_1_752164 MSAPGVGFEYPPQEVSWLKRDALLFANSIGAKADELHFLYELHP NFAVFPTYSLILPFKHTDQETIDFYARTQATPIPGVPKFDSRRAVDGQRKITILKPLP PTSAGKKFQLRNTVIGVYDKGKAGSVVETEQSIVDENGEVYTKTVSSGFMVGQGNWGG PKGPSAVNYPPPQGKKPDAVHVVQTTAETALLYRLNGDYNPLHATPEPGQKMGFGGVI IHGLFSWNTAAHGILRELGGSDPKNLREFQARFASPVLPGDKLTTEIWRTGNIEDGFE EVRFVTTNNRGKVVLSNGRCLLKVTGSKSKL AOR_1_754164 MSRLLSRKALGSSPFAGAYPPCIPKLKRFAAGSLSLSKGLRPWL PLSRGHVVSPMVRRAKSTLAKPFAAEPDFSPVDSSPAELFSAESSSAKPSSAKPSRPA AKPERPAPYQIVYPFTSAEYRDQPTVMRTSGETTSEFGRFWLRDNCQCSKCVHPDTRQ RSVDTFSIPPDVNPEKITYEGEIVKVQWSDGHQALYPVHWLNNFATFDSCVVNESRPD NKMRKFIAHNPESISYPTVLYEDVMSSDEGLREWLQKIYLHGFCFVKGVPVDPESTQT LLERIAFIRHTHYGGFWDFTADLTFKDTAYTTEFLGAHTDNTYFTDPARLQLFHLLSH TDGDGGASLLVDGFSAAEVLREENPENYQLLAATPQPFHSSGNENTCIQPAEQMPIFR IHPQFNYLYQIRWNNYDRAAKKDWSLEQQNRWYNAARHFNDIVTREKMQIWTQLEPGT ALIFDNWRMLHGRSEFTGKRRMCGGYINSDDFVSRYRLLRFGREKILNNIGNFSRSMD NPNFFL AOR_1_756164 MSTLEDLDDLDREEREKKPQDGDGNGRKPSGDGDADMKDADKKD DEEDLLDDDILQSSTADIVKRRRMLENEMRIMKSEYQRLTHEQSTMREKVKDNQEKIE NNRQLPYLVGNVVELLDLDVEAEAAEEGANIDLDATRVGKSAVIKTSTRQTIYLPLIG LVDHEKLKPGDLIGVNKDSYLVLDTLPAEYDNRVKAMEVDEKPTEKYTDIGGLDKQIE EIVEAIVWPMKEAERFKKLGIKAPKGALMYGPPGTGKTLLARACAAETNATFLKLAGP QLVQMFIGDGAKLVRDCFALAKEKAPSIIFIDELDAVGTKRFDSEKSGDREVQRTMLE LLNQLDGFASDDRIKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARANILQIHS RKMAVDDSVNWAELARSTDEFGGAQLKAVCVEAGMIALRKGMSKVGHENYVDAIAEVQ AKKKDTNMGIYV AOR_1_758164 MSVVASRPDSASVPYSPIPHQSHGYKNPYTVTVQPVSSRTSSIS SFKSNYSVSTAPTVYSPISPSPSYHLCDSAASLDKILESKFKRLPLDRDLHALSLTCR TWEKVVRPKLYTRIHIIGNDSPAQLKKYRMKRGSRLKLLRRTLRERKLLANLVLELRV PQMDLLFTQGKQSTQWEEYRDLVASVVMVCPNLERLLGLSIPYNHQFDRLTHALSTRR KLKEHTWLLGEAIDVSEGSPRSTSCPGSLGPSQMFEFLDYHTSWTNLETLMLYGISEN NALEPSIFLRMFSLLPSLRNLCIANFDAEAFGDSTLLCLPPLESLRLENLPGVTDTGL SQYTSRTESHSLKSLAVVEQNIESLLVISKILTSLPQLERFKIVQNDKCPTLNSDGII FQPLFASSTLKYLHWDVACPNPNTALTRLDYAPFVKPPKHINTPNSHLAQSILSDGFP QLEALRAPSDIEPPGVLQAVCQPIPRGQALLQPDRYSLPRSSHGSVSTRPMALPAGNN LTSARIRAQTFIDMAAKDTETGMRVLVTDHSDGYVPDNALEDMSDDDLEMDMDESVEW DSPERPRHDKLPENRDGPVTVCDFRMPAYMGRVGSRRRGQDVSIPRFILRPDIQGQEA DGGLVGWKHILASNQSLTYAAGVGVHCFGNKGNTSPLPEEPPSPASTTVSRFGFGGIT RSSTFGSSPNTPITPSTPMSLSSPTALPWDKDTCTGSWNYSHKNGRDWWFHMERERPG HIKLIDVKQLF AOR_1_760164 MATTQNGSELRGDAGLLKTQNNGLQDDDNQDQIGSNSPSPSGMA TPQPEFSDKRQPSIMHNFFQVGASHGPLYPPKSQFFPSETAASAHLVQSSAYVTGTQD DQSTGHHSSSSGSFVMMERDEASGMKSSNVEAKEITPESLPHTNLPTPPYCSASSLLQ KESETAELGSSAPEKGMGSIFNALKNILSPPASVSPEPAARRHTSHPVSSVSDDPVLA THFSNPSLPHTSDPMCLSEVPLLEHEKPHISLSSENLAKLTGNVSDLARLKNTPPLTP RAMSNEGSQTDKPPATSSPESTEPTHQADEMDKSTDEIAGKLEEAFPSPAETPQPSGP PVAPIKGNLYVKISEGRGLKPGFDPYVVCVFEWNEWISKSAQDEEEESIERRQKEQEQ SDRDAGRPMAIPMKSRQSSNNSSLDGPDLRGRAPVTDPHWNHEATFDVFGDQSEIDVS VYDRKNQEAFLGHVRLCVNLKEDNSRLEGWFPLSARGAGDSAVSGEIYMEMRFEHTEK KQVGPDDFQVLKLIGKGTFGQVYQVKKKDTRRIYAMKVLSKKVIIQKKEVAHTLGERN ILVRTAMAASPFIVGLKFSFQTPTDLYLVTDYMSGGELFWHLQKEGRFQEARAKFYIA ELILALQHLHEHDIVYRDLKPENILLDANGHIALCDFGLSKANLAQNDTTNTFCGTTE YLAPEVLLDEQGYTKMVDFWSLGVLVFEMCCGWSPFYAEDTQQMYKNIAFGKVRFPRD ALSTEGRNFVKGLLNRNPKHRLGAKADAKELKEHPFFHDVDWDALSKKQVIPPFKPKL KSDTDTSNFDPEFTNANATLNDRAAAVANSYMPASTPLSPGLQANFKGFTFVNESSID HHLKHEPTDHMDEDPDTWQRPHRPGGFDDHRMTGVPKAHEGGEPGIFNVDDNFDM AOR_1_762164 MARKLRAAAQAAQQSLRNAPPPLPDASDEEMAEAPQSRGSSPAI EDPGDASDKDPDVVPEPDPPQEDEPAAVTHPPSRGDTPTRGRVSAIPRKRRIGRPPKN RPPDWDLPDDGTAAPPIHVSTPVKRRRGRPAASGGRWARNRGPSHVTQVPIDKEGNMM DVIDDEVALPDDPEGETKVDKNGVLKGDREYRVRTFTILNRGEKHYMLSTEPARCIGF RDSYLFFQKHKLLYKIIIDDDAKRDLIEREIIPHSYKGRAIGVVTARSVFREFGAKII VGGRKVVDDYNVKAARERGDVEGELAVPEDKLPPPGEPYNKNQYVAWHGASSVYHTQA PSVPLPTGKAVDSKKRKVTVTGDNWMLEHAREASHYNSILSNVRRENLGGVYDIHTNA MQYPKIMQPSHARWERLPPPDPRVATKLTKEMSTLSLTNGAEEEEPTTESDAQDDKTT EETTNDSIFSTIPYAFSRRFAIHDVHYETPPYSNMGIPGPDGDVHDLGSNGIISTANL SYPEFVSPEILAELPADCKEALVEAAAREWEWKSKWHSEVGDGARTTPLKSYAWFP AOR_1_764164 MWSWFGGSAAQKRKDAPKDAILKLREQLDMLQKREKHLENLMEE QDAVARKNVTTNKNAAKSALRRKKVHEKNLEQTTAQIIQLEQQIYSIEAANINQETLN AMKQAGAAMTQIHGNLTIDKVDETMDQLREQHQLSEEIAQAITNTQIGDQADETELDA ELEGLEQEAMDERMLHTGTVPVADQLNRLPAAANGEPKGKSKVQEEEDEEAELEKLRA EMAMG AOR_1_766164 MNGPPPSTRAFLSSVPSLPAGLKVRFLGCVKTYNITTGYLILEH NYPRCKPTQEPPSIPVDVNAVLGTVTADELRVGAWVNVLGYVRREESSAYVEAVMVFR AGAIAVGEYERILHNSLEVDRRVQRPK AOR_1_768164 MPSSKHHPSDCEKWPQHAQEEASPADTNSLAESTSTEDTEELQQ IVTQASRQSVPSLARKTTTIGTNATSDPRYEVDFEDENDRMNPKNWSLRYKGMGIAFL SWNTLVIVLYSTSYTSGVADIAAEFGTSSTIVTLGLTLYLIGLAVGSMFMAPLSEVYG RKPVSVGCLFVFMVLIIPCARAESVATLIVVRFIGAFFGSVMISTAPGMVSDLVNDEE RALAMSIWSIGPVNGPVLGPIIGGFVTQYLGWLWMDWIALMLSGVALVFSLIMKETYG PIILQKKAARMRKETGDPYWSRYDQKASLGEILKVNLGRPFVMAVTEPICIFWNIYIA IVYGILYLCFTAYPIVFRDIRGWSLGLSGLAFLGIGIGCLITIACEPFIRRMINNHAI DPETGKVPPEAMVSIVCISAILIPAGELWFAWTCAPASIHWIVPILAGVPFGAGNTGV FIYASNYLSYSYGVYAASAMAGNSVIRSILGGVLPLVGTYLYAGIGPNWSGTLLGLLE VAIIPIPIVFYKYGYKIRRKSALIVRMQEDKKRLEGKRLRLQQRLEARANAEATEKEK MEV AOR_1_770164 MQHLYLLKTGPDNQDTAALIMAIRTAHELKINETVSPDRCTLPA KLYLFLYFHDQCCAMSNNTPPLIKTTDYKANVFDHVLEEEPDFRPLFDILVANGQVLE ALYGKPCDHSNIYHLEELLGCVSKSARKPMQPFLGLDGFTMNYEVPVQIHMFWARITL RVHRLPLTEDWIPSMSICVRSSQMILLLYFQTYNPSMAPNAAQNLHNPSASLLAMEGR LPLTWRQVKRIMTSAFILIYAYWHGEVTFEEVCRGTAMALVLHECQRVRWGRELDGAM AVLRDIAGICGMTILPNLSSLLPDVDLGVLRVIAG AOR_1_772164 MSTPKAEPVPIPGPRGVPLMGNILDIESEIPLRSLEMMADTYGP IYRLTTFGFSRCMISSHELAAEVFDEERFTKKIMAGLSELRHGIHDGLFTAHMGEENW EIAHRVLMPAFGPLNIQNMFDEMHDIATQLVMKWARQGPKQKIMVTDDFTRLTLDTIA LCAMGTRFNSFYSEEMHPFVDAMVGMLKTAGDRSRRPGLVNNLPTTENNKYWEDIDYL RNLCKELVDTRKKNPTDKKDLLNALINGRDPKTGKGMSYDSIIDNMITFLIAGHETTS GSLSFAFYNMLKNPQAYQKAQEEVDRVIGRRRITVEDLQKLPYITAVMRETLRLTPTA PAIAVGPHPTKNHEDPVTLGNGKYVLGKDEPCALLLGKIQRDPKVYGPDAEEFKPERM LDEHFNKLPKHAWKPFGNGMRACIGRPFAWQEALLVIAMLLQNFNFQMDDPSYNIQLK QTLTIKPNHFYMRAALREGLDAVHLGSALSASSSEHADHAAGHGKAGAAKKGADLKPM HVYYGSNTGTCEAFARRLADDATSYGYSAEVESLDSAKDSIPKNGPVVFITASYEGQP PDNAAHFFEWLSALKGDKPLDGVNYAVFGCGHHDWQTTFYRIPKEVNRLVGENGANRL CEIGLADTANADIVTDFDTWGETSFWPAVAAKFGSNTQGSQKSSTFRVEVSSGHRATT LGLQLQEGLVVENTLLTQAGVPAKRTIRFKLPTDTQYKCGDYLAILPVNPSTVVRKVM SRFDLPWDAVLRIEKASPSSSKHISIPMDTQVSAYDLFATYVELSQPASKRDLAVLAD AAAVDPETQAELQAIASDPARFAEISQKRISVLDLLLQYPSINLAIGDFVAMLPPMRV RQYSISSSPLVDPTECSITFSVLKAPSLAALTKEDEYLGVASTYLSELRSGERVQLSV RPSHTGFKPPTELSTPMIMACAGSGLAPFRGFVMDRAEKIRGRRSSGSMPEQPAKAIL YAGCRTQGKDDIHADELAEWEKIGAVEVRRAYSRPSDGSKGTHVQDLMMEDKKELIDL FESGARIYVCGTPGVGNAVRDSIKSMFLERREEIRRIAKEKGEPVSDDDEETAFEKFL DDMKTKERYTTDIFA AOR_1_776164 MLFIILAACIAVLLYLYHVNRAIMAVPEEARRLCPHRWTVDEIK AAFEKVQDSPTDVAKSLPPKQSRRYIVVGGSGLVGNWIVSHLIMRGEDPSAIRILDLQ TPRPEVLDQGVTFIKTNITDEQAVLSAFSQPWASSVADLPLTVFHNAAVIRPAERLRA FLPLCRNVNVGGTVNVLNAAKKSGATCMIATSSGSVCLRRFSFWVAPWTKTPEYLVQV VNDSSEVPKQHDHFFGNYAVAKAEAENIVRSADDPKSNFRTGCIRPVNGIYGVSDSAG SVTGNYLRTGGAPTWTYDVIHSWVNAENVSIAHLLYEQRLLEHTNSPSTLPNIGGQAF AVTDPNPPVIFDDIYLCLTTLAKTPAAFPHVPVMPFILMSYPIEWYAFLQQQYLPWLP KITGELAKLQPGLFAIANAHVIGDDSRARLSPEKGGLGYNPPINTLEGLCRELKAWNK KADMKSSS AOR_1_774164 MTIPDEVDIIICGGGSSGCVPAGRLANLDHNLQVLLIEAGEDNL NNPWVYRPGIYPRNMKLDSKTASFYYSRPSEWLDGRRAIVPCANILGGGSSINFMMYT RASASDYDDFQAKGWTTKELIPLMKKHETYQRACNNRDLHGFDGPIKVSFGNYTYPIM QDFLRAAESQGIPVTDDLQDLKTGHGAEHWLKWINRDTGRRSDAAHAYVHSTRAKYTN LHLKCNTKVDKIIIEDGRAVGVATVPTKPLDGRNPPRKIFRARKQIIVSSGTLSSPLI LQRSGIGEPEKLRKAGIKPLVNLPGVGRNFQDHYLTFSVYRAKPDVESFDDFVRGDPE VQKKVFEEWNLKGTGPLATNGIDAGVKIRPTPEELEEMKRWPTPEFTSGWESYFKNKP DKPVMHYSIISGWFGDHMLMPPGKFFTMFHFLEYPFSRGFTHIRSADPYDAPDFDAGF MNDKRDMAPMVWGYIKSRETARRMSAYAGEVTSMHPHFAFDSAARAFDLDLATTKAYA GPNHITAGIQHGSWSQPLEPGQTPTETYLNSNKQETREPIQYSKKDIEHIEKWVQRHV ETTWHSLGTCSMAPREGNSIVKHGGVVDERLNVHGVKGLKVCDLSICPDNVGCNTFST ALLIGEKCAVLTAEDLGYSGAALEMRVPTYHAPGEVVNLARL AOR_1_778164 MPLINESHDSLPYIDAEPSAHARANAQKLIASELPADYLSTIHP SIPAFPEPQFSPLMQQEVERKAAGLPLTGGVDLSRYEAPEPPTRSSEAGPNATPNLDE WRQALQKAYTASSHLSMRRDNLTLLEENGKNAWLIGNSQLEDVLRELEKELAETKEAA ETVNKQRKIAQESSKGELAGLEETWKRGVGAILDVELASENVRMQILEQRRQLAQQHA R AOR_1_780164 MANARLGVVVSGTFIAALFLLLLFSVVSDSNQPPVVDSRYKWAD RNTEFASDDSVFLLGAGRADITGPVVEIGFGGYASLDQIGTGLRQRIYSRAFIVANPN RPKDTFIYLIIDSLTGDTAVRHGVLEGLASLGSEFADYGEHNVAFTGTHSHSGPGAWM NYLLPQIPNKGFDKQSYQAIVDGVLLSIKRAHQSIAPGRLSFGSIDLENANINRSPYS YDHNPEEEKARYSDSVDKTMTLLRFDRATDNKTTAVLTFFPVHGTSMYNNNTLVTGDN KGVAAWLFERSVTADQKFADDFVAGFSQSNVGDTSPNVLGAWCEDGSGQKCRYEDSTC GGTMENCRGRGPFFREKDNGAKSCFEIGKLQYEAARKLYTQLDSNPTRILKSSDVNAF HIYQDLSDYTFISPFNASILKTCSAALGFSFAAGTTDGPGMFDFTQNSSGPAEKNPLW YAARAFIHQPSKEQKACQAPKEVLLDVGAVTQPYAWTPNIVDIQVLRVGQLFIIISTS EATTMSGRRWKEAIAKSAKDVLSVANPLVVLGAPSNSYAHYVATEEEYHVQRYEGAST LYGPNTLAAYINLTLTYLPYLGDSSSLPALDSKVKPPINTDKSLSFIPGVLYDGSPIG KTFGDVISSVDNATYGPGDTVNATFVGANPRNNLRQESTFAAVERQKPGTDTWEVVRT DRDWNLVYTWKRTNTVLGHSEVTIQWQIEDDYYNVGNPSSLKDGTYRLHYYGDFKTVK GDIGGFEGISGSFKVSTT AOR_1_782164 MFTNDGLIVVAWVLAIGQTYTVWMYLVIGSDTKLTYQGYHSSDV PPLSTTEKVTGQKKTHRPLEPLCPFRCEHVPANLQLPVGSFSVQSASLRYVFDYPTMD SAAQKAAGADANGIKDGKVAQGGHCINQKAFFLGSAAFTIVTEIWLLCIPAIIIWRLR MPRQFW AOR_1_784164 MSTTASTFSTAIRTESSSSTVLHRTTAGEDEDDGSESYSAFDPT TTLTSSHMASSSSAPATHTTNTLTHGHSTPSVSTSKTPDTTHSTSSLADTHSAVAVRH SHFLWDTVITLISPIWGQFIDLEDHEVYIKSAPQSISNFYQPLYEFLMESDPYIYTSS HLFRGSTATTDIRSTASSSPTVGVIRTLPGAASSGASTGAHQSSTATAPQPDHTSAHF TSGTVSNTHTSNTWTPHTTATAFHTDSISHTQTATSAFITQPPSTGTHTTKTPTTPDI TGTVVSGTGIVIIIPGISTSRNITIPLSPDDNIPVTGTISMSTSSPPVTTPIGASSQS ASATQNTTPAISPHATGLVTTIHPTSTETKVVTIEMPTTEQTQRPTPNASVSVPSEGS SQLTAQATGSKKAPGPAPAPTSDIADNGVVNPVSPMFLTVTETKTVTEKTTETKTVMM TVTATVDR AOR_1_786164 MDQRTRLRKACDACSIRKVKCDTSGPPCRSCASLDIPCTYERPS RRRGPPNRHAEALKRQKLTASPVGSPTPSDQASPESTGLLNSQPFPPPAVFSLEMICP LSTIRLLIDDYFTYIHPLIPVPHEPTFRAALDRREDVTNRTFLALAASMIGTLVTSFP RRPRFHLKTAAEKAAYPHSMALVKRCRDVAVQARGAGYLERSLTVYDAAIGYFLSICS GYMYNMRTCRSYLSECLTILHVYDLCGHSTRIRTMSPPSPDSYSSRLSQDLPGQPGDG QLDIIEQELGRRLFYAVLVGYRTLQQMGSTDFTFHIPPETPTERYPPLPLEIDDEFIF STHVESQPADRVSRLVGFNLNVRIYNSYSQVSAWEVAFGSGQPFDWERQRAAVWESLQ KAKSAVADVPREYSLQGSVPGQGPGPSPTEDLNSYERRHIQYEIQKANIYASQLGTRS YLVEKYWALYAAWKAYQKRAEQATHTSPSPVKVEEDQSNTLEADADAQSDHIGATMAE ERRIVIRDMLVLIRSINEVNMEPNGLSFTAKVRQVASTLLNLPNPNKEPADSTTLTAG PHPLTVAEAESYLHAFIDILMRLEGMAVPSPSMASASPQTTGRSMSYVSDIDRDEEEL RKWASVKEYQARFAAAGGWLSEL AOR_1_788164 MARSLDPSRAAWLYPLRGVYYFASHRFLWPLFKTRLIPIVLLSA FIYVLLFLFTYLPQVAFLSIFQGRGAWVNGAVLVLGEGAAIVAALFEAFFVDETLVDI FDAVLVNEGHGELVTTSRVLYPQGDDVVKRLGKPIHSAVYAPFSLRQIVEFVVLLPLN FIPVAGTPMFLLLTGYRAGPFHHWRYFQLLDLSKQQRKEKIRRRQLQYTTFGTVALIL QLVPPLSMFFLMSTAVGSALWAVDLENKRDLIGRARPDEVDEYHDGDTI AOR_1_790164 MAQTTVIAFDVYGTLLSPGSIARDLEQYVNYDKERAQSIATLWR RYQLEYTWRLNSMGLYISFEEVTHNALIHALLDTGTSMQDDGIKELLQMHDNMAAFPD VEPALDQLARAQNVMPIIFTNGTSNMVSKSILQSGPLSHHRETFRDLVSVDDIRKFKP APEVYRYLAQRVGKCVPEMKDIWVISSNPFDIIGALHVGMRVIWIDRSGKGWADRAAP GLEPTAIVNSLEEILHVIHDHTG AOR_1_792164 MHRRAALELSRRHGVEWTCAARHRDVLFSPRLPYLPRRSFRSSL LAFNSSESSSSNAGSEEAGSNVATPKPKFGARWGTKQAQKPAGLSPAEQAMRDALLAK KAAEEQEQRRLAESRADWNRPPRRQNKRRPFDDGESRSLQPDKDLEVNTRHVPRSLRA SDWICPDCQYNCFGKHQTCPCCKAVRPDLAWPSWPSKNPAQKMERTRRAETRKAGASE ETLKIRRLGHEMLSDMDQEQGGSAAVTRRENAIRTFMTSKGKPLGARLVYDLKNEEEE AEAREERIAERRRERQSKPKPKKADTPVDPWSWDPSSLKLNDDTDVTHSEKASKRRDG RRPRQSEAEEDDEEEDDRRRRREERKRLKKEKARQKELESASIPLYLPEFISVSNLAD VIGVRPAQFVQQMEDMGFEGVTYSHVLDAETAGLVAAEYNFEPIFETAEDEDLAAAPE PEDKSILPSRPPVVTIMGHVDHGKTTILDWLRNSSVAASEHGGITQHIGAFSVAMPSG KTITFLDTPGHAAFLDMRRRGADVTDIVVLVIAADDSVKPQTIEAIKHATEARVPIIV AISKIDKENINLEKVKQDLSIHGVHVEDYGGDVQAIGVSGKTGQGMLELEEAIVTLSE VLDHRAEVDGKAEGWVIEGTTKSYGRVATVLIRRGTLRPGDIIVAGTTWARVRTLRNE AGVAISEATPGMPVEVDGWREQPSAGTELLEAVDEQQAKDVVDYRLEKTETQKLGQDT VAINEARREVLERRRQEESEGIETAGSVQETSGPKPVHFVIKADVGGSAEAVLNSVTA IGNNEVFANVLRSEVGPISEFDIEHAASANGHIVSFNMPIDPAMSRMAEVRGVKIMDH NVIYKLIDDVKAILSEQLPPSVSHRVTGEAEIGQVFEITLKGREKTAIAGCKVRNGII SKARKVRVIRGQETIFDGSINSLKNVKKDVTEMRKDTECGIGFEGWTSFAVGDHVQCY EEIYEKRYL AOR_1_794164 MEERALEDFEKSDGALRTIKDLGAGAAGGIAQVLLGQPFDIVKV RLQTTTQYANALDCASKILKNEGPAAFYKGTLTPLIGIGACVSVQFGAFHEARRRLEE LNKKKYADSSLSYGQYYMAGGFAGIANSVLSGPIEHIRIRMQTQPHGADRLYNGPIDC IRKLSAQGGVLRGLYRGQNVTYLREIQAYGMWFLTFEYLMNQDAKRNNVKREDISSLK VATYGGLAGEALWLSSYPFDVVKSKMQCDGFGAQQQFKSMTDCFKKTYAVEGLAGFWK GNGLNQLPRN AOR_1_794164 MEERALEDFEKSDGALRTIKDLGAGAAGGIAQVLLGQPFDIVKV RLQTTTQYANALDCASKILKNEGPAAFYKGTLTPLIGIGACVSVQFGAFHEARRRLEE LNKKKYADSSLSYGQYYMAGGFAGIANSVLSGPIEHIRIRMQTQPHGADRLYNGPIDC IRKLSAQGGVLRGLYRGQNVTYLREIQAYGMWFLTFEYLMNQDAKRNNVKREDISSLK VATYGGLAGEALWLSSYPFDVVKSKMQCDGFGAQQQFKSMTDCFKKTYAVEGLAGFWK GIGPTLLRAMPVSAGTFVVVELAMKAMG AOR_1_796164 MNIKTGIALFLDASGRRLAKPDIKSSSGKITQEVIAGGNRLHNL TQHNMIARQLCRQLHAFNRQARTLNSLNRFYSTEPPVDTTLQTRIKERSAEIESRYQN DAVPERISRRRAEQLAWKARGERKFKFQDLGSSVTDAYKPEDIIRNPPKPSDVTLELL LASQTHLGHSTSRWNPQNSRYIFGIRDGIHVISLDVTAAYLRRAAKVVEEVAARGGLI LFVGTRKGQKRYVVRAAELAKGYHIFERWIPGSLTNGQQILGHCETKVVNAMDEEIPK YREALADRSVLKPDLVVCLNPLENVVLLHECGLNNVPTIGVIDTDADPTRVTYPIPSN DDSMRAIGVIAGVLGRAGEAGQQRRLENAKNGVLTYPPITPEHLLSPERLAELAQIEA GNVAANAEAAEIANKLDEAVQSIETEQASTPQETSQSTESQPEPQTQVPETEKPAEPQ PEQPQTQAQAPETPVKDQ AOR_1_798164 MSGYDQYNQQGGHYGQGQQGYGQQGYGQQGYGQQGYGQQGYGQQ GYNQQSYGQSSGYDQGYNSHSGSSGSGAANDYYGGGGQSEHHQQSYGGQQHYGQQQGY GQQGGYEGSGEQAPGGAQEGERGLMGALAGGAAGGFAGHKADHGFLGTIGGAIMGSIA EDAMKKYKGKKEHEQQQQEYGGYGGSQYGAPHSQQGGSGMMDQLGGFFKK AOR_1_800164 MATTLYLFLHLVLFSAYHGLTYASYPPTVYLIRHGEKPGDPLDS GLNADGWKRAECVREVFGEASPYDIGYIMAPHINKKGEHRRSYETVHPLATDLGLTVD TSCKRNKVHCVAEAVNDYDGPGNILISWRHGKMRELVQALGYDDPPEYPEDRFDLIWT IPFPYDNITDIRSEDCPVLDVPEELAVDL AOR_1_800164 MATTLYLFLHLVLFSAYHGLTYASYPPTVYLIRHGEKPGDPLDT TDLGLTVDTSCKRNKVHCVAEAVNDYDGPGNILISWRHGKMRELVQALGYDDPPEYPE DRFDLIWTIPFPYDNITDIRSEDCPVLDVPEELAVDL AOR_1_802164 MDDIEDRLRSHAQAFDGLLSLIPAKYYYGEDGSDQWKRKKQTKE EAREAKRAKLDPDAAKTAKDVMEENARKRKRQEEGHNETASSEDEDLGSEMPKEGLKR ADAAKKQKQSEDSAKSEEAEARKKLKEEKKAQKKEQQKEKRKAKEASKKEKLKEQQDK EATTPTADAAQKPESKPASDKNKKQEKPPVKDDDNDDDVDEEETEGLALEFNPEQTEQ SSSSTPNSPGFDASALQSGASSISSIVPPSAPNDASKNPSDQKPLKSTPEELKQRLQK RLDELRAARHADGLNGKPARNRQELIEARRQKAEQRKAHKKELRQKAREEEQRLKDEA LARRFSPGGSGSLLASPRSPAESVGSSAANYSFGRVVFADGQAADPSLNSVRDQPKRH GPHDPASALKAVEAKKARLESMDDEKRAEIEEKDMWLNAKKRAHGERVRDDTSLLKKA LKRKESAKKKSEREWKERIETVRKGKEMKQQKREDNLRKRREEKGNKGNKGKKPAAGK KKARPGFEGSFKGKSGGKK AOR_1_804164 MASSKSVARLVTYRRPAPSLLTSSFRPLGSTANFSSSVCRAATP AGPPPSGFRLAPPKKWDETTESSLDKASKYFLMSEIFRGMYVVLEQFFRPPYTIFYPF EKGPISPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYD IDMTKCIYCGYCQESCPVDAIVETANAEYATETREELLYNKEKLLANGDKWEPEIAAA ARADAPYR AOR_1_806164 MFRSAVVRSLRASVPRAVRAPATFQIRSAPAAQFAPRFAFQGVR LYSAPAGLNKEEAEGRIVNLLKNFDKVSDASKINGSSHFANDLGLDSLDTVEVVMAIE EEFSIEIPDKDADAIHSVDKAVEYILAQPDAH AOR_1_808164 MASAGGLTRRRGGGRVAGADENDDSRVSSPISRNGSSLDNRIPE TSFTSTENGHKIAFDPRDLSETEERSKQPKLTLMEEVLLLGLKDKQGYLSFWNENISY ALRGCIVIELALRGRISMQKDSSRRRFPLADRVIEVVDDTLTGEVLLDEALKMMKSSE KMSVNSWIDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMATHP VADGGAKDDLHRRVRNVCSNRTVILPPNQWLPEDSEFRYLRTITMVCAAYAANVLENA LVTMSHEARERAFAQVDELLAEYSQWPFARRAGGSQAIGANLAGAINEEVTKAKDREL QLEIVAACLSVFTRLDSLL AOR_1_1458164 MDEQTFVPGTMRALYHRPASTAITDLTALDAPRVDSGIIFDTDF PVPKPAANQYLIKVQTAAFSHDGLRLARTLHPSKSIPQIPLHNYCGIVISTPTQDHNK PDGPKFKISDTVFGLISNTRDGAAADYTVATEDEIALKPKNISAAEASTIPGPALTAW QALFTYGGLDPSDTRHKLRILVTNARDNEVAAQALQLLRAKSLFPHHRPWICATCSCP EHDDFLRDQIKVDEVIDAALPLPQDFDLAKIFRKNKWEPVDIILDCAGEQMFNLAHSS DVVKNNGIVLTAVDDTAVQPKTADREAQNQKQGLFSRFVALKPDGKALTRIAELVEEG SIRGRVQCLVDLAHGVDVLASEAAGAGGGRRGGMMVFRVNI AOR_1_810164 MDSLNAQTKHPPVIQNLRRLGDRLWRRDSMLYFLTSFLWKQPSL QAVDVRQHTVWLFDNTAYLRASPNAKGLIESWHAEVVACVFRRDSRKDMSKIVAMIAD LIGLDGEIGTERETRHQITRRLQPFLYHVMPAHLMMLEIPQPNSTTIIQQLGPTNSNG ISSQVVNMGSRHITDGSVVRSYLRGSRDNVAMRTYFAGPNGWLVISDIDDTIKYTKTS ESTGILRTTFVEEPRPIAGMPELYSHMHRELAPAWFYVSASPYNLYPFLRTFIHTHFN PGTLILRDSSWLDISELVKSFTVNTMEYKVKQIEKIRRQFPQREVICIGDSTQKDPEA YAEIYKRHPHWIRAIWIRKVTDVPHLEDQNSPERFKAAFQGVPDQIWKVFEQPEAVFD FLVNLNVDTTTHFSHETH AOR_1_814164 MVRHKKDNYSRGGKKFSSTPRPRPVPRGDGESSDRLPFKAACWD LGHCDPKRCSGKRLMHLGLMRELGIGQKYPGVVVSPNAKKIISPADRDILEQYGAAVV ECSWVRLKEVPFSRIGGKCERLLPYLVAANTVNYGRPWRLNCVEALAACFCICGHEDW AREVLKHFSYGEAFLDINSQLLKRYAACATEEDVKRTEEEWLAKIEKEYEDSRVEGAD DMWTVGNTNRRADDSDSEDDKDSEEGEEGDQDKEKKDEEEEPEEEKDPFAISDDSEEE EQMAEIRRKILNSKSFQNPTVPDKPQPEKITRPDVDPVEDSDAESGSADGSDDEAFDN IIDATPVTDRTGIIAATRKKGNDSLSASFSRTVISAPKRW AOR_1_816164 MAARDRFGAYADLGLTPLQRAIRHACDISHYEPNLALNLEVADL INSKKGNAPREAALEIVRLINSRNQNVSLLALALLDICVKNCGYPFHLQISTKEFLNE LVRRFPERPALRPSRVQHRILESIEEWRQTICQTSRYKEDLGHIRDMHRLLLYKGYVF PEIRNEDAAVLNPSDNLRSAEEMEEEEREAQSAKLQELIRRGTPADLQEANRLMKVMA GYDNRHKTDYRAKAAEEVAKVQQKAKILEEMLQSQQPGERIAEGDVFEELANALQSAH PKIQKMCEEESDDPEAVHKLLEINDSIHRTIERYKLVKKGDVEAASRIPKGTLGTTTG VSKNANNELSLIDFDPEPSSNGNTSQPAGGSSLENDLLGLSIDEPAPAGGISLGPGPV TPMPSSTPPIQQAPSAFKPNYDILSSLNSSRPASQSSIPAPAAMRPQSTTATPPPSDP FASLVSASPRHPSPFPSGVASQQPASAGSSLLDLAGASSPQPAAGNTKAADEDEWDFT SSLPESNALPTTNRVQVLNSSLRVEFVARRHPQQARQIHMVALFSNGTNQPLSELHFQ VAVEKAYTLQLRPQSGRDIAPLQANGVQQEMLVSGVEAGKGNSIKMRFKVSYRVGNEQ KEEQGMVPSLGIA AOR_1_818164 MASPVEFEISPNPRTREENQERAFIAASRRKDRSLDARLESANR ASQLHKQRTGKALLITREIVEKEAMYEEVDDRYKEKLQRMLEAQNLQINTQFQQNLLA TLAMRPGLQHRRASMMAQRGPIDGVRKMSLDLSSIRSSFSEGMRGSPITSPMAMTGNQ SYVMSPSYDGNSHSPSSFHPNMMPASSGHMPSYVGHQSTPTWPAHIGPQHVRSPWAGF NPDMNMPVRHFRDRLGSTPVIPVHAIPSSAAYRPTPTPQHSRNRSEPGQTPAQRMPSH TPSSENTPRVETRIDGLPNETLPTTFPTPDLCPTPSTPHSPTSTAKHSDVAFDTLDPK ESDCVSFSQPFLDQDFVDFQGLSFSLGSHPTIPSFDNTLHHPETEWKPDEVVQMDDWL VGA AOR_1_820164 MTDQQPGEPVADNSSGSNVTSPRSSTDSRSPSTRSQSLRLSHVS PNHQHRHSLSESLRGAPNSPRSRRQPSLTQAAIQSLIDNPPAPNHVNPAFVGRDWREI SIGELVSPNDLRFVEIDTGIEDATNVLIDSDAPVLLIRETPEHKTAVGTFDYSDLNAY LLLAAGLTQPDENTRASYDQLARKAKEGIKIPLKDVKDLGRKEPLTTLPASASVMAAV ETFGGGVHRVVVVDERKQNEVVGIFSQFRLVKFLWENGRSFPVIDQLYPQALRDLRIG SRDVICINGDKPLSEALHLMNNEGITSVAVVDNHTNVVGNISLTDVKLLTRSSSLPLL HNTCTHFISVILSTRGLVDGKDSFPVFHVNPGSTLAHTVAKVVATRSHRLWITDPLSP SSSGPPTPSHSAVHLPLPTNSGNTHSPPSPTPGSANVQPPLTHAAPAGLSHAVAPSIP ASALPGARLSGRLVGVVSLTDILNLHARASGLSPADPAESRSRRRRSSSSSLSVRRSG EIGRELFSR AOR_1_822164 MFPTRVVDWRTGQVYSERTSTRAGAYSKGPSWVHETPFLPAGWE ETNAIGAPTLKHSAMRQALSDQRNLTPQLFANIPWPIASYLWDCLERSRKRTLYMWKL FATAYPAEFRHISQYRSMKIEGPRLAMREYFELVKSDSLKWQLVLNLATSFARVPDLV GISSIRNLAALEVATPPHIGTPADDTETPVTALSDRIIRSWSELAQTSGAFAHLRVLK LCHQDLSDVVLRYLHTFPSLQVIVAYGCPGIRSMFRDGLEIDGWKSRPGQDKPPALYE LYQTSLANMDGVPPALDPGGPILEFQIGRTHQESKRVPTKAKTLYLHRTKAGNRIPTE NSALHLPMKRPREEVSASGQRQRWSGPKRAVMRERKTKDLGEVLGNFL AOR_1_824164 MSYYPPYSGAPGYPPQQQYQQYPPSNYGAPPQPMHHQQSSYGGG YPGQAYRQQQPNNAYGYGQPSPQPYGSHHNGYNSPQQNYGPPSGGHMYQQQSAYQNSY NQGGHGIPARPPDQPVSFGQGAPQEYAYRYSACTGTRKALLIGINYFNQKGQLRGCIN DVKNMSTYLHENFGYPRENMVLLTDDQQNPKSQPTKANILRAMHWLVKDAKPNDSLFF HYSGHGGQTPDLDGDEDDGYDEVIYPVDFRQAGHIVDDEMHRIMVNPLQPGVRLTAIF DSCHSGSALDLPYIYSTQGILKEPNLAKEAGQGLLGVVSAYARGDMGSMVSTAVGFFK KATKGDEVYERNKQTKTSGADVIMWSGSKDDQTSQDAQIQGQATGAMSWAFISALRKN PQQSYVQLLNSIRDELSTKYTQKPQLSCSHPLDVNLLYVM AOR_1_826164 MAFAGQAPTIIVLKEGTDASQGKGQIISNINACVAVQSTIKSTL GPYGGDLLLVDGNGKQTITNDGATVMKLLDIVHPAARILTDIARSQDAEVGDGTTSVV VLAGEILKEVRELVEQGVSAQTIIKGLRRGSAMAVNKVKEIAVDMIEAAGSEEKKVET LRRLAATAMNSKLIKRNSDFFTKMVVDAVLSLDQDDLNEKLIGVKRVTGGGLQDSLFV DGVAFKKTFSYAGFEQQPKYFKDPKIVCLNVELELKSEKDNAEVRVEQVSEYQAIVDA EWQIIYNKLEAIYKTGAKVVLSKLPIGDLATQYFADRDIFCAGRVASDDMDRVCQATG AATQSTCSDIQERHLGTCGIFEERQIGGERYNLFSECPAAKTCTLVLRGGAEQFIAEV ERSLHDAIMIVKRALRNTTIVAGGGATEMELSSYMHGFADRNVPHKQQAVVKAFAKAL EVIPRQLCDNAGFDATDILNRLRVEHRKGNVWAGVDFDNEGVRDNMVAFVWEPSLVKV NAIQAAVEAACLILSVDETIKNEESAQPQAPGRGLPPGAAQRALGGGRGRGMPRRGR AOR_1_828164 MPAVHGDLASMVMPADQELLPDIYPRQVTLRDRVTVATLVPFMS PDDVPPSLLSYLSDQLNSEIEKGDTYAMIDPIPYDEFRHYWFSHFGAIMLLGDIKNTQ DVKLMDRTGGANWSKLCLGSFTVRPNYPGRSSHICNSMFLVTDASRNRGVGRLMGEGY LEWAPKLGYTYAMFNIVYESNVASCRLWDSLGFKRIGRIPGGGRLASNPGQYVDAIIY GRDLGPEGEDSVTQDRFDKIRYYLKHSKYPRGADRAEKSRLRSAATHYKLVGGEDGET EKLMLKDKEVVSDPQQQYDIAREMHVQQHAGINKTTAAIAVKYHWVRIKETVSRVIRD CPQCKETLKSPLTNGLFRNEDMPEEEDQTSSRESEPSMPSNEDMGTNPLMDHVSLDAH QSQNPFVTTHPSVVPGSVDSISDYVMPLDPQIIDIHQQLPRFQTHDAMTDPYTHGPHG LSSSHFDDDVRHHAASDYHMMVDDPSDPDPGSALHQDALGLVHSQVSDVHHHEQILAK YQYVGQPDDDLDFT AOR_1_830164 MSAPQYYPNTLEPLQINKENLQKALHEFREAVDHGTHLVQQGCP PSAEWGSAGIALAFLRLERQALSLTEPGRAPIDFGKLARERIVPHGPNLPLKSGFLSP LGSFSPVTGALMRILAASTDGSAISDADITSLEDAVKLAIKNGPMVPQGDKMMGGDEL IYGRPGLLWSIFNLRVQHFDENTKKRLQPVFDALPNLVDVIVDAGRQGQKDYTKLHGE KDALPLMWSWKESRFYLGAVHGIAGVLAIILACEEANDNASRKYFPWIAGTITGLCKI CIANNGHLPTRIPPSSRHSSPLVQLCHGSPGLLVLMACARRSSLVTEYWEPEWDEAIH LAAESIWREGLLSKGGSLCHGIAGNALPLLLMHDSFEYDVELMQTAKRNYTKRTEPIE TKFLEDNLSSDYFLSRALTLLLHARETPPYSNSPENIYRMPDRPFSLHEGLSGTVCAW ADACVAIQARLRKMELEQEGDGPVVEATLRRDPTFKELMNRQLGFPTIAHHRPTGLP AOR_1_832164 MNTWQRNVRMIHQISEILDLDSAKSDTVADLIELIEAMREGLTQ INEECRIADSHINILFLNKLKSRPEWEGWATNMLRNSRLDSSSPTDKMTFQELSDLAM RHEKVMKGKKKNTQHSRSKSTPYASLDVPLDPGQLTQEDINAFVVQQMKRDDKAPRHN ETVRRHSKKPSQEEINQYVVQQMRREQERKTRMRSHSQPEPRAQATHVRVAVEVPHGN FVPKRVEFRTEVPGQPPMYRSGFSLV AOR_1_834164 MDLVPPSYQSATTRDAWSFIATYIPSSDLCAATLVCRKWHALFM PFLWGDPASHFGTDNDAVYVALTRFRRTLVYARLEVRMLTHTLHLPPALSEIYGGPRP EWLREILEYLPCLQSLIVNEAVSHSYNVRLLLADHEPNTTSQGLAEALLRFQELIYLD LSYTTPARDCSVLSALSQLEHLQVLKLRGIGLRDSDAEFLANAIGLRVRYLDLRDNRL TDMAVRSLLQASFLSPGNPAVSHPIAPGPFAPATSLSTNSKWLSCPTIDEQFMKALTQ PLTGRSWVEGVPHVGITHLYIADNRITVEGVASLIASYRLHALDVGTVDTAESIRDTR MISSYHKDPRKLPGAEKLIPILGSAAKENLTYLRAHHAVCTADAPWKDPVSADVFVAE LPAESEDQTHELDAANVIHELPAEEAPIFELAGSPVPEEWRTGFQRSRDHTQAPIRED EPLPIRRRGSVFAPEVVETPHIRTDGDATPITVSPLHTAQAQEGLIPTEWEFNENSEV SGTIPRCASPVMMDDPKAQKIQELVSKRPKNYILPRKDNKENNFPYLHPSFVPHLETL VLTDVPSHVPANSPILRSLIRFITACSNEALLATLQAGSDYSLPPGRARARAEQERSR SLFALRRLVLEVTPVEKTTARLTAWESTSYQQGAPKSSTGDRDLEKLWTAAADDFSFF GEDECGVPNHDPGRYFPMAALNEKVTLIPEDDDSDNSENSTPVTSVRTLLRPDAPSYG SHSRPSFINSGPARNDDGVVSPQAEAPKVDLVAELAAFRRSKKAEYEDLLRRDRKRRS TIGTTSSLLSPSGSLSSSGPLSPSPSLSTLAAVSAPQMAMSHYVEGYWKGEVKIVRNP APKGRSGVVDMYGNYFEKGYLYP AOR_1_836164 MVKKRANNGRNKNGRGHTKPVRCSNCARCVPKDKAIKRFTIRNM VESAAIRDISDASVFTDYAVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRIR YNKDGKKLNPPQAAKAM AOR_1_838164 MSATGTYRGTTTGYKTVGRGRLPDFDGSASHIPRPRPETASSTP NPHTPSSDIGSSTMSAASRQRQNQSKRDEAIRRKMEADLNKKKQAPTRAHRSRKAPPG TVLALKPSQALQIKPNMTIAEAAQLMAAKREDCVLVTDDDDRIAGIFTAKDLAFRVVG AGQKARDITVAEIMTKNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGVLDIT KCFYDAMEKLERAYSSSRKLYDALEGVQTELGSSQPQQIIQYVEALRSKMSGPTLETV LDGLPPVTVSVRTSVKDAAAMMKEHHTTALLVQDQGSITGIFTSKDIVLRVIAPGLDP STCSVVRVMTPHPDFAPADMSIQAALRKMHDGHYLNLPVMNETGEIVGMVDVLKLTYA TLEQINSMSTHDDEGPAWNKFWLSMDHESDSMVSGSQQPNHRSVLSPESPKASYDARD SVLPNESASHHGGDEHSEYIDHHHHGEHVPFPFKFKAPSGRVHRVNVLTSAGIADLVA QVTAKLGSEADAVGGAATCEEGKLSNTGYALSYLDNEGDTVSITTDQDLADAVTLARQ SRRDKVDLFVHDPTQPPIPATLEPQPAPVKPVEEKPSPVPEEQLSEEPYMSKPRSQTF SSHHPEEQFIAGVPNDLLLPGAIVTLAAVIAGVFILSRATSR AOR_1_840164 MSLLPPEVHSALSQLLRALTTPDNTVRTQAEEQLNNDWIQGRPD VLLMGLAEQIQGAEELVTRTFAAVLFRRISTKTRKDPVTNEAKELFSTLTGEQRLVIR QKLVTCLTTETVTDVRKKIGDAVAEIARQYTDNGDQWPELLGVLFQASQSPDAGLREA AFRIFSTTPGIIEKPHEDAVQGVFGKGFKDDVVSVRIAAMEAFASFFRSISKKSQPKF FQLVPDLLNVLPPLKESSESDELSAGFLALIELAEISPKMFKSVFNNLVKFSISVIAD KDLSDQVRQNALELMATFADYSPNMCKKDPEFAQEMVTQCLSLMTDIGIDDDDASEWN ASEDLDLEESDLNHVAGEQCMDRLANKLGGQVVLPATFSWVPRMMSSSAWRDRHAALM AISAISEGCRDLMVGELDQVLALVVPALQDPHPRVRYAGCNALGQMSTDFAGTMQEKY HAIVLNNIIPVLNSAEPRVQAHAAAALVNFCEEAERKVLEPYLAELLRHLLQLLRSDK RYVQEQALSTIATIADSAENAFDQYYDTLMPLLFNVLKEEQSKEYRLLRAKAMECATL IALAVGKEKMGQDALNLVQLLGNIQQNIVDADDPQSQYLLHCWGRMCRVLGQDFVPYL PGVMPPLLSVAAAKADIQLLDDEDQIDQVEQDEGWELVPLKGKIIGIKTSALEDKNTA IELITIYAQILEAAFEPYVLETMEKIAVPGLAFFFHDPVRVSSAKLIPQLLNSYKKAH GDQSPGFAQMWNKVAEKIIEVLSAEPTVDTLAEMYQCFYESVEVVGKNCLTQQHLHTF IESAKSTLEDYQVRVKARLEERAEAEDGEEENLEYEYAVEDDQNLLSDMNKAFHTIFK NQGTSFLPTWETLMPFYDAFITSQDPTQRQWALCIMDDVLEFCGPESWKYKDHIMQPL AAGLQDQNAANRQAAAYGVGVAAQKGGAAWGDFVAASLPSLFQVTQFNQSRTEEHVFA TENASASIAKILHYNAGKVQNPQEVVANWITTLPITFDEEAAPYAYSFLAQLIDQQNP TVLSNVDKVFGYIVQALEAETLQGQTAARVANSAKQLVATTGLNADQILAGVNPDNQA AVRSYFQ AOR_1_842164 METLRTAFADRPRPLLSYGVPFETAAAKHINDLFHASRVYIICS SSLSRNTDVLDRLNTSIGKNKIVGTRIGMRSHTYWSEILEIVHEARDSGADLILTIGA GSLTDGAKVVALALSNNVQTKADLSKLPVTPSQQATIHAPTIPIISIPTTLSAGEYSN FAGATDDTTQRKHTFQSPLKGPELVILDPSLTTTTPDSIWLSTGIRAVDHCIETFVAV EHTSEKTDRLALHALGLLVPGLLGCKVDKGDVEARLQCQLGSVDAMAACTAGVQLGAS HGIGHQLGPLGVGHGETSCVLLPAVCKFNARHGANGERQERVKGFLLRQGVVGEVLER RGLDATKVDLGDVLDVVIRELGMPRSLKDVGVGRDQLDQLAENSLHDRWCKTNPVPLT EKSQILEILEMVVE AOR_1_844164 MVRQLVWQRATASRRLAPKCLPPQGLFNRRGLATEASSVSSRMP PYPKILRNLEEVRRVLGSSRALTLAEKILYAHLANPEESLLSGTDNGRDIRGKANLKL KPDRVAMQDASAQMALLQFMSCGLPSTAVPASIHCDHMIVGERGADTDLPASIKGNSE VFDFLESAAKRYGIEFWAPGAGIIHQSVLENYAAPGLMMLGTDSHTPNAGGLGAIAIG VGGADAVDALVDAPWELKAPRVLGVRLEGKLNGWAAPKDIILHLAGKLTVRGGTGFII EYHGPGVETLSCTGQATICNMGAEVGATTSVFPFSPSMIPYLQATHRGDVAKAAAEIA ASGPKNLLRADNGAEYDQVITIDLSTLEPHINGPFTPDLSVPLSAFADTVREKNWPET FGAGLIGSCTNSSYEDMTRAEDLVKQASAAGLKPKADFFITPGSEQIRATLDRDQTLS TFASAGGTVLANACGPCIGQWKRTDGVAKGEDNAIFTSYNRNFPGRNDGNRRTMNFLA SPELVTALAYSGRTTFNPMTDSLTTPSGEEFRFQPPTGSALPADGFEDGNPDFKPTAA APDASCEVVVSPTSDRLALLEPFAPFPKGNLSGLKVLYKVKGQCTTDTISAAGPWLKY KGHLPNISANTLIGAVNAATGETNVAYDEAGKQYSIPDLAAQWKAEGIEWLVVAEDNY GEGSAREHAALQPRYLGGRIILSKSFARIHETNLKKQGVVPLTFENPADYDRIDACDK VDTVGLYETLQAGGQGSIKLQVTKQNGEAFEIPVKHTLSPDQSAFILAGSALNLLAQK AGKSN AOR_1_846164 MDSPAVPAPLDPQEQPILDRLLRTRDALLLIKQDKSSYIKSRDV LPLYEEVVSEVEKLNAVRKGKDRRLPHNRLDYVLDDCFQLISLLFLTVGRNNEAPAVY SLATTVQRLLDHLEEAGFYSSKDLSSITKTLAHVHETIDRCRNVYSPALLTLLESRLE KCRLLLDKLQSGLAQLSPELVSTHETLVSILRSTSAVNTRSKFSASEVNALRDQLKKI QDSLKDGNFVGADGEPLPGQENVKGLLERCWMWTEIVLQREGKIDERFQDQYERLVEI RNQLDRLSVTQAWSLRETDLFGYQRKLDRIDEARVNGNFVDAEGQLADIHAQRTLLYL IRRSYGYIYALLISSEPVSEALLPVYNQLQTLKRCLLEVKESGGVANSRELYPYSMKL NSIDNMRVDGKFYVGSDIPEGQGSVNSLLAECYDIVWELRAAVQDDPDDRNA AOR_1_848164 MSTAELACSYAALILADDGIEVTADKLQTLLTAAKVQEVEPIWT SIFAKALEGKDIKDLLTNVGSGGAAPAGAAAAAGGAAAPAEAAAEEKKEEEKEESDED MGFGLFD AOR_1_850164 MPSLWSQVSMASAEPVPLTTREAASGLLGSISLTCWIFVLVPQL IENYRNGNAEAISLVFLAVWFVGDVTNLIGGLWAGLVPVIVAIAVYFCIADGVLIGQC LYYKMRNSRLQAFHRRRSSVETPDPTTPLLGRRFSDSLREGPASRRRSCSSQHRDGHA NGPDDTLAKIVEENEVGRSAWVKNFSSVLAICVIGMGGWTIAWQSGVWQPAPQEDAGG AEIAVGAQVVGYFSAICYLGARLPQIYKNWKDKSCEGLSLLFFILSLLGNLTYGAGIL CHSTEKNYIVTNIPWLLGSLGTMVEDITIFIQFRLYAVADSTAAGP AOR_1_852164 MSSRALRKLQKLREQELQQASLQAEENDESSEDEPVSQPSKPKL NTFDLLNAADEEEEEQESENDAHKPVTQPTEDIPVPAKSAESKKKKKKKNKKKKASPK ATDEAAANTKADDEELDEIDRALRELAVDNNRSAQGDATTAATGNNRDASFPKTPAEL LAIDPKSLNATNEMRKLFGNVVLENFDQEDAGAGRRRDRNRETIDLGRALTGRYSPAS RGQSLAGVTLRRNVLMQGKDEWPRTPSGGLGMELVERSPNGPTVYRIIHNSSYRDVQM QFDMCVESMDPQRMIHLLQYNPYHISTLLQVSEIAKHQSDHAVSADLLERALFNIGRS AHSSFGTSLKEGQARLDFAHTANRELWLVGWRYIANLGMKGTWRTAYEWAKLLLSLND SDPYCIRLMIDHLALRGREYSHFIELCTQTRLREEWASLPNIQCSLALAYLRLNKPKE CREQLRLAMSRYPWVFCKLAQELDIQPMPKQIWGKMPPTDAHELLTELYIVRAKDLWN TPEAVSLIVEVADTLVEEEEPVEPPEITLDIARHVVLSDIPKVTTHLPTRFVSGRISA SDPLPPYDSEAFRQQSDPTPSYLSRVPEAGRPQWLRDLLDQMNNGAIRFPGFHGGEEV HDDDGSTGEGEGETNTTRPHPNADQQPQLEQWLLADGLHSLEAFLRQYGVDRGNWGEV LDYSPLTEYVDALDALQPDTRQRLLHGPIRDSIGEIAIDLLEDELQLLEYDEDEDV AOR_1_854164 MRRAALHAFRSARRVPVWRVAGRKPRAVSFSSSNPLALRPSSAG KHPSFIPATLHSSMHLRNFSLAVISTVVASGAWYAYQGGSSQSAAVAGLNRTISTSTT AYAEDPSESTRRALLVDNDQFYTATINGEEPLRKQTDDSDRRLLEMLTPEQATQKLRK NEESYLVNRGKGVVRYDVVQVPSNSPIEDDHAEKIVEVPSTVATAKEGEANSDWMFWG VFDGHSGWTTSAKLRNVLISYVARELNTTYKAAAADPSLLTPSPAAIDAAIKQGFTRL DNDIVHNSVEKVLKSNSRRVAAETLAPALSGSCALLAFYDSQSKDLKVACAGDSRAVL GRRGPNGKWSATALSEDQTGGTPSEMQRLRAEHPGEPNVVRNGRILGQLEPSRSFGDA FYKWSKETQDKIKRQFFGRTPHPLLKTPPYVTAEPVITTTKVEPSRGDFLVMATDGLW EMLSNEEVVGLVGQWIEQQQAGNVGGNKTWLKSWFGFESKQLPVETSQEAGTEGQRRP IRQQQYDISGAADRFTVEDNNAATHLVRNAMGGKDKDMVCALLTLPSPYSRRYRDDVT VEVIFFGESPDTRTVEINKEASAFEENSKAKL AOR_1_856164 MRPFHRSLRAPRKTTLQQIRHFHPTRPSPFVNELLDASSAFIQG VHSISGLSWAVSIPLTALIVRSTVAMPLQIYTKIQARKERDLVPLLSSWKKHYKDDIL RNQYKVDDVNDIQNLSAATPILAQRMKSKHADLKKRWNIPRYWKPLNFLQIPIWISVM EALRAMSGNDKGLIPYLLSLIEPTNSSGAPRLHLEVEPSLATEGALWFPDLLAGDTTG ILPAALTMSILLNISAGWKAKKFSEMADLPKIELYRALTVRGIRAFIQVLALNVGLSS YYYEMPAALLLYWTTSTNIATMQTVFLDKCMFRKEPLKPWKQMHIGYTANKECAPEES IYKATMKDAKGFKGLH AOR_1_858164 MMLFLLKTAITVLALLATCVLLPRLPLAVLRFVLRGVGWVIQKR TRSRREYILSRVRAEDEEASSKRGRSSSGAHGEDEDWEKLDSSSSGSGTPGNNKAHES DDWNGIIGFFHPFCNAGGGGERVLWEAVRATQKRWPRAICAIYTGDHEVNKTAMLERV QNRFNIQLHAPTVVLLYLTTRKYVVSSMYPYMTLLGQSLGSLVVAYDAFNLLVPDVFV DTMGYAFTLAFCKMLFPSVPTGAYVHYPTISTDMLQSLDDTTGVKGINAGAGKGLKGQ IKRKYWLAFARLYGWVGGNVDVVMCNSSWTSAHIRTIWGPSRREQTHKEPTVVFPPTA VSEIQSAITVDAESEKTREPIILYIAQFRPEKNHPLVLRSFARFLQERSKNPTSASQP QPKLVLIGSVRHASPDETHIYNLRLLAHELRIRDHTTFLCDASWPAILSHLGTASVGV NGMWNEHFGICVVEYQAAGLISVVHDSGGPREDIVVDLGDGATGFRASTEDEFAAAFE AALALPEQEKVAMRLRARKSALRFTEEEFSLKWINEIGKLVQLQGR AOR_1_860164 MASQDTLRDAGQSTADVKNRSVSPSAHPQHQYNNASPGLTLDPS FTVSSFQNSASFNANPNSNSPGADSYSYTAGGYLSPTSAQTLAPPDQAFSHSLQLQSF DPGLVNQLDHSSGLSMQPQLQQHQQPHEENFSTLLNSNPTDFDFSLYPNHSPNSTTAS EYDSSLMLDTQMQGHPQQVNQAVNPVDLIGQMPSPHSVTSPQMSPQEQQPHHSSPGPM SPPNSTPGAYYTPQHSRHTSLDPASAAYMTGNAPPDWQSMMGNAAFQGHRRAPSEVSE VSSAAPSPYMSHHESFDGVDNNPSPLLAPQNDPGLYDSSLGIESFTLSEQQQQQQHQQ GISPIHSPYISPQLMPQQGNDLIPNMPYISAPAGNRYSCPPTDIYGNGAEGVISMPQG TAMVGDIGQASQMAPPSINVEFAPPAKNPIFPPAKPAADLDSLSPPPSTRKSRMRSKS DPYAHPASRSRSPVSVSSSLEPLAPSSPRSLSPFDSTGRQPHSNPSSREPSPSRSRRL STSSIDNRNYILGLADPQRPGASPNDSKRVQKHPATFQCHLCPKRFTRAYNLRSHLRT HTDERPFVCTVCGKAFARQHDRKRHEGLHSGEKKFVCRGDLSRGGQWGCGRRFARADA LGRHFRSEAGRICIKPLLDEESQERERTLMDQQNQQHAGHLQPVPQPLMVPGMDGQHA NGFVLPAALLAQYPALQNLQWDQITAAAEDPSDIGGRSSFDASSGGEFGFEDDESNLS SVSGMSGYGSPQDNLYVMNNQNQMLNVNPGDSGYA AOR_1_862164 MLFSYLLATLPLLANAALTYKGADISSVFIEEKAGVAYKNLAGE TQALEAILTDNGVNSIRQRVWVKNGDYDLTYNVNLAKRVAATGASIYLDLHYSDDWAD PKHQTTPDGWSTDDINTLADQIYQYTLSVCNTFAEEKINVEIVSIGNEITSGLLWPLG KTPNYENIARLLHSGAWGVKDSKLATKPKILIHLDNGWDWDQQKYFYDTALGTGLLTS DDFDMIGVSYYPFYNEKATLASLKTSLTNIQTTYGKEVAVVETNWPVKCSSPEFAFPA DLKDIPFSVDGQVTFLQRLAETLTATKASGFFYWEPAWTKNAGLGSSCEDNLLVDYNT NQVRNSVKAFGQV AOR_1_864164 MKMTDRPPSVSGLEEPINYLARNGHISDSARRQARDKPREGSDS SQSSTEVEVKPSVTRPPTAKLPLQNDLADGQTALRHPFSHLYHSSSTSRSPSTRTSSS SLQALNEDSVVDARSELGAIGRIPLPRRSSHLGQSDTQAPEYPVYPDQSYAVLQSQVH PTYQHPSLRSRNSYPSQTEVLGRFAPSRASRTAGNTPVSSPGLFSVRSPLRSTSSLVS DDEGRISSPSLHPTHLQPPKETHTAEVDRDTVTGNKVINQYEILEELGRGEHGKVKLG RHVGTRQKVAIKIVQRYSKRRRLGKLGNPEDKVKKEVAILKKARHPNVVSLLEVIDDP NRQKVYIVLEYVENGEIIWRRRGLREIVHVDKRRLEREKAGIPDSPSFMEECQQYVRT AQHLRRQRERARERRQIQAEHAQEGPIPAWSLEHGAESDDELGPELTVTHIQSSPSHS SHDAALAAVEGSMYGSYVDYSFERRFSTASSSFGYAPSEPEWTPDDDDMSYVPCLTLD EARNAFRDSLLGLEYLHYQGIIHRDIKPANLLLTSNHRVKISDFGVSYLGRPMRDEDE EQVGETDATELDDARELSKTVGTPAFYAPELCYTGEEFVDALGSAPRITGAIDVWSLG VTLYGMIFGRLPFVSDDEYSMFQTIVKKEVFIPRKRLKPVEDDPDTVGQWPRSDNSAK RMEDELGYEEIDDELFDLLKRLLTKDPVKRITVKEIKHHPWVLDGLPNPRAWVEETDP GYLSKGKRIEVSNEEVTTAVSKVPFIQRVRSNVAKWSNYLTGRSKDKDTRKRTSSAAP SVESSSTSSTNSIGKYLWDSRRASLRDEDFLRPPRLSKDGEHPLSQSVTASPVGRDEQ TCYFEGALVNSPISLDRTPRPEPPERAVSTLSTAESAKTIKATNNNSNPAPQRTVTPA RMETAGTTNVGGLFGGASLRLARGLQSGERRSDKSVSETASLDGDRHCEPTLALSVAS AIGQHSNLLPEEDVSLLRVEGSNTIPSGHRRNRSHQLPGKSSTEPFHLTKEALLRKRR SDIEPPTNGLKSCYRRGSEPSTKDCINLRSEVQARATDSLGENIFSDSPPGGLTTSPP SAASSSLEDYTSGMSQSASHPSIPSVASGVSSLSSGGDTSGKDGVPAIQVPSILRTGE TVKAPQTNLARSADDDESRYYCDDENESEDDSEDEGIVFGKKKATPQKPIIRGCTLPK S AOR_1_866164 MVSFTKLIAAGLLASAAVAVPHGHQHSHVHVTKRSSSKRGAAYN DASTVETLSSNGAISWAYDWNMIASGSLPSGVEFVPMLWGRKMFGDWFTTIQTVLSSA SGSSYILGFNEPDASSQAAMTPSEAASSYSTYITPYSGKAKLVTPAVTNGGGDNEGLG WMRQFLDACTDCGMSVLAVHWYGASADEFKTFVQEAQELASKYNLEETWVTEFALSSA MTAGSGTQESTDFLNEVIPWLDSQSGIGRYAYYMCADGFLLEGSDLSASGKAYTYQS AOR_1_868164 MNNEQFRRLLVNNNTNNSTQKAGSSISPSQNASRGGGATPALLG SRMRSSIPMTPRSVTGVDFARQLAEYRRDGQPPTKRFRSSAAPKGTKLPAGYQDRAAR LRETGKDEADGDDLEKRIKALEEMVKLGQIDRETFEKLQVELGVGGDLNSTHMVKGLD WELLRRVRAGEDVEKKEEKEEAVEETVGDVDEEFEKLEERTGEELPSAPREKEKKKGI MAPPPGKKSRDEILKQLKASRAAAAAAAAEQQAAEPALGSKFKRIGDSKVEKKRWVEQ DENGRRKEILQITDAEGKTKRKVRWLDKPGETNAGGLLVPDKDAKPLGMEVPAEVAAK ASAPSEDEDEDVFAGVGDDYNPLGDIGSGDSSDSEEDGEVGEKPARTTETAPKETPKP SGEAPAKPRNYFSTSTTETTEEDRSNPLTKDPTLLAALKRAAALRRAEPSAEDEAGEE EDADTETLLRRKKFLEEARRREQLDAMDMDLGFGSSRVEDDEDDEVILEERGGKKRKR GPKKKKGDKDSVSDVMRVLEGRKKD AOR_1_870164 MMLTECERILGEGLQRLPSNHNYRYGPQAEKDLLELLFRSLTGH NEERLRQLFPEGLPTGPWKLAEAQGAKEGAEYTEAARGKRCGHIFRAGEATYRCITCA ADDTCVLCSRCFDSSDHTGHQYQISLSSGNCGCCDCGDEEAWRLPLFCAIHTDSGDKK GKEREQAPLPREWVDNIRLTVARALDYFCDVLSCSPEQLRLPKTEEGIRQDEEASRLR PGWYGEGDLAEEEPEFALALWNDEKHTIRDVAHQVSRACRERDSFGNDRAQETNDYGR SVVKYSKDLQRLLAVSKIIEQIKVTVTIRSARDTFREQMCGTIVEWLADISGCSVLED NEILRHTVCEELLSPWRRGSGAFNADIGMKGIDDQEKSDNAPYRTVMLTVSPQGQVVL AADDDDEDEDIENGDEQGANEDGEDDEDFVEGHDDDADDEDEDIEMDLNRLRQEVEDE DEDMVMGNADDALDTIQALLGFARQRQNPEQQQEEEEEEEQPHAEAIETEAQTAPNDS VSSETHDDVSASYVPIPKTPSGVVKPSPAKTPSYWQVRSSVPKRGDNVPPYEDLWQRT RLDWMILFDLRLWKKTRTDLRDLYIGTVVNVPQFKRIMGLRLSALYTALAQLYLIADR EPDHSIVNLSLQLLTTPSITEEIVLRGNFLTKVMSILYTFLTTRQVGEPYEVNPNATL AFDAGSVTNRRLYHFFLDLRYLLQSEYVQNRVRTEEQYLCQFLDLVKLSQGICPNVRA VGEHVEYETDAWISASILMREINRLCRQICEAFRNPDVDGGENLLRALKVATVSAVAH STGIERKRFDQAEIKEYVKFKSLPFFDFEGDDQPGQVSRHRVVDFVVERGSISFHHAL HYTLSWLLECGRNMSSSLMRDTLLEAAQIANDNFIHDSSLAPEDLLLSMFDYPLRVCA WLAQMKAGMWVRNGLSLRHQMSQYRGVSSRDFAYYRDIFLLQTALVTCNPSRVLASIA DRFGMVDWMTRNYMPRAGYEDNQIIDVAEEFVHLLVILLTDRNSLTAIDDGDAAMSEN IRRDIAHVLCFKPLSFSDLSTRLSDKLLDSDMFQDVLEEVAGFRPPEGLNDTGTFELK SQYIDLIDPYSAHYTKNQRDEAENIYKEWMAKKTGKKPSDVVFEPKLRRITSGAFIGL PRFTRTLLFAQIVHQCLDYVVSSKECTPNIPPTRVETFLQVVLHLILAAALEDSTEED EMTEEPMESFVSHALTKDRTTQAGYLTIVGLLEKISIMSEFSACGPKIRHILKKLWQK RPRTYASATAALRFPFDRVDTNSPAIDTDNEKEIKKKQALERQARVMAQFQQQQQNFL NSQGAIDWGEEDFSDMESEPEAAPETKLWKYPSGTCILCQEETSDSRLYGTFALVQDS GIMRQTDIRDPDWIREVLKTPLSLDKSAESVRPFGVAGENRTTVKRLDSTGGEVISEK VGLSKGFNAKNTLRGPVTTGCGHIMHYSCFEVYYTATQRRQSQQIARNHPERLNFNEF VCPLCKALGNAFLPITWKGKEESYPGVLNTTVSFDDFMTGEVKSALSHPRNYALLMEH NKIQQQSYQDLFLDYLNKSLVPPLASKTEQLMTSLLPSTAHYVQQARLPVPGLFPSQD DLPAASPLQQVSSPGDSPMSELLQIYKRLKQTLRLNHIYSTFSHHPDTIKTDDLVHTD SLFQTFGFSIAAAEIQQRGVESEPGSTVLEKIPQLTLTHLRVLAESALTYAAVGCLHD SNGPHTRSADEIQEMHRQKICQLFVGHPCLSGTALLDDVREIEPLLGKDIFVFLAECS LSLLPILNIDIRHLIQMCYVAEIVKVAVTYILWPLGLKEELAQNGDAHYLLDIELSDE RYKSARQFFNSIVTELKSNSVGRAEGSSFPAESGYVKDGEDSATPGVVIALRRLVSSY ALTFLRKAVILLHVQHGVEFPNTGFHDLGVSELDRLTKTLHLPSIDEIFASISTARKS GSPFDAVISGWIFHWNASRSGIRIGDHKLWPSLSHPAIFELVGLPKYYDSLIEEANRR RCPNSKKELSDPSICLFCGDIFCSQAVCCMENKMGGCNQHVQKCGKNIGLFINIRKCT VLYLHNHHGSWHYAPYLDRHGEVDPGLRRNRQLILNQKRYDRLLRDVWLSHGIPATIS RKLEADINNGGWETI AOR_1_872164 MAGAIASAIYQGLIRRNAVYLTAIFTSAFAFEIAYDSASNRIWD AMNRGRQWKDIKHQYMVKDEEDDE AOR_1_874164 MASTNSGITTDAATGERYIPSSVRADGSKRREIRVRPGYRPPED VELYKNRAAQAWKNRGNTGVPGAESLKNENESPAKTGTAASNKNAKRREAKKKAKAAQ EGTATTEGKNVTEIDNWRAPASGADKKQSNGPDKATGGSEETVDLEAENEKKARNLKK KLRQARDLRDKKNQGEALLPEQLEKVIKIQELIRQLDALGFDSNGDKKDDSAEKEEKV AOR_1_876164 MRLPCVPSCALRGVRTPLAFARIGQRYSSTYDAAVIGGGITGLT AAYRLSQDPNCSKITLYEKAPRVGGWLLSEKIPVEGGNVVFEYGPRTLRTAVPSCLPL LDLLVELGLHDDVLLTSSSSPAARNRYIYYPDHLVRMPAPDPNAGPIENITNPLFAML REPVFEGLIASALLEPVRAPPDHKTFNSDESVADFVSRRLCPEVADNLVSALFHGIYA GDISRLSAQTLLGTFRDLENDDRRVIGGYINSLMSDVKLMAMDDLLALESVAHEKPGM YWKSLRTLVNKTSVLTLKDGLSQLSDALVDALKKSKKVDVLANTDVKSITQNPMTDDL IVGSGQDRSRIHNRVIATIPAPELANKLATTTVKDQKVPQSTIRNLQEHNYAVTVMVV NLYFPNPDLLPVSGFGYLIPRSIPYEQNPERALGVIFGSDSSVGQDTAPGTKLTVMMG GHWWDGWKESDYPDHDTAVAMSRALLHRHLGITDAPTLTSSRLQRNAIPQYTVGHLSR MRELSRSTRHELNNRLTLAGSWYNGVGVTDCIRQGYLAASFGVGARKLGPGDGDRPWR RFDYEKWELEGGIVTSPVRWAEVYRTERKHF AOR_1_878164 MTTVVAVGTPLAEALSNVIQPKLVEMGWSSDGGDDSALTEYVIL MLVNGKTQEQIAGELSNDLLGLGEGDTQALDFSRWLFEQVEFLNQQINGGGIQPSIET DPAQAIPSFNDQEAANNQAMMTGDGAMDADMSMGDTGAANDTIPTGPKAMRNNRPAGR GRMLNQINRQLDRGDSALHRVRGQGGSGRINSHGRDHTKGRFHQHGGGRMSGGRQMGG MGMGPNQMPGGPANMMNMTPQDQMHLMSLLEEQARMMSQFMPGFVSPAINPAFQQNGP QQGRSLFDRVERQQGRRPFNKRDDRNADTDMDTKPDQGGEQDESNTDSICRFNLRCTR RDCPFAHQSPAAPEGTPVDVSDACSYGAACKNRKCTGRHPSPAVKSAHQAEELCKFFP HCANPHCHFKHPSMPLCRNGADCSTEGCKFTHVQTPCKFNPCLNPSCPYKHAEGQKGA FADKVWTADGQEKQHVSERKFVSEEDGAEELIKPGGAEEASQNNQEIAT AOR_1_880164 MSQNNHNQDSHTIKESLSTKTWFGFDLDDTLHSFRKASAQASSA VFTTLHEENPNINIDDLKTSYRDILVSTTAGAFADGRTSTEYRRERFSLLLRAHGLEV TTERLDRLLDVYKRSLREALTLKPGALDLLQCIKRRGKKVIVVTEGPQDAQLWTVEEL GLRPYVDVLVTTNQIGKSKVEGLFSAVLDKYGIVASDMVYVGDNEKRDVVPAREAGIL AVLFDEMGGCSFDDFAALRIDSLGKLGDLLE AOR_1_884164 MTVSIIMIPQRFQIVNSCSPIDAGVRFLAYALSSPVVLQVVGLF LFSETAFSTDISAAQYGYLVLAGLGTGTSVAVFYMMVPLVVDGKDQSIALSTGLQLRM LGGVLGVAASTTILHNYLRSRLSVTLDPDQVSLLLSSSDAIGIFSPYIQLQVRQAYSV AYSAQVKLAGGFSVAQLLSVAMIWKRSNVRYLKR AOR_1_882164 MSPDSEMTMSEKQTPEGVSETTSEDPIEWDGALHGFPLYLGALG LGLALFLTGIEATIVSTSLVTITNDLDDFGRSSWVITSYLLTYTGFLMIWSKIGDIWR VKTSLLVSLFMFTAFSGGCGGSQSLRQLIICRAFQGVGGAGVYSLTLYSFVRIVPYRQ YDTISSVAGGILSLGLVLGPLIGGAVAEKGSWRWVFLCNVPAGAFSWVLLLIVLPANF PNAPSAQTASSKYKGAGMKIKTFFQRADTLGALALLTASSFAIAALQEGIFWIAFLLW EWFISKKDLDIYAMFPWRLAGNRIFLGAAL AOR_1_886164 MRFLCLHGIGSNSQTFEQQTAAIRYELGTQHTYDFVEGALPWES SIKNVTKTDEATFTFCDPEQPHSCRQAARDIEGYINEEGPFDGIIGFSLGATMALSWL VNWYQTKQANGSEVAPFKVAVFFSNARQPFDHDALAMDRIAYLDSVQMGKVIDIPTAH IWGSADPQAEEAQRAVNFCDSERRSIFVHEKGHEIPSSVEDTVSIAKVINRAIYQVEG K AOR_1_888164 MSTCSEQGLPPLAIVGISLKFPGDAVTPEDFWKMLLEGRAAASE FPPDRVNIDALYHPDRERLDRLSTRGANFMKGDLGAFDAGFFTINTTEAEAMDPQQRL ILEASYRALENAGITMAEASGSKTCVFTGSFSHDYNMLQVKDPMTLPKWHATGTSMNM LSNRVSWFFNLQGPSATVDTACSSSLMAIDLACQSIWSGNSSMGLAIGSNTILALEMS LCLDNLGLLSKDGRSYSFDQRGNGYARGEGVGVLVIRPLEEAIRHGDTIRAVIRSTSS NQDGRTPGIMQPSGTMQEKLIRDTYVRGGLDLATTRYFEAHGTGTSVGDPIEARAIGS IFRDYRSADDPLYIGSVKSSVGHLEGASGVAGVIKVVLALEKGIIPPNAGLEKLNHRI DDEFLHIKVPQTPVAWPVDGLRRASVSSFGFGGSNIHIVLDDALNYLQINGLQGNHNT TARLSTMCVESHLTNGTLETIAEETKLLVWSAADENGISRIQDKWRSFFSSLHITDKE KKAYLNNLAYTLANRRNHHPWRTFATVKCSDDWSAIVDKFARPCRSVSSPNLAFVFTG QGAQWYAMGRELISGYSVFRDSVKAANRYLQTLGCQWDLLEELQKPEESSNVNKTEYS QPLCTALQVGLVDLLSHFKISPKAVVGHSAGEIAAAYCASAIDRHSAWKIAFYRGKWS SQLEKSSYVKGSMIAVALSPKDVGLYLQKIAGECEILRLTVACINSPKSVTISGEEMQ IDMLKSALSAENIFCRKLKVKVAYHSFQMHEIAAQYQSAMGELEKGTEHKTPIEMVSS VTGTWIKPEDLRAPEYWVHNMVSPVKFSDALFTLCSNTETPRRKLDRSHRRAFPIHHL VELGPHSALQAPIKENLNSLNRQSVAYHSLLVRAGYSIDLGAVNNLESLEEKGLLKSL PDLPELPKIGRNDLLGTPDPNWNPLEPRWRNIIKLSEMPWVRDHQINGTILYPAAGMV IMAVEAAKQLAITGRQISSFNIKDVTLHAAIPIQEQNETVETAFHMRPVKALTSAASH WYEFALYMNTDSSWVSNCTGTIQVAYYPEKPDPVDCGHLERDLASQQIDAYLQASKEC TSPAEVEALYSHLKACGYEYGDAFQGITALSVNPSDYTCVTGEASNRPSTSYETIHPT ALDALIQTALWPTTRCGAEVIPTAVPTHIESIELSVDGLNTTKDIFKVHTRVEPSGNS DICANITAFDDKLGKAIVTVDGLRCTAVNELAVAETHNSIDDKLCHRVEWKPDIRLLK NDDINEICRQPGVDTSALEGFFIEVDFLLLARLLEALDVLAERSLSPSNLQVKKYLEW AATQKRLLSDGQLVFSLEPWKSRFHDIEYIQTLDTRLYESNPQGKFLVSFSRNLTKFL CEELDPLEFIFTCGLIDDFYYDTLDRSSSSRRITAYLELLAHVNPQMRILEIGAGTGS ATHISRSFFGEAASQFAAEKDRMGFNTLDVEYDPEQQGFECGTYDMVVASLVIHATSD LTKTLTHVRKLLKPGGKLIMNEMTNPARSALSLHGYLKRDVEN AOR_1_890164 MKPFGCGTQLRLDTSSSSMTNGFRFIEAEWGDQPLAANEVELEV SYVGVNFRDVLIALGQLNVGGIGLECSGTITRVGTACHKFKTGDKVVGFLPNCYSTHL RFRETDPVVHIPQGISLPAAAAVPANFITAYMGLKELARIQPGESVLVHSGAGGTGQA AIQIAKHFGAQVHTTVGSESKKKFLMQTYGIPESHIYSSRSTFFSQAILQQTAGKGVD IIFNSLSGESLIKSWECIAPYGRFIEIGKKDILSNSKLPMIQFAKNTTFISLDLGSWA RDRPAACVSALAEILALLVEGKFQPPSPIATYGVCEMEKAFRLMQGGKHHGKLVIEMR RDDPVMTVLKPKPNSFFDPNATYVISGGLGGLGQNAVNWLVSRGARNLLLLSRSGEAS AEGRKLLDQLHSHGVKAMAPACNVSDAQSLRKALDACQSHMPPIKGCIQGAMVLHDVS YEDMSYTAWQTAVNPKVQGSWNLHELLPKGMDFFILLSSINGLIGSRGQANYAAGNTF QDALAHYRVSTGERATSLDLGLFTFAGAVAKDSKLREIMRNNSVLEPVTEAQFHALLD SYCNPVVARDLGLSCQTAIGVHPVVMERGAGRAYWLEKPLFGLLQLDQASEVDQHGQA RGVNIAAALQSATSSAEATTLTAEALTLKLCRVLSLSEGDIDENKALHEYGVDSLVAV ELRSWFSKEMQADVAVFDIMGRATITSLAQLAAGRSKLEKGWSV AOR_1_892164 MHSESESGYESQVSMDEPFTPMFKKEHQHDGQLPDITPDDRVIA VMGITGVGKSTFISHFNEDALVGDSLMSCTTEVGIHKAQIDNQRIYLIDTPGFDDTTR SDTDVLVEIADWLRFSYNSNIKLAGIIYLHRIKDVRMGGASIRNLMMFKKLCGEKCLS GVVLATTMWSNPPTEKEIKRESQLKSERKFWGEMIGHGSRVFRQDDGVRSATEIIRYI LGRPQNVTLRIQEEMASGKSLGETAAGKEVQAEVERLRARYERQLNELRKEMREAERR QDLNHKREIEAVRAELEEELKSSKQQQSILRMDIDELQRERDAMHQQELSHREAIEEL RRQRDAEREEMYEKEMAHKEALHQRDADLRVLRARDEYESRLLELETQMAREKNRRSG CVMM AOR_1_894164 MTTKGYFAGIRRSSTEPISKPPRRISVASGAIPEASTQRRNSHN VHFREKDTASQQDDTVVIAVMGVTGSGKSSLISLLADQPVGIGHNLQSHTTHTDMFSF SHPETKNTVILLDTPGFDDTDRSDTEILKEISCFLAMIHEKRWRLVGIVYLHRITDPR LGGSAMKNLHMFEKLCGSRSFPGVVLVTNMWGDLEPTEAGRALGERRQGELKSQFWKT IIEAGGHVMQHDGSKESASAIVSSLVRRNEEMVLHIVHELVEEKKTLDETDAGQFVQA ELLKIKRKYDREIADLQESMEEAMEARDEETARAIKREREAAKAKVAQKARESKGLKI SMQQLVQEKMAEYDARATEPENQEAVKGRITELFEEFKSEMREEMREELESEMRDVKE RLAKIETGPTEKAEQRVEQREEEQPKEDNKAGGLMGWFSRPLSGFSRA AOR_1_896164 MIEELLHQMTPGKASVLFLGVFIVLCLVRKVQVSMHIKRLGGRA PQIQFRFPYAMDFIYNSLNANRAHRDLEFFGNAIKTAKGASQIDSPKTAEVSAGISSR FIFTRDPENIKAILAGQFADYGKGEPFHNDWKEFLGDSIFVTDGELWSRSRHLIRPMF VRERIVDTEVFEKHVQHLIPFLSGGNSPAGSKIVDVGPLFFRYTLDAATDYLLGQGTD SLQNPTTSFSEAFGYVQHRQADLTRLGIFKFLLSLREFRRNLKIMDNFIQPYINRVLS LSTAELDQKLSKQDTFLDSLARFTRDPRVLRDQLVAILLAGRDTTAGTLSFCLFELAR NPQVVAKLRQEIESRLGVGAKGRKPSYIDLKEMKYLNAVLNETMRLYPVVPFNVRLAL RDTTLPRGGGPDGLSPIGVRADTRVLYSTMLMQRNPENYDAPGSPGYVDPEKFHPERW LSGWQPKPWRFIPFNGGPRICLGQQFATIEMGYTVVRILQAFREIKAFPVSGKSVVED PVLRFEVTLSPGSELNCLFVPEEASPTK AOR_1_898164 MQPSEIFVGSIDQGTTSTRFLIFNREGEPVASHQVEFPQIYPKS GWHEHNPLDLVSSVETCVEQAVKQFESTGYSRNDIKAVGITNQRETTVVWDYETGEPL CNAIVWTDTRSQAIVKDLKEKPGASRLQQICGLPLSTYSSSSKLLWMLTNVPRVKDAY ERGTLAFGTVDTWLVYRLNGGHQANVFVSDPTNASRTMFMNLETLEYDNSLLDFFDIR GRVHLPKIVPSSDTKAYGAISDGILAGVPIMGCLGDQSSALVGQKGFSPGMAKNTYGT GCFLLYNVGEKPVISKHGLLATVAYHFDGKPVYALEGSIAVGGSGVKFLQNNLEFFKE SKEVNDLALTVEDNGGCVFVTAFSGLFAPYWIDDAKGTIFGITQYTKKGHIARATLEA TCFQTKAILDAMEKDSGHALSELAVDGGMSNSDLAMQTQADLISIPVYRPKMRETTAL GAAIAAGLAVGLWRNFAELRDINRAGGAVFEPRISRQQSAESFALWEKAVNMSRGWVG NEVPPTVPETKKDVSVAVQHSDNTQIVPAKANGSILPAKRPLLSISNDLDDADEDYLY LELRRVEILQKLKKLTKLKVALLSA AOR_1_900164 MKQIIEHTTTDHQDGTHVAVLSTDKPVSPALDITLSSDQTEPAH PQLLWGKTREYIRDGASEFFGTMILVLFGDGVVAQVTLSHGEKGDYQSISWGWGLGVM LGVYASGISGSHINPAVTLASCILRKFPWRKFPIYLVAQVLGAMCGAAIVYGNYKSAI DVYEGGPGIRTVPGYSPNATAGIFCTYPAAFMTQTGQFFSEFIASAILMFMIFALKDD TNLGAGPLTPLALFFVVFGIGACFGWETGYAINLARDFGPRLLTYMLGYGPHVWTAGN YYFWVPIIAPFLGCTFGGWIYDMFLYTGAESPVNTPYIGLRRLVTPLRPKHHDSGNHV AOR_1_902164 MRVPVINAAVLLLSSAIPVLAQTYSDCNPLSKTCPAKPAYGTSG TFDFTQGQSNDFADVGGPTYGSDGAEFTVAKQGDAPLIQSGWYIMFGHVEFVIKAAPG TGIVSSAVLQSDDLDEIDWEWLGGNNVLVQSNYFGKGNTDSFNRGATHDNVGNHDSFH TYTIDWTSEQIVWQIDGKTVRVLTPDTAATNQYPQTPMMVKVGVWAGGDPNNPQGTID WAGGKTDYDAGPYTMYLKSLKVTDYSTGTEYSYGDQSGSWQSIKSTGGKINGNIAAES ISSVESAPAITSTVASIPIPWSGTHRQTSSFVTPSIWPWVPRATTATPGESRGQGPLP SGWTFSGSRQVQPPSAASVILSPIYICIVAFIAGFSFPLWH AOR_1_904164 MSFQGGDIPEGRRSSESSGGNSWLSQETVKDNQTNRYQRNHAML NGRLISPFSGFAKADRSTSQGRKQPPTLGAHDLSTSNFTWPSPDVSPTDKRKDSGFTG HRKSSAAIGPDEPGPPPTFSSSPRISCPNSDEVDYFQQDPNASYSSSPKIAPGDGNGA FFQDHSEHEASPASTSFRPGTSRTYASEPLELDYNGDHRRPSVASATTISSQGSKSST GGRFRKKLKGFFGEDYLPGDSKLESDNGSQHPTKKSSLSEQHIFRERANSDGARSPPQ RLIGESSPQRPRPRAPLPSSEITPWVFQSFNDIPQYGEAPVREAPIGADGRRAAAQMA RGSQRNQTGRQFSGHRHSRSKEEKSTTADDITVYPSRPTTGRDDFGIGLRANSLNNSA MNSTSTLVRSTSPTPSMQSAHSREQGQSSPGTQLPNKRSILDKIRRPKAHGPLKHFPG AKGVQEAKSTSKLARRDVSPARRGRQGSLEGTTTPKTGDESDRKKDGRGLAISSAKLR GRRVLGTDTPSKDTKPAEEQEGMYELDTDLSHMEGIVRKRSPQPSADRNQSVDGDSKL HEEGKSRDGLPTGHWDAPDSWHVRRHGEDNAPPFPSGDIEVARTVPQPDGAPYFIRVF RVDSTFATLSTGLNATVADILVILGRKSFLTDHLHNYEIVMRRNELTRQLDPSEKPIL MQKEMLERIGYTSKDRIEEVGREDHSYVIRFTFLPNKLNGLTSLQGGDSGFNRNQKFS HVDLSNRCLVTIPISLYSKAAEIISLNLSKNLSLDVPKDFIQGCINLRELKFIGNEAP RLPASFGLASRLTYLDVSNNYVEQLDNAGLDKLQGLVSIKLSNNKLTSLPSYFGNFAY LRSLNLSSNNFRVFPEFLGNLKNLVDLDISFNNIAEVPCIGRLSTLERLWMTNNVLRG ALDESFKDLVNVKEIDARFNEITNIDNLSYVPRLEQLHVGHNAISKFKGSFPKLRTLL LDHCPITQFDIDAPMPTLTVLNIASAKLVQLRDTLFENAPNLTKLVLDKNHFVSMSSH IGKLRKLEHFSIIKNPLDALPATFGCLTELRYLNLRECNLSRLPPELWNCNKLETLNV SSNVLDSFPKHGTPMPQLPGELVPGTPGTSTPTNYEDLAADDQEGRRPSQTSGSVLST GGSPNGSGGYRKPSVASSLSQGGRKVSTASRSLNEGSPASRKDSSFSNLSNQTFCGSL RNLYLADNRLEDDIFRELSLLTELRVVNLSYNELTELPQGLLKRWSNLTELYLSGNEL TSLPSDDLEEGSQLKILNINANRFQVLPAELCKVSKLSILDVGSNSLKYNVSNWPYDW NWNWNRNLKYLNFSGNKRLEIKPHVSSLLGPPNTNGTDLTDFNSLTYLRVLGLMDVTL TTSTIPEENEDRRVRTSASLAGFLAYGMADFLGKSEHLSIIDMIVPRLKPDNVETVVG MFDGTSQSTGGSKIAKYLHENFLRTFSTELRKLRRDLQETPLDALRRAFLALNKNMAA ACFKTFDDKTVQRYEEPPDQKSRKLTNEDGASGGVATVLYLRNMDLFVANVGDAQAIL VKSDGSLRHLTRTHDPAEPHERARIRAAGGYVSRQGKLNDVLPVSRSFGHFHLMPALI AAPHTMHVNLTEQDEMIILASRELWDYVTPDLVVDVTRAERRDLMVAAQKIRDLAISF GANNKLMVMILGVGDLKKRDRQSKFRNASVSTGMLEEQQILPTSKRTKKPRDMPGDSR LARFEFVDAPVGELAIIFTDIKGSTSLWETCPDAMRSAIQIHNDILRRQLGIIGGYEV KTEGDAFMVAFSTTTAALLWCFNCQMQLLEAEWPTEILEQTQCQVEYDMDNNIIFRGL SVRMGIHWGEPVCEKDPVTNRMDYFGPMVNRASRISAVADGGQIFVSSDFMSDIQRNL EVFADSERAASTGSEEGYAVDNLGYNIRRELQQLNSLGFVIKDQGERKLKGLENPEPL YLIYPHTLSGRLSIMQKDAASDKNVPTTISKHSQLEIQTDLIWRLWEITLRLERLCGA LEYPGEARLKEPNVSLFNVVKNHGGELADSTVVSLVEQQVTRIEMTVNTLAIRNMLRP FRPHDRLNDHAMPIGDVLQELQTQLAEYRALKEQISVSAAGITGSSPSYTASLEDSNS SVSSSFLRMSIP AOR_1_906164 MADDLDYLSPDFDLNSLTVPRLRSILVSHDIPYPASAKKAQLIR ILEDEVLPQARRLLRDRERVRRTSDGITDMGSRATSVVSDFNDRREDDSRDSMPPPPT PSTVSTVTGGRRGRSRISTRASTADVDDRNIPATPSTISRRIAPRSESKRLRASENQY YHDTPTTPVAADTVTPRKSTARKLRRSEMTPSTEPEPMSRLIKEEPKEESVFTYDNPF QSGSSPAPWSEKKRKSGSLLPTESPALDQGLRARKSDAFIKQEDGATVSRRSSFQFPV SKLKSPRKQLESMSDDDESELSAGEEFTPEEQLALEREQADLWYPSAPNQQQMQGSTS RAAPWVIILLLLAGFGVWWRKEKIEIGFCGIGKPTWSLAETRIPEWANVLEPQCEPCP AHAFCYPNFEALCENDFILKAHPLSLGGLVPLPPTCEPDSEKARRVKAVADKAVEELR DRRAKWECGELAIDGQGVEGPEISEPDLKQEVGRKRRKGMSDTEFDDLWKGALGEILG REEVITQTKTPSSVVTLTSTSISRLPLTCAFRRYVRLSLLAYRLPLSVLTICACAVMY ARSRVLSRRSDMARVPELVATTLDRLATQAALHARGEAREPYIPIGQLRDDVLRSELR GSRREELWRRVRSVVEGNANIRAAVREGRGGDVARVWEWIGGIGSCSADTTGPPCTEC ALHRRDCVIDEFADKRRKVAARRAQEELRYYRGFVEQLLKAIRHGEGTDVEAIVAVIR SGASHDEIRAVVRGFLKRDAKGEDDMPDGLGQGTETDDTSKDLTPESWS AOR_1_908164 MATSLIRADAQPSLGNAYHARATGLGPSGSFHPGQKRKASESSL TPKPSNARQKITRACDHCKEKKTRCTGTLPCMRCTRLSLPCEYNAAYSRGLPPEPLPA PPSVAADYRSRRSYSRGAAGSRQQPKNGAEVSGRNSPDPVVTDFEGNYLGPASGVSFL NRVWRRLHQDEIEAVPGDLQKESSSKSTSVFMFGDRPYSDDREAGFTLPSIERARELV EIYFDFSMVTYRFLHRGTVEGWLKQVYESNICSRNPPTGPMVARTAILLIIFAVSSLH EELKPENDIDVWNGSERWYAASKYLLSLESGPPRLESVQARLGQCLYLLSSSRANECW YAFGTALQLVTALGLHRRYPAKSSKNGNTYLDREIRKRIFWSTYTLDKYLSVMFGRPR LIHEEDHDQELPDEVNDEDMSQDDARRRTGSPDCMMIASVLHYRLGRILGEVSRQLYT INPRSREPPLEVAVRLTSELEEWKRAAPPLFNSVRATSLIPPLCRQSQVLQLAYSHSI IHATRLFLLNDFTDLSRRPPVSHSTVTNHVHKCIGAAEDVMRIVDTLGKQGVLIRSFW FTHYVCFCAITVVYIYTIQQCQLSSYPDASRSMEDKTYLCSLFNLAEACQQHLAEATR KNCPSRRYSIILGELRLEARRQTGSYLRSDAPANTSQPLATTVIQGQASMVQKTANQA DIPQPLPSASRSANNPETFAPPDTVEETFDFGEDFGLLDNLEGLNWWTQLDSWAFSNL SAEPSNLGL AOR_1_1460164 MLGANEDTFYNPLEPPVTNVSKMITATAPHSTPLPKPILKNASF NHDPDRVPLTVDELVRSRASLGSEQPVICYPRTGIEYVDYPLRQLDIFSFRVAGAIAA KVPSRASSRDTPTVVSLLGTSDLSYLVMLLAVAKLGHTGLLLSTRISIEAYVSLLERT NSRHVFIHESFKATAEELKQRLPDLQVEVIPTQEFFNYPIPEGNVDTNMVPWLDPVAE SKHLAWIIHSSGSTGLPKPIYQTQAAAVRNYSGHMNMSGFITLPLYHNHGISVLFRTI YSSKRLYLYNAELPLTRQHLLDIMQAHPLEIFYGVPYALKLLAETEEGIAALAQFKAV MFGGSACPDSLGNLLVENNVNLISHYGSTETGQLMTSFRPREDKEWDYLRPSEAVKKY LRFEERYPGIFELICLDGWPSKVISNRPDGSYATKDLFVKHPTLEAYKYYARLDDTIV LVNGEKVNPLDMEGRVRQLNTISEAIVFGAGKASIGLAVVRAPGTASMPDQELIESIW PAVEAAHEAMPAYGQLSKSMVRVLPEDTPYPRTDKGTIIRQRFYKEFSNLIEEAYEAE DAMSGSLVLSETELKAFLKDQLRDILAHRKEDELTEDADFFALGMDSLQATQLRSILM KTLNTNGQKLGLNVAFEHPTIGSLARHLDSLHSGATTDVQSVEDQMAELISKYSDFQK HVPMSNGLDGRYIVITGATGSLGAHVVRKLSVRPEVQKIYCLVRAGSPIEGYSRVLQS MRSRRVFDGLTDVAKQKIVALPSDLSQATLGLDATTYSTLTSEITDVIHCAWSVNFNM HLSSFEKDSIGGLKNLLDLCLKAQRPAPASFNFCSSISSVVGTVEDDISEALPKKLSY AQNMGYAQSKLVSEHICMNAAQQAGICARVLRIGQVIGDQDHGVWNSTEAIPLMLQAA TTIGALPKLNESPLWLPVDTVAGTVTDISLSSTAISKPDAELVFNIVSHHPFHWTKDL LPYVREAGLEFEELEQRDWIHRLRTSNPDPVANPPIKLVDFFASKYDTDKPRRSFNWH TERAREVSNTLADARPLDRELVGKMIEYFRKECWSLKN AOR_1_910164 MCWFQKTPLRFRSVDTNKSIGSKALEGDRSKYDVITIDGPSKPV RHGGWQGLNGCSSRAEDCLFSYTILEPASPLNEKEQISLQDSPIGKTTEQLYLSYFTQ ELMSMLPESVRAVASRMTELSGLREAAIALSAARLASIESAPQLSPLRKPRLQHFSEA LSRFISAVQQIRSHPTDIENVLAAVIHLVLFELEVGTLWGVCCHVSGLENLLKLHQDV LMESHYGRTLLRAGAFLGIRTLFLLSPLTSFDIEPATGRYFQRLFDGIAQPQEIIYIN MTRAVSMTTRLILTHCMQYDHETWNIVAGKVYNQFLDAPMQPADTSILPETTEGRDIF IYLKELEERNESVISDSSYEPLDTMLSKLRGSRPHNMHSFLNIPGINPIRFDQCEDAI FHAIYALTHIYCDGELLQSLLYQQEIESNLHVADYVKMILGIAKGYNPASCFCDSVYN INISWVLILLALRWPTEEVMIYLKDDFLPRLQGTDTLREDTVGSLPSFSRIVNVLHTE TKRGRRIYTMQPVYADSDEQEHFFLMNQVKGYAIHGRDSEGHFFNDYVST AOR_1_912164 MGSLLDANSSTVRKRIANHTFDNEEGEEYGASAFGGHRDYMRRK KIKLQNLDAEIRSSVSDCPPIFRGVVAHVNGYTQPSLQDLHRLIVSHGGGFLQYLDGK TAATHIIASSLTPKKREEFRRYRIVKPAWVVESIKAGRILPWDSFRVVDEGYAQKVLK FDNGRILSQTNCPPSSYKDQAFPSPYTSRAKELDIVDDVNMQPSVSIAEPHSAAGSSL KATSQSDYGDFPSFSSTDETNKIPLPEEPQKDQARDHESRLSDTARNPSRSMSPKSKA IVKPASSPSPAKPDMSSEAYNAQLLSDPRMRNSSVVNPDFLQQFYKESRLHHLSTWKA ELKAQLQAATKEKLQSQPAKKKSAPGARRYIMHVDFDCFFAAVSTLRNPGYEGKPVAV AHGTGSGSEIASCNYAARAHGVKNGMWMKGALQACPDLKVLPYDFPAYEEASQKFYSA ILSLDGIVQSVSIDEALIDVTTQCLEAGGSDGRGISEGSLYREQAKADEIAQSLREAV KHKTGCAVSIGIGGNILQAKVALRKAKPAGQFQLKPDAVLDFIGGLTVQDLPGVGHSL GGKLEELGVKLVKDVRELTREKLTSTLGPKLGAKIWDYARGIDRTEVGSEVMRKSVSA EVNWGIRFVNQAQADDFVQSLCEELHRRLVENLVKGKQLTLKVMRRAADAPLEPVKHL GHGKCDVFNKSVILGVATNAAETLGKEAVSMLRSLAITPGDLRGLGVQMTKLEPLKPG NMGNLDNSQQQLNFKTSPARRNIHKSHDPDDLASPRKGETDSVIHRPSLNDRNHKPLN ISGTQFIMPSQPDPKVVAELPNDIRSKLISQAKPPCPPSRRAHPFDGTTLPPQSQLDP DALAALPDDVRAEVLGYYDQSSRTNGPQTTASVAPATSTSRPSSSGSLKLKKPTTPTK RKRGRPSTKAVGNLRLTHSSFAVPRSTTPTPNLDEDPPKRQESPSVEQNGVSEEFLAA LPEDIRREVLEEQKRSRMLQRPGSAAQRIQNKPSASEPSAPQKRLCLPPLPERPTFTS QKLSNLSDLRDAVSAWHATFADDGPFDEDVESLARYVKRVVIDEKDVDKAVSVVRWLM WLVEDAKDEISEPPDGQGSPVGLARGSQSTIAWDGALKLLQENILAGLAERGLPPVEF S AOR_1_914164 MSVQPVAVYALKVPAGGALIPAVPDAAAMFRVSMAAIDPDEEPD FDGHDTNQRPRATLRIVRAPPGLDLEDDSDDDYEDVDSDEESDDEEPNGGPSDKEKAR KLKELAALKEMEEAMDEDDEDEDEDEDGEFDLKAAISKLVKGKGPATDSDEDDEEDEG LELDEMVVCTLDTEKNLQQPLDITVSEDERVFFKVTGTHTVYLTGNYVMPIDPHFHGE DEDEEDEDDYDLSPDEDELALDLMGDDDNESDELDGLENPRITEVDSDEEPPKLVDTK GKNKRSAPADEEKPAKQANGEESLSKKQQKKLKKNNGDAAAVEQKKEAKEGKEAKKVQ FAKNLEQGPTPSGQDKKPAEQTTGTLGVKEVKGVKIDDKKLGKGPAAKAGNTVAMRYI GKLEDGKVFDANKKGKPFTFKLGKGEVIKGWDIGVAGMAVGGERRISIPPHLAYGKKA LPGIPGNSKLIFDVKLLEIK AOR_1_916164 MPPRPNGSEEIEFEFVSGEEVPGYYLYSVFEAFPTALRPALLIQ TTLHIQQTTLRQFSHFSLIAHKGYTLLEIRLSEKSKLSMLIPLLPQFSTIAAPVEAGA VSRSSESYETRGPKGLGERRSRSTPAAFTHIVSRKLSTTFGNPTVIRRSRLRRRPSVR ILKFPAATIPNLNNQGDSANDSSDPSSSPTSSGSPLRAKSTPPTSAEPSIFHEIVEHY QDDTVGWRPTLGTFRPVTGRKLTPIEESPGVTPTVRTVEAVANAKVFFETYFRSIYSD INQRSQRQRELEQYIHSLPLTLEEKNRVWQNWIVQEQEYLRQCRVLKSRFHGACHDET VSIAGFEVLKELGRGSFGVVHLVRENNAGETPTAVMKHPCPKLINARAARGSENHRRK VMTGEKKDVFAMKVIRKSAMIRNSQEGHLRAERDFLVASAKSRWIVPLIASFQDINHL YLIMDYMVGGDFLGLLMRRCILPEDVAKWYIAEMVLCIEEAHRLCWIHRDVKPDNFLI SASGHLKISDFGLAFDGHWAHDQVYYNEHRYSLVKRLGIQVDGDVEDQKEERTRKAEQ APLNNSDDTVTFMPPSVNLLEWRDQHQMRRLARSVVGTSQYMAPEVIRGSLYDGRCDW WSVGIILYECLYGFTPFAAKDRETTKWKIHHHHQTLHFPGERHTDRIVSTEASDFMNR LLQEKEYRLSCDAYRQNDVFNSRSTARHLLSSIDPRSRSYRNFYVYPNDAADIKAHPF FRGIIWEQLHQSSPPFIPKVKSWEDTRYFEDAGGVVDNDDISITTDAQGSGDGPEGTG YKPKPIQNQAESQQKQRGPIPDENTSIDATEPPTKTTKKQKHRKRPRDKMLRDMRIQK TVLRMRKEGAFVGYTYRRPKAVALAFAPERGRLYLSRGQLSEIYGL AOR_1_918164 MPHATDLPAPDAEDADFESVMRQMNGPLADGGMSFDFLQRDLEP GEKADDAVDYEDFDDDELPEEEERAQVPAENGELAMDEDKGLFEAEEEDLFGDQGDEP QPQPEGGDELDDLFGEGPASPVQDHADVTRDLFEEDEQPQPAPETIETPAPEPEPMAM EEDEDILDDNDIGTVAEDMDPAALRAWKLQQALFAMSTVGPDNPPAPPENVEELLHSL FPKFDRKTLPRFLELIPHKKAFFLGKQPPKPPKPVLPSKVNIELAQDQERAFKSGGQV LKRSLESEHLGLVAVAEATPEEEEEEEVKEDFDLDTDTDEVLPGGVTLNDLRVVCMDW DVKSDISIMDIDEPIAEEVTEDAEDDWLLESTRPVKKRKLGRDPAELIAFSHIDVPLI DDPERATSRIAQKVTVDMNDPHILLDERGPESATQKPKAIGALNRDEMDVNVTRRLTS RYNISNDQAYDMLKQNHQNKVRSTLGNVTLEHSMPALRLQWPYYKTELAKAEARSFHR PALSFRPGQTCWFKNATYIKRKHQKGKDVKTLYNSTKALSLADNSTALLVEYSEEVPV MLSNFGMSNRIINYYRRKNMDDPTRPKAEIGETAVLLPQDKSPFSIFGHVDPGEITPA ISNSMYRAPLFQHETKPTDFLIIRSSTGSGGSDYFIRNIENLFVAGQQFPSVDVPGPH SRKVTTVAKNRMKMLVYRLLKKSPDLRLSISDVTAHIPGTSDMQNRQKVKDFLQHDKD SKYWVPLEPVPEQDVIRSWVQPEDVCLLESMQVGQQHLHDTGYGNDAETGGDEDNDEE TESFEQQMAPWKATRNFLLASQGKAMLKLHGEGDPTGRGEGFSFIKTSMKGGFKAIGE SVEDKLDAQRLKELGGHSYNVARQQKSYETSIRRIWDAQKASLSSTVEHSDDDSDIDR ELEEEFNKPTPRSEAPTPGPGRRDDETTSQFSKMSMPDQRGKVLRIVRQFKDDKGQIY SKETMVWDPRVIRHYIQHRHKLEALTTKLENLQPTGDPEVDARNKKLLEAELSRLNRN KERRFAREKQKGATRTSADSPADGGPGGSGKGAGTQRKCANCGQVGHIKTNKKLCPLL NGTMKPEDRVSDSAFAMGAPPAI AOR_1_920164 MSGDHTTEEAPPEVHHYNDIGEVPWDIQNYWAQRYKIFSKYDEG VWLTDDAWFGVTPEPVANKIAEHIASAAPASRMVLVDAFAGAGGNTIAFARSGRWKRV YAIEKNPAVLQCAKHNAKIYGVEDKITWFEGDSLQIVNNQLKDLGPYSVLFASPPWGG PGYRSDKVFNLRTMEPYSLATLYGEYALFTEHMVLYLPRTSNVKQLAKLVKDGEKATV MHYCMEGASKALCIYYGGFNLQ AOR_1_922164 MFGVLNRFIGHLDGEPVQQPRAATSDNAFGFQVLRNKDPELPLE PWFDFIVGINGRLIDYPDPNLFATEVRNCAGSSVTFEIWSAKGQKTHTASIPISPSNP TLGVALQLAPLSSTQHIWHVLNIPSPLSPAYRAGLLPHSDYIIGTPSGTLRGESALGE LVEDHLDRTLVLWVYNSEFDVVREVELVPTRGWGGEGALGAELGYGALHRLPVGLGEE VEGPGEVVFETRADGTSTPVLDPMHPTQSQGAGPGATAGGHFLVPANMTAPPPLASQA PRSLSGSPAPGPSPAARRGKTRQYAVSPNRAFDEYFAEGEQKSKEEDYAPSRKGTPLP PPPKGIRSPPPSGSPAPATE AOR_1_924164 MSFRPMFQQRAVAPIAATLLAGGVAFYPRRTAFAEEPKNDRKPI YDDFPADIPEPTKSLPLSPPKSSPFSSSSPTPTDILTAQVRHARLFLYENSLAAENCF NDFLSRALHIENAFTNTIASLAPSPESGERLLPGGAYVIVSAMAGSIVSRNRGILLRT ASPLAFGTVAAWTLLPVTMRNISDLVWEYEKKVPAIAEQHLYLRERAEHIWSTGVAHS GMARAMMEDKIGKTRKKLEELVSKGH AOR_1_1462164 MAGHMLMPLRRRPWTCRACLQRLQQPRRSLETAASPSSQSDVYD YAPTNHSTQKKSNDETLRRVFDSQPFWREFSQRSATQSKPTGLVQNQYLTNPDGFRAF ANVSLQRCQAIVAKVLAASTLEEYRDMARDLDRLSDLLCRVIDLSDFIRVIHPDPRVQ EAATQAYALMFEYMNVLNTTTGLNDQLKKAASNPDVTSYWSEEEKIVAQILIKDFSNS AIHMPPNERQRFVNLSNDISQLGSNFVNSAEPAKSQVVVGANSLRGLDPILVQQIRRW NRTASVPTTGMIPRLALRSVHDEGVRREVYLATRTSSSRQLHRLEELLSKRAELAQLS GHASFGHMTLSDKMAKSPEAVSNFLTALVGSNREYVQEELSKLQAMKGGSPLQPWDHA YYVHQRVLQYSQSRRSRELSAVPEFFSLGTVMQGLSRLFDRLYGVRLVPQETAAGETW NPDVRRLDVVDEAERHIAVIYCDLFSRPNKHPNPAHFTLRCAREISSEEVAECATMDH SAHPNDGMATAVDPQSKTLRQLPTIALVCDFAEPPATGAGRPSLLSEHSVRTLFHEMG HALHSILGQTRLQSISGTRCATDFAELPSVLMERFATEPAVLSMYARHWQTDQPLSES MMLSMEKDRLAHGSIYGAVENEAQILMALVDQAYHSIPADKAGQIDSTAIYHQVSSAH STLPDPTDSRPPTSWQGFFGHLYGYGATYYSYIFDRAIANKIWEDVFQAGKAAVDREA GERYKNEVLRWGGGRNGWDCVAGVLGSANAANANGRLAEGGDEAMREVGRWGLGRDGV SG AOR_1_926164 MAPLIHLPSNLSDTTSSSSFTTSISTNSLSSKHTNHNHNNGQQQ QTLTHRPTLTNLTRWVSRKISRQRLPNQSTSRDGDPNEGLSDAEREERRATEDDYAAW CWAFSEGRSTGDHYSHSHAHSRGIGNGNRGEYEYGYGSHREAGHGQGQGYGHEQGYDE NLFSEFDGQSPRNDYLTGPRSAKYEHTSIDHGGEGDTTNTRGTYTFLQTQEDRLGRHE SSESVPADQLLRFTPIGHYGYSPLTAGSPVSPPPRILTPARYAETNRMQREKSQELKQ KQKAQRGFWGPVRALWLSLRRSR AOR_1_928164 MASTEEPVPVAAPVEVDSENAPPATPIESTVMSGSEGPLTSPDV SKDKENTKASPVKSRPTSTTATKPTGTTTKRPSSMSGPPKTTSTTRASVNGSTLSKPP TRPATTSTVRKPLSSSTTASHRSRASISSSADEKSRGAVSSSDEKRGISGTAKRMSLV GTPTTRAPRPSSIHDRRSSIASTTTAEKRSSVSSVSRTSTASARTATKPTTTSTARPS SSSTSATRTVTRPSTATRPTSTATKRLSTVTKTSEEDAEKLNALQSKLSESETTVTGL KAELDAVNEKLAQLSVSQTEDSSKDQEEALKALREQHAAELERLAADHAEKLQALRVQ LEEAEATRKELEEKSLKDLDEAAKSASAQGDDQTAAALEELKASHQAQLEALEKELAE QKASTAAYAEQIESLKAELESQKANLETVTKGLQEEKALALDRLERELNGRDQVIANL NIEMDKLNQAKEQGVRAAEESAKQAVSTLEEQVASLTAKLAEAESAAKGNEETPEVVT EKVQEIAELKEAMKKMEAEFLEARESAANARDEKIKELEAAHEAAVAKLKAEHDEALA SASTSHAQELAVAKEAAESAGTTHSQQLQELRDALEAAEAAAKKGREEAASELSAAHQ AELQALQQKLEAAEQALSEARQAAEEGANSAHAVAVQEIDELKEKVGALESQLSTEQD AIKSLHDEVHSKRQEAEALKQSLLEFESKTKAKDAEQESQLRALEEKAAAAEKALEEH LKEASANADKHSQALEKTAVAEKALEEHLKEAAATADKHSQALEELKAQHAAELEKAK ADAAGSHGEALNEVQAKLDALASTNRELETSHAQKIETLEAELKATLERHAGELASHT DAHEKELADIQKEYSETKAQLHAELEALRESKAAETEAEHSKAIEELLTVHEEKLSSV RADLESSNKAKVEELQKSHEAALAEVHEQLSHAQAAAQDSSVLDALKATIADMEKKLS AAEQSVTESKEIASKQGAELSRIEAEKNEWEQKHQAVSSRAKELEELVAASASSKSEA ETVQQQLSASLEELSQVRSKQEAIHIELDELRTQNRAMEEKLMQGERDLNDQIDKNMS LLNQLGEVDSAISSSRKRIRELEAEVAALKAEGKGAGLESSRWAAEGEKAPGGGNAGP AATEGEDLGSSIEGTIASIQEQLKHIRTANDDWYDEHQRLIRELASVSQRATPDRSPA MTPQPERSSSSSDDNQAGEGASR AOR_1_930164 MSSRSTPRRRKVVSTSHALDLLNNLNAKEVTHEPKSSTPYRPRS SHRSKINDDWVPRGDAIWDVPQGPDDDRSAPFKRVALSEPLTPRRSSRLQPKTASKTT PSRKAKRRANLKLKEKDDREGESGSEPERESGGGSDNRPDEEADEENTGPVEENQESG GSDVSYVDVVEDHGDTEFPQPVLDSPHNVPQSNRLSPFDVQKRVSALRAALHRGPDES SAGSRDQSLNEPGSEYGTPRDHPSQQTDEPHPGSDNAYSAHEQQRSSPAVVIVNRATD ARSSMGGEGSPVHSPESPRRNTPLEDIPQPSPVQAESGDLADEVGLYEAEDENVGVDH TNIADEDESSNYIPTDDEAEDESIEADYTNITVEGKSSAHIPTDDEDSVRDRPTRSGL FRYETDPENLSTPPSKRRQTSNQELVPEHGRQSRERSTVTSRAVNSLNSQEDQTEPLQ PRHTLNDIEQHVEDISEDDSENDPDDDTDEEAWLHQALKMAGQKNNWDTLVVQAHRVK KTANPSMAEYYNDFADLTSTLQAKYVKIVRYLDARREPRGATVRDCDELLNAILSDGT SSVDYIVEHKDDEPEAGQPSSEETLDEFEACLVSGMMGVLLACFEAYCKEDGLFPKAY EHLHRVLNVFLRLCYKIYCVVDAGYVDSQNRSRSLQLPLKKLITALEKDLFKGSAPQP ESVPAMSIKHGRPWTDDEGYALIEGLQRYQGRDRYARILKHFGERLRGRTIRGTREKA RQLHDKLLSTVVDPDVLQTEEGRQQWYWLLSVREE AOR_1_932164 MGRPSPQLDNSEYAVGWIAALLHERAAAKAMLDIVHAPPRHKHA KDHNSYTLGSINGPNGEHNVVIASLPSGRYGTVTAATAAKQMLSSFPSIKFGLMVGIG GGIPSDDHDIRLGDVVVSQPTGAFGGVRQYDCGKVTARGFEECGALNCPPEALLNAMG ELQSNHEMMGGTSIPDILESMYAAYPAMADSRRGPAYIYQGADHDRLFCSDCIHEKGA KDCGGCNPEKEIKRPERLDQDPYIHYGTIASGNKVIKDAKKYAAATAAAYAKELLQVT DASDIQNTPEARSVVMDNISEIKTIVKGLARDQEQKDLFRWLSPLSPSARHSENQRVR VEGTSVWILEDPRFLDWSGEGPSSQALCCFGNPGAGKTIISSVVIDSIRGQITTDSTI GLAYLYGDYRDHKVQTTENILGAIVKQLLVFLSEIPQALLELYQREAKQEAPLSKVSA QTFLDVACEQFSKVYICIDAVDELKDQRTLLECLQGRSSMQLFITGRPHIRQTVQKYL KQRQEIPIEAHEGDIRRFIDREIGGPNDIEPDAMDEKLRLDIQSKVLASAKGM AOR_1_934164 MRRREEALKTLPSSLSGAFSGTVARIEQQPIAHSAKAKTIIAWV HLAERPVSVDELLCSLAIEDGDKAFNRRGIPVRSTLLSCCHGLVVIDQETHTVRLVHY SFQEYLNQQSKMFGLSKEEWHSQIARTCLTFLNFPEETADDYMGITLLSYAATKWGHH LRRSEYLPDSPLELAKKYLSTAQVFNNASLLLLYREMYTGRHKDHELHRVVTLAHIAA FFGIHSIMLHLTVTVDIDSRDIIGYTPLSWAANLGHMPIVKLLIEQGVSVDPQDLNGM TPLFLALKSKHEWIAELLIDYGAAVDVNDENRNTPLSYAVEYKCETAVRLLLDKGAPV DTKNKFGETPLLIAAHGGFERIVELLLERGAEVDSMDPYGRTPLLYAAISGFEKIVRL LLAKNAAVDSLDHKYGRTPLLWAIAKDHEAIARLLIDKGAAVDTVDATYGWTPLIWAA YHGWETIARLLIEKGAAIDSVDSKYGRTPLLLALEYRHEAVVKLLLSNGAAALMDSGG NFDLATWKGDGGLDGLMDHLGLTMDDLGHRLRRRTSRKPTYLEYFT AOR_1_936164 MAADGLPVRVLPTLDPSEGHTFLEPSKRINEGDDVSEFLCSKAY VDIMTFLLQLNRSMFPAKLPDGRVQTWPLNTEAVGFSAPVRQLQQLLSKIEDLLDATP LMPGEWRYANGAFQVWHDKVKKATPSLLAECLPAEILHAPSSDPNGPTAEVELTEYFL GSWGSRERMDYGTGHELSFLTFLGAIWKLNGFPKNEPGVEERTIVLGVIEPYLELIRA VIKKYKLEPAGSHGVWGLDDHSFIPYIFGSAQLGPAISNSDLVPETGSLPDAVDPDGV TKANVVEKERKVNMYFSAIGFINDVKKGPFWEHSQMLYNISGVQAGWAKINKGMIKMY NAEVLSKFPVVQHFRFGSLFSWNRDPSAIPPPSRIHTSSGPETRPRQVPPSARQDPGP GTKAPWATASQSTPPPSTGTAAPWATSRAGREPPTTSRIPSALPDTSRLPPGPMAPTR APWASSQPAGPAPTGDPNDITTKAPWAK AOR_1_938164 MQGFNMGRYVPPDQEGLMSGNQLAKKHPLGSRARHLHTTGALTV RFEMPFAVWCTTCKPHETIIGQGVRFNAEKKKIGNYHSTPIYSFRMRHPACGGWIEIR TDPKNTAYVVAEGGRKRDTGEDRREGGVGEIVVKLPGEKEEVVDPFARLEGKVEDKKV VDEGRTRILELQERQARDWVDPYEMSRRLRKTFRAERKVLENAEAKREALKDKMSLGI EIVDETEEDQLRAGLVDFGTGGDTTAARTRPMFESRGVSTEKKLAGQSTAKTKDGKRK KAADLVAERKAMFRSELTGNTRAVVDPFLNHEGNPWQPEVKRRKTAPNKADTNKTDSQ NTSRAASEDRASSTLKEHSIKVTETASKTPEPPTALVAYASDSE AOR_1_940164 MAGDIEDPNLASDSDWASADEGSLDVTTLASSVLNYEYKNGRRY HAYRSGAYLMPNDEEEQDRMDLLHHIYTLILDGELHMAPIKSHPERVLDLGTGTGIWA MDFADQYKSAEVLGNDLSPIQPSWIPPNLQFEIDDYEADWVYSRPFDYIHGRELAGAV SNFDRLFRQAFNHLKPGGYLEMQSFRVEVFADDNSLDRAPYTTKMCSLIQEASAKFGK PMANMDEWADRMMKEGFDNVTCKVVKVPISPWPADKKQKEIGKYFQAQQMQGIQSYVP ELLQSILKWGADEVEVLMAGARKELFDTTIHQYGKLYFVYGRKPSS AOR_1_940164 MPNDEEEQDRMDLLHHIYTLILDGELHMAPIKSHPERVLDLGTG TGIWAMDFADQYKSAEVLGNDLSPIQPSWIPPNLQFEIDDYEADWVYSRPFDYIHGRE LAGAVSNFDRLFRQAFNHLKPGGYLEMQSFRVEVFADDNSLDRAPYTTKMCSLIQEAS AKFGKPMANMDEWADRMMKEGFDNVTCKVVKVPISPWPADKKQKEIGKYFQAQQMQGI QSYVPELLQSILKWGADEVEVLMAGARKELFDTTIHQYGKLYFVYGRKPSS AOR_1_942164 MLNKGPEVNNNHQFSNDFILAKFLEVSRRFPGPMIHDQYGFDKS YAPLLGDAVRTRDELIKALPPSHMLPALLGVLKDTEEIPRTISQKPIEKLILRDFFGV TDYWSIENPTPGVESCGNLPPQSEATTRPGDWCGLQRAD AOR_1_944164 MANISRNTEMRRTLVQIVRVNDGQVHIQDSFTIYTADSGKTSNG PPTVGAVDHNLHRLVT AOR_1_946164 MIHDHTVTLFGKNRSNQIYYLACGNGQVANPGAWNVPFPVVDGA EHISPYRTEDVKLAAPPAQKSLSFKSYTTTLHVSDPNNLPVANSQLEISTDSRTPGNI NGVYYVLGVEPVKIKTDATGVATVIQETENINCAVLKVEAGAGTTQAVINPRMKSFEK LGQLDSASSLRHASFPTNTVAGGMRGSPQFSPLVESSVMETDVNAVAEGMKSLKTAYS HIQTPDLRSRKLFNLNCDVIPTDLGHGLETTGSMIALGDLFLLLKSATDATSNVVWNA ANDAWHFIVQIAEQVYHAVLDTVDAIVGTIEWIFNSVKTAITKIIRYVEFLFEWDDIR RTKDVMYNITKLFFQHQIDGISEEKRDFETMITSAEQSLGEFAGFSDWSNLGDAAKQP ASANVKNPATGQTPGSQMLGNHYRTHAGDLRIVQYPVPSSRIDKDSVQRSLDGQLGDV AKEFGLMEIKAALEKLAVIIVDGILSSTRVVVDTLLDLQKELATGGFDALAAKLHIPI ISDILNEIGIEDVSLLDLFTWISVTSFNVVYKIVTNTAPFLDNEDVQALITAKSWDEL TPLFHTPSSMTTDLIVLVCGNLEPTLPRLDTA AOR_1_948164 MVELTVGYVSGIIAAGIFVARYLFPTLSALILMSFVQEKNTAVT WYSNTFTCWIRVVVAAIISTAGIVTPLGLYDVVLPAPESTPKTFSYIPDQSPMGAGTP PRHSLGFNRRCGLYICPGSNSNITVPGKLDPEWNITIPWNLTYAFASGLSELSPTVSS IWDIEWRNYELTRRENYNKGSLYAVGSYRQVENRILSDRIEVAEGVIMDTKNGGIGFR NHTLPPQSHHGSTWTEDLLFVLPQTSCVNLNLTLDFKWQSFPVNVTVTDRGGFVNLRH QQPEHSIVQTQHNFDLHNKAYTGAWLTNAMGMVYLNVTNPSSHGYAQSFEYVNSAVGK SFPILNKGTGVSWGPDGISTSTMWGDFFNIPDPGYNASGRAWDIASVRYPNPFNITTK NFTNIGPLCQGHSMFDYANMTNVGVVCGIVYGAARRTDGGNHLIRESDSTWQIPIYSC ASAAKALIKTVRFRYDGSGSLGGLRVVDMTEKTYQTEDENPLWGVENTSIRLDVAQPL WGIVSKEYQNRSDISVIRKESLWLPGFGDTTLSLPVNGLLNLPGASFHLSSFAYLYSL SSSITNSVSDYTGETSSALYSKWRGYSTQADTTSTIINLIWTDIVANAVVGTRGWLSP QGIPISESYICRADNDPPPLSWLDGAAGFDDNMGLIGGN AOR_1_950164 MWARLIPILLLLVKPTVSRVSPYDIDDIERPDNVTGLDVKYYGN IGSYYNGTLIVRISPQRKAHKVKPLDGNACGEYEDRTFEFIWDALVGLIPTAPNAPSP NPFFLSLYAWDEGYRLPNENEPANYSEYQGTWFHTFSSDQKPIFYFGITNQTDNDRSY YFDGHMSTEFMRQLDIPFNASGICTPTSSKEDLLFKGTYMVPDSEAHLEWMRDLSVPT IRGYFNLGRAYISISGYLRAISEETELVGKAEVVFQGQIDNARSDQLLLGKKRPEWNA TLGFSVPAGGDVASVAISTKSWKTELQGLVLLLGLALLP AOR_1_952164 MAEPRKSPEHDASSTKNSPAAHRARIACKACNSRRVKCDAVDQQ PCWHCRLRQTPCELIESKRGKYPRKRRSRNQHALCAADQTAGDAVVTDPACSTTDSAL QRGRQKAKHPLAQCAGPSQPKFAKPAQPSRISQNVHTPHLLPPLHLTYTIEILDEPSG GSTEPLKVHYAIPASIAAQTSSAPGHCAAEPVALRDAVATPSCHIARPLIRAFFELVH PAFPVLDRRDFARLYAQGQVSPLVLQAVFMVGFTVCSESLVHEAGYSNRERARKTHYL RAKALYDADHEKNPLSVVAAVLLLGFWWSGPEDQKDYCYWVGCATILAQSFGMHRSSS QSGMSQSVRSLRKRIWWSIYVRDRHTAAAFGRPCRIRDDDCDIEPLTEDDFMFDSDYD QSVVPSQESFHISYAIEMSKLAILLGDILIAEFSPGHTAKGNSDPEALAHRLKQWEGQ IPSDLRRAQLDASIGAPFWANMLYTSYYNCHVLLFRAKPLGGLSPAEADMDIRARIAA DSITRIAEDLLAARTIKYAQVLLVPALFGALSVHTMTLCREDCIRQKLAENKSRQCLL ALCELSESWPVKIWFAKAFANLMSRLTGIKVGSIVSVPSGIVDDAGSMGFSEEPLSLP RRRSPAGATSWDLIASDFLPSSEPSMRSPRFQGSPLTEFLVSGYTSSPADQLLDGLLP FGRIDSTFTPDMALCNSFEPTLPAFDGASTEEGNSQGIWTG AOR_1_1466164 MAAFQNLVRFAVGDRAHYRGLIEAVDGKYKVQRFDGTPFDGVVK TNEEHEVETLLSPIESTPNVIYIGLNYKAHAQESKLPVPTYPPVFTKPADALASPFEK IEIHPDAQPLLDYEGELTVVIGKDAKNVTEEEALDYVLGYTAGNTSDIAGCFGTGNFL LPVHVGGCAGLALGIPVEVYEPSVDSKGVPDADSEAGDLVATAAFPNMPVSFWGQDGN KRYHKAYFAEFDGVWTHGDFVSTHPITKQLFSQGRADGVLNPSGVRFGSSEIYQVIES VFSNEVEDSLCVGQRRPSDNDERVILFLKMKPNAAFLQNSRDE AOR_1_1468164 MMSGHSIRGVVLNGMGFDTTPIKTNKLVYKHKLHFENPNSLWFL LLKLYYMGRTAAQFAESSVPNGIQHIAKKCIAGRAILVDWYKWAQRNGRVVDAMTAHS VPFTELLEVIQDQGLSSDDFRAGDIILFRFGYISQYENMPEEKRARLDEVYKRQKPEN IGIEPSEELLRFLWEKRIAAVAADSRSFEVWPCTEIEWHLHEWLLAGWGMPIGELFDL EELSIACDEANRYTFFLTSSPMNAPGHALYNPSISTPRSAYGNTLVEFYLHREQEIVK QYDLSFAEVNSDVIRAF AOR_1_1470164 MAPHPGQAPSYNSPVSPDNPDLDTSNSKISHPKTTYQDEKKHHT SKLSYSQRQLDRIARVQAELQRLKDECEVLEMGEE AOR_1_956164 MTNFFTSKSKPLGKSTSNMSSNASQLEKESHSTVALRDSQNSDQ QESETQYPGPLKLTLIIISLNLAMFLVGLDNTIISSAIPKITDQFHALGDVGWYASAY LLTNCSFQLIWGKLFTFYVVKRVYLTALFIFELGSLICAVAPSSTALIVGRAIAGVGG GGVGNGSFLLIAHCVPPRQRPAFIGMMGSMYGIASIAGPLMGGAFTDNISWRWCFYIN LPLGVLPAVIITFFIAPFRGSKKGEVGFLNQLKQMDLPGTACLLPGVICLLLALQWGG STYSWKNGRIIALFVLAGLLFIAFIVIQYISGDRATVPGRVFNNRNIWGSALFGSCVT AGFFLLLYYIPIWLQAVKGASAIKSGIMNLPMLLGSVIFSLLGGALTSIIGYYMPFAY LTVILMSIGSGLLSTLQVDSGHAKWIGYQFLVGAGVGSGLQTAFAAPQCVLPLEDIPI GTAVVIFTENLSAAVFVSVAQNVFSNQLRTNLATYVPEADARAILSGGATDIKNFVPQ ELYQGVLFAYNKALDQTFYVGVALSCCAILGTLGMQWVSVKKKDDAPSN AOR_1_958164 MAAFPAYLALLSYLVPGALSHPEAKTLTSRASTEAYSPPYYPAP NGGWISEWASAYEKAHRVVSNMTLAEKVNLTSGTGIYMGPCAGQTGSVPRFGIPNLCL HDSPLGVRNSDHNTAFPAGITVGATFDKDLMYERGVGLGEEARGKGINVLLGPSVGPI GRKPRGGRNWEGFGADPSLQAFGGSLTIKGMQSTGAIASLKHLIGNEQEQHRMSSVIT QGYSSNIDDRTLHELYLWPFAESVRAGAGSVMIAYNDVNRSACSQNSKLINGILKDEL GFQGFVVTDWLAHIGGVSSALAGLDMSMPGDGAIPLLGTSYWSWELSRSVLNGSVPVE RLNDMVTRIVATWYKMGQDKDYPLPNFSSNTEDETGPLYPGALFSPSGIVNQYVNVQG NHNVTARAIARDAITLLKNNENVLPLKRNDTLKIFGTDAGTNSDGINSCTDKGCNKGV LTMGWGSGTSRLPYLITPQEAIANISSNAEFHITDTFPLGVTAGPDDIAIVFINSDSG ENYITVDGNPGDRTLAGLHAWHNGDNLVKAAAEKFSNVVVVVHTVGPILMEEWIDLDS VKAVLVAHLPGQEAGWSLTDILFGDYSPSGHLPYTIPHSESDYPESVGLIAQPFGQIQ DDYTEGLYIDYRHFLKANITPRYPFGHGLSYTTFNFTEPNLSIIKALDTAYPAARPPK GSTPTYPTAKPDASEVAWPKNFNRIWRYLYPYLDNPEGAAANSSKTYPYPDGYTTEPK PAPRAGGAEGGNPALWDVTFSVQVKVTNTGSRDGRAVAQLYVELPSSLGLDTPSRQLR QFEKTKILAAGESEVLTLDVTRKDLSVWDVVVQDWKAPVNGEGVKIWVGESVADLRVG CVVGEGCSTL AOR_1_960164 MSSLPVTRKYPLTHTHTPMNHLSSPSAPSATAMDYIIDPEGDML LIVEECSGNLHLDLVQKESSALADFPLGPTPDVQTIDEPVLSPTQSQSQSQLPLDNAS SAGNSGQPVAIAKTVMLKIRVSSKHLTLASSYFRQRLVPETDDHRPVEKDVVPACVED VDALLIMLDIIHGQTRKVPRSITFKKLFMIAVLVEHYECVEAMEAFAEVWAEKLKGEI PVVYSEDLVKWIGIAWIFRLESLFQKTTRTAIRRCTGPISAMDVPIPLALIEEIEYTR QSTVDDIVDRLSRRINWELMPDTNLYCCKDCDTMVLGALVRQLKIHELYPLPLPPFRG FSVDFLLQTLATLPEPRCHELVHSICGKLSKCHLMPKAKDIVEKVNKEVTGLVLRNAH F AOR_1_962164 MASLPNTYADPVRIAVIGGTGLRELPGFTQVASLNISTPWGTPS SPITILHHQCSHNKQTVAVAFLSRHGLHHQIAPHEVPARANIAALRSIGVRTIIAFSA VGSLQEEIKPRDFVIPDQVIDRTKGIRPFTFFEGGVVAHVPFGDPFDEGVAKVVRACG HSLEGEGVVLHDRGTLVCMEGPQFSTRAESKLYRSWGGSVINMSCLPEAKLAREAEIA YQMICMSTDYDCWHEATADVTVEMVMGNMKANAENAKHFVTAVLDELASDKNSELVQA KHVEGSVKFGLSTAQPNWSPEARERMNWLFPGYFN AOR_1_964164 MPSEDQNQQFKRIGIVGAGNMGSMMAFAFSELGLDVSIWDVKKE NVDQLLQSAKDIKGQGKIEGFEDIGEFTQSLEGQGERKLFIFSITHGDPADSVLSKIK HALKKGDIILDGGNENYRRTERRQKECEEIGVSWIGTGVSGGYQSARRGPSLSPGGDE KALELVLPLLERYAAKDRKTGQPCVARVGPAGSGHFVKMVHNGIEGGMLSAVAEAWSI LHYGLGLKYDEIGDIFDEWNQKGELRNNFLLDIGADVCHRRKSPEGDGKGEGIGEKNE YVLDDVLDKVVQDDDDTEGTPYWCVMETANRHVSAPTIATGHYMRIASGNRAERLRVA EKLRMPKPKPIEGIKDRRLFIEDLRRAVYCCFLSSFCQGLELIARASDDEGWNIDLSK CLQIWRGGCIIQSEAIADLLQPLLKKDVHYTNLKDIDEVAHALKHNFDSLKRIVIDST VFDQYIPAISATLEYLKYAGGTMLPTKFMEAQMDLFGAHAYYKPGVPGEDPGPVKKGP HHYEWRPA AOR_1_966164 MPTDTNQVPLSYATCSIGTSKSDTLPRKLEVLHQAGFTGIELAF PDIVSYAPDLLGHKVAEDNYAELVTVAKDIRRQCEAKNLKVMMLQPFANFEGWPRGSK EREDAFARAKGWIEVMRAVGTDLLQVGSTDTPLDKLSATQENIINDLRELCDLLATHN MRLAYENWCWSTHAPTWKDVWNVVRLVDRPNIGLCLDTFQTAGSEWGDPTTSTGRIED LPVEELDRRLEKSMEELASTIPAEKIYLLQVSDAYKPVRPIEGKMIDGAWPRARWSHD YRPRPYGGGYLPIEPVGRAVLKTGFRGWFSMEIFDGGADGEGKEYDMGEYARSAMESV RKFLERSAK AOR_1_968164 MQSSLLLRARGLPLAKSSFARSSIRAMGSYATFKVPRIDNEPNK HYAPGSPDRKGLEEALAKHKQSAPLTVPLVIAGKEIKSSETFTQANPATHAPLATYSH ATASDVQAAIDAALKARESWASTSFAERASIFLKAADLISTKYRYDIMALTMHGQGKN AWQAEIDSAAELCDFFRFGVKYAEDLYAQQPVHNSPGVWNRVEYRALEGFVYAISPFN FTAIGGNLAGAPALMGNVVVWKPSPSAIASNWLVHEILLEAGLPKNVIQFVPGDAEEV TNTVLNHPEFAALHFTGSTSVFRSLYGQIANRVAEGKYRSYPRIVGETGGKNFHLIHK SADIRNAAVQTVRGAFEFQGQKCSATSRAYVAASVADEFLEQVATETKNLKVGEPTDF TNFCGPVIHEASFNKLAKVIDEAKNDPELELLAGGTYDSSKGWYIQPTVYRTSNPDHP LLSRELFGPVLVVHAYNDATEADFTKICEKIDQTGEYGLTGAVFAQDREALRQADDAL RNTAGNFYINCKSTGAVVGQQPFGGARASGTNDKAGSGNLLSRFVSLRSMKEEFVPTY NVAYPSNA AOR_1_970164 MKAARRPLRPLAGTPSSVLTPRYVSRTSNPKSSVATSTTTTNNV WQQEPQTPKQELSPLAKLPISSVLRSLVILSVSSSSLLLKPCIKTLSMLAHPKTALLD VAKNPLLNMLVKHTIYKQFNAGENKLEVQRSIEDIKRLGYRGVLLGYAKEVLVGESNV DPKDEQAAREEIQMWLDGTLQTVDMAQEGDFVALKFTGMGVQALDVLQRQAAPTEFMD RAIQKVCDLAISRNVRLLVDAEEQAVQPGIEEWTMKYQKYCNSQTPGRAIFYNTYQAY LCSTPTTLAKHLEISRQEGYTLGVKLVRGAYLKTEPRHLIWSTKEETDQCYDGVVEAL LTRKYNSMLKSASEKHQTDLPSVNVIIATHNRDSVRKAHALRTEQAMKGENHGVDLSY AQLQGMADEVSCELLQGFQSAEVMKGASMESPNVFKLLTWGSVKECMGFLMRRAIENT EAVGRTKQSQEAMFEELKRRARLAFRRSN AOR_1_972164 MYLLPEPAVARFFRELNREQCYTLLDALSESLVEVSSQAATPES ERLIHQPLRTSITTKDQNMSLFMPTSNTTNTGIKIVTLSQAQGLTAVINIFSPEGRLL GLLSANEVTAFRTALAVMTLFVRCNSLKKERIVIFGSGRQAEWHARLALLLVPDQVRS VTLINRGRKRLDEMKDIIAELQSAHPGVTFTTLAKEDTPNYQEQLQAALAACDVIFSC TPSTEPNFPYAYLQPFKQRFISLIGSYRPHMKEIDTETLLSGGSQIYVDSKEACLEES GELIDAHVKEDQLIEIGEIYGKLGKSEPIPVSDTHNVVFKCVGMGIMDLTIGKTLLDL GKEQGLGMEVDGF AOR_1_974164 MDSGLPTPAGLGQQKRPRVSEENRKRAVRACDGCRRVKEKCEGG VPCRRCLRYRRQCVFTHPDHAEKIARSSSISLLERNAGLSRQNLVDSERIRYMERILQ HYVPNISFDIQSLRKTAEELKHRHRHSFTDASPSVRLDEEDFEDLAIDDEDYMVKALP DNTTQYSGEFSYLNLSMKIRQKIDEWMKTAAPEASTETDPFEERWRATQLQSGSSLVS ASVTCLPPRFVADFLVQIFFKYAQTNNFYVEEDWIREKLNICYTNPSSLSSNDAGSVC SILMVLAVGTQFAHMESAIPVNRPPVDLSSMEDHHFSEDEVGLTFYQFASKLVPDILA TVSIRSVQACLLIGTYLLPLDTSGLCYTYFGLALKMAIQNGMHRRYQGEGLSPRMIEV RNRVFWTAYTIEKRVSILHGRPVSLSDSDVDAAMPVDFPGLNLTGQVSNHTNMVTLIT LTLKLGEVANEISALRKSRRGQQQDCLERLLNLRKNLVDWWATLPEETNCRDLNPSGP LFRSNVHLKLDYCLTRIFLGRPFLFSNMKILSAATPQAPPFKTPSGVSKNRSTLITDC VEAALEIINLCQLLRDESGLARASFTEFSSCRAALLVILAQSLTKRTERLREALEKGM VLIKIMSMGVGSARSAVSVIETLERAIRRLEDWSERQAPDNPGSMESAYDRFKNWEML WKTGPMSPELVPFQEQYPAGRSSIPPVPVTPMTGTSGGNDPMEGDVANTEITTLSDYS ASHAASLPPMPRFGFDHFVSNFPQELDEFTAIPCFEPDAQQNLSSDIHNPDTKWMQFT SD AOR_1_976164 MPKRKLSDVDSGPKRTYNVQTMRLTQKFEQGVVLLSRALKVAKG FERQKLSRREKTAKSQGSSEALQKIAEEIAFIKSLDPTATAQKYLFKQLFKTKRISEA PAFIQFKESKNISTEGPQSTAEANVTARLYKSNPVKNAFPNIMTDIKKLLGVEEVAGG KKDKGKEAGSKESATKTKTEQRAVSVSDSEPEDPRIAAAAAKFDEEEGDEKSEGGEEG EGDEVMSEAESIDYAQFDSRLAPGSEDEDEEGADGAASDDSGSDGGVNLQAPSDMSIS RSPSPDSPPAKKQKGKSSTSSAPATSTTFLPSLTMGGYFSGSESEPENIDEQQPRRKN RMGQQARRALWEKKYGSGANHVKQQQQQQKRSRDSGWDMRRGATDGSDGPRGRRGQGR GPPGRSQHGGDRPQRGPPAQRRKPEDDKPLHPSWEAAKRAKEQKATAAFQGKKVTFD AOR_1_978164 MASARSLMRLGTGRSLASAARSSRMCRPFSTTPLLKESIPEPPN MRQAQRPPEGALRAPIVNPADKYQDKADALHQYGQYVMSCLPKYVQQFTVWKDELVIY VPPSGVVPLMSFLKYHTAAEFTQISDITAVDFPTKDQRFEVVYNLLSVRHNSRIRVKT YADEATPVPSVTGLFEGALWYEREVYDMFGVFFTGHPDLRRIMTDYGFDGHPLRKDFP LTGYTELRYDEEKKRIVIEPLELTQAFRNFEGGTAAWEPVGTGVDRTPESFKLPTPKP EEKPEEKK AOR_1_980164 MSNRQQIDSVIDDDDEFCPLCIEEFDLSDKNFKPCPCGYQICQF CYNNIKTHSEEGRCPNCRRVYDESTIQYKVPDADEFKADLALKHRKAAAAKKKEAEKR EIEASSRKNLAGVRVVQKNLVYVIGLNPTIRDESQLLQTLRGRDYFGQYGEIEKIVVS KAKPGGNPNQGIGVYVTYSKKSDAATCISSVDGSVNGDRVLRAQYGTTKYCSSFLRNE QCHNRNCTFLHETGEDSESYSRQDLSSMNTLSSQRPNGAPSGPSHTIPPHVARSSAMP LSQPMRRQPSKDDGASSRPPDGSALPSSASWANKDSVISRTRRASLTASQASQSPRPA SATVATSAEEPKRAEKQPAPAQERRQTPLPETQSSTPSSPSESQLPADPEAPLFENLI KAVNSPDFKFVFSAAGLPADEVALIENHPSFIDPYGGVKRRAMREKAEQERAKREQEL LQSAAVEEETRESGSLQLGGEPDDAHPPRGRGSRESHGAIQPPSQQGTTTNSVVGSPV SASSHQFQGLNLAGRSLTPLQQQQLMLLKSASNQQAGVVDPLQSGLGSAALDQAAVRQ GLLQTQMAQLNALQAQNRQNSRFSFTNDAGSKNLSNVRMLSQQASLMQSGTPNPLAAP SPQHGLTSSFYTSGVQGPPPGLKTAGTPPISGGGMFAQGHGFTTNSNLGLAGNIGKQE TNPDLMRELLRSRSGTNASGLQAPDAAKREFMFPFLQQHQTPPPLTPANGLLSSFYGS QTGNFSESGPQKQKKKGKKHRHANTSSGGGGVVDLADPSILQARMHQVGANAAAGQAL YGSQGQGGYNHTMMYGGGFNRW AOR_1_982164 MILFDEEFPPLGAPAKDKRPVDSFGFLGRSQFPTEPQSSARAGT PTLPPGLPLPHAHPASALFQSPLNPSSPVSAVSVPPGLSVPFNRLGTPSQSFQETISG RQSPEVKNTQDNVAISNRAKNASEISLGSPVPKSANKARSQTKDDLTVGSDNKSSKKS GGITEEKSVSTTTKGKPMKLDIPLNTSHAQDSTPLKGEQLTQTASSQVPAPASAIGSR PNTPLTGVSRVSDSSVPRQPRVLRVVDTPKTETPPPASATQSISSQLAAAKGRSRRPS ISSISRPDTPGDFGSEADLYTSASVSRANSPPASSRIGSAPVRAMTKSQVKKERRQKA KEMEAKKHEAATAMVEEPVQAPIIGRKRKTKKTPTSNPESTTATPDTANEALKSSASG KETTDKTDQRAEAKKNKSKDKATKDTKPSPVEEKEAPTQKSAAEAWRSNNTIEQLIKD SEAAGVPIKELFTERTSVLPILLAQLHKAGNLDLNAHPLFNPPNLTQRVDMKCTADDY EILKQPLELTEEHRKALLRGEPVRINSDSNLTKYRCLITPRGCVLRHLGPEEEERYLE LEKRIGAAIDLFPEYPPTSITEPDVTNRGGGLDALFATPENFNICWVDETSAGLSSMS PSGDMTVPECAVTSDTPTPPNVLSAMEADSTRSHNWAIANTAELVNATATSVRSFAAA TAKHMLGAAGVVMGNMPDLDDVVGMTDEELRAFAVKSQKELESSRKDLDSIDKKLNAL VKRNKKLAQQALATSVEG AOR_1_984164 MSAHLLRRISRNPRALSRIPQRWSSSISQRPGSDRVRFPGAVNS KFTTDMTFINPSETTNIPTYRVMDSDGVLLDKNRKPSGVSNEEILTWYKNMLTVSVMD VIMFEAQRQGRLSFYMVSAGEEGISVGSAAALTPDDVVFAQYRETGVFQQRGFTLKDF MSQLFANCHDNGRGRNMPVHYGSNYPRMHTISSPLATQIPQASGAAYALKLESLQNPD TPPRIVACYFGEGAASEGDFHAGLNIAATRSCPVVFICRNNGYAISTPTLEQYRGDGI ASRGVGYGIDTIRVDGNDIFAVYEAMREARRIALSDGGKPVLIEAMSYRVSHHSTSDD SFAYRARVEVEDWKRRDNPIIRLRKWLENEGLWDEDTERTTREQLRKEVLKEFGEAER EKKPPLREAFEGVYEELTEEAQEQMKELKRILETYPEEYDLRQFKDGINGL AOR_1_1472164 MRFTATAAALVASSIPATLGQHVRDLSNEKWTLSSDALNHTVPG NLPSHAHLDLLKAGVIDDPYHGLNDFNLRIFEFCGKYVASTNNQYRQYSFDVSQILEG CNEDPILKIDFGSAPNIVNAIAEDRNSPVWPDGIQQTYEYPNRWFMRKEQSDFGWDWG PAFAPAGPWKPAYIVQLPKAQNIHVLNTDLDIYRKGQINHLPPDQSQPWVVNASIDFV GSLPPNPSMSIEFKDTKSGEILTSKRIGNVTVSGNSVTGVTVLGGVTPKLWWPLGLGD QNLYNITVTVTGHQNQTLAHVTKRTGFRTIFLNQRNITDAQLAQGIAPGANWHFEVNG HEFYAKGSNIIPPDAFWPRVTEARMARLFDAVVAGNQNMLRVWSSGIYLHDFIYDLAD ERGILLWSEFEFSDALYPVDDAFLDNIAAEVVYNVRRVNHHPSLALWAGGNEIESLML PTVERKAPEEYAKYVGEYEKLYISLILPLVYQNTRSITYSPSSTTEGYLDVDLSAPVP MVERYHNTTPGSYYGDTDFYNYDSSVSFNSHVYPVGRFANEFGYHSMPSLQTWQQAVD PEDLHFNSTTVMLRNHHYPAGGTFTDNFHNTSLGMGEMTIAVQRYYPIPNKLDSVANF SAWCHATQLFQADMYKSEIQFYRRGSGMPERQLGSLYWQLEDIWQAPSWAGIEYGGRW KVLHYVSRDIYQPIIVSPFWNYTTGDLDLYVTSDLWESAKGKVNLTWLDLSGTPLPHN AGTPGSVPFNVGALNTTKIYSTNIKNLTLPNPKDAILVLSLSGEGHLPNSDKKTTFTH QNHFTPVFPKDLALVDPGLELSYNTKSKTFTVEAKSGVSLYTWLDYPADVVGYFDENA FVLLPGQKKEIGFTVQEDNTDGKWNHVELAGIIMVIVSSSKKHALPENLPIVLSGRYF TQDIFLTCLAFWTGITVITAYSPVLPSQAGYSKIKLNGLTGGLNTIGIIGIIISAQIV DRLGRRRCLMLGSAMLFAVEIIAGSIYKCSLRHPDKATQYAPGAVAMLFQFNLGHAAP WGTVSFLIPTEIFPSDLRVQGKGFGITGWAIGVGMTTLVNSIMFYSIKSRSYFLLAGL NLLWIPVVYFFYPETCNRSLESIEALLSTPSPFFWDMERSYGLHGDVLVERGGEED AOR_1_1474164 MIRNVTCIGAGFVGGPLATVIAHQCPDIQVTVVDKNKERIDAWN TGIPPLYEPGLEAVLSSVRQRETQCNLTFSTDIDQAIREAEIIMLCIDTPTKGDGIGK GMALDLANTQAAVRTIAQAAESDKIVVEKSTVPCGTADKIRDLLESSSKNGCRFEVLS NPEFLSEGTSITDLFYPTKVLIGHQEKPSSRKAAEELAAIYTRWVSPELIITMDRWSS ELSKLAANAMLAQRISSVNSLSAICEAVGADIESVSASCGMDPRIGKGMLKSTLGWGG GCFEKDILCLIYLARSLGLTPVANYWASVIEMNEYQKSRFFMRIVSSMHGSVGGKAIA VLGFAFKKNTSDTKNSAAISLVRNLLQEGALVSIYDPMVPRDRILTDVAAAGSHSTSV QVSTSAYEACNGADAVVIATEWDEFQTPIATGDVRMTTAKDTSIEEPQSPPSTPDNKG KNLNWEWIMNHMRPPKFIFDGRNILDRQYLEQLGARYIGIGSGSKWGFLERATHSL AOR_1_990164 MANHDDKLKILITGAAGFLGSNLADYLLAKGQVVIGMDSFQTGS PQNLEHLRNHPDFTFVNQNIQLPLEDVGQIDQIYNLACPASPIQYQKDPISTLRTCFQ GTQNVLDLAISKNARVLHTSTSEVYGDPLVHPQPETYWGNVNPFGMRSCYDEGKRVAE ALCYAYREQQGADIRIARIFNTYGPRMNGSDGRVVSNFIVAALSGEDLKITGDGTATR SFQYVTDCMKGLYRLMNSDYSEGPVNIGNDGEFTIQQLAEKVAGLVAEMTNQPKVNIT YHPRPADDPAVRRPQISLAKAVLNWCPTIPLQEGLRRTIEWHVSERVVS AOR_1_992164 MLSRSVWRNLGYNARRRTAIPEVPYRCFSCSQRAQVDSNKANQD ERMTHFGFSNVPESQKESMVGAVFSSVASSYDAMNDFMSLGIHRLWKDHFVRSLNPGS ALPSRNTDTTGKGWNILDIAGGTGDIAFRMLDHATNINYDHDTRVTIADINPDMLAEG KKRSIQTPYYNTDRLSFMQGNAQDMPNIPDNSVDLYTVVFGIRNFTDKQAALHEAFRV LKPGGVFACMEFSKVENSVFNAVYKQWSFSAIPMIGQLVAGDRDSYQYLVESIEQFPS QEEFRGMIQKAGFMIPGRGFENLTGGIAAIHKGIKPLSQA AOR_1_994164 MGHLQQQSPMIPLSSPADPSVPTMIKKDRLARDELSLLSLTPPD VINSALSTTKDGHADASVSTAIHVISTERAALAHLERLYETNALAQESLARAVSQIAR SVRSGGKLVCCGVGKSGKIAQKLEATMNSLGIYSAFLHPTEALHGDLGMIRPQDTLLL ISFSGRTPELLLLLPHIPSTVPIIAITSHLHPSTCPLLSFQPSDMGILLPAPIHEDEE LSIGVCAPTSSTTVALSLGDALAIATARRLHTSPGRGPAEIFKSFHPGGAIGAASNVL TPMSMSTASFPSTTSDDLSSQQQSVASLPQSEDTQRIIDKLVPIDQIPAVSTSTGTIR LLDILLTAIQHPTAKSWVHLSPSEIIPPRHLRSLSQTNYVDMDTSALASLGLPFSVPR DDWLRLPSSTSLDDARRLVSESTAAAGAVIAVMQDENPDACLGFFEAEDLWDGCD AOR_1_996164 MTDITGGHGEDVHQPPAHIPPAPMEDYQFPELRLKRKMDDPEKT PLLLVACGSFSPITYLHLRMFEMAADYVKFSSNFELIGGYLSPVSDAYRKAGLAAAEH RVAMCQLAVEQTSDWLMVDTWEPMQKAYQPTAVVLDHFDHEINTVREGIEAADGTRKH VRIALLAGADLIHTMSTPGVWSEKDLDHILGKYGSFIVERSGTDIDEALAALQPWKDN IHVIQQLIQNDVSSTKIRLFLRREMSVRYLIPVPVIRYIEQHRLYGDDNTTANSTSDK GKGKQEPSKSG AOR_1_998164 MSSRITRSAARLAAEPPPPATSGPSPANPAAGSAPNRKRKVPSR SDRSVEAPGQPNPPSPPRRAKKQRRAASPQPAAASAAPRRGTRNRPAMSQSGPSSHPT EEPSRNQPSPPTSRRKSSRNGKVSQDRFSTAQSPPPRRQQKKRSSRNNPDVIMKEADE DVERRERSEERDSSPPSDSNDGTNHSGLDDDDGDLFHNSLFGSRSPLGLQSTLRALSG MMTGMSSRLREILCNLRAKDDPSIQLIALQELSDLLLVSNEDNLSGQFSPDPYVKELV SLMQPNEFGEENPEIMLLACRCLANLMEALRGSVANVVYGGAVPILCQKLLDIQFIDL AEQALSTLAKISVDFPASIVREGGLTACLTYLDFFPTSTQRTAVTTAANCCRNLPHDS FPVVRDVMPTLLNVLSSNDPKVMEQGCLCVSRIVESFKHKPEKLEELIEPAMLKAVLR LLLPGTTNLIGPHIHTQFLRVLAIVSKASPRLSVELLKMNVVDTLYQILTGVSPPGNL EDTTVKMDSVLVMQALIHRPREQVLETLNVICEMLPGVPGRHVPQTDGWLNSPLDSDP SLGLKSPKAKEVAEKRRSLLLECQGELKRFAMILLPTLTDAYSSTVNLEVRQKVLIAQ LKMLHHLDAGLVEEALRSVPYASFLAAILSQKDHPSLVSSALRCSELLFQRLEHVYQY QFHREGVVSEVFKLAEGPLSDEKQTKPSSDPPAAMDTSSDSRREAEDADGAGDDDAHH DDYDEQEDERDENDDMSESDSSSLSGQVISTRVDNAMKDLVIRDARTFVDLYEASHGR DMREKAIQVLTELRNLASNIEACYSGDAREDGLALFEKLAAYFDGDALESITSSELLN SGIIKVLLDVFGDFNLSSMRKARAAFLQAFMGSTISEKARSQSTATTPFSVLIQKLQD LLSRTEHFEVLTVSHNSLENTRSNAAHMLGKQLRLKLVADEDSDIPRTYRSIMVSIHA IATFKALDDFLHPRISLSDRPKPSRSRESLFSQIANAARLRDQLTGNGEFPGGDIPPL PRQSTDNDRSSHPEDSQLNAQESSTEGQERSSRSRRSGRHQQTSEDHDDEPLECADER HLSEDEEDDDDGDDGELNAIMDDLDDDLSEDNAPDPTAVNMEVASSGKVTARKEDGTR VATPSQSTPASKASSSASSVAQNPAGNNSLATAGRPFSSYAAAMASIPSDWHLEFSVD GNPITGDTTIYRAVHHNRQDSDTSGRHVWSAVHTVKFRRVPGPPPPEPTALTSSTPES AAKNASTEMPPSLSQDTTTASILQLLRLLHEMNATLDDIVTESKELVALKPEALAQFI NTKLTAKLNRQLEEPLIVASSCLPSWSEDLARLFPFLFPFETRHLFLQSTAFGYSRAM MRWHNSQNGDDGRNDHRRDDRPFLGRLQRQKVRISRSRILESAMKVMELYGSSPSVLE VEYFEEVGTGLGPTLEFYSTVSKEFSKKKLKIWRENDCNDAEEFAFGKRGLFPAPMSE QYAASESGKKQLHLFKVLGKFVARSMLDSRIIDVSFNPAFFRIADSSFSVAPSLGTVK AVDQDLANSLLLLKRFANAKAEVENKALSEAQTRQALLNVEVDGVKVEDLSLDFTLPG YPSIELIKDGSNVPVTIENVDLYVERVVDMTLSSGVQRQVEAFREGFSQVFPYSALRT FTPAELVMLFGRAEEDWSIETLMDSIKADHGFNMDSRSVRNLLQTMSELDAQQRRDFL QFVTGSPKLPIGGFKSLTPIFTVVCRPSEPPYTPDDYLPSVMTCVNYLKLPDYSSLDV LRTRLSVAIQEGQGAFHLS AOR_1_1000164 MMTLTRTLHYTHRLKLLATTTPQTTPVRTVRIAASATAQDHHAS TNATPIEDVPSFRTTAPPAPEPQVETSISRLPLVRSLRQDPKYKESRPHLSMNPSLRP AHFVAGSLAGPEKITVPPYMWTATEKLKDSGRWAGRVISVFHIGKQLCGHPGFVHGGL LSVMFDEAFARCVSASFPSGLGMTANLNMDFRKPAVPDRLYVLRADTVNVEGRKAWVE GSLSSLPPAGEESDPVMVAEARALFVEPKFAETMVPLYRD AOR_1_1002164 MRRPEDASNENPVTSAGLVAQGQTPPNWVSVKEDVAFTPRKIKI ICAGAGFGGLTLAHKIKHGLKLEGVVDFVIYEKNADVGGTWFENRYPGVACDVPAHAY TFLFEPNPNYSHFYAPGPEIEEYIQRTARKWNLYDNIELNSKVVEATWDEAAGKWKVK VEQNGVIKEDEAEVFVSASGPLSKWKLPNIPGMSEFGGKLVHTAAWDESYEWKNKRVA VIGNGSSGVQCVAAMHSQVSKMVNYVRNPTWIASNFSGHLTRDGRNFAYTEEEKKKFR EDPAAFFEMRKELENSVNQFAYGMMKDHTLNKLATEIATQQMQDRLKNSHDPSIALKM KPDFSPGCRRLTPCDGYLESFANPNTHMCWEAIDCITEKGIKTVDGKEEEFDLIVCAT GFDTSFVPRWTMSGRDNATLDERWKHNPEAFFSVQVDGMPNYFIIGGPNFTVSNGSLL AGISFVCDYIMRWAQHMATHDIKSMEVKKEAIDDYNVWAQEYFKRTAWADNCRSWYKN GKSSGQVTAPYAGTTSHFKKCLDSIGAEHFNIQYNSANRFRCLGNGQVAGEENGMGDL AYYFVEGLW AOR_1_1004164 MSASGDFGPAPPGVNLSENQNGSMLGAVITLIILGTLSVVLRIY ARTEFKKFRFSIDDYLSFAALLFSYSLGICVIISVKYKNGHHVQALTKQEFTVIWKLL YAHVMLYAFCVTCTKTSIILFYKRIFNLRYSLYFAMFFILGYFIVIIVTINVACDPIP YFWEQYTDPNTAVGSCIDIPKFFFGNGIAAVLIDIMILIIPIPITWKLQMPKTQRLAV IGILLLGSL AOR_1_1006164 MEQMKAIRIVTTGNKPSAEIRDEPLPSPGPHEVLIRVHAASLNY RDTALLRGEYPAKTKEDVVPVSDGAGEVVAVGRDVTRVKNGDRVTVSCVTNWIGGPYN PDYRSNSVGFTVDGLLAEYALFYEDALVPIPDYISYVEAASLPCAAVTAWTALNKIEP LQPGQTVLVQGTGGVSLFALQFAKIFGARVLAITSSDEKADKLKELGAEAVVNYTTCP DWDREILALTDGKGVDKVLDIAGEKTIVKSAASTKITGVVVLIGFASGFGGGLPPIDI LARSLTVTGSTIGSRMDFEAMLQAMERHKARPVIDRVYPFAEYGEAYRRLESGQHVGK VVIQVTH AOR_1_1476164 MAFVCHFSHCNARYQRREHLRRHETQHHRRQRFQCSTCNREFGR SDTLRRHMQKVHGVKECAPPPKQACTYCRDQKTRCQGGPPCSKCVYRGIDCSLSRQTE VQPGGDSSCPPDTLLSDHSAHSKTSRSEKERHFLDLYFKLFHPHWPFIHQGSFREDDE TPLLVQSMIVIGLWVSKEPNAQSKAVDLHNVLNSAIHQQKEIWDASISKDASSTCSWP IPTYQAILLHIIFAVLYKGSGALGLDLKPSLTPAVADLLHRLNDLASLVWIGIEEIKR FNIALFKVCRAFSSSGEQGSSIDSSNITGTASWRLYARDLQFPLPRNTPLWAATSREE WDSAAPDDVYGISLNDTLEAEWISKSADVLELTEISFPFG AOR_1_1010164 MVDGIYKLLKEVESPEFDTRWQETPSKALSPSAQHAASTYRKTL CLGLRCLSGEITIPNNQTWNDAIAYCRAVIAAPQDSITRASSYWIRSSSDISKVQLQR FGPGIIAAAHKGNYEIVSDHFQGDRLKVPHIDKKQSFYRNARNMDLGAFFYPSSSPLA VGAFDSGIVPCSLSISAFLDPEAAVKGGSISGVAICDDYAAFSREDYEIRLRIVGFAL GCAYEFGGQLVNAITDGSMLQCVGTGDQHTLEAAMAWRVISGCTSPNSGYIFGKESLE EGLVITEVEIAIHDLLDWRSDVAAGNHENGVSAAYGLGIEDPFHAYLEATLERAVSNP RSGGYAIAAIVYMHFTGVRYGAYDYHGTHGESCSECVRILRDVTIGAGLVWAPKSPPR SFEGSAGIRQLGKTWIDEFKDCGLVQESLGWFQHLVSTGEIRLFDVLNPIKEVDTEAD WA AOR_1_1012164 MESPMRVIISACVTDIGGNPQRRHSTLGSAFCEEVLNREFRASL QPTGYDHVHIPADFDSTKPVKRWFIFDLDVRAELGADEVAQIPHQVYLASRQGDNWIF IPRPQWIDSAKARANSYTWGGRLEQKLVAGMRNSLPQA AOR_1_1014164 MGQKRQRDQKGPGSFAKKRKKSAKPSDATAEDSDWDGIVGMNEL NWKEVALPDRLEDAGGFFGLEEIEGVDIIRSEGNGEIKFKAKAGKPKKSILKKKEPEE TNTQSDDEWEGFGDDDQAVSQEESKETQDEPNESDKKAKVKESKNAKKEKKKNAKDAR KEQKEKAVESKEDKGIKSGLSFAALQEEEDDGADVSAWESLGLSPEILAGISKMKFTT PTSVQKACIPPILDGRDVIGKASTGSGKTLAFGIPILEYYLEKLRSKTQKDSEKTETT PIALVLSPTRELAHQLAKHIGEVVSHAPGVNARIALLTGGLSLQKQQRVLTNADIVIG TPGRVWEVLSSGHGLIRKMQAIKFLVIDEADRLLSEGHFKEAHEILAALDRVVDGEFP DESSDESDDELDPKSGRQTLVFSATFHRDLQQKLAGKGKWTGGDIMSQKESMEYLLQK LNFREEKPRFIDVNPVSQMAENLKEGIVECAAMEKDLFLYTLLLYHPKHRTLVFTNSI SAVRRLTQLLQTLQLPALALHSSMAQKARLRSVERFSSPSSDPSSILVATDVAARGLD IKGIDFVVHYHAPRTADAYVHRSGRTARAGASGKSVIICSPDEMVGVVRLAAKVHANM ANRKKVPLESLELDRRVVSRVKQRVTLAARIVDSNIAKEKVSSEDNWLRTAAEDLGVD YDSEEFDNAAARGRGRGRGRQERERKAGSTSKGELAGMRAELKQLLSQRVNVGVSERY LTSGRVDIEALLRGEGNNSFLGQVDPLDF AOR_1_1016164 MGKSSKDKRDAYYRLAKEQNWRARSAFKLIQIDEQFDLFEHENP EKVTRVVDLCAAPGSWSQVLSRVLIKDAAMEELKPRKNVKIVSIDLQPMAPLEGITTL KADITHPSTIPLLLRALDPEAYDSTTSSPSSLRQPHPVDLVISDGAPDVTGLHDLDIY IQSQLLYAALNLALGVLRPGGKFVAKIFRGRDVDLIYAQLRTVFEKVSVAKPRSSRAS SLEAFVVCEGFIPPSIHGGSMGIDALKNPLFGGAAVAHTVSADGNVGVEVMDVDSEAK PGADLTTTKTVTSTEPHKENQVRMLHADSDNSSAPEPLSQKPVTERFAVENRWIPPFI ACGDLSSWDSDASYTLPPDHVSLDPVQPPTAPPYRRALELRKEKGGAYGKTKLGALGR A AOR_1_1018164 MASSSPALSALEGPTYVTAQTLIQQVAYVLSDKIFSYSPESFDL DAALKQWASSQESNANGETPAIQAMETRQGAGNIALGYLFSQDFDLKKRHVPQGIVAS SATLPYMRTALEQLSLLYSVASPVAAHVAAVDYAGEEGLVSDYASALSLAEELGLGLV SSASVHESQHMALLTTLLASVLPSVHIYDGVRVGRETTRVIDVLSQAGLSRTYEAVRK TLEDSRSRHLDTQGKLLELLQSLNGELGTDYGLFEYHGHVEPVSVLVAFGTVEASLTA QIARSLAKDGVRVGVINVRVYRPFVEEEFLRVLPQSVKTVGVLGQVANEQAVQEQGVR SALYEDVLAALTFATGRENVPTCVDIKYARSQRWDLINTAAAFQLISEKPIVQTNGQT VPLQLLDPSAVQEYIFWDVDTSACDNAAAALSQALAADSASNVTTNKTHDNLVQGGVV RIDIRKSSKTLEAPYAITAANTAYVGDIKLLADIDIAASVQNNGNLIINAPGVKDEDL EKKLPVAFRQQVAERGISLYIVDPSVTGDESLDSVVLQTAFLRVALPSLEEVGLKKLG SITGNVESLENVSKDLDKLLRQIEIPEAWKTPEEGFEAPQLPKDISPNSFVSFDKEDS EPASLLKDWQTAAKGLAFKEAYGTKTALRPDTAAKTFTVHVKENRRLTPVTYDRNIFH IEFDLGDSGLTYDIGEALGVHAENDVKEVSDFIAFYGLNADDIVEVPSREDPAVLENR TVFQALTQNVDIFGRPPKRFYEALAEFATDEKEKTDLLTLGGPEGAVEFKRRSEVDTV TYADILLEYPSAHPEFHDLIRIVGPLKRREYSIASCQKVTPNSVALMIVAVNWVAPNG RDRFGLATRYLSRLQPGSPITVSVKSSVMKLPPKSTQPIIMAGLGTGLAPFRAFVQHR ALEKAQGKEIGAVLLYMGSRHQREEYCYGEEWEAYQEAGVITLLGRAFSRDQPEKIYI QDRMRQTLPEIVDAYIREEGSFYLCGPTWPVPDVTAVLEEAIAIEAKANGKKVDTRRE IEKLKDEERYVLEVY AOR_1_1020164 MANTALIGCTGMVGSFILNNLLAHPSVARVDTISRRTPQAASAA QTKLTTIVSDDTSRWASELSSLTPTPSIFFSAFATTRASAGGFENQYKIEHGLNVEMA RAARDAGTKVYVLISSAGADKNAYFAYPRMKAEIEEDVKALGFERTVILRPGLIAGQR EESRPMEAAIRCIAGFAGKIHSGLKDGWAQEADVIARAAVNAGLKALEGDVPTGSEKV WVLGGSDIIKYGAGSKN AOR_1_1022164 MSMLKQLTSITIRLGQPFKTANLRAQPLIQPINQNRRAFHASPR PQTTHQQKEEESRFHDRNMLDPQRNEGTQTGTDNEVAGHPSAFDPNTTRPETEVQESE NESQQQGKVSNPLNVSPGNTEVSGTRPTQEGLPDRNEEKEATSGRGAARKNKEVNKPK N AOR_1_1024164 MCFYNQKRYACGDWSWTNFAHRCNYEYRTGETCGMRLVNMTEFE TTQCRLCEKIETKYRRRSAEMERLNRWKREGSTLVASMDRSQRLIMELDKEIRQLQRE RDDRRKALS AOR_1_1026164 MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTALGILVKDGIV LAAEKKVTSKLLEQDTSAEKLYTLNDNMICAVAGMTADANILINYARQAAQRYLLTYD EEIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGYDPLREFQLYQSNPSGNYGGWK ATSVGANNASAQSLLKQDYKEDCDLKEACAMAVKVLSKTMDSTKLSSEKIEFATVGKT QEGKVYHHLWNADEINALLKQHGLAKVDDEPEAGDIK AOR_1_1028164 MLKSTYTPPPPLPPGWTEHRAPSGHLYYYNSQTKQSTYTRPQAS AAPPQPQSAPSAPDTTHNNPYLTPDTLPPFSSTPYAPQGLGFGTSIQATSQHGQNRGG FRGGRGYHDRRNRGPEDRPKSKHALPSCAPWVLVETKLGRRFVHNPETKESFWKIPPE VLKGVVEYDRIQRERKEKAERSEDIDDESSVLERETETSGKQHHEQKGITPAAYAGEE SDEYEEVEVTDSEGEDAEDHPSKRPKTEGEDGQQQPLEFTEEDIEYQLAAMGEEYGLD PGEYGEPGEDGWEEGAEGLPLTEEDATALFRDLLDDYHINPYATWEKIIEEGRIIEDS RYTALPNMRSRREVWSHWSRDRIQVLKEQKERQEKKDPRIKYLAFLEAHATPKLYWPE FKRKYRKEAEMKDSQLSDKDREKFYRDLMSRLKQPESTRKSELSALLKSVPLHDLNRS SSLEALPPTIITDIRYISLPAKVRDPLIETYISTLPPAPEQGEHMTAEQREEAERKRL EREKREKALAEREKQVQEDKRKQWGDLARGRNLLREGEAEIEEALRIGKAGLRSHIEG EQDSSKEGEAQEGK AOR_1_1030164 MADVRSLLRNELASRKGSNQTGRAATSTTNRVTKKRKVDPEDDL TRKKMRHAIANADPQSANIRTVQPPSAQSLDEDIELPEQDITGPEPPSDQEAEQLSAQ PSAQPSEAAAASNNTTEQPQNIDEDEWAAFEREVAAPTRAPQAPAAVAAQATISAAPI SAEQLAAQQEKENDTITRGREAEAEGEREDAARFLEDEFDEMEQLEERVRRLKHKREE LRNMRATGVNEDDLMDGPASAATVSKDHEKGQEDEENDDDDDDDDDDDDDWDNWRFR AOR_1_1032164 MAGKLLVTTISRTLTATSEEPRRSGRSTKGQHKNLDLIPETPAK KPKSKAQPKEKPPKPSVEPTPAPSEEEEIIRSWQHNDCMGLTFAKGEEPDEYFCEICK PENHKVLLDKIARGEKPWEEAAEKRRKEAEEKKASRRRKGKKGGRRGRPSEPKPEPKP EPKTEASTPARTAASSTPAPAPAPTPAPAPAPVSIPAPAPAPPAPEAAPTSPAPSSVT PVTEKNGAVPDAQSASAQKRKFDEHQDVSTPEPTPKSKQQKVSPPADTDTVMTTVEPT QVKDEAKEQPSRQNSTAETAVVEGVKTVEELSNPARKSAASALVRLFVDQVSEARRRG SFSLSDGKSAEEVARQLALSIENAMYENFCGGSGETTEQYKAQLRTILFNVKKNPSLR DRLLVGSLLPDTLSKMSSQDMASEELQQKDAEIKREAERQHIIVQEQGPRIRRTHKGE ELVEDDNQNVPSEPVFSAAPRRSLVDADGSQSPGPQQSGDGDNVRKPGNQQASDSKPT DGISHDQHFPPRAHSPGGTEHEQVFPEVATHIRQPLPTGTAQADAEIDQLLKDDEPES PPYSPKDYHDEGAVWRGKVIMNPIAEFSSSAKHVGGADLSGRIPWSQLAPSTLLVDGR IDIQLASEYLCNLRFSTSTDVSVICIKKPELPKEQAGFDKLFNYFSDRKRYGVVGKHP LAAVKDTYLIPIEAGSVKKPEFIELLENNTLEDPTPERVLLVVFAVKTSESNPPSVQP SSHHPSQEPVASASPATPQQQQFMTPGPRPVSQITPAPSNFDGTPLAHSPYGQQQHQF QHQHPPHAPQFAPYQSPAPQNQAPVTGLAAAVQVLGNQASSPAIQQLLQQAPNADITQ LSVVRDILLRRPETALNYEILMQELVQATTNGHTLQQNAK AOR_1_1034164 MAAEQRKLLEQLMGADQLMGTGAPSRNAQLSITDPKVCRSYLVG TCPHDLFTNTKQDLGPCPKVHSEGLKTEYETASAAEKAKWGFDFDYMRDMQKYIDDCD RRIDSAQRRLEKTPDEIRQTNNLLKQISDYTKTINGGLLEISVLGETGSVAQAYNELH KIRTAKHQKETCERELKNLQDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFFGKM HMGYSDMRKTFKKLSEELKGRPPPVRHHDDEEGGWGGRSGGGRGPRYGGGGGYKKRGG RW AOR_1_1036164 MIVLSLFPLFLLVALSSLTYATSDYHESLTLQPLPQSSLLASFN FRSNASQESFDERNFRHFPRALGQILQHAHTKELHLRFTTGRWDAESWGSRPWYGVKE GNTGVELWAWIDGADDQEAFAKWITLTQSLSGLFCASLNFIDSTRTTRPVASFSLAGD HPASSNLHLLHGTLPGEVVCTENLTPFLKLLPCKGKAGVASLLDGHKLFDASWQSMSV DVRPVCSGPDDCLVQIEQTVDIVLDLDRSKRPRDNPIPRPVPNDQLACDTSKPYHSDD TCYPLEKTSEGGWSLSEIFGRTVSGVCPLGDSQSSEETICLRVPHERGVAVSEGAVET RKPDGFTRCYTLQPSGAFDLVMPEQEATTHVPLDEPVLRAERTIVGHGVERGGMRIIF DNPSDTDAVDFMYFETLPWFLRPYVHTLQATITGRDGIRRQVPTSQLIKETFYRPAID RERGTQLELALSVPAASTVTLTYDFEKAILRYTEYPPDANRGFNVAPAVIKLGGHENP IYMRTTSLLLPLPTPDFSMPYNVIILTSTVIALAFGSIFNLLVRRFVSAEEAAALTSQ TLKGRLAGKVVAIRDRIKGKSAKVE AOR_1_1038164 MSGANTHMNEHPSGGTRGGASVEPTPANNVGGGRGWGDSGFFKG GTGGEGTGHISDKIKTSLSSADEFSSLRDQKGMAESNFMDRRNREMGEHEGTCATEDH SFMTQKPGGSDTLPGWEKAKGVLGNMFG AOR_1_1040164 MTDPVADTPAMDEFAQTRGGDDLFDDEIVPVAAESEVPPEVQAL EENVASLSLETQTPPPRTETPPRSRGGERRGRGRGKGRGGRQESQNPASRRGDGGSRA KSADEAAQTEKSSEEPSKDVSAETTEQAKKEDATSDSKPSAEANGQRVPAVRGDRSAT GGIKKPKLTEEELSQRIAAAKENAARKAAAHARAEADQASFLEREKVAEEKRRQERQN RRVMDNERERNRQRKLQALGGREWDSQKQEEDYNPRGGRGGFRRGMHGGVSGYVRRDF GDSQPDEETNNHHHNGFRGRGRGGRGGRGRGRGPRPDIPPSEGATNEPSSTEQKPAPA PVIDNEAEFPSLPGGSKKESTVDTDSTAAKLADVLSPIFASGATWAEQVENN AOR_1_1042164 MPSLRRLLPFLAAGSAALASQDTFQGKCTGFADKINLPNVRVNF VNYVPGGTNLSLPDNPTSCGTTSQVVSEDVCRIAMAVATSNSSEITLEAWLPQNYTGR FLSTGNGGLSGCIQYYDLAYTSGLGFATVGANSGHNGTSGEPFYHHPEVLEDFVHRSV HTGVVVGKQLTKLFYEEGFKKSYYLGCSTGGRQGFKSVQKYPNDFDGVVAGAPAFNMI NLMSWSAHFYSITGPVGSDTYLSPDLWNITHKEILRQCDGIDGAEDGIIEDPSLCSPV LEAIICKPGQNTTECLTGKQAHTVREIFSPLYGVNGTLLYPRMQPGSEVMASSIMYNG QPFQYSADWYRYVVYENPNWDATKFSVRDAAVALKQNPFNLQTWDADISSFRKAGGKV LTYHGLMDQLISSENSKLYYARVAETMNVPPEELDEFYRFFQISGMAHCSGGDGAYGI GNQLVTYNDANPENNVLMAMVQWVEKGIAPETIRGAKFTNGTGSAVEYTRKHCRYPRR NVYKGPGNYTDENAWQCV AOR_1_1044164 MTSYSRKQYSSRLAICELNGAGTHIPNACLPVTFPPPQKKGIFG FSLKSETPVNGVDPVPVQLLESCLKSLESRPQWWTSYSNSRQNAFVICQAARTENEKE ELLNLHRSVVEGSSKLNQGLQEALRTAAAESLHHRAFVSEISNMNARLVHDMEETRSH FKAMIEKAFYEIESRAVSIVNTVTSVLGRVQAGVTNLDKNIQNASAEVSKLQYTFQDL VDEVLSANEQITIAHQQNAISHNELALSLRSKLESVLQDDMARLLHNIEAFDSSLEWL SGRFGLLSEREMSISERLQALDTSLKEFQLRAESLHKSQLQQSEAVEFQSRLQEKLQT SVQISQALLDKAAATAANLQAMIDETSARYKDTPALRPLFSTSFSWTFFGLLLSLIAS HNVKFALAMLIISALQFMAVRIL AOR_1_1478164 MSRSLTQNCFKQLSRGPLRQGSLPIYLAPAFSQPQRTQPFSTTS STQSRVGGAPISVPPEVSLKFIDLPQTQVRGVAKDIPKTAIEVKGPLGELTLSIPPFL EVAHDEGLRKATLSVQDSSVAHQRAMWGTTRAHLQNYILGVSEGHVCILSLVGVGYRA TVESTATTVEPEYPGQQFVSLKVGYSHPIELGVPQGVKASTPQPTRILLEGVNKNVVT KFAAEIREWRKPEPYKGKGIFINGETIKLKAKKIR AOR_1_1046164 MGHRSAKSAGRLSIRQPRRLFSRLVLCSTLLLGVSIVLKSRHRL SSFFTHFLTPTYSYTQVTTMSYQQERYIAELAVQRATLLTQKVFNEKAKGTVSKDDKS PVTIGDFGAQALIIQAIRKNFPNDEIVAEEEASSLREDKTLSAEIWRLVKDIKLDDSE SDEILGGPLPSEEAMLDIIDQGKSAGGAKGRIWALDPIDGTKGFLRGGQYAVCLGLIE DGDVKVGAIGCPNLPVNDSDTMSASIGVDQHSGAGNGVLFSAIKGAGSISRPLKNGAL AESKSISMRPVPNIAQAVFCEGVEAAHSAQGDNAAVAQRLGITAPSVRLDSQAKYCSI ARGAGDIYLRLPVKKDYQEKIWDHAAGDLIVREAGGQVTDIYGQQLDFSKGRTLAANK GVVAAPKALQDQVIDAVKIVLKL AOR_1_1048164 MFHSSKPYSAVSVQIEVLTSEQYDVEDSSGIVDLIEAVMIQGSG PTEASRALRKKLKYGNLHRQLRALTILDFLIQNAGDRFLREFADEPLLERLRIAATDP ISDPLVKEKCKQIFGQWAVSYKNTPGMERVTGLYRQLPKRKQPANQAKAKVLRESGTS DEPQMGHTVSISAGNGPATVLSGPKHKHTSSKSLKKEKKEKKVRDKTFNLEKEKPEIL QTLASASVASTNLLNALKLVNRETQRVSEDAEVLNRFETCKQLRRQILRYIQHVESEE FLGSLIHANEELVTALMAFEVLDKSVDYDSDSDQDVLESGWSPDRDDHDLQESFAGLV INPPRPPRPSRPMSISIPSSSKHRVYNSDSESETEEDDDEDNPFGDRNAIRTPNKEIS QPTWKEV AOR_1_1050164 MSSTQSTSNLTANPRDARGTSVSEQTPLLAEQGLNATPEFQERQ DHLVQEPTTKEVILILGSVWVGVFLAALDTTVVATLTGPISSSFHSFSLLSWLATGYL IANAASQPLSGRLTDIFSRRTGLIFSNVFFAVGNLICGLAKSEGAIVLGRVIAGIGGG GILTISVFVTSDLVPLRKRGVWQGFGNICYGAGGGLGGVFGGWINDTLGWRWAFLIQV PFVVVSGILVAVKLDLPVKESGKAKLKRVDFLGAITLVITLVLFLLGLNTGGNQLPWT HPLVLTAFPLSAVFLMIFIYIEANIASEPVIPVRLLLNRTVFSACLTNWLVVMAVYGL IFYLPLLFQVQGSSATGAGIKLIPQAVGTSLGSLGAGILMRASGRYSLYSMISVSLLI VSNTLICTLTLSSPSWQPFIYFLLMGIAYGAILTITLVALVSAVDHEHHAVVTSASYA FRSTGSTIGITVASAVFQNILKSGLWSRFGDREEAKRLIPRIRDSLDELRKLPADWKP GVLATYMESLRAVFLTLLGLTILGAVVSLGMREHKLHTNLARAESPDADADADTDAE AOR_1_1052164 MTVDLRADHSFSAVSSTVANAPWPTEMEVDGGGEKVVENKERSA ITSHELPNNDVASPARRRKRLLLSTESAFVDNVLSSLVQHPDIELRLITDEPSALLSG ANKVPHYMDTVDSQTFEKKTGARRWLKAKAAELCEWADMLLVAPIDAGTLGSMLYGLT NTLTLALLRGWISSKPVVLIPGMTVSEWHHPLNARQLQEVDQHWPWVSVVTPVLMKSN NPEELVQLPWGGLVELHETIESTLGLSFSHSSTESIQSSEASKVSSGEQASLSTTATT SRHPPHRSDKGARSLPMELWLNIFEDHLRDWEIAKAVGIPTNLSVPKEWQSHLLKMSA PASLEYTILRGSFAAIKKRIDSLPRWKPISDLACHLIFKFSRTDILSYLTENHLDLLW TTSRLTNVPYRASAIYGNPNILTWWRDAPALPTKEYIADAMDGASRAGFVNVLEWWRT SGLELRYTERALESASAEGRVSVLDWWKKASANAPPSDPIPLKVGKSVLLAAQSGRTA SLAWWDASGIPYSHAESVARIASTHGHVHVLDFWYRLKGAKMIFDSQVLVGPTKNGHD NVLEWWRQSGLRVEFKTCDIEEALEDADPVSGAEERVRRWWARNGLNLGVGTSEWMKT KVL AOR_1_1480164 MASGSASSEPKDASLCQEEGSSQEVGDLSASIKKFFISSDFSDM TICTADQEFKVHRLIVCGQSAYFSRLFKANWTESVDNKIQLKSDDPRAVEAMVHFMYG FEYDSSGSDLGRVSPMLFNINVYQVADKFEVPQLKQKAKDKFETIARTCWEMDDYPIA ISEAYQRTHKGDRSSRYPGEHFP AOR_1_1054164 MGGVPPNTFKKIKESLKSIFKRKKGDKNNAQAAEQKPEDAAAAG AGAATTEATATHEAPAAETQAPTATGPAQSTPAISPGTSIPEQGQHNDHEAPAPTPLP QIPPIETTESAAPAETPAAQPTAGLVGGTAPVEPTKPEAK AOR_1_1056164 MSEAFELPNAKRVRRNEILSPSSSRSPSPQPEDTLASGYERLGA LLNLDSLIQPEQQPEQTDTQATKVNEEEEEEQEFEFRLFSAPVRDSTATATRDAGSAA TEGEKNDVGAVGTQKLRIRLRSPTPGARGPDDGGFVKPFRGWEYYFSAPGLLSGSKED DPKLALRRKQFEDVAVSGEQMGEWAKVPWPGCHLPWRVIHWKRHQTKLPREDTTTAVF IAEPQIRDPKSRKKPGKKRRLQLRKRLAVAERAKETDAEKRNRKNRERKIKRRQKARE MKAATAASAASGQSQGTPAIEGDDASSQDSD AOR_1_1058164 MSGRLEGKVAIITGSGSGFGHGIAKKFVEEGAKVIVAEISKENG EKVAAELNSKFVFADVTSRESWQTLLQTALDTYGQLDIVVNNAGATYSNKPTGQVTDA DFDLCMNVNVKSIYLSANVIVPYFEQNNRPGCFVQVSSTAALRPRPGLTWYNASKAAV SIATKTMAVEYGPKKIRFNCVCPVVGSTGMTHLFLGKPDTEENRAAFVSTVPLGRPST PADVANACSFLASDEAQFITGVDLEVDGGRCV AOR_1_1060164 MGVCASCLGVGRRDSQDSESSQRLLDDDIYQPGYGYGALNNSTQ GNHPDTGYLKREREALEAICQRTSDSVIDIWSLQPQPHLQPRATLRGPVSPASSRAGT KDDVPVRITTTPPASEAGSSAQKPGSPKPGAVPKHWGEVVINPRKGKSQQPDDNARDV FGVLKVT AOR_1_1062164 MSSPKRRIETDLLRLMSDYEVTLVNDNSKNFMSASKALRKHLSP VVTGRSTSSYPTNTPTRALVSGS AOR_1_1062164 MSSPKRRIETDLLRLMSDYEVTLVNDNSKCKNFMSASKALRKHL SPVVTGRSTSSYPTNTPTRALVSGS AOR_1_1062164 MSSPKRRIETDVMKMLMSDYEVTLVNDNSKCKNFMSASKALRKH LSPVVTGRSTSSYPTNTPTRALVSGS AOR_1_1064164 MDPSSPQHPSQDSPRPTQNLSAGSRASLSHRPASRKQSSDSTSN NKNAPIPDDEHGADMPLTMSASVVLTSLPRDAHQALADAEAVDKGKVTVRFQPLASAP ILKNKVFKISASQKFETVVKFLRKKLDCKETDSVFCYVNSVFAPGLDEGVGGLWRCFK VDDQLIVSYSMTPAFG AOR_1_1066164 MASSRAAAQLMLPLRSVSRSIVSRSTHISMIKPFLAVVNSSISR GPAQCAHSVRHSSHSPMGATTTNPRKKVTLQTLRNLHKKGEPITMLTAHDFPSGHIAE AAGMEMILVGDSLAMVALGMEDTSEVVMEEMLLHCRSVARASKSAFTIADLPMGSYEV SPEQGLQSAIRIIKEGRMQGIKLEGGAEMAPTIKRITQAGIPVVGHIGLTPQRQNALG GFRVQGKSTAGALKLLKDAMAVQEAGAFMMVLEAVPAEIAAIITKKLRVPTIGIGAGN GCSGQVLVQIDMTGNFPPGRFLPKFVKRYADVWGEAFKGIQQYREEVKSRVYPSEEYT YPIPKEELAEFEKVVDKLDQ AOR_1_1068164 MAAVFIPPSPRTSLNMSTRRPLANVPNATNSPHRAGLVPAKRPR TTNAPVDIPYGQPPPKKQVIDGAEGDSRSPTRIRPATFQNADSKLFTRRTNNAQPSAF EKKLVAVRDKERQSQLRGTRHERPSAETIDSIRQWQRHYRKAFPQFVFYFDSIPEDLR SKCSRQVLALGAHEEKFFSRLVTHVVTSRPIPPETDVASPAEVNTESVDQAAADGTLQ TVNPSLLEKNPDGHLHMSLKNDVRREQSNMDVLYRARQMGMKIWAIEKLQRMIATIND GDIGGHSGHSTRNSHVGGGHSRGRGEADLSQVLQNELNGSSDRNPLSVLKDLVMFKGP FVYVHDMDEKTRPVMVREYPKVVRRQDGIWPQFRSAPLGKCPFIDEPPTKKELERQRA RQQEKKKAASKAAPTQEAQVPRTNVPEYAIEKAADRAPKKEYSPQDVDEEAVSQRRQP ELQEMQPTRPLSPKKSSESFVPPQLNCGERFYTGGREPAASGMQPSNITSAIRSQMVS STAAAPGAKAGISKEVHELKRKVLEKSNGGYSTGTGPSSYRATDATAALKMTKSQGNR QSRLDPPEKLGNVIEEETTQSESNDAGKRRNSIRKGTCQKKKERRRDPKPGYCENCRD KFDDFEEHVMTRKHRKFATNSANWAELDSLLFQLQRPVKDEYEYV AOR_1_1070164 MSTCKLLTRQWPRYLRCPTSRISVTSFNRTAFQTRPALRRAHGS ISAAELKFGQPLHETHPHILNPGELTPGITALEYAHRRSRLANRLPKHAIAVLSAAEV TYRASGIFNEYRQDSNFFYLTGFNEPNALAIIANDGSGDNHIFHLYVREKDPKAELWD GARSGTRAAIDVFNADETGDIERIGDILPRILSDATEIYTDIPAFNPGRSSLHRYLYG PTGTSEQLKKVVDHSKVRPLRHILNDMRVFKSEDEVVQMRRVGQASGRAFTESMRQTF TKEKDLMSFLEYNFKVKGCDTSAFVPVVAGGSNALSIHYTRNDDVLRDGDMVLVDGGG ETGTYVSDITRTWPVNGKFSDPQRDLYNAVLNVQRTCVSLCRESANVSLDKLHTIAEN GLRDQLQQLGFDVSGNAMGVLFPHHLGHYVGLDVHDCPGYSRGYNLKAGQCITVEPGI YVPDSDRWPEKFRGIGIRIEDSVCVGDDSPIVLTTEAVKEVDDIEALRG AOR_1_1072164 MGRTLTYPKRSSNTVNRYKHRATYDLQAIHTIINSSQVLHVSFS PGPSDPFPAILPMIGQMGSFDYPSASIDEPLDCYLHGYVSSRIMNLARDSDGDGLPIC IASSRVDGLILSLTPNSHSYNYRSAILHGYAKLVTDEAEKLYAMELITNSVLCDRWEH SRVPPDRAEMSSTVILKVKVVDGSGKIRDGGVSDEKKDTTNDEVTSRVWTGVVPVWET FGDPVPSPANKVKEVPDYITRFVADKNDQNRRYAREAVHIALPAEEQH AOR_1_1074164 MAPSAIPAADLRTFSEYLKGRKRILALLGAGISASSGLPTFRGA GGLWRTYDATDLATPEAFEANPDLVWQFYNYRRHMALKAQPNRAHYALAELAKRNKNF ITLSQNVDGLSQRAGHPLSQLHLLHGSLFTVKCTSFYCSYSRENDFTDPIVPALAIPK NVPEPKPSTDDKTGEEASTSIYNALGIPEGEEVDISDDRVPLAPLSSDVLPHCPECKD GLLRPGVVWFGESLPLQTLDMVDSWMRSGPIDLILVIGTSSRVYPAAGYVDKARARGA RVAVVNMDRNDVGSSGLKPGDWFFQGDAGTIVPEILKEIIGEI AOR_1_1076164 MAKESENPLNPITPGKPKDQPHRHISNQPEFGKPSPLGGGRPQN HQSNHPFNIPKQNRPRPEHHRPQQQQRQGAPFHGYRPPTTPGVAVSTPRRAEPFDPFK PVRPSAYNNSRFSRPVDNDVVSIKRPENFTFNTPRAPKTFFASKASAVKVSNASKNLR NFVDLTGEGGFTPSSRSRNVGFGSMDVNGYVDTAKANENIKALLEGAFEDEDEKQDSR AKNKKKKKDKKNKGKSKKAEKRNTENKESSEIDDLAAQLQGVTVNESSADGNDASRQT SAPNEHGITGELESENEDGEEVEDEEEEEEEEEEEEEEEEEDEDDGTVEGLKVKLLPH QREGVNWMRDKEIGNSKTKGVLPKGGILADDMGLGKTVQAITLMLTNRKPEDGRRRII DSEEDDGSGIDSEGDGGKDDSKLPPGLSKSTLVVAPLALIKQWESEIADKVEASHRLR VCVYHGNTRTKATDNLEDYDVVITTYGTLTSEHGAIDKKNKKSGIFSVYWYRIILDEA HTIKNRNAKATQSACALDAEYRWCLSGTPMQNNLDELQSLIKFLRIKPYNDLAAWKEQ ITKPLANGRGALAIERLQVVLKAFMKRRTKDVLKLNSNLKPNEAASDGEQKKPSGFQI VKREVIKVSAEFTPGELNFYKRLEQRTDNSLEKMMGGSKLDYAGALVLLLRLRQSCNH PDLVKSDLAKDKDILLQNGTSGSQPAAGKQDDLDSMADLFGALSVVSKKCDICQAELS QKETKAGASRCGECETDLNVSFTGNHSGKKKHYPEQDVVDLTESPSNRRSDAQLARSR RNRKVVIDSDDEEDDGEWLVPEDQRTVPDLGKAGGSDDEDAEGGGDWLGSEDSETDSD DEGGPESPTRNRMGSRKQVNESDEDDIYLNPGDEDNQVLPSTKIRHLMKILRRESSDY KFIVFSVFTSMLDKIEPFLQRAGIGFARYDGGMRNDLREASLNKLRNNSGTRVLLCSL RAGALGLNLTAASRVVILEPFWNPFVEEQAIDRVHRLNQTVDVKIYKLIIKDTVEERI IDLQERKRELANVTIEGKTAAAKLTMNDMMALFGRDAESRFTGERGNIDLTQSTRLLS AADENNYSNSQSSDKPKTQASHSSSRDRNRQPEKRGGRRGEDSVFGRRW AOR_1_1078164 MRIIAQAFASIAAMCLVGTVARVTQSDSEHDPFSFDQLGILGRD VAIIGCGSAGTYAAIRLQRLGKSFVVTEKQDKLRGHSNTYSYTATGIPVPYSVMSFLN KDVVTDYFSHLRISLVNKTTVTNQSENVDFATGEPIAIKPITIPSDDPEVRIAIENDK AQLARHVKNVLS AOR_1_1080164 MGNSQGKPVCSTDERGATVNLNQFRLLRVVGKGAFGKVRIVEKK DTGLTFALKYIRKEEVVRSESVRNIIRERRMLEHLNHPFLCNLRYSFQDIEYIYIVVD LMNGGDLRFHISRKCFTEEAVRFWMAELGCALKYIHSQGIIHRDVKPDNVLLDSEGHV HLADFNVASDFRPGKPLTSKSGTLAYLAPEVYEGGGYYCEVDWWSLGVTFYECIYNKR PFEGRSQDVLSENIKKAQPKYYVTNPAVSVPCLRAMGALLEKDRSKRIGASSWESFIS HIFFAEIDFVALEHKEIPPVFRPSSDKTNFDATYDLEELLLEEAPLEARARRQKPRAE LREDATAKEIREDELHRLIETMFEPFDYTTVTFQGNAAEAIAATKNPEDCLPPAGSTH SRQYSQTDSSRNSPPLRNNDGSAPTSPTSHPQPPPSPAPQFHRPFHPQPNPAANHLPP ANSNPAPGRPKGATRKTSKGGGVQMVLEEAGSWSELADQSSTLPAEGYDAGSIKGKSS NSGMLAFLSRKKGRDRSPKPQEPGVLGKEGARQIIS AOR_1_1082164 MPSHSRSRDRYRSERDPSRRYREVYDDDDDDDFDYHPRERRRYR RDDYQHDIRSHESPNYNDDLNEYDAAAEDPAVPLRSHDVEGRRRERSRAGESPIASPS RRDRNRGGEEYRRHGTYGDGGSPTRAMRDRRHRSRDGQRARPRDMDREARRQRRRERA RGAAAMKHKSSDSTNSGSHLLSADALAKLRSHYDEEDQRERSQEQEQPRMESKRQRKR PIVGDEPQALAPFPDETPRGQSKGRIVSGAYLEEGHPEMEVRHRGGGGPAMEARWRKE GNWDGTMEGSDAQPPFWKRKKWWIVIGVLVVVLAIVIPVAVVMSKKHGHDDDKSGSSS SVDNSDSPYISSLDGLSHDSIPESAQGSILDPWTWYDTRDFNLTFTNETVGGLPIMGL NSTWDDSTRPNDNVPPLNESFPYGSQPIRGVNLGGWLSIEPFIVPSLFENYSSKDRII DEYTLCKKLGSSAASTIEKHYADFISEQDFIDMRDAGLDHVRIQFSYWAVTTYDDDPY VAKISWRYLLRAIEYCRKYGLRVNLDPHGIPGSQNGWNHSGREGVIGWLNGTDGQLNR QRSLDFHNQISQFFAQPRYKNVVTIYGLVNEPLMLSLPVEDVLNWTTDATKLVQKNGI SAYVTVHDGFLNLSKWKQMLKDRPDRMFLDTHQYTIFNTGQIVLNHTDRVKLICNDWY NMIKEINTTSAGWGPTICGEWSQADTDCAQYLNNVGRGTRWEGTFAIGDSTVYCPTAD TGPTCSCASANAPPADYSDGYKKFLQTYAEAQMSAFGTAQGWFYWTWHTESAAQWSYK TAWKNGYMPKKAYAPDFKCGDDIPSFGDLPEYY AOR_1_1084164 MSTRPDTFRASRSISYPTDRRTKTNLHILVAEDDPTNSTILRKR LEMSGHTVYLTRDGKECASVFREKANCFDAVLMDLQMPVVDGLTATKMIRESEQSSAR GGALKKRVPILVVSSSSKEKDRQVYIDTGFDGWIMKPVGLHRIGDLLDGVYENERRSN YIYRPGMWDEGGWFEG AOR_1_1086164 MSWGTAYSCVIIATLTAQSRAQGLITDVEGEDGNGYGTKPASRS MSAGMIVLCVVAGVVVLIGIFTTSLFLIAKKRQWAMREVITRSARRATQAIKTPLSAR FPRSQTPRGMGSEFSASRVEERSQKLKVNPMHNDIEKNSLITETEKARNGGNGRAWGS VFSSRHS AOR_1_1088164 MGNESPDPLVGDPLTLSDRERDSEPNEIGDDEEMLLLQMAGSNT EPVSPILNAVLGKGSKLNQTANPNQPAASLVQRPRSSGIDLTAFSFARPAQHGKAFTL HPPVKQASAVPAPHNNSIMQTEQNGTRKPDRTTHNHQSKHIASRSNDTETSSSRQITR TSSSSSEAQDLETADSKTKPAMRLDHALTSKVHHSENNQAQCEGTTTFPSVANGQLLS PENEPQVKDKINDRFLQSPRQGTAKLTKTSRVLKRRRSGGKRITSRQPAFGNGNADLS EEDLFQLLFVKIKAREENDVVASNEKEQLEANISELTQENNALRSQLDVFSNELQQRT SESKAYKTQVEAWKAKIAKFKYILNELASGYKALRVETTQLKLTKTSLDRDKAEIKGT IADTREQLFHASSTVEKSQSCLVESQTLINSMKQALKNAEEKTHSVQERLSDEKKRSS LLETYIQDNSRLQAKRIGLIRADQLEMLRKLDSGFETVAKHVDVSQTSAQTIIKQTLE EFHLSFRRMGENHAQGKMDFEQCKATFQECSSQIKSLTEDLAAVIERSFKVNEDRAQL LTEQLRSVEENVGGESALLKRLSASEVTCTTLQESLEACAPSIDKLGSFLEGAREKEN SLARQMGQLEIRLSELQTPETTEPTAAEFKERVEHELRIQQLSDELRTAEERLRSRAI ENEEMRLSLLEAVTKGQEAEGRANKFESEAIALQDEIKVIESKIREELNRASVISRDQ YRVKYEQQIHELLREKSELCKSIEKVRDELMEAQKALVESETAYTKKQSEAECLGLAK DEQIKALESKCIEKENSLAEQAAEVSRLREMESSIATQQSCMQRQLHEANEKAASLEK ELIVVKEESSASYKLSQEKFDILQKNLLVKEEECTRIQKELSVETSARLSLETGKSKA KSEIHTLLRRVQDSEHWVKKIKESLDQVDALSPKEPFSETWNRLIALLQPLGVKNSLE ATPLNEPTDEGALICRNADAANTSIASTPQQSCRALENDVVQTTELIYRTQSFQRSVY SSPANESLKARVADVKLPCIPDSQQSNSIVPFSSIRQLSPTCSVSDQVPIEFAAMSCQ VAANSAEKQDAARTEDTKERSSESTPLGKMDQHSGASQPASQLPHIPEDMETSAVTPK AVTFETDIPSTSREKRKASDSENCHEQKDTSQMPLPGRMNRRTYSRNRQTPQVRSQEQ FAHQNYLPPLSSKALDHDSAERPDSANKRARGPTSPQPRRLTRADSRCFERGQLVEEE EQEVIATALGSTDKYEKFVNLRHLP AOR_1_1090164 MAKKAKSRTVAVRLISMAMTGFYRTMIRPRTHRPLSMLKYDPVV KKKVLFLEATKGGRAK AOR_1_1092164 MADRFEPDKLHSELNVLVNYTDIERDLEKRFRTSYSPFTPAIHR RFGAEAVDQSSPMSTTSYLLKAHLPTAAPEEEHRTHGENAQYSSEEEPSLKASMLQGI GTIEKKEQVSKWQGSVGLDRPISMTTGRVYDRERLNDLGEPEIATEKATSHSGSDDGE CIQPTGEIEMTDNPTTEAHEEWSNHATDETADGEKPVLFKRERKTSPESPDGFVFKRR RSARIAALLANPE AOR_1_1094164 MPAPVEVVDPVLPVRDLEADEEYVMVAFERHASHCTRCADPLRA RKDDRSLCERGHQYAVDVADYLYSKNGKSYSTLDRERNQPTLVKIPRDCRAVRALLLA IEDGMRLNRKEQGAVQPPRSPSPIITYDRTYPVPPRRSTSPQQQPVSYTEIIEREPRD AMRRRVIVYSSPRSSPSRSSPSRGSLYESDAAERRRFRESSRIYRPTEYHR AOR_1_1096164 MNLFSILTLSALLGMANAVAVTRDTSSATPKEFRVLNRCHNICT GHNDCPESCPCDMHNICAPKCTSKSLTPTMQTDHRNTNINNQNKNRYAQLKPAPSYFF VKAQMTALESALRLILILLLKTLDWIHRNITDDPDNAVWLATVASTWLWLEPALMVMV AWMGGLYWL AOR_1_1482164 MQVRGDGLKALIEKYRPDLKPFEEVYRQLHSSPELAFQEENTSA IAADHLKKLGFEVHTHIGGYGVAGILRNGDGPTVLLRADMDALPLEEKTGLPYASDKI VKDKDGVKRPAMHACGHDTHVTSLMASAELLNSARDHWSGTLICIFQPAEELLSGAKA MIEDGLYEKIPKPDVVLSQHVMKMKTGTVSIRSGRLLTAADSFDVRIYGRGGHGSAPQ TCIDPIVIGATIVTRLQSIVSREVMPGELAVVSVGSIQAGHVANIIPDQLDLKLNVRT YDPKVRERVISSVKRIIEAECLAGGVAEKPLVKQILSAPATINDEATVKALQKTFGSY FGENLVESEPATASEDFSLLATAVGAPYVMWTYGGVDPETWDDAVKQGTTDQLPSNHS PFFAPVIQPTLGTAIDGIALGALTFLKRN AOR_1_1100164 MPLNTVALSVALTPAVISTFFSHYLHRKSLHNKPSVHIEYDEGV RIFREFLAYSAKHSVEDLQSFTSQRVPSPHWVRTETVTIPTQYLSSAATALIDQLGPK GLSQVGGKEWWQWRGPVDDLQGEWVEMRNDYNERTRARKIHGIHPSQKRIMFYIHGGA YYFGSLETHRYQMQRHARKLKGRVFARFVYWKSANLQDCLAAYLFLLKEHKPEEIIFA GDSAGGGMALSLLCILRDQGLPLPAGAILISPWVDLTHSFPSIVKDNPGDYIPPYGFR HRPSAAWPPPNSDEIEAIKKGLGKQPATESSAQEAIPPKGSQAQETAVKGYSVHENPS SKESPNAANDSDNPKVLIDGKTVEIKDQIHMYTTNQLLFHPLVSPVLQPSLGGLPPLQ ILSGGGEMLRDEQFYVAHKAANPTAYPPSDAFLDEYDPEREALHKYPGTHVQLQVWDD LCHVATTLSFTRPAKYMFRSIAQFGAWTLACAQETEIEIVDDTEISPISSDSSDDPDT PADAHQAENSKQSVASVGKAGDRLPPFKERMIRQRVDKRGHIYHLEPQASCPVLQIPS SQVGTINPELVKKWLAAKKEWDVKYAKDKLHVQRQRVKELAQCFQQDFVGEVPPPSSL AARRSAPGVMPSRGGRKSYPMTMWSNWASRHDERSLQREHKKEKEGQSRRTSVEAGRA GACMKATEVDKGASPDKEQDASDETKSSETRTEEDASRRLDDRSSNPMILLPPYDEKR FTEENASTQALFHARGTIASTSDLSMARKQRPVSQAGSGTVRSGITSDVADDTSTLGD RSLAVTNTGVDAASTRAVRNSVGVVGLINDGDSAYRSIDFYSMPRDSGDLETTSLGGR TTEGDISRTVSRPGMAEREFYKTAQEHPVA AOR_1_1102164 MLRKRFFSTFRALQHDNPLGLPRSGTPPSFPRRRGLPEKRKIRD VKKIIAVSSAKGGVGKSTIAVNLALSFARRGIRTGILDTDIFGPSIPTLLNLSGEPRL DEKNCLLPLTNYGLKSMSMGYLLPQPTPSPEDPSTIPMDTTPISWRGLMVTKAMHQLL HSVSWGPLDVLFLDLPPGTGDVQLTIGQEIIVDGAVIVSTPQDIALRDAVRGFGMFQK MDIPVLGMVRNMAFFACPQCGHQTKIFSHGDKIDGSEHSHQAEDWGVVAECKRLGVEF LGDIPLDARVCEDADRGMPTVVAEESQDRSVRRKAFLDVAEKVAGKVGIEW AOR_1_1104164 MGPKSLAEQIAELEDPTPKDFDPEDLERGGSDSEDEGGRAADSS AGREHYQAVGKAKLRKQDPVDLGKQYAGARVSRDALDADSDDDPFKARSGDEEEGSED EDEDEDMSDEGDEEQPQKSKAAQKKGHGARIVDDMRSDDSEEIGSDEDSEDFDKDDMD EMPSDGDEDEDEDEDEEDDDEEDDDDDDDDDDEEEEEEEEEEEEEESSARRVKFAKTA KSDDREELRRLMASDQKTITATISQAAKADAVKGKAVKQQRATFDALLNTRIKLQKGL TAINQLSVAAKEEEDLDGEAIKSAESAALALWSTLEDLRLALADAQTQDESKKRKRPS AVSPSTSTASLWKRMADLESDSVAHRRAILDKWSLKVRGSNATIPNSRGKLLGSAASG QQSITAVLDAQIASETDRAAKRARTANGNSTDEDQEPIYDDTIFYQSLLRDLVEQRMS SSDAITNGVDTLHIQLPSRGLSIHPVTGMRKDKVKRDVDTRASKGRKMRFDVHEKLQN FMAPEDRGTWTTHAREEFFASLLGKTASGMLREGDDEDASAAEESDSDREEGGLKLFR S AOR_1_1106164 MAIDSYSKTQMIALGITFLILPCIFVNLRVWAKWISRGGVQWDD YLIFGALAFSVACSITQLIGAIDGQLGQHQTTGPDGQPLLNDPRFLTYEKCKFASQLM AVIGLGLTKLSLLVLLRGIFSVSRIFKHVSAVLLGITGAWTISFFFSNLFTCYPVTPL VEPFYGNKCIDSVSMWYASCITDVIIDVIILVLPLPLVFKLRLPMKQRLAVAGMFIMG AALSVIAISITRMAMYFHVGTTFMEHYNDETYYTSPVFFWTNIEISLAVILACLPTLR PLWIIIRGRPMTFGSKSYEPYSSSRQSGRSARNHKRIPDTINELDTINLVERGAES AOR_1_1108164 MATLIVSLLLTLLAREVLGLSPVRVSHELGPHLSPSALITGANT TAYPRWSEFDAPKPGVVVTVATEQDVARTVHYCTSNGIPFLAQNGGHGWANTFHLGTD GLLINIKQLNTIDFSDNKTEVTVGGGVEISEMIAAASKNGALVQTGNCNCVGALGATL GGGYGNLMGLMGLGVDNVLSLNVVMADGRLHTITPKDRDLWWAMLGAGPNFGIVTSAK LKAHPVAPSGQTAWFGQLIYTADKVEAVVEAIDNITLEPKMNLFLYYMNSGSPDYTPM LVVTPFYYGTEAEGRAAFATFLDLSPTEDTTTELQYPHWNDGAAGFCTKGGYKPAYTV GLARMNPSTWKEVWDEYVSYIARNGTGSSLILMEAYSLEKARSVPESSTAFALRNKVN FNAVVIPWYYDTSLRSGAEAFGSKIRDLWRSTDELDSPATYINFAHGDEDLTSIYGAN VDRLKAIKARVDPGDVFNQWFNL AOR_1_1110164 MAPQSIVLISGANRGLGYETAKNLLLSGNYHIIIGSRDCSKGDA AADSLRTLPGIHGTVSTIQLDVTDDQSVDDAKARIESDFGHLDVLVNNAGIYLLNQEA VRDALRLTLETNVTGAASLTEALLPLLLKSTNPRLVFVSSSNGSMTYNLDPNSPHGGT HATEHRVAKAALNMLLVQYHMKLKSVKVLGADPGFCATDVIGDADALRRMGATEPEVG AQIIASVVKGEKDDQPGRVHGPQGIVPW AOR_1_1112164 MGSIALEADYIIVGGGLAGCAVASRLKQRSPSLDILILEAGSDP SSNPNTQSFTGAFSLLGSDLDWTYSTEPQKNTGNRVHTIHSGKALGGGSVVNFGGWSR GDATDYDDWARIVGDQRWSYDGLLPYFRRSESFFDSNADPKQHGFEGPIHVTSVSASD PNRRYPLREPIKDAWNEIGVQYNPDGCSGNLSGISEFLETWRDGKRQAAHQVYSLEGV QLLTEAIVHRVEFTDGAQNGQKTVSAVLLSDGRRFNARKEVILAAGTLRTPQVLMLSG IGPTDILSHHAIPIIIDAPEVGKNLNDHFALYQLYKLRNPERGLALGSPVFSDPAFMK GFPGDWVVNQDVPADILGAAVRNDNVRFGSPTDESFWRPGRPLVETLVAYAPAGVPGV PMDGSFIMTSVMLLASTSRGTVSIRSPLPTDPPLVDSNYFDTEADRVTLIHGSRRTMQ ALLDTSALADYIETEVPPPGMPALSSRSSDDEFEARIRATGLAHHHPAGTTAMGKVVG PDLRVFGVHNLRIVDASILPLSIGGHPQATLYAVAEQAADIILGADAQA AOR_1_1484164 MGLNDSSPIPNRTSSRTRTGALFIQVIVTFTIALTLGLIIGQRL SVNKWDGLLLPEGNIKTVWEHNLTFSQRPTPESEAAWNSIIPVGRGFIHHPEIAPFIS NIAVFHQLHCLHAILVAYYAAVEESDVAKGAQRPDNYLQQTGARMAPSHIRHCFDYLR QALMCAADTNMEVLDPETHTTSGWGQGKRCRDYDEVVMWAEKWANSTDTGIVA AOR_1_1116164 MDTTKHDYSPVASSDIEESRTSHEQLRVPHTQKGRSIYWHYTVY SLLLLSNIVLFGLWWRATLLSKVCVRPKLSYSPAKHVISYEHRRLKRDIENNVFTGDP RPEFDAAWKHLLEPMTIKITPEELSHLPDPSIAFKNETGYIAELAVYHELHCIKRIRR HFHLDRYYPNMTEDDRIREEAHIDHCLEYWREAAMCRGDTTLATFRWVDGLPYSRVYS DHECVNWEALDQWARSRMVDMRDYGMLAQ AOR_1_1118164 MKLTASFAHSRCYPFRRLAVPYRTRRQFSTATIHLNKDDSANVW TLTQEDVSHYWKGYLATRPKYTDTFYNLIYDYHASHSQSSLPPFSVAHDVGAGPGQVS AKLAQRFSHVVVSDNNENHVNYAKHFLSTTSVPPSRFSFAVAKGEDLGCKYPPASADL VVSALMFPLMDTMSALRSFHTLLKPGGTLAVWFYGRAHFAELEYAGLCQPLLDRIINH HFSGVITGGSPEHTAGWKHVADGIASWLDYIPFAEENWGFVERHKWNTKWTSLGFFGN EACDFSVEPRSSVTDTETVIERDDRSLWRKDWDVGQLREFVRYIYPFQGMEEEYVKPL WAQLEREMGGLHARRAFSWPVVLILATRK AOR_1_1120164 MPIFRHLRSRLSSSSSPATETRSVETHTYYPTDGELVTGSTTRK PHIPNAAQPTKEPQARLEPVSSSSSQEPSFYWQNIDPPAPSHSYQRLSSYNNRLSRRS SKWRPMMLPNSNTVAMNSALPGYNSVSGSVVVDCNGLPYFLSPQEEQERNSKLQRAVQ ERMMGLRRETEFAWSQPCHGATLPRYSPPKDTQLRSYTK AOR_1_1122164 MLGISINFVQVQFHDETIAIKELYCVDTSDTSFHPNGTLDSLST DAQNASFSEREAALIRNYADNMALWADITDSQRHFEIEVPLRALEEPLLIPVLSGPRD RITDTVLAAVAILRQHEEMDCEDNQFHLTGTTRILNTVSSFGSSGGLGEAAAWLCLRE DIYISLISQRPLRTELHRFSNSDVFHREDDFAWASRMVFLLAKVLKYAFNYDRTVNPS RLEDIGKEIENWNARKPSTFQPIQYVPRSNEVHRRFPGVWMLLPVHVVGVQYYHIAQI ILAFSNRPSPSLAYESFKQARNIEKIVRGHLLTVLGLAKSNPRAENTLFTARHSLVSW GWILRHRQDQEAAENLLRDVETRTGWDVSQSIQSLREQWCDGSDDN AOR_1_1124164 MSVHKLKVGMAGLGRVGKIHVINFLHHTPRAELVAAFSPDPAEI AWGKQNLEPYGVTLYDNYDRMLEHPGLAAVAIGTATSVHAEQTIKAIDRDLHVLCEKP LSTDIEVCKAVVQKAKTKPHLKVMCGFSRRFDESYREVNDKISQGLIGRPSIIRSQTC DKFDPSGFYVAYAAWSGGVFVDMSVHDIDLTLWFFGDDSVPKSISAHGIRAVQPELEK YSDYDNAVGIVEFHNGKIAYYYCSRMMAHGQEDTTEVIGTEGKLSVNTNPQRNFVNFY HSGGITREVPSNFIGRFGAAFVKEANEFAAACLDNTPLPIKLTNAVKAVEIGAYLQEA LVSGKQIHFDEMGRRIEKPML AOR_1_1126164 MYIDFRDRLGIGTLFTTVISMLSRERDSIIYRPALPPSYPLAVR NPYLSTWMPSDQVKTLPYSEPQFWAGQSLSWSVMARIDGETYSLMNGKNAGDDILPAV VSSAEYTSAHSIFTLSAGPVTVTLDFFSPVSPFNHLRQSLPFSYLTVSLSAASSSSIQ IYSSIDDRWVGKQENTDRNFQMKDTTAIFSLGINNPTLYEERDDMATWGEAIFASRST PSSKLSFASGKCENIRSHFIKTGQLNGNDPWFPGGIVALSHDLGIVTGSQSVRFAVGY VREKAINYLGAPYTGYYRANYSGTPEAVTYFLDDYQDALRESLKLDLELSTKAKATAG QKYADIVTLSTRQAYGAIDLTIPNDSLDIDNTLAFVKELSSDGNLNTVDVIMPAFPIY YVMNPDYIRLLLEPMMRYLAAGRWREPYVIHDMGSHYPNATGHDNQQAEPMPIEECGN LMVLALAYVRATGDREWVAEYQDIMRPYADYLVDNGVEIAEQLSSNDAAGPLANETNL AIKAAVGIKAFGQLTGLTEYSRIGKERADLFFNQQLGTDQQKTHFVLQYPNKPASWKI PYNLYPDVLLDLDTFPPEVHQMSSAFFKSVRGEFGVPLDSRQDWAKSDWNMWLAATFE LDTRNEFVEDLWTFMTNGKHNWPFSDRYVATSAKGASPGVPILCRARPTVGGHFALMA LNGPRSLWGTVPGAMELPNTMDEEDFETQREEL AOR_1_1128164 MASPRPPHNFGPQGYPLPNGATGPVPGATPLLPNNGRVIQNGPV RVLCIADVRGNLKSLNELAKQARADHIIHTGDFGFYDDTSLDRIAEKTLKHVAQYSPL LPENVKRAIAQTPPQQSIKQRFSPDQLPLSELSMLLDKRLTLDVPVYTVWGACEDVRV LEKFRSGEYKVNNLHIIDEANSRLLDIGGVKLRLLGLGGAVVMHKLFDNGEGKTTIAG GLGTMWTTLLQMGELIDTANRVYDPSETRIFVTHASPAREGMLNQLSVTLKADFSISA GLHFRYGSSYNEFSVNPSLDHYRGKLAASKASFNDVWETVRGEVEAAIASNEAQKTLL DNALDVVQKMPTIANGGNPFGGPTGPGNAAGQVDESAFKNMWNFNLADAAFGFLVLEI EAGRIATEMRAQGFNFAHRGGKPPVPAVAGQPNPPVPTGTPGVASPAARPAVPQFGQA QPVAGRPAAPQQPQPQPQQGQAKAPAAAPARTSPVPVIPKPATPQPSGPAASQHAPTS PEKAAEANGTSQPEKPSESPMPRPEKKQSNGLFVSNVDNEQAVRDLFPEEDKAKIVKL DKWGKYNHVVMFNSVEEAKAALDRQPPEHKKPTPPGQPRKPNIKFFEDRGSHRGNAGT WQSSNRGGNTSQRGYQSGGASDSEGGRGRGGFSGRGRGRGDRGRGGRGGRGGFNKGGP TSDSPAPSTSTPSGEKPAAAGDA AOR_1_1130164 MADYNTLYHQGLYLSPDQQDLLLAALSSNQPPQKQQNDKQRSQA KTDPDSTPGNMSSGSFSMSPGFNKTHPGSGGLGYGDDESPFLDFNPELDFDFPGSENL IGDLPGSLPSEEHEVGEKRKDMSDNENEESGKKRRESDDKAAKKPGRKPLTSEPTSKR KAQNRAAQRAFRERKEKHLKDLETKVDELQKASDDANQENGLLRAQVERLQVELREYR KRLSWLTTGSGISAMSAIPSAHSRNLYGLNNNDFMFDFPKFGDLPGGHIFNGPLTKSN QNKPRDGSSPATSDSQVPGVMTRETLNGSNNRGMPTAKAANGVSNNPSPKVPSVYNIR QSASSHDSSNSCSPSSSSDSHQSQMLSSNGTSPEPSSNSPATKLNDSVQNHHACTYST IDAVRGKSESVSNTPSQPNNNYEQTPGPGLDLLAQQNGGQFDPVLFGDWREPQDAILS QDFGTFFDDAFPLPDLGSPSHNFNEVANPQPPKKDLIAEIDNKLDEEVVPGEDKSQML SCTKIWDRLQSMEKFRNGEIDVDNLCSELRTKARCSEGGVVVNQKDVEDIMGRVK AOR_1_1132164 MSDVAALEAEVKEFKLQLETVQSSLQVDPDNTELQSLKTELEEL INLTETSIAELKPPAPSTLKTSLPLKDQGPRGSYSTSQTGYRKPTVEQTEESVPPASF SVNEHVLARWTSGDNSFYPARITSITGSSSNPVYLVSFKSYGTVESLTAKDLRPISGN DSRKRKADGSSGNSASQSPAPQLPNSSVISAAADINPALANQARQEPSKVGDGPARPA KAPRKVKANRELEAGKMKWKDFASKGKLGRKESMFRTGDSVSARVGFTGSGQKMRKDP TRTRHVYQQAEDEGY AOR_1_1134164 MSQPSSRPVVVLGAGVLGRRIASVFLAGGYNVHIRDPSSQALSD ASSYINSHLQEFTALTPSQRTGGTYKTFTEIPAAVTDAWLVVEAVPEKLPLKISTFAE VDRNAPADCIIASNSSSFKSRHMLDEVKPERREMVLNMHFTMPPAIRTVELMTDGETS PKLFNLLTGVLRDCGMIPVTARRESTGFIFNRLWAAIKREIMFILAEDVSSPEEIDLL WENMFQLPSSLPPCRLMDQIGLDTVAFIEDNYVQERGLDSRMTVDWLREKYISPGKLG LKSDKGGLYPPKSAENGVKDEEVLYLLDVGLGSNNSNISLVPTAGRILKFHTSTGKMS TLIEGQSLPDGIDVSRTASRIFWTNMGRSTASNDGSLHSANLDGTDIQTLLPSGTVHT PKQLVVDDVNSKVYFCDREGMGVHRVNFDGTNHDILVRTGSLDKPEERKDMTRWCVGV TLDMGRGYIYWTQKGPSKSGQGRIFRAGIDIPVGQTADNRQDIELLLEGLPEPIDLEL DVENQLLYWTDRGEHPTGCSLNRVDVSGRADKAELQSKKEILARQFHEPIGIKLDGKK QVYVTDLGGSVYRVNDGENYILMLA AOR_1_1136164 MPSFTLEQVQKHCKPDDVWFVLHNKVYDVTKYLEDHPGGNAILL EVAGTDATEAFEEVGHSDEAREQLEPFYVGDLPTEEHTESVEIYRPTYEQVSQSAAVN VKTSTSWSSIIQTIIKCAMTGLAGKSAITVYRRGITTTEIAHALRSLTTSISPRQGPG HDGSHFWAGVGIASAVQFSLTLGLGMWISTKLDVQQEFTHHVPRRPAKPARMIRLSRT NIPIKKPSVLDPRKWRSFTLTSKTEVAPHVYRFIFALPNPDDVLGLPTGQHIALRATI NGQSVSRSYTPVSNNSDLGRIELLIKVYPEGAMTQHLEQMKVGDSIEIRGPKGAMQYS RQYAKHIGMIAGGTGITPMYQLIRAICEDDSDKTQVSLLYANNTEEDILLRTELDNFA RCHPEKFQVQYVLSHPGETWQGYQGFVNGDLIAKHLAPAALENKVLLCGPPPMVAAMK KTLQGMGWTIPGAVAKAGDQVFLF AOR_1_1138164 MKCQFYLVGDDIATAQSILVDSRWKFEDLQRAVGGIFHVALPTG ISFHTSENETLSSVADIISASSSPIGLRIDGNAVQTPQGPKGLPLVGSFYEIFPDHLG NHYRLFRKYGPVIKTTNMGKTTYLTDDPQVASVCLAESAYMTKKINENHPLWGVKDNT AIFIGDTETENWRLAHKYLPPAMGPKAVRHYTGLMQNCARKSLPVFDELDGRDESWNV YQYMVKLASQTIGSFSLGKDFGHFDSVDSPLHPIVTNIANLLSLNKKITARGEWYRYL PFGDPARLRHVQHTIYTLLQEAIDEVAGSGIADAPMNEAALSASCVVDYLLHAVDDKG EHFPQGLILANMLIVTGAGFTTTSALLSWLLYCLVTYVGTQDRLYAELVEHGIVGPSG ERNQTTWTPDLAHSLPYLDKFVKETQRLHNASFQPGRTTKTDVVLPGGYRLPPDSVIV PALYAIHTNPKTWRDPFRFDPDRWDTEEVKGRHRCAYIPFATGPRGCIGFNFALLEVK ILLAELVSRYEFVRDGLEAIDYDPEFQLIRPLNFYVRAKRRV AOR_1_1140164 MAENAYPYDTAVVRELLKNKRKTRGIRSCFPCRHRKVRCDGREP CSNCVKRGHSELCRVPTASGSEARAPQAAPRDVQGPSVLNLDALNSTIEEAQSQSATD PSLLISKLENIEEQISSLKADLRATVTATSQSPHSVGETRNTGQLRARPASKSPGRYF VEDATGATIYLGSHSDTPLALGCRQVSATGDMMLHDALIDQFVPRTYPFANLWGAEAT AKNVCETLPDDSDIIRYWQIYQSIVYPFYPSLVTIDQFGPALFAFLDERAASQEATAE DLGPDSSWLALLFAVLACGVQFSDDPIKERDLRSKVLICSSFQCLRMSNFFNHTNLDQ IQAMALIGHCLRNNLDTNSAWILMGATIRLAQSIGLHEASPSLPESEQFQRNKLWWTI VWQDTFLSFTYDRPPSTITMSCPIPYRQQTEGLSFQESIFTICNILLNKARQETAGNL EDPQQSALKYKKQLEEVWDDAAPFLTNKARCTSVQDHLERLALGVHLGYGICRLGRVY LSEMEPHSPLYNGAAMDCMNRAMQALESFLDLHRFSASVCRSWAFVHNAVSCAITLKG LRVPLVEDQLNPEVLVQRLIAVLEKEEKDSEWCDADTNVRYFGPYSRALKALREIYRE VAV AOR_1_1142164 MTTAKTKPIPIRLITHNIRTIPWFTFPPEKPWNIRRNHIVNQLD FNTTHNPEAIICLQEVMHRQLTDILTGLNTAPDSESIEGEEIWKHVGCGRDGGQKGEY SPIIYRARVWEVEWATTRWLSETPDTPSRGWDAAYRRIVTYVVLRHRGSGRKVLAMNT HLDDRGKVARFESAKLILEWMEEVLKKKDSDSVEGVVLCGDFNTNSRENNDAFGVLTA GAMVHTRDCVDGERRYGNVNSWTGFNDTPIDDALLDYVLVGPLKSGHVPWNIRTYGIL TNRFDDGIFNSDHRAVAADVELVGSSKRL AOR_1_1144164 MRSSIACARCRRSKIKCVNAGIDTTCRACESSGRECVYPTPAIG VGGAAAKRDLAALADGDDRNGDMDGPKRQRPRKSVAVSSSAAKDAAKGTLDVLDSSIL TVKVWEAVFDLFQSHFATILPFLHPATFMGHIRQLSGPQSSSSSTAPTNQDNSRENAQ SPPAAKSDLSPLIPLGVLALTARFHPQLVAYHSPASPGSPSNPALASEFYATALRSRL AGVDGASLAITDLTRVQALLMLALHEWGMCRGKSAWLYVGMAIRLSQAMGLPYELEND VFSRDGPRSPAFRAETDLFGISRRGMEPKEQTSDDVIAQETKRRTFWACFILDRCLSS GKYRPRMIRVKELGIQLPSDNAFAFGERVRTSRLSEPVVRRPQSFGAQGVQIPSIRQS LGGFGDDKLPNNITPDPKSWSPISRRKDSSEDEIDRWEIGAEEAVLSRVIRIIRIWGS IAKWSCAGGRRNEQLPPWHPDSQFSKLRSILGEFQDGLSRNLQYSTRNTDTHIMYKTN LSPYTLMHVVYFLSVLVLHRAYIPFLPVRCAEPVGPLDDPLFPGEKVGVPDGFWRESA RELFGAARQMMDLVVTCQDRGVLVENPLVGFAVYNAVFIGIYAAHFPHMDPEGILGSK SNSAGDGNQQGQGQTRKALDILREMRTRLKMARGWFRTLNRLHSYFSKVKQDFRRHSR KLDSIPEVMDIQTNGPRPVREGGVGGGLEEFKMLEKLFLDFGSIEDQLPENGGDEDGA AGVSDRVTNISDAGSNTLRSDPGEPMEMPLDGAGGRRESWVPINSPGMPLPGPDGERR PSLPLPPGRSLQSQSPFSLPSLQHHPEGPLYNNSSPTLPAIGPAGSYGAPPATTAGAT SSQYSTVASQSGRLQPLNPWLGPRQQPPPPPYSQSLPPINAAASHGLPLLPPPGSAHP AASPPATVDGMDSFTSNSMWSTSLGGEDVLAFLEGCEYDQIPGIMPSDNGLSGSWLST VWTEFSR AOR_1_1486164 MHKYRRQARNLAWYDQDGEPSTHNPFKKFRRQRPRRTDSIQLES KLTPIRTAGDVPLDEERRRRRDMTDGLVGPEHSDTFPPESSGTDQGTPYRAGREPSMH SNEPINIPAQTGLDEDQMGGPRKRRTFASGFGDKSLDGDMNSNSAEDVKEKPKFTAMG QLKATLFNSWVNVLLLAAPAGIALNYVDVDPVAVFVVNFIAIIPLAAMLGYATEEIAM RTGETIGGLLNASFGNAVELIVAIIALVDDEVVIVQTSLIGSMLSNLLLVMGMCFFFG GVNRLEQHFNPVVAQTAASLLALAVGCLIIPTAFHNWSGAGDSGVAELSRGTSIIMLV VYGCYLFFQLGSHTEMYNSPSPKVEKRRGKVNEGDTHRGIAQIGKMTATLAGQNAQQM QLQDPDEEEEEPQLSIWVAVLTLAIATALVALCAEFMVDSINAITDRGGISKTFVGLI LLPIVGNAAEHATAVTVACKDKMDLAIGVAVGSSMQIALLVLPLIIVIGWGMGNNDMT LYFDAFQVILLFVAVLLVNYLIADGKSHWLEGVLLMMMYLIIAVAAWKRCKLDTKTSE DF AOR_1_1148164 MKAAFALAGASLVGSVLATLPAIESKGNKLFYSNNGTEFFIRGV AYQQEYSSNGTSSSSTGYGNESNGDYTDPLSDPKKCERDIPYLKELRTNVIRTYAVDP KADHTECMKMLDDAGIYLITDLSSPSESINRNDPKWDVDLYSRYTSVVDAFANYTNVI GFFAGNEVANDKNNTNSIAFVKGAVRDMKKYIKAKKYRESLLIGYATDDDASIRDDLK NYLVCGESDAMIDMFGYNIYEWCGDSSFETSGYKERTEEFKDFPVPAFFSEYGCNDPK PRKFTDTPVLYGPKMNNVWSGGIVYMYFQEANDYGLVKVDGDSVKTRDDFSNLSEQIQ KATATGVNSASYTAPAAATASCPAVGKDWEATSELPPSPNPDLCSCMVDSLSCVVKDS VKEDDYEDLFNYICAKDGMCDGIQKDGTKGKYGAYSVCSPKQQLSFVMNQWYEKSSNK DQACDFNGSGHTQSASKSDGQCSDLLKQAGSAGTGSVTASPTAGSAAAGSTSSSTSSA DSAAGAVSPMAVKVGGWQFGAYIMTAFVAGAGMLLL AOR_1_1150164 MGQPDLESQTPKTIDGATKEKEEKGSKVEKGYGLPLWRKCIILF VVSWMTLAVTFSSTSLLPATPEIAEEFNTTTETLNITNAGVLLAMGFSSLIWGPLNNL IGRRLSYNIAIFMLCVCSAATGAAVDLKMFTAFRVLSGLTGTSFMVSGQTILADIFEP VVRGTAVGFFMAGSVSGPAIGPCIGGLIVTFSSWRNIYWLQVGMTGFGLVLAILFVPE IKQESKEEPEEKEKRTVLSALRLFNPLRIFRQWVYPNVFFSDLTCGLLATFQYSLLTS ARSIFNPRFHLTTALISGLFYLAPGAGFLIGSIIGGKLSDRTVRKYIVRRGFRLPQDR LNSGLVTLFAVLPVSALIYGWTLQEEKGGMVVPILAAFFAGWGLMGSFNTLNTYVAEA LPHKRSEVIAGKYIIQYIFSAGSSALVVPIINAIGVGWTFTICVIFSIIGGLLTMATA RWGLDMQQWVERKFRIHDKPGF AOR_1_1152164 MNRRRQSRSTDQASSPSKRRKIVACQRCHDHKIKCSGDQPCSKC RQVGCADKCEYTPRDRQVKVSENYLNFLESENQRLKEQSASSANATEADHDAEPEPVP PADAPDESNTSVRNPLIGDRAWFHRYDPSAPPLFVGEAACTAFATRFRRFLTGNNALP HIPRTQYVKEEQIAEANATNVQWPSFQQARLLVKIALRQVGSIYHLVLRKSTLEKLEE IYRTGDFDCTVNQCKFFALFAFGEAYSMRAEPLSGSRVPGTSYFARALSLGQVLPERT SITHLETLLLLSLFSYYLNRRHSALVLIGTALRLGLSIGLNHNIPESQLIDPVERQHR IRIWWTIYIFDRMWGSKMGHPSQIPDDDIHLDMPSNISPATLHEEQFTDTEYLTANVK LARIVGETIAKLYSRRKYSETFLQRVQKLLKALKNWVETLPEHLRLNDDDPGTYMKHI SSLHLSFNQCVILTTRPTLLHLLMKLNETNSPNPNHESISQPVLTLGEACIHAARHSH SLILTKWINGSLPVFGYFHAHYLFSSALVLAMSSFLPIGSPSDLGAFESGLEVLRSMS ENGNLAASEFYHNLEQVKQCLDLRKSKDPKSNSTAGQPNITASGSGPMIPPSTLPPTA SAVTPATTVPVPSLLTTAGADLISHNPGYGPAQSSNTTISPGNFTFPTTAGGITTAMA FLEPTMQDFLAQSDFDLGLLHPVDTFMNDENLYTCHGL AOR_1_1154164 MRAVRQGGCQSLREQLASLERRYEALAQQHEELLAQKDKDSLHD GANPRALDGLALANSSSHHHDTMGTSESVVPQSRDLRRNANCSYSGRILRPTFSKSTN IEGMNMSTLSCAWNLWGDDSMPTEQPISLSLLNDAAYVELVNIFFERRWPYLPVLHRP TFESKYLTPFMTNLEVDPSWVEQENRFIHREFFGRAVLELHYVMRIDDFECAQCLLLL CMYGHNEPQAVNLWYTSGLALRLATGIDLHRRESLVGLDLFRTEMSKRVFWCAYVMDC SIAVNMGRPLGIQDTDISTPLPLQLSDDQLRDAVEPPDPESVTIPTVTDTSTFIHIIK LRRMNAEIYKAFHPAVRSSSARNEIDTLRSYYYSELNMWLVTAPRYPHTHSTFQSLEW FHIAFNHAIMSLYRPSRTAPILSADDLRICTEAAIGLISSYSSLYARNKIKYTFVAIH SLFLAALTMLYALRASPALRQDLTKPVISTNISTFLTLFRGISNGRAVGEKCSSIIER LGTAILTLFDDTEQPISAVDDEFQSWFGLQTNIFSPRDRDAIFGDPVGMSPHLPDVRV DLPWTDLFIEGLDMGAVSAWNFF AOR_1_1156164 MKKQRSLPAAYYRGGTSRAVFFRQEDLPRDRKSWDPIFLDVIGS PDPYGRQLDGLGGGISSLSKICIVGKSQHPEADVDYTFVSLGVKTPDVDYSSNCGNMI SAVGPFAVDSKLVQVSSNATDASIRIHNTNTGKVIRATFPVVDGEAASSGTFAIDGVA STAARIKLDFLNPAGSRTGKLLPTGNVVDTFDGVAATCIDVANPCTFVRASDLGVDGN LTPDEIEVHPDLLARLDSIRRQAGVKMGLASTPETIPGSVPKICLVSTPPENERAVQQ KQTASDVDVLARSISVGQPHKAVPITVALALASAARVQGSTVADVASKQPVDQAGITI GHTSGNLLVGADFDPNGALSAATVFRTARRLFEGRIFWKDESM AOR_1_1158164 MTRSTTSLAKYIHAIRDSPRGIYNHNLAVVVVSFALCGCAKGWD EGSASAITQLKSFERMYNLDNNTVSNIVSWSMRLYQLVYIIGSLISCFSYGNVGVLYA GRLIAGLGIGALTVVGPMTIAEVAPKATRGLMTLLFNVCMLSGQALGVFTVYGCSIHI SPAKNLQYQIPWFTQTFAPSISIILSFFAVESPRLPANHPYVDAEYNEMVRQVEEEDT SLGPTSSLKVVKETFFIRSNLRRVQLSLVAYILAQMSGANSVTNYLPTIFGMVGIKGS GVKVYTTGLYAITKLIFCVAASLCFVDVLGRRKSLMTGIIIQIICHSYLAGYLSFFTK EPSTMPKGASDAAIAFIYIHALGWAIGLYTLPYLFGAELWPSRIRSFGGALSQCFHWL FYFAITKATPSLLTGLHTWGAFVLFAGFCIVALVYTFFLVPETSGLSLEEINKIFERP LYRLGQPLAPERQNDEDDE AOR_1_1160164 MNVQSSLPRSPVALPLEEASEKRRRVPLRNLGVGASMNIFQVTT LGQPMEVLKTHLAANRHDTLRAAVQKTWLRGGPLAFYQGLIPWAWLEASTKGAILIIT STEIEYHAKMKLGASPTVCGALGGIGGGVAQAYLTMGMTTCMKTVEVTRTKMSVNGAK VPGTFEIFFKIIREQGIRGVNKGVNAVALRQITGWSSRIGIARFAEERIRWMSGKGKE EKLGFGEKILASTVGGALSCWNQPFEVLRVEMQSMKEEPGRSVRPTMMSTLKKIIRIS GVKGLFRGVVPRIGVAGWATICMVGLGDMVKDFANRY AOR_1_1488164 MGSVSSILQTKGPAHSFASVTDCQKKTPLLPAGAFDTHVHVFDP RLGPYAPGRPYTPEDAPLSKLIAFNENLTTDGQVGNLVLVQPSPYKTDCTVLLQCLRD LRNRNINARAIVVIDVDNVTDHALEEMHQLGARGIRLNFQADGREVDLTKLADMLHKA ASRIQHLPGWMVQLYVPVWVWEAVASWQAIRKGRNFSCVFPRTILPSFEASSRWA AOR_1_1164164 YDSILDLPVPVIADHLGGALGRSKLSPEFHESPLSQPGFSSLTS LAKHGRAIVKISGLYRCSKDSASTYSDMKPIIESLAREIPYQLVWGSDWPHTGDGAAR LKNPDINVKEGFRSIDNLGILQNLRDWVGSEEVWEKLMRDNPARFYR AOR_1_1490164 MALIQFMSAGLESTAVPTTVHCDHLIVSRDGETEDLPRALEAHQ EVYDFMESACQRYNMGFWKPGAGIIHQNVLENYAFPGGMMVGTDSHTPNAGGIGMIAI GVGGADAVDVMAGLPLELIAPRVLGVKLTGELTKWASPKDVINKLASLISVKGGTGSI VEYFGSGTKGLSATGMATICNMGAETGATTSIFPYSPQMAAYLRANNRPDMAQAVETV SHELRADHGAEYDRVIEIDLSTLEPQINGPFTPDLATPLSKFHSAVKENAWPKLTAGL IGSCTNSSFEDMTRAASVAQQALDAGLKPKVPLLVSPGSLQTRRTLENAGIVDVLEKV GATMLTNACGPCCGSWDRTDMPKGTPNSIITSYNRNFSGRLDSNPATHVFLSSPEVVM GKIFSDDLSFDPNVDSLTTPSGEEFRFTPPVGQSLPSRGYEDSDSAYLAPPTDDRSHI QVQISPSSQRLQKLAPFKPWSGNDFEDCLILIKTKGKCTTDHITPAGPWFRFRGHLEN ISNNTLIGAVNAETEQVNQIRNRLTGEDGGVPDTARDYQAKGRPWVVIADHNYGEGSS REHAALQPRYLGGVAIIAKSFARIHEANLKKQGMLPLTFTNEADYDRIRSSDLVSIKG LAALAPGQPLTLLVTPTESSSEPWQAEVSHSFTHEQIEYFKAGSALNLMSRHLS AOR_1_1492164 MPDSYSDIEIRIVQACTIAQGQKKPNISALARQFNVPYQRLRAR IHGRANLSSRPISTKTLDDSQEKALIRWIRQLDNLYSPPTAGMIEQSANQILQRNITD GQSRTVDKNWVYRFIKRLPEEFKLIQQKPKDKKRLDAEDIGVLQHWYDCLEAFIKNIP PKNIYNFDETEVGELISAIECIAADGFTLPPYFIFKGTYHLERWYDADIPEEYRISLS PKGYTTDKISFDWIQHFHRHTKHRISTKKEVRLLFFDGHESHLTYEFLQFCGLHYIIP YCFPPHTTHLVQPLDGQPFQVYKHFYRKRNNELAQRGAEMDDKSDFLKEIHSIRTMTF KQRTIRDAFEKRGLYPLDSEKVMKSLREALETAPELEIITTPSPPPSSSSPPSTIRGL RRSISKAQSFINNSPELDQSFVRRLDRVFQSSLETTELAAQLKDDLQQHLRYRKPQDR RKSQKRVKYHGPLTVYDAKRRIADRTEVERLQGLRQIRKTGALEYDKPPQPTNTGDLP STEAGQVDREGPRLPYWIDTQGDVV AOR_1_1170164 MSDPNRPPPFHYPSYTSSSSSEYPGNEATFNDWAVPQYSQPSYA PSVTYDMVAGFAENPAGASPMFSGERALNSKVAIPRSANPSNWTSSGRVSRACENCRE QKAKCSGHRPTCQRCQEAGTRCSYGDRKREKMAKQLSDLTNQKHIYEALLEDVCSKVD SQTAQHIQQVVNEQRAGNDQAFGRKASISLSTVRGADTPADPEPDSISSLVALDYINE DFNRDEKIQAMGFVGEHSEITWLYRLRRMLERSSPVTPSPKESWDRQSVASVSFFPDD SDIPVIDNVDPMQRPSQVLADQLVDTYFSIIHPFFPIIGKAIFLRQYKSFYSTPFSDA RDTPDDGPLYFSRAWKLSMSDVALLDHPNLQQVQVEGLTSFYLLSVGQVNRSWRICGT SIRSAVIMGLNLRNESSMVVHTSKETRYRVWWSIYMLDIQLSVMTGRPPHCSSDFCTT PHPVPFQEEEFLDDNVAQIIMDNESRNIFMEALSSRNSTKSTSEVTSSEGFGPPMSHH GKQYGQAAYNAVDSLTPNTSLYFLCLVDLGLIMRESIDTLYAPGAARKSWREVEIAIS TLNGKVDAWLSRLPTAFHFTHGHQAFERQRSCLGFHFYSAKLLITQPCLSRLTRKAPG VEIPGNFCNTMAVMCVDLAGQMLDLLPDSPEPSWVYHVSPWWCVLHFLMQSTAVLLTE LLLLAKEGTVQHRTAWEKFCKVSRWLAVMSTKDLSFQRAQLVCRDLLSQNSLEPDIGV YTDNDKN AOR_1_1172164 MSLRGPMKHSHLRQVSAASLETLSTTRSLALSQTDGQSDKPSTP DERTIRLSSTGLDRRQCSLWVHDETFSKEEILFNQAAFTDMGVEVGDVIEILPARYPG DGTHSAKTDFGSRSLRDSHVESSSTLHSDSMSKFKTPLQSRCLFVVKPLPQDIKTRNP KLELSVTTSIANIFGFKNRTTVHISIVDRAQCAASHVDISFRDQYMVRSDMWRLVMSE LAERIVYKGQKIVFTGSIKATVKNIFIRGKKVLSGFFSPQTIPVFRSESAKYVLFIQM SREMWDFDSEGTGDILFSRVINGFLPELFKRWVNSDARHLVTIVLFTRVEYDASAIAS STFSSENLTSMFGPNHVPTRDFYRVVVNDMASGHWTTILDELKKDFRTFLRDVSILNV HNADTPTFNATGASKSQPATIAGRPSTALRGNILEAIHLASSHLAFDHIDRDMVHTGT SIIVITPGSGVFEVSYESLASTTEALTNRGIAIDLVCLSPMPLHSVPLFKYREPAHRP TTAAFGDIQHGGYSPEMRHSFASISNSTYEEYNWNYADNYVAGHRDYLFNPAQQLHFW RVRYVLIPMRLHFKSRRLHGFNEDNEEEIHLLGINQLTHIWQRHKYIPPEEKRFESSN KKRDQNPLNIMYQTRNPSEVIAAELDRIILVDPGLDSSPAQLLPESELLERSGISLSS LAQIIQGEKGVRMMDRRWHWRLHYNCFIGFELTTWLLQNFRDIDSREEAVEFGNELMK HGLFQHVEKRHNFRDGNYFYQISSEYRVSRPESRGSWFPQIRPDKSVPSTPVGEASKG SPISGHTRSDSTEDTQSQTPSTPSKLKNKASITLSKTMKYDVDPRKRSNRPEVIDLHY DRLHNPENCFHIELSWMNTTPKLIEDTVLSWASTAEKFGLKLVQVPIAEACAIDKTQP FRKPYCVQLKAPPPKGPIPLQCNSESFSQPVTLDHQYFHKALLRKFDFVLDFEARSSY PADVEVSYSWGMPDYQYPQYIHRSGSVLAQITGEGDFLLLANRLVSTRSAASRDMPRH ERLDRPDQYRARAGTYDPVDRISPRLSPMARPVHEVHSPLSPQGHASIDSANLYRAPE HILTGFADFCNDPARLEQFYSEAQVRATSTKPSHITPTWSTIKIVT AOR_1_1174164 MKFTEGMWRLREGIRIDWMNNVERLHINNEKVELLLNKFQRHRG DTLNSATVTASVTSPLEGIIGVKLVHWAGQVDNGPHYQLSSSTGHTKIDHEKNVKLDY GSGPLNLTINTAPNELDFVFSAAKGKLTGHSWRSIGYVGDQTTEKSRWDDGIFFERQG YMLAALDLGVGEKLYGLGERFGPFVKNGQSVDIWNEDGGTSSELTYKNIPFYISSKGY GVFVNNPGKVSLELQSERTTRVNISIAGEELEYFVVYGNTPKEIIRRYTALTGRPSLV PSWSYNLWLTTSFTTNYDEQTVTGFLDGFRDRDIPLGVFHFDCFWMKSYQWCDFEFDS EMFPDAGGYLQRLKERDLRISVWINPYVGQASPLFDEGKKNGYFIKRTDGSVWQWDYW QAGMAVVDFTNPAACTWFSNHLKRLMDMGVDSFKTDFAERIPYRNVQYHDGSDPTRMH NYYTLLFNKVVYETMTDRYGKSNSLLFARSTSPGGQIYPVHWGGDCESTYEAMAESLR GGLSLMLSGYIFWASDIGGFEGTPPPALYKRWVQFGLLSSHSRLHGSSSFRTYEPGQW YTETHGFDTLPILVRPGSVTPINPKLKAPQDDALDGLELLVNGSLTDEVAVQVVDPSK THEVLKTVKVAVKGDEVVADATGVKVVRVRH AOR_1_1176164 MLGRLLSTAASTLNPAAYSAKNPHQLESVTEEEHTSGLLFPDAS LLRRSNTHAYPLHTAFNSPNASTAGAYDDRGGVDLDHAKDFRVIIAQNALGDRDACVL LDTRASSESASYGLGLEPQAFESSGARHARTVSNLTRGPRRGYLSQSSTVEPSPLSFA AEARRSPPMSSGAFMRARGRSSTLSPAGGPHDPGYPRHSTDSNDTGLLNCIFGSSAFS YRGSSTKMHIISADDEPGRTASSSPASRNSFTRAYTTGSSSAFANTNRGNDGKPPSKV TILVTRMFSVNLPEAGETSPDRQDLAASLYQESLPESGFPFPDITKRKKIKEKKTPMY AVAITIQIPLLGRNVARPVSRFSTQGSDSPKPGMSCSLDSDYRWRTGFLDDSLSLASP PASLDERIDLLVDHWDVINRTLSHLERLSRKEILFLLKKVDSSSGIHPKPAKPPNMQR TNQTIIHLPANILAVNSKLREEAIRSTRRISTALQTPYVVTGQSRWGVWREEGRSIVR NLGDKDHSFFFLVLITAFLGNHTEWLNALGPEWWRRRHYIQQKAQQQDSDPILANRTV IVSPDKMTARRLIFLLSAFLPPKQRFEPLPSPIRPGTSSSMRAVSQSPPNVPVLRQES LRRAIERRSRAQRLNLADRDQHQRSVSVSSSETAHRSTDDVESAAPMEFAATRRGSDA RSIRTLGVPIHAKDARSKNTSTATTSTATPGSTVPVPHFASQSRLERDRSDHSMHEGV DSLASENLLKNLQRSESSVLSTNSSVPSTTGRWGSLFSGLWSSRQESSTGSSEAVSPA EIRRRSVSGYTPLPKRGPPTLSQMVKEVSTEIPEEAPKVATSGNISIPNSNTQHLEED VQDLSLTVDHNRESSLKLSVRGDDGIVDVDLPLPGFVSLSSSGDSTMTSPKKTRTSVT SVDAVASTHSSGSGFPYAPRENDGPNINVAGWLRSFHEDFLLQAVRPYSSLEADVKRA MQAEPTPSHAFSSEADGSERWVDVATTLIADARTFTVKRLRLRRKAINNVFWRSPTSP SISQPGTPRHIPGGSISSSSKTSTISPIEGYEPSEFEERFVEEPVMDLDGTLVDALER VLAQSGPSSLAHSRAPSPSRARRGDDKATSDAANRDESQVPSLEVPRTECRKLVLGAL EEVVRSVTAEHCRDDVDGELAMADRERKRSLAGPDNTLREGVRRWLLDVEEAW AOR_1_1178164 MPDQKKSKKSGGAKQQADSVITDPRFANIQTDPRYRLPSKRQTH VKLDKRFAHMLHDKDFSRNAAVDRYGRKLARDDTKKQLERFYRLEGDEEDEGHMSVAD DDEVLKELRKADKASGTYDPARDGGFSSSSSEEESSDEEEDEDDEFGTGEELEFPDKQ QSGVPTGDVTERIAVVNLDWDNIRAEDLMAVFSSFVPAGGRVLKVSVYPSEFGKERME REETEGPPREIFAAKDDDEFEGFEDDDSEVDSDEEEEEIKKSMLKEDKGEEFNSTELR KYQLERLRYFYAILTFSSKDVAKHVYDLVDGAEYLSSANFFDLRFVPDDTDFSDDKPR DECKRIPDGYQPNEFVTDALQHSKVKLTWDMEDKSRKEAQARAFRGSRKEIDENDLKA YLASDSSSEDEDEDGGVEVVDTTKEDGGNSKKISKKEDERQRMRALLGLGTEPAPSSK SDGPVGEMEVTFTSGLAGGSNKDSIFENEPEKDETTIEKYIRKERERKKRRKEKLKAA KKGDAEADEQDDAPEPEKMSQEEDLGFNDPFFDDPSGKESTAARRKEEKRKKREERAA EEAAAAAKRAELELLMMDDENKNIKHFDMNEIEKAEKQARKKGKGKGKGKQVAQVADD FQMDVSDPRFARLFESHEFAIDPTNPRFKATSGMKQLLEEGRKRRRNRDDRADEEEAS RNDQKKTKKQKKSESIEGGSEDLKKLVDKVKRKTQKS AOR_1_1180164 MESISPVFSSGTEQRYSPPWEDLSIIGIAGSSGSGKTSVAMEIV KSLNLPWVVILVMDSFYKSLTPEQHAKAHNNEFDFDCPDAYDFDALVQTLKDLKQGKK ADIPVYSFADHQRQPQTTTLYSPHVIILEGILALHDPRVLELLDVKIFVEADMDICLG RRIMRDVKERGRDIEGIIKQWFTFVKPSYTRFVEPQRSISDLIIPRGIQNITAIDMVV KHIQRKLDEKSEKHRAELDQLRKIASQLQLSPNVMVMPSTSQFVGMNTILQDPKTEQV DFVFYFDRLASLLIEKALDCTSYVPAGVETPQKTTYQGLNPEGIISAVAILRGGSCLE TALKRTIPDCITGRVLIQTNAQNEVPELHYLKLPENIQKHTTVMLLDPQMSTGGAALM AVRVLIDHGVEEHKIVFVTCAAGKIGLKRLSTVYPKVRVIVGRIEEEQEPRWMERRYF GC AOR_1_1182164 MASANYMSPTSSTTTPTWHQFERRVDEVKPSKTDINYLVMDYLI TNGYPAAAKKFASEANIQPRADVEAIQERVEIRTAIHSGDIKAAIEKINELNPQILDE NPPLHFSLLRLQLVELIRSCTSKPDGDITPALEFATSQLAPRAPTNPQFLEDLERTLA LLIFPTENLTPALAPLLHPDLRKDIATSVNEAILQNQGARKEARLRNLVKLRAWAEQK AREAKKDLPEKLDLGLGDNNTKGPNGASNDTLMANNGDVDPMIS AOR_1_1184164 MSTVLLRSRPDQTALRRIGVLSSRLYSSKLPRPTRLATSRALPL RQNSVPQCLASRAFFNSHHSIRHQSTAPESTDASRKSSFRNAFFKTFAYCGFFIVMSG AAVVAFFIYDATTYREHSSAEDIPVSELALNPRRGGPKNLPVADVLVGDYDSEAMTEQ KDKPRLVILGTGWGSIALLKQLNPGDYHVTVVSPTNYFLFTPMLPSATVGTLGLRSLV EPVRRIVQRVNGHFLKGEAVDVEFSEKLVEVSGVDANGQKQNFYVPYDKLVIGVGCTT NPHGVKGLENCHFLKTIDDARQIKNQVLENMELACLPTTSDEERKRLLSFVVCGGGPT GVEFAAELFDLLNEDLLYSFPKILRNEISVHIIQSRTHILNTYDEALSKYAEARFARD HVDVLTNSRVKEVRDDKVVFTQMEDGKPVLKEIPMGFCLWSTGVAPAEICKKLSAKLD AQNNKHALETDSHLRLIGAPLGDVYAIGDCSTVQNNVADHIVSFLRTIAWEKGKDPEK LHLTFREWRDVANRVRKRFPQASNHLRRLDRLFEQYDKDHSGTLEFGELSELLHQIDN KLTSLPATAQRANQQGEYLGRKLTKIAAALPGMRANEIDHGDLDEAVYKAFKYKHLGS LAYISNAAVFDFGGMNFSGGVLAMYLWRSVYFAESVSLRTRCMLAMDWAKRALFGRDL MSF AOR_1_1186164 MDRNWHPAVQVVINGPPQAQAHTSSGLPQRRDKPLSVEEALQYS PMSSSPIFGLDCILRPDVGRPPNTTSINHILQSGRTTLTELNGEVSSGRDESSRLETS REYLQQLLDGDQLTEFKFKVPIASRNGQRSLPTPSSEHTSTRSNLGSFARMMLESTDI AFRYPSVSQTEDEKRSQKASSWNSKAHAAIKSTPASYNQHNYVQSNLSVVIPVKSIPP DADGRIISKRRKLNTDGDDNLAAIRLKDQKEEADAALVKLQDLLHEIFEAEDQLEPGT ASATTAEQSNAVFMAPRALDITGSLLSSDIHSRLQKAIRKVVGFNRLQDIPSDYLNRI QKLCEKPVIAAQSPDLGLEDPSNDSEAQEWLKKIDDMHNALLAVGTLLLTMSGSQTER DLCPEDLIEAIPNVLNQTFDHCVIPAVEARPGGKEAHHFEFFSAQKRVIGGLIQQSKK ALALFADFLSRIDVSEGTVTAAEFFACKLIFVENSHTEKDSAVGFQKYESVRRGAMDV LAKVFSKYPAQRPFILDEILVSLEKLPSTRQSARQFKLADGKNIQLLTATKSKLPSSG DDDEDEQLDDARGKEDDDGTELSMEQLATKVNRLYDNAVRSAQYIVKFIVQRAMTSTK TGDQPYRNILDLFTEDLIGVLGSTDWPAAELLLRIMASHMVGIADLDKSPATAKSMAL ELLGWMGSAISDLILFEDYSGHALHPQDLVVSEGPYRITLEYFLQVRHLDDWQLTSAR GYYLAQWAKSFCSVYYNADERDDVTYDDMTENLVDLFAKFFSDPLWLETHRHFNNISA AHGRFSYIVTVLNSSFCKAFDTILKVLLNSIASDQAKVRSRSLKSVIYMLEKDPSLLD RDTSVMRVILRCATDASPMVRDSALSLIAKCISLKPKLEEDGCRSILTCAADPTAGVR KRCIGLLKDIYLKTSRTELKLAILDSFLQRTGDLEESVSTLARQTFEEIWLAPFYELV DSAHDGPKLKVGLGERVTLFVSLVQRSETALETLGGCLRKILSDSSKSSSSNFKVCKA MVSTMFEKLVEDSDAGKEFQQALLQTITVFAKANANLFRPDQLETLHPYIGHLATAED LFLFRSVVVIYRCVLPYLSSAHNTLLKEVQNDLFKSVAKLARSELNEVMACLWTINGV LQNTDRLVKLTISVLKPIQHYKNIDLSDNANMAVLARAKSYIRIAGCVGRHCDLEKYE PHFKNAFPSWKGGSVAGLMVDSIIPFTLSKQPLELRVMALESLGSICQSWPAQFSRDE SRRILSTVFKEDNPSLQNIVLRAFADFFAMHEGKAEKSVLPSAKALDQESTTRLGGSL KASDNDGAAALIAQHFLQNMLRVAQSRQDSYALTAIELIASINRQGLVHPKECAGVLV SLETSTVPSIAKVAFETHKMLHQQYESMFEREYMRAIQEAFYYQRDVVGDSTGALSRP YVAKLAPLFEIIKISNSRYQKKFLSNLCAKVNFELKKLDTTGNPPEHLLLARFVAHNL AFFEYAQLSELVPTIGCMERIVASTGTVVAHAIETELFSTKPELPQGEGVTIPTAETA SHLVPLQQINPQTLRQLAAAAAALSMLWEARTYLRRVYGVTAHVRNKEAKAASKELNK SATKVHGVTGDKFWDAINRNMTSLDSEENMVSKCREFATLLAIDDEFKVDDNIDAEGD DGVTDMDDAGALGTSSGPRPMKRKSSMSGQNPTKRARGRKPGSGKKRASTESDAESDW N AOR_1_1190164 MSAIGSLIFCNDCGNLLDESSGDPTKLIVCSICGARNRDIVPKT IVSESKASAFPSTLRAKRSAVQNLTAADKRTEALTQHTCARCGRKEMYFTTVQLRSAD EGSTVFYTCVCGYKETQNN AOR_1_1188164 MASSAVDQPEGVDETILTLRKVLVNESEPLARRFRALFSLKYIA CLQPPTEKTLPAIQAIAAGFTSSSALLKHELAYCLGQTRNPDAVSYLLEVVKNTEQDA MCRHEAAEGLGALGFDTSLDVLKALRDDEKEEDVIRETCDIAVDRILWENSEERKSEK LKPSDFTSIDPAPPLPMASSQPSISDLEKTLLDTKLPLFQRYRAMFALRDLASPPDLP TAVEAVEALAKGLKDPSALFRHEVAFVFGQLCHPASVPSLTETLSDQKEMGMVRHEAA EALGSLGDVEGVEDTLKKFLNDPEQVVRDSIIVALDMAEYEKNGEMEYALVPDSAAPA AVSAA AOR_1_1192164 MSLFRAGNFACFRAGRLAAPINARFLSTNTGRGDPSVKTSPADA PAVPPKDSSLIRQEGPAEAMARHQPDYEATIDHGTSKFSPVPKRVMDGSEPGDTVPAA VLSGAPTDLQARTVRIYRPSKPATQSGTWHQHHWRMDWDVLQKGHRWENPLMGWQSSA DNMQGTHLNFKSKEDAIMFAQKQGYEYFVQEPNERRFVPKAYANNFVHEPKKIKHIRT K AOR_1_1194164 MSYITRRGLSTLIPPKIASPNAIGAAKDAARMDRVVNFYARLPR GSAPEVKPTGLIGRYQARYFGKNPSAAPLAHAIGGILLLGYSMEYYFHLRHHKNHPH AOR_1_1196164 MSQPVGLTDSRIVMAEQSSHDVVNQTLSGGEPSPSDVPASTNDK KPAGGDVGEIKHTATHTQLETITNAEQGTLDTSLSETYNENNAAGRDTEQSTTDNSRQ GPGPVATRALELNGVASGSDVGEDTASQGGSESDASRTESRLNSRASSTKRPTSFKPV SFAKFSVPKAPGTPPTAKISEKAPLSSTTPLGVPLQSSRPRLVAKTTSSLRDSLSKTG TGAARPAGSGPDPNQVWNKNRPVQQTPPKHLTDEELKQQYGIHMTSRIQEDGGGTEAK WADIDDDEDDWAPETIEWTDGTKTNLSQVEHTAATKQENRPSMEPKDDFPPPRPEQVP APKETTKFVPKPTTSVGPNPTVLRLGANAERQAKSASISSKGTNEKSPSLSTSPAPPP AKSPWAPLPPVEKISPVIPPVQVQPQVHTSPREPHTIDRYSGVAQPKEIAADDFNRSW KDSQSGTRELYNSRSGRYEPVSETRKGSWRTEQSFRTPSVLQRPAQGEQAGPAEPSPA FQTHRSSGQDGIHWTRRRTSSNVSGGSGSFARRMSIGRNDATQRSFETRRGSQVNGMV EPPLPGLVPQQETPLRETSPARRGPGHSWPPRGAAGVHERAPGAPDGTSQPYVPYTVN QTATPQAPQEDPVAMQERIMKEKRMEARQRRIEQEEKEEAAKRERIRQKLEALGPPPE KPKPQRKDTLEGSKPDTISSPGVTHSSLSPPKPPVPEPTGEPKQYGMMKVHHPDTVRK LVERDRAAEKSSSTVNARRAPSPPRESKPDTTTTNGLQQPGDSQTQAHEKLPENKLDE QSTQWRGGLNASSSYPPWAPNAKLVGASPSMANPWKPLSSDKTLGNGIFEQSLPGFPP GRDVPLRNPLVLDQPPVAPGSQSFSTPSRSPQESTPISPISSPKVRHAPYESLNPISR PGPIGPPSSQHQWQNDNRVAGTVAWNNFHTVAAKREAEENEKLRNEMNAAREGPSSLQ VTFNETWRQVRTGDQAGQRQVVGISRTAETSAPNPNPLPGLDHSVGPLSFTETNSRPL GSVPVRSSRFFPQASEQYKKPPFAEGDFIRSPSPPPPEEMSTHPVFTGNTSKPLVHLP APRPIVKLPPKVIAPPQPPPTFASMAAAPPCPPVSTATSWQEKINTLFGKKTVPERKT ALAVTSASKEPLDVLHIAAVSVSLPQHSEQPIGDGEITAKQVEETEEIFEDREVGSLP VVRVPTRAPPAAWQAAPPPSQSRLRAKHLKLMQVHSVEPYSFGFQDKDGSGNLRVSIR FPGTIMAKTVALPRKAGSQNPRPRGTSSYKPRKNTKPREGPGASNSKKLSSQQSNETS SPRHQSRNASWGPRTYSGSR AOR_1_1198164 MGVRDSHGEATATPDPVEKGFATLNTIRIGVKAMVQKDGELRKA EILSIRQRKDGPSFYVHYVDFNKRLDEWIDSTRIDLSHEVEWPQPEKPEKKKAGPGNK APSKNAQKRARAGSREVSATPDLLTGKNTNIGKAQRPSKAGGKENRDETPANLSVLDS EAISADVTPKPEMEDVDMIGVSFTDTKEEHEQGKMSREEEIERLRTSGSMTQNPTEIH RVRNLNRLQMGKFDIEPWYFSPYPASFSDVDMVYIDEFCLSYFDNKRAFERHRSKCTL VHPPGNEIYRDDRISFFEVDGRRQRTWCRNLCLLSKLFLDHKTLYYDVDPFLFYCMAT RDETGCHLVGYFSKEKDSAEGYNLACILTLPQYQRLGYGRLLIAFSYELSKREGKLGS PEKPLSDLGLLSYRQYWRETLVELLIEPGRESMSENELAVLTSMTEKDVHETLVVFNM LRYHKGNWVIVLTDQVVEQHNKRLEKEKIKGSRKIDPARLQWKPPVFTASSRTWNW AOR_1_1200164 MPVVKGGVWTNIEDEVLRAAVSKYGLNQWARVSSLLARKTPKQC KARWVEWLDPGIRKVEWSREEDEKLLHLAKLMPTQWRTIAPIVGRTATQCLERYQKLL DEAEARENDEFGLGGPEGGETAAPSADDVRRLRPGELDPDPESKPARPDTIDLDEDEK EMLSEARARLANTQGKKAKRKARERQLEESRRLAVLQKRRELKNAGINIKVVTRKKGE MDYNADIPFEKPAAPGFYDTMEEEARNERQREMFDPRKQQLANKRKGDQDEEAERKKR KNDKNSSSAASAAAARAGQMQKIREAEQSSKRRALVLPSPQVSESEMEDIIKMGMAGD KASKMAGDEEMTRGLLGNYSAIVGGTPIRTPRAAPEEDHIANEIKNIRALTETQSSLL GGENTPLHEGGSSTGFDGIAPRRQEIVTPNPMATPFRQANGGVGATPMRGGVGPGATP LRTPRDHFSLNQMEGEQLVGSTPKEIKMHESFMRQSIRSKLSALPKPKETEWELEELP SESTEPTVSEEYMEEDMAERDRREREAREKAAQAELKRQSQVYQRSLPRPSVLDIDAL VERASQVTDPIASMISKEAALLIAHDARKFPLPGAKVEGKARKVERFDDTLMEAARSA IVTEATSDERKPEWTENFDAQWTTAHSKALPGLSNYADDEEDEYQQEQRMIGVFDNVQ ASLLATAERGNKLEKKLALHYGGYQNRAKMLRTKILEASAALEKSKDELDAFRNLQIS EEAAISRRLEKLRDDVAFVMRREREAQEVYRTRKEELDELVAGTGGMVNGWH AOR_1_1202164 MSPSTDAPKQFSQPSRKGKKAWRKNVDVTEVQEGLRLLKDEEIK GGVLAEKPSEELFTFDTTGSTEIRKAVEKQHKPLKSEEIIARRSVIPAVDTRKRNNSK VTDGVLESKTKKHKSDWVTRKDWLRLKQVAKEGKPIKKDVGGEFYDPWADAEDPTPVE DPQFDFLEKPKPKVAPVTLKEAPISLAANGKAIPAVRKPNAGTSYNPTFEEWDSLLQE QGAKEVEAEKKRLEEERKEEERQRLIAEAKDDDGEVKSDDESAWEGFESEYETPDWLK KKRPERKTKAQRNKIKRRKEAERQAKWEEQMKKKEEQVEQAKSIAEKMKQQELERVES SDSEGEGDDTVLRRKPLGGRTYAPEQKLEVVLPDELQDSLRLLKPEGNLLDDRFRTLI VQGKLESRRPVSQPKKAKRKLTEKWGHKDFKVPGL AOR_1_1204164 MDVKNLSSNWKKLQETLKKNPISSSSTKRKTSGREGQNGVVKKR KTETVEGKTKSEQSHISKKRKRMADNAAEGGKDDVQETVLKSITRKNSTASLAPRPDV KISKANEGRSPTAELGKYVAMDCEMVGVGPNPDNDSALARVSIVNFNGEQVYDSFVRP KEMVTDWRTHVSGILPKHMVEARSLEQVQKDVAEIMDGRILVGHALRNDLDALLLSHP KRDIRDTSKHPPYRKIAGGGSPRLKMLASEFLGLDIQSGAHSSVEDAKATMLLYRRDK DEFEKEHLKKWPVRVVVEKEDGDDQKKKKKKKKKTRKR AOR_1_1206164 MFAVQPQQESSGSEDVNSTKNVTPNILPCRIHHDGPVGPLGRYW KSETDEKDKNLQTAYFRGRKLRGRRVAIPEGYEGIVALPTERVMPSTQRNANSTINEE TEQEEPVKILEKQATFNEYVVWGHELTPAADDSFVKGVEEWLKLAEAMHCQPSDEKKS S AOR_1_1208164 MQASNDTKVAPEALISKFEIGRLLRQDQSGRRIALLGTIEGKQG ILIAERAAFATESLEVLKAFHSAITRVNNLGDNDIYRWYLASSGVDSEGHQSTDLKLN LIWPCTEQHIKKYSDQVLRMVTETPEIYRDYIRPYMSAKREEGRLNWVFNILEGRTEQ EDVILRDQGHGPEDGFLMLPDLNWDRKTMGSLHLLALVQRRDIWSLRDLKKKHIPWLK YLRQRLLEGTANMYPDLDQDQLKLYVHYQPTYYHFHVHIVNVMLEAGATQATGKAFGL ENLISQLETISGDEEASMADVSLSYFLGEASELWTNIYEPLKRGVKPLRN AOR_1_1210164 MTDHVDVPETNKAFVPLENNPEVMSHLVHQLGLPPSLGFTDVYS IDEPDLLAFVPRPSHALLLVFPVSKTYESSRISEDSKLTDYTGSGPSEPVMWFKQTIR NACGLIGLLHAVSNGEARKQVLPGSDLDGLLRDAEPLSPIDRANLLYESKALESAHAD AAKLGDTTAPQAEDSVDLHFVAFVKGVDGRLWELDGRRKGPLERGKLDEDEDALSEKA LELGVRRFLKTEAQGGNPDLRFSLVSLGPVFD AOR_1_1212164 MLPVSRPEGHMNLNYIPTTQPMSGTSTGRSSPSDLSAAAAVKSP FGPSGLNGAAGSIGNARLGAGSPSHDLGARLYSKRAREIQAEEGVSPSIWGPPTSGHS TPLRENIPESPSQEGFPDLVPTSSGSINSPARRARAGTVPSRFSPVGALNEASLQQSF MSQTSRPTPSTSPFRPSGVSGIDAGAPAAPAPPARGTGSLSRLRAGSMPQRANFLGSS SPFGPSLFSTSWATGRDRATTLTSIRSSEGPTSPSHSSFSRDGLTDTDVKTLDYLGLA ETPQQARASLVRPSVDMLIQQQQQQQHQQQQASTLPPLLAELAMMKNNNRFRSYSVNA KEKYADDEDLEYESRYSQVPSGTVTPSAAATAAQLAATQAQIHQHNLAVQAFANHASV NRPRARTAGILEAPPQRSSIRNYLATPSRLENSFSAADLNIAESGEYDELSEAVQMMH LGGSGAPNLGMRQGDLVDENNQDGPTRALWIGSIPVSTTITSLAAIFSRYGKIESTRV LTHKNCGFVNFERVESAVQAKSILNGTEIFPGAGPVRIGYAKVPGTSASGTPGVNGIQ SSPTPDPNAKSTTADGVESSDSGTAVPQIPPLPELQPEMVQIVKEFGASDEDTLNITA SIQQAIAYQTFEDEIPPIPEPSQTRMFDAPRLRDIRKRIDNGACSVQEIEETAISMLP EIAELSSDYLGNTVVQKLFEFCSEPIKEQMLVQIAPHLAEIGVHKNGTWAAQKIIDVA QSPNQKNMIVDALRPYTVPLFLDQYGNYVLQCCLRFGPSFNDFIFETMLSRMWEVAQG RFGARAMRACLESHHATKDQQRMLAAAISLHSVQLATNANGALLLTWFLDTCTFPRRR TVLAPRLVPHLVHLCTHKVAYLTVLKVINQRNEPDAREIVLKALFFSPGDEVLEKILS DQTSGATLIFKVLTTPFFDESMRAEVVKNVSKVLTKLKATPSQGYKRLMDEVGLSSRG GARDNHHGRDNSEKQQQRPASRPAPSNYPSQSSVDRQYNGQYVPAMLSQNLENARADQ QSSVASFDPYAINGVNGLGSAGTINSLNGASGINGSGFGQDPLLPLQQAQYQAYLAAQ SRGVSPGGMYPNLGNANFGYPGGSPSIDNLRGMQAQATPLSGVTPMSPPGSMLNQSAF GPQQFSPVMNTAQMYQYPPQFNYQQPAQGQSAGGRRGRVSGSESIYPIPGLTRDRRFD LILLDPPWVNRSVRRSGHYHTQHYLESGLLMQRIRDILKVHLQDSHRNSSIAAIWITN SAKARKIAYDAIQGAGLSVCEEWIWIKTTTNGDPITPLDGLWRKPYEVLVVGRRQQAG PSDKRGGGIVTRRFIAAVPDVHSRKPNLKEIFEKIFFADGSPSPNSEIRTTYSALEVF ARNLTAGWWACGDEALKFNSEEWWVQGNDTSLS AOR_1_1214164 MADDNVGQSFAEEEMPVVSLIEGRSKRSTAGRHMSALLNAEADD DLALLFEEVDDDNEFSVNAEEEGGEEDDMGLDSSSDEEDQGPNARSDDYEGETQIQKE EKAEKKKRRAQQDLRFKITSKKVKIDPTAVSAVPAPPRPKKKSERISWIPTPEEGPTR SSSRRQTMQNKELTHARLKDSEEKRIRLIATMEEAAKRKAHLKPKEMTQAERLAEAER VERQNSKSLNRWEEMERRKAEERKAKIEALQNRRLEGPVTSYWSGVATWVDGRLTRLG KVDITPKLEKEDNTRKKSKKSDKEGKAATEQKPADVSTTSSATTQPVPPTISESAAGP VTSGGENTSKETQDPTPTEKTDPLPMKESDAKPEGTTVTATGDSTVSTPSHARSPKPG PGDTQATEPVADAGSQIEEASLPKKTLESEAIENAPESVQTSESRAESKPEETAKDQV PGKEDIQMSDDVSTPKLESQEQGQQGQEQMNQDPTPLEGNDIAVSKDPAVESTTQPAP ATVTDPQVVSPPSLPATAATAAAAPDAPTALVPPNQEGEIPAAIQQEQVAPEVQLVQP AITDEEAPQPQIPQRPPVIEHAGRTLTVLENFDDKTAQSREFSIYFNAKKPPRLAKIS SSLCVITSLPSRYRDPETALPFANAYAYNEIRNTVAQKYAWSTMLGCYVGPAGVAARG VPERFLDPKAPPPEKVSEKKDSNGDGEPTDGNKDKAGETTKASEGPPNASTPAAATTP TPTPAPAPAPTKATETGAGDPMEVDKT AOR_1_1216164 MTQGNFSFNHRRSHSGSYAPKLRTARPALHRKGTSFVNHSISKL GAGHTRHSESDNDCQSEMAASFLNFCAMCERQITIPDNSRLYCSESCRRKDSHKPLSA SFSSNHTMPSSTTPPSSPPMSPRTIVPPMTPTKAPITSTQAIRILGEFHDSKTDQDPS EWKPVIPMDTGSSASRASSDAWQYLSQFHSGSAPVPMRRPRVEHRSSASLFTLLGSTG APPSLTHTSSTAASSFSSNASESYLSEPAHRPLPPRRKSYFSGSANGAKGVELVVPHM EVRVGDSTVDMNGGSIFPASSGLWGDHNDKCPAIRISGTVPLHTPVRPQ AOR_1_1218164 MPKKTSKEGRRRYLPKSINLNLNMKLSGRLSARYISTLCLFVTG IYGQFVERPNAIDTGNTVCIGACVTSLHELRCETPTVPLFRPAKECFACCISDDHADD WADHIKWGDEEEHPEEEES AOR_1_1220164 MNSQNIHNLEQSSNNESSNNESSNNESSNNESSNNESSNNESSN NESSNNLSSNNLSSDDLSSNNQSSDSARVSGYETPNTDPLFTRYAEDSDYYRSFVEKL LDLVCNEDQETVARLVSIIRSGASQREILAVISEMQNGNNHFGENGVTGS AOR_1_1222164 MTTIYIDEDVGRDDSTATGTESAPYKTLVHAFLQHAPSEGFQYL TRKSQTEPADKDVDKLEWKPATKSAMKKATNLYEQRKKKAAKEQELAIREKQEAEKRR LVLEEAKKIIIKEDTSLPKPVRIRLDVTDPAVVKLGSPESEGPGTRVRVLGRVHRLRS QKDVVFITLTDGYGYLQCILTGDMVKAYDIMTLTLGTSMSIHGEMRAVPPKQHAPNNR ELHADFFTIIGRAAGDKEAITTRVAPDSDPQTLYDNRHLVLRGETASAVMKVRAATLR AFRKVFEENRMLEVTPPAMVQTQVEGGSTLFKFDYYGENAYLTQSSQLYLETCLPSLG DVFCVCPSFRAEKSLTRRHLSEYTHIEAELDFITFTDLLDHLEAVICRVIELILAEPE TAAFINQLNPDFKPPSRPFRRMKYSDAIQWLIEHEIPNEEGKPHQFGDDIAEAAERKM TDIINQPIFLTHFPAEIKAFYMKKDPEDRRVTESVDVLMPGVGEIVGGSMRMDDWDEL MGAYKHEGMDPSPYYWYTDQRKYGTSPHGGYGLGLERFLAWLCARYTVRECSLYPRFT GRCTP AOR_1_1224164 MQTSDASNIPSRLIPSKFSHLNADDTGFSHGAYNSNSLPLQGLT DRNARRANIPAINTAASQNNTDTMAASGTAFDMNFTPLLPSQLLLGSPFQPGTPSAFA SPQFTNFGGFSQANASAHAQNHQNQLGSPTQASQNASLYSGMMSADSMGNSQLLGGPQ SPVGGMGGLGNAAYGSPAASVTPGLLSGTSRTVYLGNIPAETSAEEILNHVRSGQIES VRLLPDKNCAFISFLDSNSATHFHSDAILKKLAIKGNDIKVGWGKPSQVPTSVALAVQ QSGASRNVYLGNLPEEMTEDELREELGKFGPIDTVKIVKEKAIGFVHFLSISNAMKAV SQLPQEAKWQAPKRVFYGKDRCAYVSKTQQQNAAQFLGIAPGYAHILNSADRDLITNA LAQQSVAAAAVATSAGGVNNLGNRTIYLGNIHPETTIEEICNVVRGGLLHHIRYIPDK HICFVTFIDPTSAASFYALSNLQGLMIHNRRLKIGWGKHSGPLPPAIALAVSGGASRN VYVGNLDESWSEERLRQDFSEYGEIELVNTLREKSCAFVNFTNIANAIKATEGMRNRE EYKRFKINFGKDRCGNPPRQTGNGGQQNRNGGLEGPQSPSPALNGFQQNLSHSGSGSS PTRSALSPAPGSTGSQNGQQGRHPLQTVTSPSGMLNVGANNPLTMYLNQMSAQQAQEQ ENRLNDSVSLAALQSQSQPAPQQQPLYNGATTSELTNGSIEAPLHQHKPSTSGFLNVT NGSNVPGHHATASTSSLSVPRAQHSRAVSLPSFSQEPFGPISGQAGHSRAGVAHQPQS SFSSFTSALGGLNHAGFGLAIQNENSLPGWAEEEIGAK AOR_1_1226164 MSLPPEQISIKRRREEEPVDTLYIQSDLHQTKRRFTDFVFQRVQ VSAKDLKNGSPSASPVSAAQRSILTPRSVSTSLSPAAPNRATGGVPLVRATSPGAELR EEKRLAALRKEAEEKVKRALNSSPGPAQRGTHNESPGRDSVAKTPAAGVSSTSSARGS SASSPSRAQSLRRFQISRSSTPMSPLRSSGGGVQKRKADGVAVLVEKLRRKPHSRQAS LVADAAVRADDVGSRDGSDVAEEQPVRLRKRPVVNQAERKWREERKGAILAAKKHISQ VLEQGAQARHSNWEDESERLARDFEQIALELEEEMDLETEVSPAETKHDRQSIAERTR AVMPKPPLKYPPRTPNKLRAAGSAERTQGAANPEGSLKIATPAPHHLPSAAGQDDDSD GEYVYDTYIRRPLPDGGQLTNPLADLELNQDEWFRQQGIDTSRQDIGVIVITPEDEEY WEHFAEEDDDEDQWDSEDGDSNAENNPANDYPDEELSWDDEEDDPQAVYSKYRRHQSD DEEFNFDDSSSERFGYGYGYGDRQRAHVDSDDESW AOR_1_1228164 MEHLHSQSPASYMPSSRKSRMAIDALLNPSGESDNSPQLHYAHQ GSQYSPIYPPSPSHHYFYHPYAESHHHHHHPYRESSGSSQDQAFLPYRPRSTESSPGA YSRDRYDSVSSSSSNAPERRRPPRPKYEEEEMYFIWYHRVDLCQEWKEVRESFNRQFP SRQRRGFQGIQCKFYRFIKEKKCPTLREQRRMRDGEFLREGSGLVESAAPRFGVVEWM GAWYPWMRETKEQVLSRRIPR AOR_1_1230164 MARPFEFSSKVTGVIHSVFESFLERNTAQTLDESRHAPPSEDQD IVTSTYSPSTSSAAGYRTPPVFHTQSPSPSLSSSYHSNISDSHTSSGEKPPAKMYPIA DVDDTAGFMAAARALKLDPNAYRKVSSVVAASEDASSEHGSLSKSHDDAKNPTSTDDS VLTSTPKEPSTPAEFQVKGDADFAGPGNDSTLTNFVAEPMPLEVVEQSSTAEFVSADT LVTTSHASVGEEDREHQATFETWGTPEIRDKPAARVRRVIIRGLPSTWKTPAMVLSLI HGGTIESISVGPSGTAQVLFCDPEACKAFYDKYPNGIDLDKERKVTVFVEMGKEVDVV SSQLSFSLSTGATRAVRAVGVDLDVTMRQLFDLAAGNHRKVEKILDNYVPGEARNVIF RFCSIDDAVRFRAVLVRNESWEQCNIQYAADPCELATGYHTN AOR_1_1232164 MSSSRLLHPDEYELETRSSADSQGSFNLDEADFESQVPPRPRRL LRRVPFLSRVFASTYSGYRRLKTSRPLISASARPSCLRRILLRRACFYLHAIVGIILA LLILTAILRPSYTRLPPHYTALRSAVSHSSASGRGNPGNEKVFIAVSLYDRGGKLAQG QWGSTVLRLIDLLGEDNVFLSIYENDSGPEGESALRALEKQVSSNKSVIIEEHFDLSN LPRVTIPGGSKRTKRIDYLAEVRNRALRPLEESKTQYDKLLYINDVLFDPIDALHLLF STNVDDNGIAQYRAACAVDFSNPFKFYDTYATRDVQGYGMGLPFFPWFTSAGGGRSRR DVLAGKDAVLVRSCWGGMVAFDARFFQGDAKPAVDMGGEQFPVRFRSAPDLFWEASEC CLIHADIEKPPSNGDEIVDTGIYMNPFVRVAYDGRTLSWLRTTRRFEKLYSFIHDIGS RLVGMPWFNPRRSEVGGQAVEETVWVPNDKGDGDGSFQTVTRIAGNDGYCGRRGLQVI VEHRKEGQDGFESIPVPT AOR_1_1234164 MSTFAFANSSEKVPGNGTLPAEFIIKASPGTDVWSKPPSTERFN APILYQSVPLNSFKRARVAFNAFWKDKYDQGGLTLVLNSANGPRRWVKTGIELTHGRP HLSTVTKDRFADWSLQPVPSGGGAATLEIVRESDDSLWIYLVEGVQKNPLREVTWFFE EQDVQDLWVGLYAAKPSNEGQDLVVNFGHFIIDTV AOR_1_1494164 MIESASFVAAAMSLASRQLGHIEQRQNSVTLELYQYTIRLLISQ DPNKADATVLATCALLCVYEMMASGVSEWRRHLKGCAGLFRVNGWNGSSRGIVKWCFW AFARIGKLPLLIFGQLPAGKTTLVPTDFWMDDMSIVSAAADGDTENYCNLAILVFAKI VNLLATFSLSNRMSESEPRASMTVLWNEFQEWYQLRPKQVYPLLRSDYTPSNTLPNVA FTQSSPVCGNTFYHAGCILLLQAGLLTVQARSSQVNSRSAYSVEEFAAEKILLLKHLA LIGRETGWKTSDRAADLRDHGLRI AOR_1_1238164 MPLLDAHSGPSYGTLDQMERHDQYEGEQLLPTGYDSDDHGSEIT SDDSVQEGVRKIEAINLTWTTKSLVVAYVSIFLMAFCTSLEGQTTMSLSAYATSAFSK HSLISTVLVVQNVVNAVIKPPMAKIADVFGRFEAFCVCILIYVLGYIQMAASTNVQTY ASAQIFYSAGSTGLQILQQVFIADSSSLLNRAFLALLPEFPFLVTVWIGPSIADAVMR HSSWRWGYGMWSIILPASFLPLALTLLLNQRKAKRLNLIKQKHAPRGGLVAVIRRTWY DLDMFGLILLSAAVTLILVPLTLAASAKDGWKNDSILAMIVVGIVCLLALPLWESSKR FAPKPLLSLHLLRQRTALAGCALAFWYFMAFYFSVQPYLYSYLQVVQGYDVATAGRVT QTFAFTSTIAAFSVSLLIKYTRRYRIYVTIGSAIYMFGLLLMMLYRKEGSSSTLILGT QIVVGMGGGLLNVPVQLGVQASASHQEVAAATAMFLTSMEMGGAVGAAISGAVWTHNI PRKLRRYLPDENKGDAKEIFGRLDKALSFPMGSPVRVAINRSYQETMNKLLVLALIVT IPLIPLSLLMKNYRLDKVNSEPVDGPNGDHLVSDNGHGLSGNESESEGHSKQP AOR_1_1240164 MVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VHHHCPGVPCLIVGTQTDLRDDSAVREKLSRQKMQPIRKEDGDRMAKELGAVKYVECS ALTQYKLKDVFDEAIVAALEPAPKKSKKCVLL AOR_1_1242164 MKYTLGLLALAGTALSHAHHDDTEVVPEHLREELLKKWDQEWTF SGIASFAHLKPVKCLIEPDERYDIAVIGAPFDTAVSYRPGARFGPRAIRAASARQMAG TAYNTRAGINPYSSWATVKDCGDIPIIPFDNGVAERQMYEAFLELGSRSPITPADSKY GTKGISAGKAKLVTLGGDHSVALPALRALYQIYQKPITVLHFDAHLDTWNPVRYSAYW TSEQSAFNHGSFFHKASREGLICNSTSAHAGLRTRLTGVTDSDYTNPGPEQGFMRIHA DDIDDLGPMGIVDKIIERIGLDSEQPVYLSVDIDVLDPATAPGTGTPEPGGWTTREFI RIMRGIEKLNIVGADIVEVSPSYDNKGETTALAAAQVAFEIITSMVKAGAGEDLGGWY GRKEEKIDESAKEGEAKKEGKDEL AOR_1_1244164 MRRVVVTGLGAVTPLGVGIRRTWKRLLDGHCGIVNVNHRDSRFA DIPCQIAAPVPNGKRQEGGWTASEWLSKDEERKMARFAQYALAASEEALEDAGWKPTS FEHRESTGICLGSGIGNFDEIYDTVVAYEKGGYRKVSPLFVPKLLINLGAGHISMKYG LMGPNHAATTACTTGAHSIGDAARFIACGDANVMLAGGAESCIHPLAVGGFARARSLA TSYNNAPEKASRPFDADREGFVVGEGAAIVILEELEHAKARGARIYAELRGYGCSGDA HHITAPKENGEGAFMAMRKALKNADIPPSMVDYVNAHATSTVVGDAAENAAIKALLLG PEGKRNAGDVNISSTKGAVGHLLGGAGAVEALFTILAIHENKMPPTINLERLAIGFDC NYAPKQTQERQIDVALTNSFGFGGTNSTLCFSKL AOR_1_1246164 MATNGETKAPSSGSLVDASGYKFAEKDTKPGKIRLKKSVKLGKK KGDDAPDSPGSSPILPEIDEKTMAAFPTGKPREEDHLETVICKTCKRPILKQNAVEHI RGCIRAKQEKARRKKEARDAANRAKAGDKDGDEDAAGGDGDDSMKGQKSAKKSAVKGM AEDGTKKGKKRKTEGEDDKDKEPKKKKKKEEPKPKVPKPKGPVDVEKQCGVTLPNGAQ CARSLTCKSHSMGAKRAVPGRSLPYDMLLQAYQKKNQARQQKAAIDANAPLQDDMDNN GPVDSDEEKDAVMAAITRSHPQPIITHTLISTKKKYQYVRIKEMLSHALGGARGGGLF STGDSNTTSNDGNLFAPVDDVVMASPVIASADNTTDVDNATPAPAAKKLSISASS AOR_1_1248164 MSNSVPDLDAVGIKAEPELADQFRREVATLLGRNNLNFPGAQPV SFSNKHLLELQRQDYYVCEKTDGIRCLMYFARGDPDSEAPEIHYLIDRKNDYRYVPGL HFPLPNDESFQSYHVDTLVDGELVNDTYEDGTQQLKYLVFDCLVLDGQSLMHRTLDKR LAYFKEKVLKPYNALYQRFPEEKQHRVFAVEDKSTQFSYGIEMMFREIIPKVKKIHGN DGLIFTCRSTPYRIGTDEHILKWKPPAENTIDFRMRLEFPVLEPDTDDEAEGISEPYT DYDAMPIFHLFVMLNSNEYRHFAEMFVTPSEWEELKALGLPLDDTIVECSKDEHNRWR YHRLRDDKADANHISTVEKVLESIQDRVTEEDLIRAAPAIKAAWKKRQAQMASEDEER KRRARQAPPHANGNGVKRKFEDS AOR_1_1250164 MRPITAFTALCGFLLSSSSLVCAESSAGTHSSFQPPQTFKNVNL VRNTNLEKGYARETVNVVVENVDKEPQSTYYLAFPSEVFDKVGGLEVRDRKAPEKGPF DVDVLSVDSPSDTKYFAIDLPEPLAPKSQVTLGISYYLLSSLSPRPAAINQADKQYLT YSFSAYIHSAYPTVTQKTKLKLPSTNVPDYTTTSGLKPGSDPERQGTTYTYGPYDTTE VTPGTAYPITIRYEFTKPVITASLLERDLEVSHWGGNLATEERYWLRNNGSNLVNQFS RVEWTLSNFQQLPSSAIRELKYPLKPGSVDPYFIDDIGNVSTSRYRPGKPPKRDASLE LRPRYPVFGGWNYSFRIGWNNELSTFLRRVAGADSYVLKVPFIEGPKVPEGVQYERVV VRVILPEGARNVRYETIKTASNNGLPPADQIKSHVSPHKTFMDTLGRTALTLTVENLS DEARDSQLVVTYDYSFWDGMRKPVTITTGLLTVFAAVWAIGNIDVSIKKR AOR_1_1252164 MLASRAAARHCCRRTRVPVLVAPVRRLHGLAQSKFLQVSEEVRD AVATGKPVVALESTIYTHGFPYPESVALASLLETVVRANGGVPATIGILNGVAKVGLN AEELIELASTAESKSALKVSRRDLGYICGLGLAGKRLHGGTTVSGTMILAHLAGIKVF GTGGLGGVHRGGESSMDISADLTELGRTPVAVVSSGCKSFLDIPRTLEYLETEGVCVG TFADGRTGQVDFPAFFTRDSGIKSPKVIQDEAEAAAIIYAQSKLPVSSGMHFANPVPV QQSIPKNEMDDIIEEAIRLAKVEGHQGSDNTPFVLSKIKQLSGGKSVTANRALVEANV QRAARVAVELSKLERTNGTLNERHMPAISEDVKADQATSEAELKLNPTTEGPIEALDK VDVLVAGSLAVDLSCDYTPPAGEKDIKPVSDTSNPATITQSLGGVGHNVAIATKYLGS SVLFCSVVGDDLSGRAALTALRTEGLSTAGVQVLPASNSARTAQYVAVNDAKKDLVMA MADMGIMELPEQKLDFEGFWETLLSRTKPQWVIVDSNWSPEVLTKWVAVAKKHGARVA FEPVSNAKSCRLFSKSAEVNAAISPSASVPNNAISLATPNQFELTTMYMAARDSGLFD SEGWWHIIDAMGIPQQNIQLLPYIPCLITKLGSQGVLVTQLLRPGDPRLTSPDSAPYI LSRAALADELVGGVYMRLFPPAAVLADEEIVSVNGAGDTLLGAVISGLVKGAKTVEDV IPFAQEASLRTLKSPGGVSSDIASLVRS AOR_1_1254164 MERLRQHIHRRRRSSTPSRAPPQGSQSPSLSSDRRKKSNSVLRR LYVTSDTADFDADILRRFEAEGFSVEYIPFQGSSGDFERDRKDLDNLIHEREDDLEPG ERYAIVAYNKPAYLLLTSHHHPTTATNPFPLLCALVTYYPQISGTDTHSSLTGCPNTT TNPCIVPPSTTSSSTATCYDTLSILPIQVHLAGHQPTTLWDDYNSHPSKKRHRCHLFF YPESEPGFAESTARTHDVISSRLAWSRALECLKRGFGWPGGSWKVPAVETVWEEYWRN LFYNGQEAERDEVEHHAANTVNMMVGSGGGIPLTGGGDSDGENSDPTAELNEVAVVNC VPTLIGGEHPAQITNFYTSQFFPAGPPSQSIRLLSRTIGTDRIVDELLLTFTHTEEIP WLLPRVPPTGKQVRVVIIMTASFIAGRLARHNIYWDQASVLVQIGLLDPSLVPSGFKA TGKNREGQDAVERLPVVGGEGVDRALF AOR_1_1256164 MRPDGPRDPVTGPDSGPEPPFPIKLSGPVIKGFGRGSKELGIPT ANIPPDGLSDYPDLQVGVYYGVVALDPSRFTSETTILPAVLSIGYNPFYKNTTRSVEI HIMPPLSSPSPTANGEAGQVKFNKLPDFYGTKLNLLILGYIRPEYDYVSLEALVEDIR IDCEVARQSLQRKAYVSYLSGQDCSEAVQEQRKWLTSF AOR_1_1496164 MAHPSLSIVQGPREPPLCHLTLGQLMDQQSESFGSKDAIIVPWS GARLSFQVLCQHTQDIAKGLLAMGIGRGDRVAIFSGDDERFAALVVAVARIGAVLVVL NKTFTFLECDRAIRHTETSLFFIGDMVNFGSTRFLIQHIQTHPVPGLKQTVLIRTDYV DTHETLTWDDVLLLGNSVPQQALHQAQRSVQCHDTVYLQFTSGTAGLPKAAMLSHFGI INNGRMCGARLDLNPDDIVCCPPPLFHAFGLVSGLICSLACGATIVLPSRDFDASAVV DALKRYGCTVLHGVPTMFVAILQQLQHRKVKVKTVRAGMVGGMKVAPSLLDEIQATFS PMDLRIIYGMTETSAGSFMTAATDPAREKLETVGKALPHVQAKVVDSQNHILPKGIRG ELCISGYLLQKGYYKNEEKTAEALVRDENGVIWIHTGDEASIDEKGYCRITGRIKDII IRGGENIYPTEIEERLMEHPDIEQAAIVGLKDDKYGEVVAAFLQSLPQHNRPSLNDVK DWIWQVLGRHKAPVHVFWVGPGDPIGQYPVTGSGKIRKDVLREIGNNMIAGQENN AOR_1_1260164 MTVDAQDSTPAPPADRRGAELETGGVGEQSEQPKNKSNGDGNAP AETGQKPNPKDPSRPRRKKARRACFACQRAHLTCGDERPCQRCIKRGLQDACHDGVRK KAKYLHDAPDGALMPGIGGNFYNNTMRSNLPLSRNGANAVNATTQPSSSPNFYPTPQS NSYSVYQENTMNQNSFTSQSPVSPTFTLKANPAARNNSLSSQVNQQPPSTGVSGATNP SQNPFAGPFFDPSDPALFNFDLSSMNFENRYGALEFGMLGHMATGAGDSPSDSATQRG SMGRSGSAQFSGTPITGAAAFGESPGGQQPFIFGDPLLNEWSSGQPTGQTHVNVGGVY PQSGQGSVIPGHLTKADAPHAFAIESGPGSFASPNATTSPQITTGFDDATFSSAVTAK SNGLSANGPRPTITTPSLKHQNLQVGVRRRQRNPSSIYENVKEPYAYTNRFHNLTAFI QRRFSPQKTLQIAKALASIRPSFIATTKTLNRDDLIFMEKCFQRTLFEYEDFINACGT PTIVCRRTGEIAAVGKEFSILTGWKKDVLLGKEPNLNVNTGGSVPGSGTSSRSFTPRG SVAESTPGRPQPVFLAELLDDDSVVEFYEDFARLAFGDSRGSVMTTCKLLKYKTKEDM ENQSDDNQRWNSHLRKGGIASEAGMNQLGFKDGKIECAYCWTVKRDVFDIPMLIVMNF LPCI AOR_1_1262164 MTASQRAVSPLSDVDSARHSTSDERSEADELEKMRSDEDIDGTF APIQAPPADEERMEKLHSSSSRPIERSWSLNDGYSCHTVDEEAARNALCNDGGEADES STFVVSWDENDPMNPRNFNTMRRWLIVIICSLGSLCVTCTSSMYTATYDQITEEFNCS RLIATLGLSFFIWGLGIGPLFLGPLSEFYGRRYIYITSFTIFLIWLIPCAVAKNIETM IVCRFFNGVAGSAFLSVAGGTVGDLFARHELSAPMMLYTASPFVGPEVGPLVGGFINQ FTTWRWTFYVLLIWTGVMLISIVLFVPETYHPVLLRQKAEKLRKETGDDRWKAPIERL NRSVARTVLHSIYRPVLLLTLEPMCLCLCIFSAILLGIIYLFFGAFQLVFGNVYGFEL WQRGLCFLGLFVGMVLAILSDPFWRRVYQRLEEKHKRTEGTTEDFQPEWRLPPAILGG PLVTIGLFIFAWTIYPYVHWIVPIIGSAFFGAGTILVYSGIFTFLVDAYPVFAASSLA ANSFARSTFGGVFPLFGIQMYNNLGYHWASSLLAFLTLAMAPFPYIFFRYGSRIRQKS RFAAR AOR_1_1264164 MFYSETLLSKTGPLARVWLSANLERKLSKSHILQSDIESSVSAI VDQGQAPMALRLSGQLLLGVVRIYSRKARYLLDDCNEALMKIKMAFRLTNNNDLTTTV VAPGGITLPDVLTESDLFMNLDSSLLLPQPLSFEPEGKRPGTSMDFGSQLFPDSSLRR SVSQEPARLEDHTLVDLDLGEDDTPLGHDFSMEVGRDAPAPRPVEEDLFSDAGKFNDV DLPLDLGEDDAPLDKMDLANEGPQDTLLQADDTAMDLGDDGELAFDVDERRSERESMS VLSEMPDADMEKLQQEQGEDQDQDEGAAEDDVTVQHSQRAKRRKVMTVELDKGLQADR STILKPTSFLPRDPVLLTLMDMQKNGDFVTNVLGGGRGRGWAPELRDLLSFDAIKKAG ELKRKRDSGIADMDIEAAAAPALEFGEEEATVPIDEGVGLDSTLHQRSDIEFPGDDDD QVLHLSDDEGLNHPLEDLDDTIQPADSGPVSVGTKHAVHILRDCLGESAVEQKKSVKF QDLLPERKASKADATKMFFEVLVLATKDAVQVEQRPDTVGGPLKIRGKRALWGSWAEE SANGEVGTQASQEVA AOR_1_1266164 MSRSATPALPLHNAQAPDLRPPSSTPTGVRSNTSTSTSTSTYSL LDPQQTAERLQTSLTHGLTPAEAEIRLIQDGPNELPHEDPEPLWLRFLKQFRETLILL LLASAAVSFFMGNFDDAVSITLAVTIVVTVGFVQEYRSEKSLEALSRLVPHHAHLIRD VPLSHTPPIGHSIPAATSEEFELQDLRSKSPGSVSAAVKASSTVSANELVPGDLVLFT VGDRIPADIRITAATDLTIDESNLTGENEPVAKSPDAIRGPKALASHSPKIVTPPRSP FYDAPASGAVGADIRLNEQHNIAFMGTLVRSGYGQGIVIGTGAKTEFGSISASLQEIE SPRTPLQLSMDRLGQELSYVSFGVIGLIVVIGLIQGRKLLDMFTIGVSLAVAAIPEGL PIIVTVTLALGVLRMAKRGAIMRRLPSVETLGSVNVVCSDKTGTLTLNHMTVTKMWHF DCPEPFEVHNDISSLTPGPAARTVLRVGNIANNARLSRVHANSPASASSAAVLSSTDD RAPGTIRSRWVGQPTDVAILDLLDTFGEDDVRDRISARAAETPFSSERKWMGVIIGNG TGESSNMAYIKGALEQVLKRCDTYLTKDGREVILDELRRQAVRQAAEHMASEGLRVLA FASGAVRDTSKGRPFGSRTGTPVSRTTPGEEDDRYTGLVFAGLVGMNDPPRKDVHKSI RRLMAGGVRIIMITGDAETTAVAIAKKLGMPISNIAGSRPVLTGEEIDRMSTTELAQA ISSTSIFARTSPDHKMKIVRALQSRGDVVAMTGDGVNDAPALKKADIGISMGKLGTDV AKEAADMILTDDDFSTILRAIEQGKGIFYNIQNFITFQLSTSVAALSLVLLSTTLGFK NPLNPMQILWINILMDGPPAQSLGVEPVDPSIMGRPPRPRTARVLTKPLIQRVLTSAL MIMLGTLAIYVYEMGDVDDELNPGKRSRVVTAHDTTMTFTCFVLFDMFNALTCRSEGK SVLRGEISLFGNKMFNYAVLGSLAGQACVIYLPFLQRVFQTEPLNLAHLFKLLCISSS VFWVDEARKYYQSVKRRRAVGVGYSVNV AOR_1_1268164 MSDIKAPFVPDYASYNWTGAPSNYDSLATNELGGDSRVENVNKW FQSGDQAYIIVASAMVMVMIPGLGFLYSGLARRKSALSMIWACMASFSVVTFQWYFWG YSLAFSPTATNGYIGNLRNFGLMKTLADPSPGSVLVPNLLYAFYQMQFCGVTAAIIMG AVAERGRLLPAMVFVFVWATIVYCPLACWVWNVNGWAMNYGVLDYAGGGPVEIGSGFS ALAYSMVLGRRQERMMLNFRPHNVSLILLGTVFLWFGWLGFNGGSAFGANLRATMACW NTNLTAAFGAISWVLLDWRLARKWSMVGWCSGTISGLVAATPASGFITPWASVILGIV TGIVCNYSTKVKYWIRIDDSMDVLAEHGIAGIVGLIFNALFADDAIVGLDGVNTGSKM GGWLIHNYKQLYIQIAFIVASAAYAFVVSAIIAYAINAIPGLKLRASEEAELLGMDDD QLGEFAYDYVEVRRDYLAWTPQKHDQLEDGHHIPAAARYGIGEHSEMMLDGHTPIGID SRGCSEGDSGIQEIKMSPAPAPAMAPAPRQVAEQHPAHEGSIPPSVEEDEKTQ AOR_1_1270164 MPETNVGEVNGHAVAPSPSLDSSKPLPSSSSDIFNASSLAEIKA TLSHLHEQETSVTARLDALVASQKDFSRELGRLDLLRAHLGSQTTTTRSISHGMLSEA AATAERISSAVRRLDLEQSRVKSTLDVVEQVSELKACVLGVAGSMGAPQDWETAASYL NRASKVPPEVVHGAFAAEMVPTAEVPDPPNVTLDNAAESLCGLFLREFDKAVKENNGA KITRFFKLFPLIGRSEVGLDVYGRYVCQGVASRARSNLNAGAGTQSKDGFFYANVLTK LFEHIAQIVDGHGGLVERHYGPRKMNRVIERLQLEADVQGGIILDTWGDERHVDRKLT DIKSYAFTFLVQSFLPPQRSATPRSNSPATRDGASTAEDEGVDMKEVDGLLNEMAVML GRWSLYCRFLAETCNAPGEDDNLFTPPQFLKESTLSKKINDRLISPFNTMTTFFFRRT VEKAFQLDEQPTGLTLNPQRPLKADPPYITSAVDDIMYIVNKVLQQSLATSQITVVTN VVPTLSRVLGSDFIGMTQRKMRDECYPRASVQGAQPPEHLIVSFLVLVNNLDVAVDYI RRIVQTHTETRKTTTGPDGQTEETDQLHSLFPNNADAKLAAQTLQALSSSFESKVNDL LSDGIQVVFNNVIKHRLRPILADAFRDIDYQPGDDNDPTSTSYHEYDRDEDADDNASR AELVRPRFAASWTELLLPISRILTTSAFDRLLSITVAYLSRLLEKRLWSYQGRVNALG ATRLERDVSGIASAAVDVGGTHGAPGRYRHRESFARCMQMTLIMGMDDDEWEDVIRGG ETAEVVEKLNREERTRIRGMVRRQGTQY AOR_1_1272164 MGNEPSTLVDEDTPPSALEARTLEAVAKYIKAKDDCQIVVMVGA GISTSAGIPDFRSPETGIYANLAHLDLTDPEDVFDIGFFRENPRPFYALARELAPGRY RPTIAHSFVKLLYDKGLLMKHFTQNIDCLERLAGVPGDMIVEAHGSFANQHCIDCKAE YPEQLMKQSINEGEVPRCSQCNGLVKPDIVFFGEALPEEFFLNRTLPEQADLCIVMGT SLSVQPFASLPAFCRDGAPRVLINMERVGGLGSRPDDVLLLGDCDAGVRRFARALGWE QELESLWESTNPDKEGRDAEETPSQTRDERLRDEIERLTKEVDRTLGISDAYQQRVRQ NLDRHSNGIEKKALTEERRRSYEGLAHVFPHLAREKKQPSVI AOR_1_1274164 MVGIGPKRPPSRKGSMHELPQNLLEQIKQFEDIFTVDGAKLKQI ADHFVKELEKGLSVEGGNIPMNVTWVMGFPDGDEQGTFLALDMGGTNLRVCEITLTEE KGAFDITQSKYRMPEELKTGTAEELWEYIADCLQQFIESHHENEKLSKLPLGFTFSYP ATQEYIDHGVLQRWTKGFDIDGVEGQDVVPPLEAILKKRGLPIKVAALINDTTGTLIA SSYTDSDMKIGCIFGTGVNAAYMEHCGSVPKLAHKNLPPDMPVAINCEYGAFDNEHVV LPLTKYDIIIDRDSPRPGQQAFEKMTAGLYLGEIFRLALLDLLETRPGLIFQGQDTSQ LRKPYLLDASFLAAIEDDPYENLQETQELMERKLNIKATQQELEMIRRLAELIGTRAA RLSACGVAAICKKKNIESCHVGADGSVFTKYPHFKARGAQALREILDWAPNEKDKVVI MAAEDGSGVGAALIAALTLKRVKAGISCGIRDMADMQSLI AOR_1_1276164 MPKSSVKQKPRQKFKPASRSPFENARPTQEDSDMETNSNLSDGT DGIPEKDEAEKKLERLLFGDDEGFQGALKSQKERSLIALSKESDDEGSDAGHREDGTE DQELDGIADADLFFLDSGAGPVSTDLAESPETSSEPDEEDLEETPVVWHDSDDELLAV SLASQQRLRKLRVAESEDVISGNEYIRRLRRHYQQLHPTPEWAIPGQQKSPGDSDSEH ADIMDTDDEEQTSAQPLAKLLQGAADLTKLDDARPGGKRKLRQEVIDIQRLKDVGGDQ PSSVDSLSFHPHYPLLLSSGPAATLFLHHIAPSAAAPNPILTSFHIRRTPIHTSAFAP PTGNKIFASGRRRYFHIWDLDTGKVDKVNGSADRKEEQKSMERFKLSPCGRYVGLVGT SRKGGGLINVLDSGTAQWIAQVRVDGQGGVADFSWWGDGEGMTVVSKNGEVSEWDGSL NQVVARWMDAGAVGTTVLALGGRSGRTQLGGDRWVAIGSSSGVVNVYDRREWAAAYAA QSSSADINTPAIPRNPEPVRALDQLTTPISHLVFAPDGQFLVMASRWKRDALRIVHLP SCTVYRNWPTSNTPLGRISSVAVSPNSEQLAVGNEQGRVRLWEIRG AOR_1_1498164 MSVSTFTSIATVAITTTSFISGVSAGNLDFVSSTLATPMSTPTS QTIPFPLAGPDPSGDNDDTGECRLLGPFSLLVQVALGALALLSLVYKRWRERPQRPLK VWAFDVSKQVFGSAMLHLANLLMSMFSAGQLEITSEYKPNPCSFYILNLGIDTTLGIP ILIFILHILNRLALYTPLADPPESIKSGNYGRPPRATWWFKQSMIYFVGLLGMKICVF FLIQLLPFIVKVGDWALRWTEGNTAVQIIFVMLLFPVIMNAIQYYIIDIFIKKPSHEM LEESEVDDVMDDRHDHHHALLAGLDEEVASESEDDSVGKGTRKVLVSPPQKDVVRLYD SAEYHPTSELGHSSASSTSARRLAGHVEDDQALSTADFATQQKDHD AOR_1_1280164 MSGEPNAAWPLADESLTQNLLDLVQQASHYRQLKKGANEATKTL NRGTSEIVILAADTNPLAILLHIPLLCEDKNTPYVFVPSKLALGRATGVSRPVIAASI TTNEASDLMGQIRTIKDKVERLMI AOR_1_1282164 MNSLLERSQSRWVSTRHNLSPYQILGPSLSHPRMSRKLAPEANR ILFVKNLNYNVTAEQLFDLFGKFGPIRQIRQGIANNSKGTAFVVYEDVHDAKQACDKL NGFNFQNRYLVVLYHQPEKMLKSKEDLAERQENLERLKQQHGIE AOR_1_1284164 MITATSFLNHSGSRPPRYRTPSPPRRAVEPISPCTTGDTRASWV DRGAPRVANFDRNHFTHNNSRYAAADNVSHQRSGHGRSSSTIDTLATIALATSPTFAP LSYRPPSQNSTSTMSLFPPEPVDFAERPAKRPRSEKDSSPSHQHRMGAIPDPSPPSAF DSMKTDAELLLNFARPSNFHHTAPSSKRTSIDESYYQYGNEAKRHIKDGLGASYIDLV EDKSTYNTSGHGTLPPSRMRSRSDGSAVISRPAIHSTRPNTSSSSLQPIIWQDEGDST ESNWISTNAVANNSHYDTQAPATNEPQISRSLSSKVEDDTESEESGQASCAACNLVRI PMDTGEQGDVTWISCDGCKRWFHIVCAGFKNDREIRTVDKFICRGCRPVHGQTTFVRK SSRARTAIDYAGLNQGLVKTATDSMEHHYIEPIRQGKIRFLPESFPRMRPELVTAEYF ERGNGMTEPIVIPAHLNTRDPVSTSSPDYDALVQEASTQEMFDELLEHTHEDCQGVET VLDCGQDQLDMVIPQGLTVRAVAELYGLEERVEVIDVKSQQGEDKRWTMQKWADYYEN AGSKVVRNVISLEVSQSRLGRLIRRPKIVRDLDLQDSVWPEDLKALGDYPKVQFYCLM SVADCYTDFHIDFGGSSVYYHILKGKKTFFFIPPKDKHLKKYEEWCNSPAQDSTFLGD QTKECYRVDLSEGDTMLIPSGWIHAVWTPKDSLVIGGNFLTRLNYGMQIKIAKIEKDT KVPRKFRYPFFQKIQWYAALKYLEDDPIPQSVLDAFAQDENYRFHRDYPIYYEFGERT NTAPLGSPYHNMRFYSQAELEGLPDLAKYLLRTALIAGSYIVEGVTADGRNAIKRSIP KGVGDPIDTVRKFGIWVAWKRGNEKAAQWTRPGVVESNAKLSLTEKKSAGRPSRRSER NMDNQRMYAERQAVQRPLEQHRETSNGVSGSSTSVPPSIVVPTPLISSGSGVKEENVS KPRNIHRGSGLGPKRVACDACRKRRIRCHHKDEQSDPTPTKQMTVGVFAGSQSSLAHD AASALSSLAAIASEAGLQDGNGGLDRFETAGKYGPTVMGTPHTVTNKLNDISPDGINA GKKGRSKACDDCRKSKRRCIHDEYGRIDPVKAQERSKPRAAASAKRPRLTEESFNPTS KRPKQESTSPITKPAHVSREGDIIDAQGTRPSTQLYKKGNFDAIPLPGISRVDQGFSQ QPDMVAAEKEAPVAQNSYASPPTFQTDDVVAKEVNSASVPQPAVSLVSPPTSLADETD VLQDHADAEGEHSTVLQTPTSNARHSSRQPRHVDRYMPEVHVVKPSKSSVHTPNARRP SFGASSTSTHRTTPGPSSGSKKSSSRPSSSHAKTPTADKKIDRHATLTSPGQTSKHMK RERTTGADGEPDAESMRLIRELQEQEFGLRKRATRA AOR_1_1500164 MPSLAAWLYCFHILLLVIYNPAAAWSHVPRTLLSLLPSEDSALS ATALSQPSSSAIAVRESVNITSVTTFLRSAAPAATHSNEVGFAFRDLSRLNHSDLAAP SNFRQQMVQRATTAIVILSSLIAYISINSLVRIVNPSAFIWSAEDKDEARWIASSHSW FDRKACRWLGLCGTAHYRAARPRFGQHKLVSETLSPGESNSTSWRAFWSNGGNLSDTT WDESERSRREIPDYIFRYAPLVHLFSGEQFWPGDIAEHLYHTTPTLNYTPIRSQQDHP TLQDLNQLNQFQGGRYIFLTSNDDVEDRPSWMEGEKNIPEPPEDGEVAQSWADWDGRI DGNIPGDTPEERAKWYDASQPVQDREGNMTPDELGYPNLEDERIRDELRKRYGGEPIR TEGTRGHSNAPAVLIVMDKGNGVVDAFWFYFYSFNLGNVVLNVRFGNHVGDWEHCLVR FHHGKPKALFFSAHSPVIYSALGTHAMYATPGIHDYILPWGLLHDQTDRGPLWDPLLN SHSYTYDYDNDTLLASTFSPDSPTEWFYYNGHWGDKFYPLGDHRQYRFAGQYHYVNGP LGPRFKHLDRRKVCQGPDEGTCVIKNYIGEQTRAKRWLSTDPGSHR AOR_1_1288164 MYPPSEPTLVLDPAMLMDSTLPDEEGSEYEYEYDENETETFYLN LDLTSSHGPIRPPRRRADLATDSSSAAATSGLPSVSVHPPRSDENESALASTESDNSP ADGLQILGLHTHNPVVSYQNQIFSCSWAEQIGTELHFTPPEPESQLAGPEADTTTAQV APLKLDKTFSLIAANSVKILGRRANLISSAGPVQHAFCSGDTLDATGGATRRVGPQTN QALFLERLRNIKQSKGETDTVRTVFSLKRAQNLEERLRGWARTEEQLAEIQQLNDAAL QGNSDAIAELEKIYNQLGAQDPASFEESFQQL AOR_1_1290164 MVSSTASSSPQPDGYLNGANTLPVRPGPKLYGSNDGAQSGAGTP IGFQRHPHNKFLENVTGSNVRQPSPQPTHLGFPGGGLHRILSEEDPGYIAAKFEGKEK QMEQVMDQLESKGFIPTEFVAGEAEWFYNQLGIDDTYFQTETVDAIVTQILSLYAAKV AAYARDDKKLEIRLDKEAEDHAVYIDTSKPGITSVDGPRYEQRIEKKYVNGSTPSNSY RVETFRSPTPIPGDDGQQLRCYFVYKCQFVNPNPGPNETNIDIIGEKRFLQKATENTK AIYQEIITNAVNRSGPVIEMFEIEKSREKRLVIAYRQGSAMGLFSALSDLYHYYRLTS SRKYLENFSNGITVISLYLRPLKDAEIAAKYPPIEAAVHQIIKEVSLLYCIPQNRFQH HFAVGRLSLQETIYAHCAWVFVQQFLNRLGSEYTSLTDVLDSNNSVHAELLAKIKKRL RTETFTSDYISEIVNKYPELIHKLYLDFANTHYVQTRGPAEDDFLPTLSYLRLQVDEV LDGAKLKQLISSTVANEHDEMVMSAFRVFNAAILKTNFFTPTKVALSFRLNPDFLPEH EYPQRLYGMFLVISSEFRGFHLRFRDIARGGIRIVKSRNKEAYSINARSLFDENYNLA NTQQRKNKDIPEGGAKGVILLDVNHQDKAAVAFEKYIDSILDLLLPPVSPGIKDPIVD LHGKDEILFMGPDENTAELVDWATEHARNRGAPWWKSFFTGKSPKLGGIPHDTYGMTT LSVRQYVLGIYRKLKIDPSTVRKLQTGGPDGDLGSNEILLANEKYTAIVDGSGVIVDP NGLDHEELVRLAKKRVTISEFDLSKLSPEGYRVLVDESNVKLPNGEFIHNGMIFRNTF HLRRELPYDVFVPCGGRPESIDLSTVGKLIHNGKSTIPYIVEGANLFITQDSKLRLER SGCILFKDASANKGGVTSSSLEVLASLSFNDDEFVENMCVREDGSVPTFYQDYVKQVQ EVIKQNATLEFEAIWREHEQTGLLRSVLSDRLSLAITKLDEELQKTELWDNVELRRSV LDDALPKLLLNKIGLDTILQRVPENYLRAIFGSYLASRFVYEYGSNPSQFSFFDFMTK RLSKAMA AOR_1_1292164 MQSHLTRRVFRAILNNEPLSSSKCRHRLLQNLQIYRSRGPVVTS TYHLQRREFFAFSVGPSTTPQPATLPSEAGLKPMSDLMRAMRDKSRGPAVSVLAKAFQ DFFAARADIPGVITGFQARLLVVTWKHLRANEGDLDPEDWKLIFSTENLENVLFVLSQ AKCLPESREVIQRLARYVFLELCADHGFGPNHIGRPALIAYINIQALNGNPEGARHVV EKFWNRLSKTNPSPWLTVLKGFAINEDRRLLRRTTESLHDFGIKFDPASHEELLKVLI GQDLLAAVKIMYECPLFDGWEPTLATKEAVIEYAILRSETAWAEPIFESLSQRPITET MSITLLWEAAHGQDSSAVVEKIRILMQNNPEAKASLTIGCVNNLMAYANFTNKPQLTA EYAAMIPRWGLQPNSQSHLLELESYIQAGDVEKTLGHVRGLHDINDMASENMSLMNKL ITMLCLSGQGDAVFDQVSAFLDPLFENNVRLEPETLAALTHMLLYRRDWEGASDLLRP RLALYDDEGRSKIRKALTEYILDLNQDGADAWEVYGLLQLAFPEASVSTRTDIMTAFY RRNRSDLAYLVFGHMRQAEDFARRPKPDTYTKCFRWIARTRDAEHLVLVHNMLKLDVE VDLNTKLLNGLMLAYAACDMPEKSMEVFRQILQSDEGPTHKTVAIFFKLCGKHHNGTQ EAMKMMQKVKLLEIEVDRRLYMAFVEALAAQCEFDLAVEAIDKMHSETGYLPNYNSIG LFYNAVPYQYWKDEVEKWAREKYPELWAQLSEIERTEEEEGLKFNITGYEVVV AOR_1_1294164 MAAHLLSWSSPLRTFGSTMSSMVAGRSNSTLATDAAAYQRAASA NQRPRVFSRRRVPNRQKFIEDQKTQGESRILEKYQTRDWRAGDIYTPHDLSAAEMKKW RKRYSPATDAFDSLNMNPLDLYKNFSIMSEYITPMGRIKHRNTTGLRPVNQRKIAKAI RRAIGLGLMPSVHRHPEILAAELKAKVDGSSIF AOR_1_1296164 MSITNDFIWAASPSTTRGQPTHLSSDAKGERLAYAANKSIFLRS IDDPAIARQYTEHKAHTTVARFSPSGFYVASGDVTGLVRVWDCVGEGHTKGEYSIVNG RINDLAWDGDSQRIIAVGDGKQRYGHCITWDSGNTVGEIYGHTQQINSVSIRQQRPLR AAAAGDDKNLVFYHGAPFKFNTGIRDKHTNYIYGVGFSPDGSTLVSVGADRRIWLYDG KTGEPRGQIGEGEHKGSIFSVSWSKDSRKFVTASADKTVKVWDVEAGKVSQTWYIGGE GSTDVNDQQVGVVWPPGRSDNLLISLSLGGNLNYLAEGTPEPRQVIQGHQKNITSLTQ SHHGSDNGTLWAGSFDGRVCSWDVPTGTAAEVDGESHSAYIAGLAPMQEGNGRIYSVA WDDTIRSMDVGTKTYTGSSSKLSGQPKGIAAAAAVLVGTSESVEIYKDGQKIGDFKSK FPITTVAAHGNTAAIGGDDSTVQICEISGATLIPKTDIKASRNPVSALAFSPNGSLLA VGDSRGRVLVYNVADATLVTDRWTAHTSRITSIAWNENGTHVVSGALDTNIFVWNLAN PGEWLQATNAHKEGVNGVAWVSGSKIASAGADAAVKLWKVEGLE AOR_1_1298164 MSLSGDASSSSRRFLRPKKLRQATFVLPKTGQRLRGLVTLRSPH SESNGHNDEERRGLLSGQYSVDREGIPSRLWRKVRETKLWIHQVISTELGTGVLKCSL AYLLGSLATFVPAIASWLGHQDGKHVVATVTVYFHPARSQGSMYKASICAFLAFLYAA FISLTIFCGGGLGFIGWTKQRLGDPLVNVACSLASLSSITVLTKEGAVQNGDLSFAKI FQVLKMVVMGVAAAMAVSFMIFPISARKKLRSNLTTVTETLATMLALITESFLTGSEE ELQTEEYLSAAARHKQAYSQLDRLVREAKLEHFVAGTERQYRLEKKLVRWVQDITHNM GGLRSAALLQFQLLKQTKLSRPVQYNSEAAVTNGIHRESLPSPWSLHEERPILEPIDE RLEEEVSDMGRDRPNSSPERDISDSETDHVLLPADIFALFIGHLGPSMRSLAFTLKEI FKEIPFRPAPDYKVAINSRFRTSLDRALDLYRGSREEALKTIYRQKDVLNIQTLEVEA DLEEVAASCGHFSFSLLEFGEQLKELLAILDELQLEAEERPDGRSWNWLRFWGRRNAW VRAKDTERPLVEAGFVQGSVEPQLVVGNAEPHRKQLLSSLHPGNVSGEKSFGYRIWKS LKIFRRDDTKFAIKVGTGAALYALPSFLPSTRPFYSHWRGEWGLLSYMLVCSMTIGAS NTTGYARFLGTCLGALCAVVSWYVTGGNVFGLASLGLLMATWTSYLIIVKGQGPMGRF IMLTYNLSVLYAYSLSQKEGNDDQDEGGESPIITEIALHRVASVLSGCIWGIIITRVI WPISARKRLKDGLSLLWLRMSLLWKRGPLSTMTSNTDNSVFMSPREKLEIERFLSRLE SLQASARSEFELKSPFPDLAYSNILRRTRSMVDAFLAINIELDKNMTASEGELSILQY TDKERQQLSSRISHLLSVMASSMKLEYPLSDVLPSIEHARDRLLARLFHYRKDHGASR LSTDEDYALLYAYILVTGQLSIEIEGIMAEISWLFGVLDEDIVKLYD AOR_1_1300164 MQIDPAALSRTESASTAITPSKNATPSTSTTSKSTKALISVPRL DLEPIYTELKAAIGDSWSEYKEATTLFLLDWELEIRKRYAQPLASETGEFPDAESIHA RMIPICYEESIVSGAGLPCAEFMAIATETFVKEVLSVVFSRTRSNGPSGTINGMMMRK YRQQLEREELAFTRGEIVKDGATGLLPVEAKEASIRKPLGVRDLRLALELGGGVLSHM PLIVDQIMGGYLEDELETDKQDRADDVADIPKDSMKLNKSADTMEVDDDGEIVWEGAT MADREQLGSLLDECLSMAS AOR_1_1302164 MASGRKDFLSQPAPENYVAGLGRGATGFTTRSDLGPAREGPTPE QIQAALAKRAQLLGAAPPTAYGAGREKGGKEDKAEEEEDDERFQDPDNEVGLFAYGQF DQEDDEADRIYREVDEKMDKRRKARREIRERQEREDYERKNPKIQQQFADLKRSLASV SEDDWANLPEVGDLTGKNRRAKQNLRQRFYAVPDSVLASARDSTQFETTVTDDGAHTD IQGEGVDGTITNFADISAARDKALKVKLDQAAISSSGDSASGSATSIDPKGYLTSLTQ SELKAGEVEIGDIKRVRVLLESVTRTNPKHAPGWIALARLEELAGRIVAARNCIAKGC ELCPKSEDAWLENIRLNEGHNAKVIAANAIQNNDRSTRLWIEAMRLESEPRAKKNVLR QAILHIPQSVVIWKEAVNLEEDPVDARLLLAKAVEMIPLSVELWLALARLETPENAQK VLNAARKAVPTSYEIWIAASRLQEQMGTFNKVNVMKRAVQSLARENAMLKREEWIAEA ENCEEEGAILTCGAIIRETLGWGLDEDDDRKDIWMDDAKASIARGKYETARAIYAYAL RVFVNRRSIWLAAADLERNHGTKEALWQVLEKAVEACPQSEELWLLLAKEKWQTGEID EARRVLGRAFNQNPNNEDIWLAAVKLEADARQTDQARELLATARREAGTDRVWVKSVA FERQLGNANEALDLVNQGLQLYPKADKLWMMKGQIYESQNKYPQAREVYGTGTRACSR SVPLWLLASRLEEKAGAVVKARSVLDRARLAVPKSAELWTESVRVERRANNIAQAKIL MAKALQEVPTSGLLWSESIWHLEPRAQRKARSLEAIKKVDNDPILFITVARIFWGERR LEKAMTWFEKAIVSDSDLGDGWAWYYKFLLQHGTEEKRADVVSKCISTEPKHGEVWQS VAKNPANAHKSTEEILKMVAERLV AOR_1_1304164 MATRKPQPQEMVQLDRTERTGSPFWKGRSVSQNHGPPKPNDQST VVGSSYSHANILKGISQQHTRPRTPPRSSSTNPQNFSPSSHQPASWSASPRRLYTSII NQGVDSWSRDQMEDEEDEEDEDIVYDDDEDEFGLPSITSMRKKPKQNVDPLPSRIVDP GGRIGGSASALSVGLGNSRQRANSSDIAEERGVPMYPTARKGEGKILRPQYKDILRDP ANALNLIDHAPPPKSATPKEMDQYSSRISRINKFKRLLQTSTVPLTELRNLAWSGVPD EVRAMTWQLLLGYLPTNCERRISTLERKRKEYLDGVRQAFERGSTTGAGNPTASTTGR GRGLDEAIWHQISIDVPRTCPHIQLYGYEATQRSLERILYVWAIRHPASGYVQGINDL ATPFWQVFLGVYVTDLNVEEGMDPGQLPKSVLDAVEADTFWCLTKLLDGIQDNYIYAQ PGIHRQVRALRDLTMRIDATLAKHLENEGVEFMQFSFRWMNCLLMREMSVQNTIRMWD TYMAEEQGFSRFHLYVCAAFLVKWSDQLVKMDFQEVMMFLQALPTKDWTEQDIELLLS EAFIWQSLFQDSRAHLRSTGDPSPDNGLQ AOR_1_1306164 MTEPEDVEEDLFADLYDADETATQPTSTVEASITSEPTASVLPT QSAGLPATHVSEASHIETEDIRGVHQQLLQDGAHQHGTGNLDSGFANTITPMSGETEH HGTGIKEDGKMFIGGLNWETTDQSLKDYFSQFGEVQECTVMRDSATGRSRGFGFLTFR DPKTVNTVMVKEHYLDGKIIDPKRAIPRDEQEKTSKIFVGGVSQEANEQDFKQFFMQF GRVVDATLMIDKDTGRPRGFGFVTFDSEAAVEAALSRPLEILGKPIEVKKAQPRGNLR DEEDRRNRRGRDGFREGAQIGSDGSQQQGSQGPAGMPGGLTPQMMAQYWQRMQQYFAL MQQQMAVAAQGQGMGAMGMGGMNPAMMQQMQMKQMQQMQMGNSQQQGSMSPPSQSQTP QMQNMMNSTMMQQMQQMQNQGQGGQMGNAINIGSSSPGNVSMSGNYSGPRGGPGYNAH EQIAFEQQKYEQQQARRAMENRAFSPYQQGGPTSWEGMYDEVPQPNIPTGPQAMNRAG SMGRGPTPQPQSAAPANAPTGPKNAGKPGANYRGGGRGGHRGFHPYARG AOR_1_1306164 MTEPEDVEEDLFADLYDADETATQPTSTVEASITSEPTASVLPT QSAGLPATHVSEASHIETEDIRGVHQQLLQDGAHQHGTGNLDSGFANTITPMSGETEH HGTGIKEDGKMFIGGLNWETTDQSLKDYFSQFGEVQECTVMRDSATGRSRGFGFLTFR DPKTVNTVMVKEHYLDGKIIDPKRAIPRDEQEKTSKIFVGGVSQEANEQDFKQFFMQF GRVVDATLMIDKDTGRPRGFGFVTFDSEAAVEAALSRPLEILGKPIEVKKAQPRGNLR DEEDRRNRRGRDGFREGAQIGSDGSQQQGSQGPAGMPGGLTPQMMAQYWQRMQQYFAL MQQQMAVAAQGQGMGAMGMGGMNPAMMQQMQMKQMQQMQMGNSQQQGSMSPPSQSQTP QMQNMMNSTMMQQMQQMQNQGQGGQMGNAINIGSSSPGNVSMSGNYSGPRGGPGYNAH EQIAFEQQKYEQQQARRAMENRAFSPYQQGGPTSWEGPTPQPQSAAPANAPTGPKNAG KPGANYRGGGRGGHRGFHPYARG AOR_1_1308164 MRVPISHFTLIALGLSPVHVLAADTLSTNGISTCLTGAEIQVQK LDVTYTRSTRVVVFDVAGTNEKQQNVTASLSVYAYGNEIYSKSFDPCGSENHVEELCP VPSGVFQATGSQEIPESFASQIPAIAFAIPDLDGQVKLELKSKDDIHEVACIETQLSN GKSAQMPSVTYAAAGVAGAALAMSGLSIIGAAGHPGAVSSSPGFGDVMGWFHSMATNG MLSVNYPTVYRSFTKNFAFSTGLIPWGQMQQSIDNFRKSTGGNLTENSYDFLRNATLE FSNGSSTDTSSKVKRGFNLIIGAADLGIRDVSTSYSANSTSGEASDDTVKKVVSGIEA WAEQLTIPQANIFMTCLLIFAIVIAAITVGILLLKVILELWALYGSFPAKLTNFRKDY WGLLARTITNLILLLYGIWVLYCVYQLTGGDSWAAKVLAVVTLVIFTGVLLFFGLRIW YVARKYKASQGDASGLYEDTETWRKYSLFYDNYKKDYWWLFVPAIVYMFVKGVIIAAG NGHGLVQSAGQLIVEALMLALLLWYRPYVAKSSQWINISIQVVRVLSVACVLIFVEEL GLSQTTKTVTGIVLIVVQSALTGILAILIAANAIILCVRENPHAKRRREAKKMNRDID DLTPLDARESLLMENPPRKEYTEMSKFNFTGPYEPYRDHYDSKSRSSPTGSTDRLVDP PGYHESQHGRSLSRESRHTRDSRGSPDGRKPTAPGYGFAY AOR_1_1310164 MMDTNPTSNPETLFRPVKRRKFLRRRPEDTLEDFRIENRRDDGD SDPTTPAQSQADNDTVHPTDLARLRRLHRFRKGGIGFSTTSRQLANNDKQAIVSTEPA EDLEAQRIQAMCDRFTAHTGQTVDVDRHMMAYIETEMAKRHQHTVPTDDSDGPLVGES DSAPSTTVLPQREPASLGKLHEIDLGQETKLHNIARTEAATRKLARDDEYEHLNHGGS FFKAAPMGKDEGLWRRQKRRTSEDVERDRLVEEVLRESKLDVYEEPDHETAAAGDDQA ADDRVAEQFRRDFLDAIQSRRRVTRVKNPKTAKAEASRGPKLGGSRSARAAMREMQEK QGRK AOR_1_1312164 MNPSIPPSTAEYGGDEVSAIVLDPGFSTTRAGFAGEDTPKSLIP TYYGKYTSEGQDRLIFSDDVFVTPRPSLSVHNPMGRDGIVEDWDMAEKVWEYSFTSRL TGPRPGNPFQNGLNDIADGELPTEMEGVETEEKPLADSPLLMSECGWNPTKAREKTIE IAMEKWGTPAFYLAKNGVLAAFASGKASALVVDVGASNISVTPVHDGMVLKRGVQHSP LGGDYISSQIRALFKANTPQPITITPHYLISSKTAVDAGQPSQAKYKTFPPGKAPDAS YRTLLEERTLSEFKECVVQVWPGPNKLSATGPSGVSNEEVAKSNPGRPFEFPDGYNQV FGLDRYRVVESLFDAKAAIPDTESPFPAPTQAQTVPELIKNALNGVDVDIRPHLLANV VVTGASSLLHGFTDRLNQELMQTYPGPRVRISAPGNTAERKFGSWIGGSILASLGTFH QMWISKKEYEEHGPTIVEKRCK AOR_1_1314164 MALISKSQQQRTSATDAEIRAKHKSEYSKDISRVPIHPISQLQG PFEESIVEATESTGSERLVGLDAQSRRRDLLESIEYKRLCGRKWLQRPGERYHPIWKL IAQISFGIHLLVKRLAKSDFEVLKILQNHVDELDGFLGRSTEDFLLIQVDVRTRIQYL NLPLQNLVVFDEMLQDRNFRLTMIDYNLKIEHAIERFAAAIEDALKDVQKGKEAIGVL WRYLKQSAEEYGPLSSNLLAIYKAMLANTEGWNVAFSNLRRQGVALQSALSQLCLAIT ELQRRVGIASRKEAVSLTRTATAPPRDRSIRERLFKKRASTILPGLSSSEKPLPSDPF LTNVIDARPEAPLSKQVECLRVTRKSAPNLRALHGSQSYEGHIAISDGAECGNLVAHG SIPTTLVPRIQRNLSRRLSKAVPTSKKFKGENAGIKGSRPATAPSRGLRSRSISLEQL KGFWRLKKDQQYEQQDIVGPPPIPLPTRPYTAQGSAKRETMLNQFLHHFKSDRVIDAW EDTIQKESAVRHPSQRRKDEPYSKFRAISTTPGTSAELQTKLFESDLERSMSWFQEHP SQNTFSLKPRRDVAPRIHVLSVHMTLGEDEGCCVNNTHDNDITKTDTQSTVTALPSVP PP AOR_1_1316164 MELTWLADTPQSRRRTRALRACAHCQRRKKRCRHLGTCSTQDQH QPTCLIEQNEPDVTKHSSINPPTTGLQLNPRNFHPISPDFHRTERFVGDLNPEAVIRE RLDVSCESQLRDRIGLWISSPATYSNAESRETVADADTTAPGNPSEPLGPQSVAKLLH QRYANALKACERLPLSTRDRLIPIYFSRVNHILPLVNKDSFLLAYSEGMTSAFLERAI CLVAAKDRTAYPWLRLATSGPVMTPRQFCSELYRGLVVALNEGLETDRVTRIRTLALM SLHCEGYEGAEAASMHLCQAIHQAQTVGLHLDRPGQVPGDSLTGLFWCLWTLDKMHAC IGGRPVLFADRDIGIEKPGLKPSHARTAFDVWFAISDLLAHVISFYRPTSDHTVGWEA GFPTFEEIMGDNVREDLDFVTLGFLELFYHAVAILSCRYKLTGRIDGSKPSYIRQGLS AIRIQSIVATECSQDLPPIPIVPYALTLSMGVSYQQFRSSKLITHFDRAKASLEACCT LLEALGISWCSAEAMARLGRKALHQIDGLNLGIHNPRQAHRQSPTPGSTLINPTNAQS AAPVLPLSSYQRDDHPLADVSATQQIPSPHGSLVPPENNMQVYETDGFADIDVLFGDF LDLSLPTNFWDPVFLP AOR_1_1318164 MSSPAGQKRSASHLTTSPVDAKKPKNGSITSFFGPPKVKTVEPK STSCSSSFNKQGWVASLTPEQRKLLQLEIDTLDESWLAQLKEELVTPEFLALKGFLQK EKQSGVKMFPPENEIYSWSRHTPLHKVKVVIVGQDPYHNHNQAHGLAFSVRPPTPAPP SLVNIYTGIKNDYPSFQRPPNKGGLLTPWAERGVLLLNTCLTVRAHQAASHSNKGWER FTQKAIDIVARVRSHGVVFLAWGTPAGKRVAGINRQKHYVLQSVHPSPLSASRGFFTN GHFKKCNEWLAERYGPDEAIDWSLTSKTKTTTPLATIQSPSASVDKLADSVAKRSESR APELADMITQPLELQNTDEFDDDTDALEALAAAETAS AOR_1_1320164 MRTFAPWILSLLGASAVASAADATAEAPSDVVSLTGDTFETFVK EHDLVLAEFFAPWCGHCKALAPKYEQAATELKEKNIPLVKVDCTEEEALCRDQGVEGY PTLKIFRGLDAVKPYQGARQTEAIVSYMVKQSLPAVSPVTPENLEEIKTMDKIVVIGY IASDDQTANDIFTTFAESQRDNYLFAATSDASIAKAEGVKQPSIVLYKDFDEKKATYD GEIEQDALLSWVKTASTPLVGELGPETYSGYITAGIPLAYIFAETKEEREQFTEEFKF IAEKHKGSINIVTIDAKLYGAHAGNLNLDPSKFPAFAIQDPEKNAKYPYDQSKEVKAK DIGKFIQDVLDDKVEPSIKSEAIPETQEGPVTVVVAHSYKDLVLDNEKDVLLEFYAPW CGHCKALAPKYEELASLYKDIPEVTIAKIDATANDVPDSITGFPTIKLFAAGAKDSPV EYEGSRTVEDLANFVKENGKHKVDALEVDPKKEQESGDATETRAASDETETPAATSDD KSEHDEL AOR_1_1322164 MSMTYPRSSMREDEGLSPPQYPGDDTRPTSRKELAGWYSYSWAA EVFTVCAMGSFLPITLEQMARDRGVLLSDKVTPCQATWKAPKQLSPHETLSQTLINAL RYGRDVPGASQCVVYIFGVEVNTASFAMYTFSVSVLVQAVLIISMSGAADHGTYRKVF LLTFALVGSISTMSFLSVVPKLYLLGALFAIIANTCFGASFVLLNSFLPLLVRYHPSL LRGRNEISRQGAMGDDTWGNTSHDVNNVTTPLLRSAQVDNGTITENAARVSLADTSLE LELSTRISSYGIGIGYIGAVLLQIVCILVVISTHQTTFSLRLVLFVIGLWWFVFTIPA ALWLRPRPGPPLSCAQDGKQHSWPGYIIHAWKSLGRTVIRTRRLKDIMLFLASWFLLS DGIATVSGTAVLFAKTQLGMQPAALGMINVVAMLAGVFGAFSWSYISRLLNLRASQTI IACIILFELVPLYGLLGFIPAIRDLGFLGLQQPWEMFPLSIVYGLVMGGLSSYCRSFF GELIPPGYEAAFYALYAITDKGSSIFGPAVVGIVTDRYGEIRPAFVFLAILILLPLPL MLLVDVDRGKRDALALSAELEGSQELNAPTYGAVPCNRNDSESAVVQSE AOR_1_1324164 MPGVVMDNTNIGGAGQGSAIHDSRNGMPSLTPNINRNSAFGDSH VGRVHINGMTKNAVDPSQAEDASTVNIKSTKSMELPELPHITQGFFPFSKLISRSVQQ SWNDLSDLITEMADIKVSPQEQNSLQLPASGKSFGNQSPENLRKKARILDFAHAKRAE FIKLLVLSQWSRQAADVSKLIDLQNFIRTRHQAYMGALQGIGDMKRDLVQAQVANPDL TTALEVLSKGKVSSMSDLGYKPPRSLTGKDTLKRLQKINRIISVRLALYDSVPRAFHS YSIHDGRVTFVVPNEFELDLSIGQEDESSQLYFVDIRFLFNPSSPNLKGRIFNEFDVK INDALRNNGLSGCFDLLHSLVLTNKINILFKQAMELARGLWYDVLRVELLHRTLVVHY WTQKAGAKSWLEIGIKSGRICGENDSYRHPNLGLRWIRDGQEVDSEEIEFNTKSLSME SILRSVIALHISHILSSAYCIISESLLYSAGRLSLQAQLTRTEPGDCGLTIQLTESRH LSVSIEPMSGASIISATPSAQERLDNDRSSDKSSAEDIAARVARLRCMAAIDEIESNV KMLGFETVNPRSLKIDFRRLFPNNVLRFSFFWHHLWERNWIVAATSSMDGDNWWVVQL QTTTTAESHSVFDASTHISSTLRSAQAISGSFFHVNQNIGYPSFADLGHYLSGILAVH TNARYLADLQTVDFYPPLQRLVIEPNLQVPEIFMRYEAANIPEVFRIALPSGLKSRVR TKGTIRLAFHGVDPCKKVATIVAYGNLLIPIKAFSALNSTRDHSLVFQKRGTGFAIRL LAPPGRPVIPKLMENLQRLDCILSIFECLQRKKMETRSLSLSHVTFAYGPEKDLLATL KIETSMPSSSMELDPIFLASRTKSLFHLRLGLSFEFPNPHRRIQKSIESTLNHSTTDA GLDTVAELLLLTLPLMRALDQILMNPSNRQPLRVQVTVRNAKTFQIHYPGQSFRFQLV ATHHLSHMVWILKELSSPKERSSQDQLKLRLRERLYNSKGDGWRGLGNGVVAETGKVG NLLTELDRCFEASSTPGLTPEVKASGSKSFSQQLTAENGNVEGTLSESATLIPTPNAT STRHKTGDTTQNADIIMID AOR_1_1326164 MMDSDPVPSSSPAFATPAHPLRKNKSNLLLTRTSILPILLPPST LRPVAFRTFTRKHNLTISSSALQTLATFVGKNCGSGWREEGLAERVLDEVAKSWKKAG GGVIVEEGKGASLKTILQTVEGNMSGGRVVAGKTPTTDGVSLSTSRNRESMHPNLHLS PSVASTLGDGEHGDSNLSSHPRSWIKVVDAFDIPRLTYNADKKYFEVAKSKASLFPQP SHKTALFRDRYNVIHQRLLRNESFQLSLGSSSVPSLSRSSSSFAPNKCYKLTPVANLL GRSGTSHLILGMLSVSPTGDLSLTDLTGSIALELSHARMIPENGAWFAPGMIVLVDGI YEEEENVKGSTLGGNTGVGGAIGGIFVGVSICGPPCERREMSLGTKSRQTTGEVSSSG GFGWVDFLGVGSERARGPRMRHIQARCLQNLHGGADDSRCMKIAIMSEVNLDHVKTVD ALKRLFGFYNDLAPRERPIVFVLIGNFVQKAMINGGGQAAGIEYKEYFDSLAMGLSEF PSLLQHSTFVFVPGDNDPWPSAFSAGAASAIPRQAVPELFTSRVKRAFAMANAESDRS QSSEPIGEAIWTTNPSRITLFGPVHDIAIIRDDISGRLRRSAVSIGQNADRSTVMDEG MDNQKSSVSPEGYIETQTPRIGAESYPMPSAVNMSRKLVKTILDQGTMSPFPLSLRPV LWDYASSLQLYPLPSSFILADPEAAPFCMTYEGCHVMNPGKLIPEGDLSSVRWIEYDV SKNRGKVRELRY AOR_1_1328164 MAEYDHAEMSDAPLQSDNECMRAYLANLTERAAAKDAVKDFNAA AELYSEATELQAKLNGELSLDNADLLYSYGKSLYNVAVSKSDVLGSKVAGESQTQVHD PFTVKTFSSGTASGGDNLVQDAIFNGLAQKEVLPGKAQSQKVEDKPYFQFTGDENFDA SDSDEDQSDGDEGAEEDEDDFANAFEVLDLARILYLKKLNATGEEQRGKGKAADLPPH IKQIKERLADTYDLQAEISLEAERFTDAVTDLRTALDLRQSLFPMEDPSIAECHYKLS LALEFASVNKEDDNSAGGKSDRTINEQMRKEAASQMEKAIESCQVRMAQEQKILDNNS AMEEDKATAMKRKIANVKDIIADMEQRLVDLKRPPVSLEDKEEQNEAMLKGILGQIMG QPPSEQMVQLDKATKGANDLSAFVKRRSGGNQQLVSTQKRSAQESDQERDVKRTRVGN RNGSPS AOR_1_1332164 MSSSVHFKFKSQKEPSRVTFDGTGISVFELKREIINQSRLGDGT DFELSIYNEDTGEEYDDDTTIIPRSTSVIARRLPASRPGKGGATRYVSGKMPINARNA PRNDQVSSSRTVSNSTNTVSNGVLELNNAQTEEEKINALFNLQANQWKEQQQEMANAT PVPFGRGRGKPINVPDHPPPPGYLCYRCREKGHWIQACPTNNDPKFDGKYRVKRSTGI PRSLQTKVEKPESLTIDGSNEDLKNTGVMVNADGDFVIAKPDKAAWELYQEKAKASAA AAAEAAAAEYSKELQARGLECPIDKRMFLEPAKTPCCQRTYCNDCITNALIESDFVCP GCGTEGVLLDNLSADDDAVTKIKAYEAEKMDLKKEKEKQSAAQENQSNNKPYASTDDS SGKAELSSPASTGENLSKHSKKRPAEDGPFSESTEESNPTSTQKKQKAEDNPKSTETS SSQVSDPSTGFQSLPFGQQMPFAGFNFMQAQGIPSMAFPDAGFAGEGMGFMNSTGLAS SNAFTNNIAQTWNHMGGMNFNPLSNGLYGDGTNGAVNSGYGATNMFNGVGDSPVNMFP ISHMIISKGFTGRHGRAYLVSAEPIACAISTTCSPTETLPNTIMQKPVSRQLVTGAHT VGDISCAFCGNILGWKYVAAEEEAQRYKVGKFILETKRTMTSSLWESASYVEPFASSK PMTSAKLEIDTPGDLVEFDSHDEDECEDLFAGVWSPGLAIRRRSRKLDRHTSIFGLTP AOR_1_1330164 MVSITTLRLGPEPSGIPEVPSGSKTLSPSPPSDLSTHSGMESSL SGNIIPEYKGEAAHTIPEECERLFCDTLSVIFLGEGILSGQESLGAGAYQVQPNNSGY EHSRIQEWVEVLDYTSDCIYRGFVTSSDDERVLFIFFSECALGQGLKTGLIALFELAS LSEFGCSQIVACIPRSQGAAELEVVRNLGWCGFNLTTLQPWSAGNCVELSLSAKWLFL RAEV AOR_1_1334164 MPTVHLLDYVAGNVRSLVNAINKVGYDVEWVKNPEDLKNADKLI LPGVGHFGHCLSQLSEGGYLEPIKKHIDSGKPFMGICVGLQALFEGSEEDPDVPGLGL IQKRMSKFDDSAKSVPHIGWNSAMNTSVGPLGAQSFCGLSPDSKYYYVHSYAAFYTPG VLEKDGWSVATATYGEEEFIGAIAKGNIFATQFHPEKSGQAGLRTIRAFLDGDQMQSP TPDTSVSREKKYGLTRRVIACLDVRTNDSGDLVVTKGDQYDVREKDGVNSGGQVRNLG KPVDMAKKYYEQGADEVTFLNITSFRNCPVADTPMLEILRRASETVFVPLTIGGGIKD TIDPDGTCISALDVATMYFKSGADKVSIGSDAVIAAEQYYEAGEKLSGKTAIETISRA YGNQAVVVSVDPKRVYVNGPEDTKHHTIRTQYPNSSGQSFCWYQCTIKGGREARDLDV WQLAKAVEAMGAGELLLNCIDKDGSNSGFDLELINDVKTAIKIPVIASSGAGNPGHFA EVFERTTTDAALGAGMFHRGEYTVSDVKDYLSGQGFLVRKPETDI AOR_1_1336164 MLIGLCGGICSGKHAIAEYLIQHQGFQLLELKSRSFPQIADEPE DDRWLHASEFTGKERSQPSQLSFGSAESLLDFATKNWQGLWVTTDIWESVTLDRFLQR PFFLLVSVDAPVSLRWQRFRERCRRRQLEAPPLEKFVLWSDRHLYDKNIGRVYLTDRA QVRLFNSSTSLEELHAALMELKLADEERLRPNWDQYFMQLASLAAQRSNCMKRRVGCV LVRECRVISTGYNGTPRHLRNCNEAGCPRCNRGEGGGVGLSTCLCLHAEENALLEAGR ERIREGTILYCDTCPCLTCTVKIAQVGISEVVYSQGYNMDQDSAAILKSAGVRLRQFS PPRNGLIYLEGSTKNKQ AOR_1_1338164 MQYTPFASDIELPFYTALASLKINHDKLDDSARKIMGLYEIRST DPPSSSCRMQIHGNALTSDEVPAGFYRAEGLIKNVNTIEEYRNADKTLLLCSSGRMIW DAIKDGTIYSCPSLLSSFAVLSYADLKRYKFHYWFAFPAIHSDPPWAPLGATGDTSHS KSSEREYVPFVYLSSAESSTLVEAVHTWGYGTDARQRGFFLARRILATSGKAYKDPTK HTGSKPGKDKAADAEGACWRIAALSDYENGFFDGANFEDCYVCFVDPSNYENAPGWML RNLLVLVKHRWGLSKIQVLRYRDVHSKRDQGRSIVVTLAEKNQPTLDLKAPDHIMPKV TGWERNPTGKLTGRLVDLTEYLDPQRLADQSVDLNLKLMKWRISPNLDLEKIKHTKCL LLGAGTLGSYVARNLMGWGVTKITFVDNGSVSFSNPVRQPLFNFKDCLEGGAKKAHRA SQALSDIYPGVESTGYALSVPMAGHPVLDAEKTRREFEQLERLICEHDVIFLLMDTRE SRWLPTVMGKAAGKIVMNAALGFDSFVVMRHGVKVAENPAAELGCYFCNDIVAPVNSI KDQTLDQQCTVTRPGVAAIASALLVELLISILQHPLGAAAPAPASRNDDRGSHPLGLV PHQIRGFLSTFENLCVVGRSYKCCSACSETIVDTYKEKGWDFVQKALNETGYVENLSG LKEVQTIAEATAADIEWDDTSGTDDELEAM AOR_1_1340164 MGLWLPLKVPSRSQRALAFSPFTPKRPSSSKRWQARQLKDHFTR EATAQGLKSRAAFKLIQIDAKYRIFRNGQTVVDLGYAPGSWSQVAVSRTGPNGRVLGV DIIPAQPPKGVFTIQGNFLDPSIQAYVQNFLHNPTRDQQHRPGISPHVSLSHETSEDT KNPIHNCKEMEELCLTGKRTVDVVLSDMMMNTSGMSFRDHAGSMDLCRAALQFSFEVL KAGGHFVCKFYQGAEDKDLEKQLKRLFQKVHRLKPESSRNESKEAYFIGLARKQHATR HDVLKSP AOR_1_1342164 MESSSKDPGSTVEDCRMAIKTQCLEKAYDNTLVHTAQLLNAEKN RLLRVEQLLLQFENENLRWQLNHVNQELTKTARVESEVRLQLQATYHELDQLRSMHRA SSHEIETLRLELGSLTNASVDTKKLLAEKRHLSRALLSAEADVERLKSQKTSQHTLLA EKRNLEQQVATLEAQLESEKRAHGQTLARQSHSAEQIAALSSSLEEARNELMAEARAR DGRERVFQQQSIEWAAQRAALDAKLDALNKKLRSTKDQYQPAATERRRHGTSNNHESK FSSAESTTQHNSGLTIATPGAIRAQDKISKTSTLPGQKSSFSITPFLNRTNGLQNSAT SSEDDADELHATHMTSGVNKKASENDVQRGGDSKYQSQRASVDELPVAVDTLRLGHGI SNSRKGGQTQRKLVDNSDPEGRMENVSGIFAHSSNHGQPKSKKRKLGTQRDMGLFDEE KDDEDAHEIRRPGRKLVLGGTGRNLASQVSAPSGGRLGRGRALGGLGEFSPLKRDRKR S AOR_1_1344164 MKLFTFIIALFYIISSACASQEPGKFERYQSLSRSVPIDLDDSS YEDLTSKPRDYHVAVLLTAAEARYGCILCRDFQPEWELISRSWNKGPKPDGLKMLFTT LDFSNGKATFQKLMLQTAPVLLVFPPTVGPFAKVDDAPIRFDFSGPISADQLYVWINR HLPEGPKPSLIRPINYMRLISAVTIVMGVLTLFTVLSPYVLPVIQNRNLWAAFSLISI LLFTSGHMFNHIRKVPYVVGDGKGGISYFAGGFSNQFGMETQIIAAIYAILSFATIAL AMKVPRIADSKAQQVAVLIWGTVLFGMYSFLLSVFRAKNGGYPFFLPPF AOR_1_1348164 MSQPHETDDRILASSASGMTFLIIVQIVSRLFTFIANQLILRTL SPAILGIGTQLELYFISILYFSRESIRTAIQRQPFHGASATATHDGSHHQISDELNQK AQLQTISSQSVVNMSYLSISMGVPSALIFATLYTQFASQEVSETPFYRAGVAITTVAS LMELCVEPFFTVVQQYTLYKKRAIVETAAAFMKSLTVCALFSWSSWKDRDLGVLPFAL GYLCYSLSLICGYYLAIPKLTSRWRFSLLLTKIRPSDKSIYLADRFPKHLVALSTNVF FQSIVKHLLTQGDAMMLATMTSLKDQGIYSLASNYGGLVARVLFQPIEENSRAVFSSL LNSGKQHTSNVSAARAHLTEILRIYAMLAVFIFPLGPYLVPRILSLLGGHRWASPEVG SLLSLYCYYIPFLAFNGITEAFVSSAASASDLRRQTYWMGVFSASFALAAYLFLKIGG LGAHGLIWVNIINMTVRTAWSFIFLRSYFYLHGSSLALSEFCLRPQTWIAGALSSIIL ARQGHDDTVYCSSVKALTFCTGYSLLIILLERKYLATRYAYIHRIIKSRSARIKTE AOR_1_1346164 MTKRDLHNEGGDENKPRKKQKSEKQKKEKSAKSKSSAEQSLSYV QSPALGDVPQSEIEKFLTENSIKITDTSSENVMRPIISFSHLPPCKDDLYAPLSSFKS PTSIQSATWPLLFAGRDVIGIAETGSGKTLAFGLPCLKKIQDSAMTKQKPYRPLAVII SPTRELAMQIYDQLLKFSGSVGVRVACVFGGVRKEEQREALKTAGVVVATPGRLKDLQ NDGSVDLGKVKYLVLDEADRMLDKGFEQDIKDIIRPMPVSKRQTIMFTATWPPSVRDL ASTFMSSPVTVTIGGDPSADPRANTRIKQVVEVVKPHEKESRLVQLLNRSQRGVPEPE KVLAFCLYKKEAMRIERLLRTKGFKVAGIHGDLSQQERFRSLDAFKTGAATVLVATDV AARGLDIPSVKQVINVTFPLTVEDYVHRIGRTGRAGAEGHAVTLFTETDKAQSGALIN VLRAAKQDIPEDLLKFGTTVKKKQHDAYGAFFKNVDTDKTATKIVFDD AOR_1_1350164 MADKMMRAIDVKGGKGSADALFINKIPVPEPGEAQALVKIKAFG LNRMDILQREGNYPVPPQAPSTMGVEFSGTIEKLGRNPEVGFKLGDEVFGLAYGGAYA EYIAVSTHMLIHKPVELSWEAAAGIPETWITATQALYLIGGYKPGDSVLWHAGASSVS IAGIQLAKSDGASAVFVTVGSQEKIDFCLDKLGVTAGYNYRTQDWASELSKATDDRGV DVIIDFVGATHFQGNLNVAARDARIVQLGQMSGSILPAGVDIGSLLRKRVRLEGSTLR SRDEDYQKKLRDLLVKHALPKFRDGTFTVFIEKVLPFEDIADAHKLLESNCTKGKVIC TID AOR_1_1352164 MASDAASQVSVGALSAIFDETKPQILEPVVQCVQIKPLPPQQNN QERYRAVFSDISNYVQTMLATQANRFVTSGQLRKGCFVRLKSFQANSVKGKKILIILD LEVLQDLGEAEKIGEPKPLESKTEEEEKSQPTTISSNGFYGSKIQGGQLQAPNKSAQP QPAAASAHATIYPIEAISPYSHKWTIKARCTSKSNIRTWHNRNGDGKLFSVNLLDDSG EIRATGFNDQCDMLYDVFQEGSVYYISSPCGVKLAKKQFTNLNNDYELTFERDTVVEK AEDQADVPQIRFSFTTIGDLQSVEKDTTIDVIGVLKEVAEVSQIMSKTTNKPYNKREL TLVDSTGFSVRLTVWGSTALNFNVTPESVIAFKGVKVSDFGGRSLSLLSSGSMTVDPD IEEAHKLKGWYDAQGRDGVFASHASMPGVAASTTKLEQFKTVAQVKEEQLGMSDEVAY FSLKATVIYIKQDTMCYPACLSEGCNKKVTELDPGQWRCERCDKTHPRPEYRYIMLIS VSDHTGQLYLSCFDEVGRYMMGTSADQLMEIRQNDDKAAGDIFQDANCRTWNFRCRAK IDNFGDQQRIRCQIVTAKPVNYSEEALRLANMIDSYSVS AOR_1_1354164 MASCVHVNSHDLKPPSLSQAVYREDCTQCFDSIDDEAGLNVCLT CFNGGCAGDRDHASLHFKRHGHPLALNIKRIRKKVQRDEPPHKISKLAIAAETDENRY DTTTRVICYSCGKDEIDKTSGGLAMTIDGVLNAMSFSKREEVKAWEQEFVPCEHTLCL NQQESRNIGSKDLSQCSMCDLKENLWLCLECGNLGCGRSQFGGIGGNSHALAHADRTS HAIAVKLGSITADGSADIYCYKCNEERTDPDLAVHLAHWGINLAGREKTEKSLMEMQV EHNLKWEFSMTSEDGHELSPIFGPGFTGLANLGNSCYLSSVIQCLFDLPEFQQRYRHE NEEPPLTDSPAEDLETQLRKLADGILSGRYSRADMDVIVAPDSPEVPRQKGLVPAMFK HLVGRGHEEFSTMKQQDAFEFLLHLFKLINLSRHPDNLSSPTHSFMFAMEQRLQCVCC KKVRYKVDEQDNVSIPVPARRLALPDDTTSTGQFAPVTLFDCLDASTSEEVVDLVCPS CGSKDGFSKRSSFRTLPQQLVINARRFELINWVPTKLDIPVDVSEEPLDLSPYLSSGQ KEGEELLPDIDASKRDFVPNQYALDQLLSMGFPRARSEKALYTTGNSDFEAAMNWLFA HLEDPDIDEPLTISEIRGGGTDASHDPAKVAQLVEMGIDESRAKRALGATGGDVNRAI DWVFSHPEADAEGYGRESNTHSDGCPRNLGSSDVPARYKLRSIVCHKGSSVHAGHYVA FVRKTLPGQNEPCWVMFNDEKVVKAGDIHEMKKYAYLYFFSRV AOR_1_154 MAVGKTPISCDTRKNQRRAAIHTDQHSDSMKYDPVVQILITSKI ESTKPLIVHRKMSQSLRDVRLAWCNCQSIPKEMQSSILLTWKGRRLFDVTTCRSLGIS VPKGLADSPVHGDHVRYGSKEDIRIHMEAVIENNVMAMDNWQASHESYFASAQESTAT DGSSRLLSTRVVLKCPRSGDMELNVNPRMQISRLVTIFRDAKKIPENREVYLVFDGDR LDPCSCLSMHDMADGDLVDVVVK AOR_1_2154 MKLITANFLTCAVKGCKASPASFPLHFRDAELELQELDFQPDFI RNIVPRIDWHALQIMANELGFPKILDKKPEGDELKDEQILKELHRLLLETHINEGKLT CGNCGHEYLIKEGIANFLLPSHLV AOR_1_4154 MSRSSAGFADFFPTAPSVLQQKRFKVTRERPRPKAQIDSEHSDE SSACPTETRAILNLSNGGASLDSGQISSTDLKKTSPESSVEGSASSTAGDRSALSLSV AQHGANSHEARLDTLTPLTNAESSPPQKANSPRNKIAEGIVANTTIDTKSGINPLHTP PTPQSQGRRTGSIRGYKLVYDPDTEKRSSSKEKRRKPRYVDIILSEQNNCPPDPRLGI PNYMRGAGCKQKRKYRPAPYTLKPWPYDASSTIGPGPPAQIVITGFDPLTPIAPISAL FSSFGDIGEINNRTDPITGRFLGICSVKYKDSASFRGGGPVLAASAARRAYYECRKEQ RIGTRRIRVDLDRDGVVSERFVARTIESQRMGQKSNLQSTEEVKSDSETKKNEPPPTA PKGPSGKTSVRPIVAIPEGPRANFLKPVMPSLVEEVPILGQIKRDPYIFIAHCYVPVL STTVPHLKKRLKLFNWKDIRCDKTGYYIIFENSRRGEEETERCYKMCHMKPLFTYIMN MESQPYGNPSYERSPSPERCRAEQRERAERERLKREVGLDIEEEKRQRAVDLDPCQEV LTIIIRDLKDKLLEDVKSRIAAPALYDYLDPDRHALKRKTLGIADPEGIKRPMFRIDD SFGTPDSRSGLSDARRPFSGSTPNILALPRIRKARHLGRTDTAFLDERRKQPLRRREV RPLYHRLQQLHDVDDSDDEQRTPKDTDEQDSRPPSRMSSGTSESDDGDGFVSEALGLP VVELAGSGQNKEPDEILKDNQSVGESSQLESNEISPELRKRKRASEELEARKRQKEDD ELFGINPIAEAEVEGTQIIATPIAVDINLEVSEAALSILPKESNDNRQETGEANHLDF DGIDVTSSTIEKDRRGILDPLDDIDNAAAREESRTEVGWRVSNDEPRPIVDDDDAIIM DLDGWQNLIKDDEDLHFLRDILVGYSESNVGNLSAWAWRQKEIKALNHPGDVGPLRGG TGIAGYYVPNTTGAARTEGRKRILESEKSKYLPHRIKVQKAREEREARAKNDPHTAAV EAARVAAAKNISKSTSRSTRVNNRRLIADINAQKQALPTQSGDGDVLRFNQLKKRKKP VRFARSAIHNWGLYAEENISANDMIIEYVGEKVRQQVADMRERQYLKSGIGSSYLFRI DENTVIDATKRGGIARFINHSCTPNCTAKIIKVDGSKRIVIYALRDIERDEELTYDYK FEREWDSDDRIPCLCGSTGCKGFLN AOR_1_6154 MCGIFGYINYLVERDRKFILDTLLNGLSRLEYRGYDSAGLAIDG DKKNEVCAFKEVGKVAKLRELIDECKPDLTKSFESHAGISHTRWATHGTPSRLNCHPH RSDLNWEFSVVHNGIITNYKELKALLESKGFRFETDTDTECIAKLTKYLYDQQPDIEF TVLAKAVVKELEGAFGLLIKSVHYPHEVIAARKGSPLVIGVRTSKKMKVDFVDVEYSE DGALPAEQASQNVAIKKSATGLLAPPDKSLLHRSQSRAFLSDDGIPQPAEFFLSSDPS AIVEHTKKVLYLEDDDIAHVHEGQLNIHRLTKDDGTSNVRAIQTIELELQEIMKGKFD HFMQKEIFEQPESVINTMRGRLDVANKQVTLGGLRQYISTIRRCRRIIFVACGTSYHS CMAVRGVFEELTEIPISVELASDFLDRQAPVFRDDTCVFVSQSGETADSLMALRYCLE RGALTVGIVNVVGSSISLLTHCGVHINAGPEIGVASTKAYTSQFVAMVMFALSLSEDR ASKQKRREEIMEGLSKVSEQFKEILKLNEPIKQLCANFKNQKSLLLLGRGGQFPTALE GALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDNLFTKSLNAYQQVIAR GGRPIVICNSDDPEFSSAQTVKIEVPKTVDCLQGLLNVIPLQLIAYWLAVSEGLNVDF PRNLAKSVTVE AOR_1_8154 MASLKGPGATPSFDGSGLRIAIVHARWNMGIIGPLVEGARKSLL AAGVVEDHITTLTVPGSYELPYAAQRLYAASQLQAAKSSSSGEGISATDLLSSSTADI SKASPESPTPATSRPFDAIIAIGVLIKGETMHFEYIADAVSHGLMRVQLDSGVPVIFG VLTVLTEEQGLERAGLGKKGMHNHGEDWGSAAVELGARRREWAEGRIA AOR_1_10154 MTSIGTGYDLSNSVFSPDGRNFQVEYAAKAVENGGTAIGIRCKD GVVLAVEKIITSKLLKPGANKRIATVDRHVGIVSAGLVPDGRHFVSRARDEAASWRSV YKGPIPVSALSNRLGSYVQAYTLYSSVRPFGVTAIVGGWDSEAELAVDGQVGSGPKSG SGGKVDGARAGGPGLYMIEPSGLYWGYYGAATGKGRQAAKAELEKLDLSSGNLSLVDA VKEAARIIYVAHEDSKDKDFELEMSWISSLDGPTHGRHEEVPKQLLEEAEKAAKRSLE GEDEEEEEVAKDGPNQGERMEE AOR_1_12154 MSLSTSYTCSFCGYLLHNTRALATFADDFHCSQCGLSVSEGNLQ MQEDLVALFSRQMRMDIPISSGSQEMPSSTHVPVAHSISQHYHHSSHVARCTFPTGSP KHDESLGHSVTLNSAHEMLRLQNINPSSLTSTQLQLFENAMPEQRLRLIQIWQIFPES RDASSNSGMKRVNQSNSELCANPSGGTAVNEGGSNQSTHTDSTDDLDMCDSVHDGGNN SDGHQYAEPYMISGYEVLAQRDYELSAIKNMPVVNEPTTGSPYKLANDPIYRAQGHRW WEHSQSDALEYQYGTLEEMNRYAGCGLLQPRWLA AOR_1_14154 MFSLRTAQPAQSFLRRAAVTAPTVRSSLAARSFASVQSDIFKPT KYGGKYTVTLIPGDGIGAEVAESVKTIFKADNVPIEWEQVDVSGVDAGNKHSEELFKE SIASLRRNKLGLKGILFTPVERSGHQSFNVALRQELDIFASIVLIKNIPGYKTRHENV DLCIIRENTEGEYSGLEHQSVQGVVESLKIITRAKSERISKFAFGFALANNRKKVTCI HKANIMKLADGLFRSTFHKVAENYPTLEVNDMIVDNASMQAVSRPQQFDVMVMPNLYG GILSNVGAALVGGPGVVPGCNMGRDVAVFEPGCRHVGLDIKGKDQANPSAMILSGSML LRHLGLDDHANRISKAVYDVIGEGKTRTRDMGGQATTHEFTRAVLDKMEAAL AOR_1_16154 MSRPEDVLPPDLFYDDNESRKYTTSSRIRNIQSDMTNRALELLD LRSPSLVLDIGCGSGLSGEILSAVPPEHGGPHTWIGMDISPSMLDIALQRDVEGDLFL ADIGQGVPFRPGTFDAAISISAIQWLCNAETSDVSPEGRLRRFFEGLYASLRRGGRAV CQFYPKNDAQRNMISGAAIKAGFGAGILEDDPGTKNSKLYLVLTVGGGGLQGDITGVV NGMDDVNILDARRKAMEHGKMQLPRKGDKAWIMRKKEQMERKGKVVKQNSRYTGRKRR PAF AOR_1_18154 MWILPLLGYLGVIVGFSFLTLAIASGLYYMSELVEEHTVLTRRL LTRLIYSIILIQILLFVFDRFPFSLSLLGIGSHIVYASNLRRFPIVKLSDPFFILSCV LVGLNHWLWFRHFSKPLPASRAASSWRQPYQINAEDMPTFTEVASYFGLCVWLVPFAL FVSLSAGENVLPSIGSEYATGDHVSAPGFARNTLTSDGKSKNKGMAKVLVDSVRDWVK ENGELMGFWRGERSKRF AOR_1_20154 MYITSLYTSSHATFPVPPSGATQVSLSVFGSLPLDRPDQHDRNL TEQTTPTKSRQPLDAMEANQSSTPSPISSVSVPGERSGQRIMPRTSSIDSAISSLSSA SQSHKSSFDATALSQADIGNLITTAGSAEAVIVHLLKEKHHAASQNSQLWRLVDKQRT LILGLNKDLERALKEKERYRKKFKELQSPAPSLPPNNGLHFQHKAIQSEDKSLGQGNP EPGNTIRSQNVAAQELSENLIKECSTGHKGGLDRRSGSQPPPPSVYSQQELAAASPSS LASSSSPVNDTMPGEDKQQRVPHPVRKPPPAPLNLVQGERTLLGNPDIYDSESEYEDI LAVDELPIERGRRKTRDDDDREREAVLARERITLSSSRNESSHSTKAPADHLRRQAAS IIEQSGSLTAPLSPRMTPGDHPIIIPPKSPGLPVSPRPEDRPPNSPLPRLPRDMTNNM ASLPIASGSGLSGLALSPHVSKYQAPFSATHSYLPIDVASRSIPSIDAAVRAESTRST VPNTNGIYRGLVSEDYPGYLLSPNALPLVLVKVSSSRLRPSRNSYLASRPSEEEPVFT LSVTSRSENKELWRVEKVIAALPQLDQQLKQLSSYGGKLPDRSIFSGHSPAKVDARRA AINAYFEALLDTPMDEEAALVICQFLTSDAIEPRDDETSLLKGSQHVSSEILRGPDGK PRKEGYLTKRGKNFGGWKARYFVLHGPELKYLESPGGPHLGTIKIYNAQIGKQSQGTN GQNNSPPTRAEDDSDNQYRHAFLILEPKKKDSSALVRHVLCAESDEERDSWVEALLEY VEGQSENEGSNSGSSRGQASGVHLANITVNPKMPSNGNKNNRTTDSSDLDGLDLVQGF SYEDAVPAEPPVFGPPYEQQALRSPTFSQMSPTDPTDATQATNHVPDPSQLSSRIISG PTNGAVIQDAGAWGNKSATSTKEKKRSIWGFRTRSSVDLASQLQASYDSPSVQPQNLT SGDRRDLVRPVFGIPLAEAVQFCAPRGVDVDLPAVVYRCIEYLKAKGAESEEGIFRLS GSNIVVKALKERFNTEGDVDFLAGDQYYDVHAVASLFKQYLRELPTTVLTRELHIEFL RVLELNERQDKIAAFNSLVHRLPRPNLALLRALVQFLIIIVNNSDVNKMTVRNVGIVF APTLNIPAPVFSMFLTEFERIFENLLGMCSDTEDLNIDSPTSPEVSHFTPCQMLTEMP TLHTKTTFRKQGDPRDRDECMPYQSSYDQSSGNLLESNNEKHTSPPTTSRLLTPNPEA SRSIKSKRRESSLLFMEVNNLRSPFPSAHDDDGE AOR_1_2750154 MIFGNSAHGHTLPVTYSSKVLESATGFLHVTAIMSSNFGRRLYT SFWEAANPFLGRGLWASRTPYFHDTATNSNNIICDLLFKRQTRKISSLPPRKTTQITR RFASGSFLVIGISPNSTAVETGTTCAVAPEKIISHATHMIYKFDRRFLHGSSRNASPP RGSHTSKDDLPKMEAHMESLRYSNGSSLENVKPSEYEMNTKDTRSKQDMPRPQQPGPS TRHLIDRLPHMPQLHRPTKEELLAAANGFWSRLKVRFKWFSIRSVRPFNLDEITALFS WVLLGHVVWVVLGTTTFFSLLIIAINTVFAQETLAGWIGNYLTKSSGVKVVFESAIVP KWRDGVITFKNVFVSRRPGQGAGHVSKGSPKTAAAVAAAAALNDRPNLDLSDQRLSSV EEDTNYTQFDLAIETVNVTLSFTKWINGKGLLRDVEVQGIRGVVDRRYVYWSEDDFDP KSYRHEHNPGDFEIDSFKMSDVLVTVYQPDNFRPFSVSIFSCDLPQLRKQWLFYDFMS ANMMSGSFDNSLFTIHPRQTHSFTGAQLDNGVGEDGRASHWKKHSRIRIDGLNIDHLN RGVQGPFSWIHEGTVDIVADIMFPTDNDESLTKVMADIYDRLEATVTSTHYRDALLEN SAQPGETPSENDRRFLVTDLRLHLNNVRAVVPLFTRDLSYINNALIRPIVAYINSRRT FIPVNCRLVKRVGDFDGSWTIFDSGLMDDLSAAVYDAFARDVVDEQARKRRFKKVGFW SLQLAAQAIFMGMAGNIA AOR_1_24154 MPAPTALKGLDQTTTAGVPVTQAAIDDEVLIDAQTSAEESVPVL APLGENAQDTDMRIDEEGRPVFTPAKDTNTVYRVETRKVPVPPHRFSPLKASWSRIYP PLVEHLKLQVRMNIKSRAVELRTSKFTTDTGALQKGEDFVKAFTLGFDVDDAIALLRL DDLYIRSFEIRDVKASLHGEHLSRAIGRIAGKDGKLKHSIENATRTRIVLADQKIHLL GGYRNILVAQEAVVSLILGSPPGKVYGNLRKVASRMKERF AOR_1_26154 MMSFMGHQPQSQAVGYTFSQPTNAPNKEHSFYPYTDNGGSTLGI TGADFAILAGDTRSVAGYNINSRYAPKVFKIGGDDDTGEGAHIILSVVGFAADGQALK ERLDAVVKMYKYQHGKPMSVRACAQRLSTILYQKRFFPYYVHAILAGLDEEGKGALYS YDPVGSYEREQCRAAGSAASLIMPFLDNQVNFKNQYIPSSGDGHALEPKKPEPLPRET VEQLVRDAFTSAVERHIEVGDGLQMMVITQNGIEEIYTPLKKD AOR_1_28154 MLGLGAYESSSEDEVQKRAPLATKFVNKVQPVEVSKSAHAVPDK CPNLPETATSREPRGPIVGPSHEEAQPGLHFSERSRLSTSRTLIHDLTLPPVPNLDIP ISPPGSPDANANAKFAHFLLLKKQGVHFNEKLAFSASLKNPSLLKKLMDHAGIDGQMQ YSTSLPSEVWDMSGLPRWGFKEELLKSQKVFHQKTEEQWSAGQRDTVDFVAATTADST GILPMGNSS AOR_1_30154 MNHYPEVWGRPRDDIYGPYNSSYLQTTGPKTHTQSPAVTGTSVI AVKFNGGVAIAADNLASYGSLARFTDVKRLRVFGDSAVVGFSGDVSDMQYIDRLLESL DIRENYSTHGNMMNAKNLHTYLSKVLYKRRSEFNPLWNHILVAGFDEDKKPFLSSADL LGTTYSAPHLATGFGAHLAIPILRRLFPEEKPLEEISKGEAEAALRECLKVLWYRDAR SLDKYSIAVITAEGVEIKEDQVIEAQSWAFAESIRGYGAQVN AOR_1_32154 MTTFSRLIRFLATDGHIYYGDAIMPAGTSDIGKVTRAQVIRGDI FGQYQVTDQVAEVKMLLAPLAREDIATVRCLGLNYEQHARESNLPIPKYPVLFYKPIT AITGPYDDIPICSMAQDVEGLDYECELVLVIGKQAKNIPEEQALDYVLGYAVGNDISH RDWQLKRGGGQWGLGKGFDGWAPFGPGIVSTKLIRNPNALNISTKVNGQTVQSSSTKD MIFGVAQTVSFLSQGTTLLPGDLIFTGTPQGVGMGRKPAAWLTNGDQVEVSLEDVGSC VNRVAFEKPPSKL AOR_1_34154 MAFFSNFSGDFAPLFHLLDDYDVHQAYRPKPRTTTVRSFTPRFD VYELNNNYHLNGELPGVNQASLDIEFTDPHTLVIKGRVERKYSDSTSSTNEHAEVPND ASSVKSLQPTVEDEDEEANDAASVGSSAQSSKQVALQEQTNHKYWITERPVGEFHRAF TFPTRVDQDTVKATLKDGILSVIVPKEPAPTFKKIRVE AOR_1_36154 MHQGSLEEATSSNTHFMIDSPGFSLIRRRSLLTRPGIATRRSVR GATRRCPSPIGQELGFSLSCTDESPQLFQWPLIDREDTVLQHTNSLAEVRPPTPGDFG YTHLGALKLGSLRVVNGSASPSPSDRSRLRPSSPTQDTSAIHTTELRWSRDHLTQDDP SEFATSSSPGMSDYQSGPEEIRGPMAVPVSEYASVDGPNNGISSSNGQVPRPFGNKPS TIVQIPSFPDITRYEDFPASPFSFEKSPIIARSLGLYAKEAEDEAICVSDEETPFDFI GETYQEAPRRRKISHSHRKVDSGYSSAASVRSLQDNRTGASLDSQESTQRPSGCRRFT LGGTELCDVENHSKLSQQLPMNRRLSLQGPRMSPIWVTRGWSTNMATMCLETPQISTS ERPRSLSFTSSQHPGHTVSLSPYCTQLRHLEASSSRAMLPSKRIPDTAAIPTADDTIS NEQLCESFATRNARFSYGRDTVLENSNQRTTDAALNSDKSYTFDSHRSKSGERILKKT PNVAHDQNPRAIAANAASQYLESEIEALVAPTSQRPERAVDVGRVYPEPLRGRTKCRT LDTERRMSANQQNSKIDQMCTR AOR_1_38154 MQEVSAAVVPRWSLEVELHRVFTSRMASSSLSSQRVLSAFPFLT PEEFECACRAFLDRIHVLGNLDGVGWSSIRFVQQATGPVLKISQSIHNTSIPYYDNAL SGSVDTEEPQVEICEDDPEALIRASNSSNCLHIEHDIILSPTYQVPVLYFTLRRDSHP GPLGIDEVYQYLVPDQYRRELSNVGVMGGISFGYHPQSGTPAFFVHPCNTADAMKQIA GQQRVTPEAYLIIWLGMVGNRLNLPLPHELFATEGVQEPQGLS AOR_1_40154 MASKVAPTRINPSSKPASAAGLPNQTLYCANLPDKLPKYDLRLS LYMLFSTYGTVLDVVAMKTKRMRGQAHIVFKDVQASTQAMRALQGFEFFGKQLKIVYA KGTSDVIAKLRGAYNVSTVAAPAGGASTDLQKSIFSGPPGSTTLPSRPTGETNGEATS QGVKRPREEESDEGEAPMDEESDVPMEDSSDED AOR_1_42154 MAAPEVHHLFHNPIADHSFSPDKSTLAVARDSNVELYQKAGNKF SLTDELKGHEKIVTGVDIAPNSGRIVTCSQDRNAYVWERTPAGWKPTLVLLRINRAAT FVRWSPSEQKFAVGSGARVIAVCYFEEENDWWISKHLKKPIRSTITTLAWHPNSVLLA AGSTDSHARVLSSYIKGVDTRPEPSAWGERLPFNTICGEFLNDSAGWIKGVSFSPSGN ALAFTGHDSSVTVVYPSAPDQPPRAMLNITTRFLPFNSLIWNGENEIIAAGHDCEPYR FHGDENGWQLTGTIENKSGSGVGAVREESALNLFRQMDLKGQTQADTQLKTVHQNTIN TVRVYEEANGNVSKFSTSGVDGRVVVWTIG AOR_1_44154 MIMAFDEVVTVILVVLSILLYGTCSTASLISFGTSGASTYDQSD RKDSTVSRETFASLEELSRIVDVSYCVGSTGVQKPFRCLSRCNEFPGFELITTWSTGP LLSDSCGYIALSHFPHAKRIIIAFRGTYSITDTIIDLSAYPQAYVPYDPNGRDDQELL RCRNCTVHAGFLASWLNTRPIILKHVSAARKQYRDYKVVLVGHSLGGAVAALAGLEMQ MRGWEPQVTTFGEPKIGNKEFVTFLNEAFKLGTVSPSDDAQQWKFRRVTHVNDPVPLL PLEEWGYEMHAGEIFISKAVLPPSESDVIICDGDKDARCITGEQNNMRAMFHEIKLNA AKHEWLYSVADLTDQVVLAESDTSPSAMGFPSGAERQQISLRLPWNLIPSRYRLWELF FAHRDYFWRIGLCVPGGDPTGKEKNYQS AOR_1_46154 MLEITLLRQSALGVERFPFVIWWICNIDLYALLSGAGTGDYVKM VLGSDLLPWPGSSLSSIDSCGTDVIGSHEPDNPTLLLQLYRDMFGLAVQLGLAIAGRK KLGASDPYAPINLHEDLKQLWDSSEVRFWAEKQTRLPKQLQSILEQMNLLFHTSLLCY YTTSPCPGQSIGLGEIPEQRVHHHTTMILQHAEATIMNIQGSLPHFIIFPLFLAGIAA ETIDLKVKAWELLSNIEENEIGYNASTTCYMLQLVSDYPAALRPRDGREPKPATLAER RGNVPKPLPESPAGDTPDKEGLFVTRYQRTPAPPALANGTQLDGTVHPNYRQQYYPPP QAATSSGPSARPPTAQSLQTPSSAINRISSTASTSTTRAQRGSPPPPETPIVEPGQPP ASDIEARYAASGIAGQQAASAVHPATVAPINAATNSAALEVSAQDHPAFANDPRQEQP LEQSLQNGTQTGVLDGQQQIVQESQAAMLPTSTGIPPASPPPLPEGWIAHMDPNSGQY YYIHLPTQSTQWEFPKGPTPLNLNETPLSPVGSIYSSHPLASPGLSAFGKPLASPGIP LTPGYESLQSPVVAGFTGPPPSSGVDLYKVAPTNGVYFGPYLRYVNMNLERGIWLGSI LLVTDAAQPPTIHIHQSVDLSPNPRQLKASAIAVHQRWTFYKYDVDLQMNESGPAKWT YAITSHLGCTRYEFLVAGRHETNWRFVTTSGNDFSLNVNANERSRLGGVSFMWKDIMQ KHNEIGGFHAQLCLGGQIYADRMWKEIPSLKQWLVIRGKEARRTMPWTAAHEEDVSHA YFHYYTSHFDQPYLRESFAQIPYVCQVDDHDIFDGYGSYPEHMQFSNMFKNIGRVGTE MYLLFQHHTTLELLHNASTDVDLFTITGTGWHFVKFLGPAVVVVGLDCRSERNPHQVV AGPTYQGIFPKVAMLPPSVQHCLWMISVPVIYPRLETAEHIANTVASGKRAVTGAYNV LGKVTSSVAGVVGAKEVVGSGFDSVKRAVGKSGLMGGILSPFGEFDLMDELRDQWTHE SKDLERTYLIRTLQGIAHQKFLRMTFLSGSVNVCGAGLVHDPAHPSDYKTMYQIISSA VVNNPPPSYVIKLLHSSNKPLYVPANGQRSSPSQPTDTKEDMMEIFQTDVTGQAREHR KLMGRRNYAAIVAYDPETVNAMYGQAPVVHGGRLNLAVDFMVQGDGSYGTVVKYGPVI IPSLGHGK AOR_1_48154 MSRELTLTDRRSDRHDDRHKFSPAEQTGQDIEKMLSVATHNRSK GCNLELRCQPRCAREDFNSALSWTVSTEISDRLLSRKVKCDEKHPRCNQCTRLGHDCD YRPRLSFRDDTRRVIERMSDVETVGNLVWDPHTSRSVRPPSADYGLPDLLPSFATLTS DEERERKAQSSIPGTYTVIVMPESFSYLPGHADERSGEQSRSSTSSPLVDSGQSSQRN DNPEVNDPNVVILKTFPDARRYLCSDYRGSTRTLESDPQDSSHSSTVSVYSADLSALD DYNAQEQTTLTDYEAMLLSHFRNVIWPKLVPQGIWPDGSHGPRLGVEVLEQEASICPP TVKEAV AOR_1_50154 MAAQAASASSEARELNLISNVEFRIALADTDEKLDALLNKYLAP LLLKLGSESLAVRNKVIAVCQHINNRVQAPSIKLPVAALLKQFKEQKSKLIRHFDLIY IQQGIDRLGASARIEILLPLLQGISEIGTSTDEQAAVVFNLVLRLLPLLKLPPKDSTE DIQLKSRLGLSDKDTEFLSSWFEKLLILFPADKNASTCPGLSPADYVFLNKGASLSET WNPSSHGGLNLTETKATALRFLCSRAFTDSERFFPALVASADPNSRLADLGEEILKRF IPALENIDVVRRLFTLYFGSVEPEGASPARTALQIKILVYLGKSLRAATETANVLRLI EEGLLSDVARSSQGLQASKLRTQIFTFTTWVVRIGSPTDLKQIAPKVIAGLRDFINSQ GWPSPGSSGQRLPATDLSLRGLAYESIGILVPKVDFQSQDEQTAISGFELIRWLFISL SSDDSSAQIFVSIEQALGSILNSSVDSWDKEFQESLRPFLLRQMNSLPGEEDPVTGFK VVRRTQYAAVRFANRFLPYSDVVARWIDFMAVACGSERHQEVVEEGKKGLHPYWYRLL NPTKDKVWFTSVTSDSRSSWFKFPNFPETARFLLGSTASTVVPGLSAAEILSGPYKEA FNYTITFPRNILLWESFSGSNISMEIEQDWDIKLDVLLTSDEQARCAVKRYIETSDKE AVLLFLTSALSGLGGGAQKGLWQCGENFIGICSLASNDIVEPMVSMVITLKNSLYSND QELQNLAARALGILASHPAFSENKLRELLSELSVPIESWKSAIGEVVLKIRGAVLALA YILSRLAFRNVIYKAPETTVKLFIATIFDIIRDARDSLLRRSAQVAIGQLSLSGVLST TVLSNDEWDTIVDKLKPDAKAESETAITAIGLLSLSFSKVDHRDPQFTKFLNCLHNLH EIRSPETHFTIGEALSSAAAGWTSKSMATEFDVDEKLPTWQLSDAVLAEMCDKIISDC GASKPSLRKASSIWLLCLVKNCGHLQQMQDRLRKCQRTFTRLLADRDEVVQETGAQGL SLVYDIGDQTLKDDLVRDLVDSFTASGSNLAGGKVSEDTELFEPGALPTGGGSSVNTY KDIMNLASEAGDPTLVYRFMSLASNNALWTNRAAFSKLGISTIFSDSSANGYLAKNPK IYPKLFRYRFDPNPNVQRSMNTIWQALVKDPAAIISDHFDEIMDDLLRSMLAGREWRV RQASCAAIADLIQGRQPEKYFKYMEEIFLKAFKLIDDIKESVRAAALKLCQTITNAVI RTLETSDTETRRAGTMLAGTITFLLSDKGMESDVQEVQGFALGALIQIIKKSPGQPLR PFVPRVMEQFLNSLSSLEPQAVNYVHLNADKYGLTGQEIDKMRLSSIRTSPMMEVIER YLIDMLDETSMREFAGNLESVLRSAVGLPTKVGCSRVLVLLSMRTVLFRPYADRFIQL LIKYVVDRNDTVSASYCTSIGYLMRLASDDRVLKTIEHAKSLYLTAEDANQRIIAAEI LHSTSKLSNDRFMAFAATALPFIFVSKYDTDEHVQEAFEKTWQDNVGGNRAVSLYIKE ITSLVSDNLDSPRWIVKHTAALGFANSIMALDSELDLATSEYLWPILEKALAGKTWDG KEVVVKAFTKFTSQAKTLWLEKPRIGDTMKAIAIREAKRINPTYRPHAITAFGGIAQA RQDLNLMPDAVDIVSRVLSEFDEGEDSMDIDSGSGQKNKQTREDTLVACVKCLLQCIN LTCAASAEATNNSMSDIKRLLHETLDSGGRNVQITLYEQLRMFFSRVTTGALESHDEE PKLRKVQKSLAALAGEMLSRQIDVTAEAIRRERAQAAMSYIMLCRQLDIGLDIDGELC ELLKSWRKGERSGPVQQALDQALARLMQ AOR_1_2752154 MSNCSPTPPGAVPDILITALARRSPEDLDSDAPPNKRRKLTSGI QSLRELNGLSDTRVPRGSIPLARFHLYLDFASASPIQDDPGRSFDNFSQLPVHIFAAE NVCVGTTTLDWFKLELATTVDRETVFEYQSHDPPFIKFSKDLEFASSLVCADRLPRKI PIVCYQSTLYTVPERKSSFCLETIILWKDSLDILGNNQLVEGARKVFSRYVFQEQEDL ASQQERHSQRQLSWSPRDFYDHVYVPPDTPESSAEVKCSLIECQMFPFQRRAVRWLLQ REGVELQADGQVVPVRDTLKGGLPASFREFIDADGRVCFASQLFMIVATDLANWFDGG NHLRGGILAEEMGLGKTVEIISLICLNRRHLAPEETFPDHRHDGLRPSGATLIITPPA ILEQWEQEIKLHAPGLSVFHYTGIQRHQSLSDEELIELLADQDVVLTTYNILAREVHY SGDVPQRNLRHKKRFEPRRTPLVRISWWRVCIDEAQMIESGVSNAARVARLIPRQNAW AVTGTPLRKDISDLLGLLLFLRYEPFCGVIWHRLCGSFRTELANIVSMIALRHSKVHV RNELHIPPQKRIVITVPFTAVEEQRYGQLFEEMCGACGLNLSGAPLNGDWDPDDLSII ERMRSWLTKLRRTCLHPAGKPLRGLGTGTGPLRSVAEVLEVMIDQNDALIHAEERSLL LSQLRRGQLLENAKHRQQALGLWSKSLERANAIVKECRDRLHPERMERRMDAVNVDRD VTSADTASEDETEEAAKNTRGGARQRLRAALEVQHICVFFTGNAYFQMKTDPKLTRPD SEESRALEKREVEAYESAKLIRKEMLAEISRKVGHFMKIIRERAQKNQFVNIPKMKPQ LWSKGLESHRILDKLENFCDSMNKHAAQYDEWRQTMIKFLSESLIDQEDESELEGDEY EKSTKHQDEVYVYMEALRAMFADRHDALTGQKNVLIAHEVKSGIVQAQKGEGPSPALF LQMMNTRSRIKPDPQLGSLRGIIGELRSLATSLEWQASGGNSRARAELELVSLVLQNA SQMASEQAKVATNMEKEVEMFRDTMNNRLEYYRQLQQISDTVAPYDEESAGKPLNEAL FSAKLRQEEIIDEKISALRAKHRYLIHLRDESGSDDSSKICVICQSGFEVGVLTVCGH KYCKDCLRMWWHQHRTCPTCKKRLKANDFYQITYKPQEFLVQEEKPPAKVEPERRPKN SIYTDISSGTLREIKTVDLDGSFGTKIDTLARHILWLRHHDPGGKSVIFSQYKDFLEV LAIAFHRFKIGFSSVDSKDGISKFKSDSSIECFFLHARAHSSGLNLVNATHVFLCEPL INTAIELQAIARVHRIGQHRPTTVWMYLVSDTVEESIYELSVSRRLAHIVQKEKAEPL CADVENGRAVTDNITEAAIDSANSLEIRDAALSNLMAGGAFGGELVKKDDLWRCLFGN PTKKEANNFQAGASGEVARFLRDEAAEHRRRAGAGF AOR_1_52154 MLLRTVLWLCFLLVAPSEGTLEVFQIYQPVQYGGVDGTHCNQNV LLMEHVFASSYGHPFIGYYSPPECEFDTVKINLTVTSQGRQFDRLAILFLGDTEVFRT STAEPTADGIVWAYIKDMSQYNALWQIQQKLIFDLGNIINDIYTGPFSVTLTAYFSCE GHARTADVILPISARKSASNLSSVFTVPGDNTKTLYQIPPNTSRAVVSISACGQSTEE FWWSNVFSYDTEAFNTTMGELYGYSPFREVQLYVDDILAGIIWPFPVIFTGGVAPGFW RPIVGIDAFDLRQPEIDISPFLPILKDGQPHSFEIKIVGLSVAQNGTVTLSDSVGSYW AVTGNIFLYLSDSALDSTSLGTEKPYVDAPTPQFKATRSLVQNQTGGNDSLAYSVVGE RTLSIKSSAFQWSQNLTYSNFGLFSQQGMSQSTNQHTSGRSTIIAFGANQTSNEVQFE YPLSVNQTYRPTDAGQSIHAWMSRGLDIKTTGATGISTYTLTSGPSRLHTQQWGEAFY QPTDNSSISFGDTTDVFESNSILGHYKRSVRAVNGSVVSDTDDRDDQPSKSNDYSDHS SFLK AOR_1_54154 MAPQKSVTEVPRSILPRLTWNGSSARTTVPPPQSNILSARQQQR TLRIHSWNSAGRQLHTLTFSPHSSTFVSATVREPTLSSISRRLPESTSRPTSRPAAPT GNPIRYNGVYVAAFKPARRAFHASATQQRDHHFDTLKFVQRLKEEGFSEEQAVAMMRV LNDVIQESIQNLTRTMVLREDTERSTYTQKVDFAKLRSELLNADSTEAQLTRSSHEKI AADLAKLNSRLRDEIGRTQASVRLDLNLEKGRIREEANSQEMRIKETETRIEQEVAGL RERVEAVKFSTLQWLMGVCTGTAALILGAWRLFM AOR_1_56154 MAAEAAGVYPALEDRPVKDTICLFDVDGTLTPARRTISPEMLQL LSQLRHKCAIGTVGGSDLAKQQEQLGTSSTKVSSLFDFCFAENGLTAIRLGRFLASNS FIAWIGEEKYQKLANFCLKYIADLQLPKKRGTFVEFRNGMINVSPVGRNASVDERIEF EAYDKQHNIRKSFVEALKTEFPDYNLSYSIGGQISFDVFPAGWDKTYCLRHIEAEKDI SGIKYKTIHFFGDKSFPGGNDYEIYTDSRTIGHAVKDPDDTMKQLKEIFQL AOR_1_58154 MPKQPDYYKVLGVSPNATQREIRNAYKRESLKSHPDRVPADSPE RPTRTRKFQEINDAYYTLSDESRRREYDATRPVEEETEDEVPLGGTGGFSWSSFGFGT SDREQRASEQFGSVFEEMLREEGLASDDTDADGRRRTRPTSRFWSIVGGISGGALGFI VANAAGAFAGAVAGNRLGAVRDAKGKSVYEVFLDLPQGDRARLLSELAAKVFQSTVGR AOR_1_60154 MILLAVAFPGLILANLQELAAAMPRCGLNCMISSIAVSNCAATD QACICTDATLTTSIEICVAANCTVRDSLTSKNVSMTACGQPVRDHTKVVSIAGVVGGV IAFVAFLLRIMARMKCCGGEFGLDDWTMAVTMLLVIALSSLSVVLADTGLGKDIWTLP FDNITRILKIYFFDECLYLSILPLTKISILFFYLRVFPKRSFRNAVYTVIGLNVCYMI AFVLISVFQCRPLDGAWLHWDKEDQYQCNDINAQGWAAAVFNMVLDLVVMIMPLRELY HLQLSLRKKLLVMCMFSLGIFVTLVSILRLKSLIHFADTDNLTWDYVQVGYWSTIEVH VGVICACLPAIRSLLTRICPSIFGDTRVQTSTSRSGGASSRLEGVVQKYDYVVVGSGP GGGPLAARLAIAGYRVLLIDAGDDQGNATKQQVPALQLQSTEYEPMRWDYFVNHYSNL TRQEEDSKITYRTPSGDIHVGPSPPANSEPLGILYPRAGTLGGCSAHNAMITILAYDS DWDSIASATSDDSWSAEKMREYLKRLERNRYLPNSIAGHGFNGWLTTSLTQLKLVLED QKLLSLVIAAATAAGQNLLGKIVDTVTGLSDILLRDLNNDGATRDQQVGLFQVPLAVD IPEYRRTGPRDFLMDTVNAVNADGSRKYHLDIQLNTLVTNVRFETSGTKPRATGVDYI RGRSLYRADPRSESASVGTPGYVNAAREIVLSAGTFNTPQLLKLSGIGPKDELNRWNI SVLVDLPGVGTNLQDRYETGLVGKTPTDFTLTTKCTFMDSLPDPCLEQWQNNSLDKGT YTTNGIAIAIIRKSSTSDGEPDLLISGAPANFQGYFPGYSYEALKDAQHWTWITLKSH SRNNAGTVELRSTDPKDTPIINFNSFDTGITENDADDKDLQAVYEAMEFSRKIFDNLV PLDGSFEEVWPGPNVTTEAELKEFIKREAWGHHACCTNPIGPDTDKNSVLDSRFRVLG VDGLRVVDASVFPKIPGYYIALPVYMVSEKAADVILSDAA AOR_1_62154 MHHQFRAGVHKRLLMDSMNSPIDRDHAFQPPDFEKGDQRGPCPG LNALANHAYIPRNGVVSFTNVVAATNEVYGMGVDLATILGAMGTVWTGNPLSLDPSFS IGGRDTGVNNLLGNLGGLLGEPRGLIGSHNFIESDSSNTRDDLYTTGNNHALNMSKFM EWYNMSTDGTFSMDLMAKRAKIRMDQTKQSNPEFYYGPVTGLIARNAGYLFPARLFRN YSQENPEGVLTKEIVRNFFAIYGEEGNFTYRQGWERIPENWYKSPVDYGLVKLNLDTL DWLLKYPELGSIGGNTGTINSFTGIDLSDATGGVFNLTTLLKENNLLCFAFEALKFLS PNALAGLYKTLSIPLDMISVAISTSLLNFSCPAFKDMQIGGKPFWEAIQNDFPGAAKS GGAF AOR_1_64154 MGWFDTQSSVSSSHSGRKRSPSRRSTYSTHHSRHSAPSIFSFNG GGSRSRAGRSSPSAYSSSSRRARPRSGFVQKVVHIIKRLLRDIYKYAREHPIKVLLLV LIPLLTSGVLQKLFAMIGIRLPKNIFGGQGGQSRDGGKKGIMEHLNSFMSIAKMIM AOR_1_66154 MKSTALRLVVFAVLCVLATAWTKDDYEIFSLRDEVAATEGTNVT FYDFLGIQPNANQDDINKAYRKKSRLLHPDKVKRSFIANASKDKSRAKNKKPGIHVNQ GPSKREIDAAVKKAHDRASRLNTVANILRGPGRERYDYFLKNGFPKWKGTGYYYSRFR PGLGSVLTGLFLAFGGGAHYAALFLGWKRQREFVDRYIRQARRAAWGDELGVRGIPGV DSANVTAPAPAPTPEAETSAVPINRRQKRMMEKENRKESKKGNRASSRNSGTATPTGE STEPSGERKRVIAENGKVLIVDSVGKVFLEEETEDGEKQEFLLDIDEIQRPTVRDTML FRVPIWFYHKTVGRLLGASSAAGGEIVDEEPSEVPEQTIEQANDSAVAPKSRVSRRRG KRSQKS AOR_1_68154 MSPIQIPVDAITSRFGERFNSLRSQSLGSRFANLRPISEFLDVK RVSKPANFGEVQSRVNYNLSYFSSNYAAVFAMLSIYSLLTNFMLLFVIILVTGGLYGI GKLQGRDLDLGFARFNTSQLYTGLLIVAVPLGFLASPISTVLWLIGATGVCVFGHAAF LDKPIENAFSEEAV AOR_1_2754154 MPQWGRPPGAQRGRQTGRWADPWVEGDPRIEEINSEDEYLLRDN RDFYAKQLTGMDMDEILGWRKRMLEYDKLSDETGFVDGMDYNLHEDGDSTVAYAVQLA LKDKEEWHVEHALERIRRAQMLGQKNVRLSKRELEALERKRMQTGGKRDSTRGNPTTK GSRSRDSPASDVQRRGSSISQGEQTTPYRLAGSSWARSSDAPSRQSQPPVPSPKSSLR YSERHSTRSPQASLRGTASAYPLPDDPGWVPSYQLPSSRDRHLHARRSSVDPLQGASR RPSSYMSTYQAVASPSVRGSFTPRKTALRSTVGGSHDDDDEESDSDDNDRVHIVDVVG RKVPTGRTAVGRGSRQRRRRS AOR_1_70154 MWIISFWIFPVISAFMWIAMLLAMLGSWAVQGTPVYSSMEEGQT IAYISDIGAQGLKPLFITGSVITVVFLDLSFISERWLRHAGQLVPNKGRFDKACAVLS IFFSIAGALGLILLSIFDTVRHPHMHDGFLVMFLVGYIISAILICAEYLRLGVFYRSQ HRVLFASFVIKLLFIIIEIALAIAFAVLGKKGPSKRNAAAVLEWVIALIFTFYVLSFV VDLLPSVRTRRHVPQGEKRIVRSEMENGMAQPNATIEEPLTTDSAGPNMDYSYYRGQR M AOR_1_72154 MMTSHPRSSSVDLDSPDRPFDNIINFRDVGRSINRLMGKKVLNE GVLFRSARLDDASERDKRRLTDELHIATVIDLRSTTEHQMATAKLHAETGTSTPPNNN NTDHLFQLPHVRRQLISLTGKAFERSLLWRLDWWNFFKVLALAASGYRNDAVIIVGEQ VMSPRGLTGLGLDTLDSSTAEMKEIFELFASQNDGADRTYPALVHCTQGKDRTGLVVL MLLLLTGVVSDEAMTADYVRSEPELVVEVEERMKEIRKLGLSEDYTKCPDGFTTEIRR HLQERYGGVDGYLRFVGVEKKKLDVIREALVA AOR_1_74154 MRLLSFIYLVWLALLTGTPQVSATDNGKTSDVAWDKYSLSVKGE RLFVFSGEFHYQRLPVPELWLDVFQKLRANGFNTISVYFFWSYHSASEDVFDFTTGAH DIQRLFDYAKQAGLYVIARAGPYCNAETSAGGFALWAANGQMGSERTSDEAYYKKWKP WILEVGKIIAANQITNGGPVILNQHENELQETTYDSNDTKVIYMEQVAKAFEEAGVVV PSSHNEKGMRTVSWSTDYKNVGGAVNVYGLDSYPGSLSCANPNSGFNLLRTYYQWFQN YSYTQPEYLAEFEGGWFQPWGGSFYDSCASELSPEFADVYYKNNIGSRVTLHNIYMTF GGTNWGHSAAPVVYTSYDYGSPLRETREIRDKLKQTKLLGLFTRVSKDLLKTYMEGNG TSYTSDDSIYTWALRNPDSDAGFYVVAHNTSSSREVTTFSLNITTSAGAMTIPDIELD GRQSKIIVTDYSIGSESSLLYSSAEVLTYATLDVDVLVFYLNAGQKGAFVFKDAPADL KYQTYGNSNLSALETSQGTQYSYTQGEGVTAVKFSNGVLVYLLDKETAWNFFAPPTVS SPTVAPNEHILVFGPYLVRGASIKHDTVEIVGDNSNSTSIEIYTGDEHVKKVSWNGNL IDTRATAYGSLIGTVPGAEDIEISLPSLSSWKAQDTLPEISPDYDDSRWTICNKTTSV NSVAPLSLPVLYSGDYGYHTGTKIYRGRFDGQNATGANVTVQNGVAAGWAAWLNGAYV GGFSGDPDKVASWEVLKFNHSSLRSRDNVLTIITDYTGHDQNSQKPIGTQNPRGIMGA TLIGGGNFTLWRIQGNAGGEKNIDPVRGPMNEGGLYGERMGWHLPGYQVPESALDSSP LEGVSGAEGRFYTTSFQLDLEEDLDVPIGLQLSAPAGTEAVVQIFMNGYQFGHYLPHI GPQSLFPFPPGVIKNRGQNSLAISMWALTDAGARLEQVELKAYAKYRSGFDFNRDWTY LQPGWKDRTEYA AOR_1_76154 MATIEQPSVQSIPRSRSTRLADQAASAALYVTHPERRLSAREPS AFETDLSNLKVPGSRPGFSHASAVAALAQAKNRQLEAEKQAAAAFYAQDHHSDISSYG GNRAGASARRDRSSISSLPPSTEISAADLTPEARREISEREKAVRAARGALYSNRRRA ESAPSEAPYTTSAGQLGDIDAAMEASRIQHLAHVNRRLFTATPPVAPELEEQRRRSVL QAAAVSMARDMYSLTEATEPEQLYPSIPGTQANHGRVRPKRSVSRPEAGAIQRAVNLQ ETAQKLAAEKLASMQDDTAVYREYYRLEQQDTRSSVPTRRRRLSIDSDASEFDVERSR EIRHQMTSLRTKLDAVDEQRDKDRALLMEAAKKNVDAVLQDMEMRVYAETGRAPAALQ KEWEEAALARARKDMQENDRQYYSGEKVNLGAQKFIDMSDVEALARSRLQPTFDEITD RAETERAKELERRLDEEERQRREAVARQREADLVAEEKRQKAAMKQELKGKGEKTWLW RKSRKSQDHEPTIGKHTVTRATEGLEGAAEEHAKSAPRETEQPQTTLAGNEATASGAM VDTGSTEPITRTESKLKTWFSKLGGRRPSASTGEAPKVAEGNRETPSNAVEETAQAAE GPNESVEAAETADATNLAEADGDNERGAPLRSNPVTADDLQEMQRKSVDAGTLEAMKS EGQAVEPGSKESPEQNGDKRSRLKSRLSQMVSKNSQDKTDGVTDHNKEDDEGSVPVEH TAADELPSHATERDELRESAADQGLPVPPAIGKRASNGTTGRESRFSEDL AOR_1_78154 MGLGVLEDTALAQVPGTSDILKRECFNEQTDVDSNLKYDRSGTV PILLVPQPSDDPNDPLNWPLWKRDITLLALSFVAVLCATTNSLMAANTVTISLHFKKS FTSVALLTGYHLCGVGVAGILIVPTARVWGKRHLFLLGNVLMVISCGWAGGSANNYTS LVWARIFQGVALAPFEALVNACVGDLYYVHERGKRMALSNVALFGAAFLTPVLAGKIT HSLGWEWTFYLLAIFSAASLPLTFFLVPETAFRRPEYLNNDLKQIVNQRTENRMCSPQ QSNEYQHEASHISGEKKHLSSLTNTESQAGTQERDTRLNTIVPTKASYIQTLKPFNGR KTDENFLKLLLRPFPLFFHPAILWACLIQGVIIGWTVFIGVVLAAIFLGPPLWFNEVQ TGYLYTGAFIGSILGLILSGLLSDSMNKIMIKLNKGRYEPEFRIFLVVFQLVFSGAGL YGFGIVAQDVGKYGWLVVDMFFALVIIGMVMGAVASALYIVDAHRQIAIESFTCMLIF KNIFSFILTFFAYEWLLSNGIKPAFLAISSIQMGICVLSIPMYIFGKRNRSFFARHDL LKILHLW AOR_1_82154 MKLYYISVILMTCLSTALAQGMDGLPDCAKDCATGSIPKQCQTI DVACICGDKSFINSISCCVANKCSKDQQDAVLKFASQLCSGAGVNDLPKSASCAEGGS SATETSSDSSVSSKSTTAKSTATDEPATTTSGSSDSSASPTASKSDSNTKTSSTSASS PTTSTGGAALVQGKDTSLLAAIGAAILAFLA AOR_1_80154 MGLLSSKTLIQAHALFLFILAVYLTRSPEVITDSDVVFMLGETL QIDAAPSLSRPQSPFALCGILLVADALVDLILVTKVPRINEIIAMAEIARSAAPTSIA GAMRTNPFLARLASLYTDIWTLLSASRFCLFFAVSFFIYQSKPSDWGVDVRHTVDGYG QESASGLNQLKNRVVFTYGFMEMMFWLWIFLTLREERHEIAVRFAEEEQQLS AOR_1_84154 MTEAVFQKERHIKYFLRCLKTFLPSLYTSNDSNRVLLAYFTVAG LDLLGELYNKTTSEERQGYVEWIYHCQVPSGGFRGFTGTDFGSERRTPENEAWDPANI PSTFFALVILLILGDDLSRVKRTECLQWLSKMQRENGSFGEVLGTEGKIEGGGDLRFC CFGAGTRYILRGKCGDGLEGIMDIDVDKLVAFIEACQVRIAPLAYDGGIGEGPFCESH SGHTYCAIGALTFLDRLSKNHKPIALLSPKTGPFESLVRWLVTRQTCELGDDEEESDE EDGHGVDEIGPLSATVEEPSLDTKVDQLPVVPPETEDSLRWAGFNGRCNKYADTCYSF WNTASLNLAQSYHDLLEEFSSKDLRSVGNYTLGRLIGKGSFGKVYLASHKLTNGSKVV LKSSSREDTNLPREIHHHRQFLHPHIARLYEVLVTEKLVWLVLEYCPGDELYNYLLRH GPLPVDKVKRIFTQLVGAVAYVHSKSCVHRDLKLENILLDKHENVKLCDFGFTREYEG KASYLQTFCGTICYSAPEMLKGEKYAGEKVDVWSLGIILYALLAGELPFDEDDDQVTK TRILTEDPAYNDRFPDDAKTLINLLLSKRPLIRPSLDEILAHPFLAEHAPEQLAILKI PRPAPFTTPLEKTTLQRMKSAGVNIDEVVDSVLAQRCDPLAGWWALLIEKEQRKEQKR ERKRRERDAEAKNIRRLSAASSRLEKISAALVEVDEEGHASLNAPLQERGRRDRRSLP SQFAVPELPSLPEPVPIQSPTSSNPPPPVDKDSVRSASSTRHRPLPPPKDRRRSSRPS TLHVSASQPELAQHNGIFRRRTGRRQYPILSQLASLKHWFVESTKRAKSPHSKAAGAQ GTHRKFLSDKLSPSKGQDTGKKSAQSSSPVPATGELSTPTQIKRASNASSLARSSASY PNHRHSYPRQTRPLSTNASHRNSLSPSPITPRGSYRRSSAGLRGRKSTSSSISSIRSI HHTHTHSKASSVSSNSIGSTSTPTARASRSPHSSVKVLPTTPSASSRFPSNIRLVRGV NNGYHEVDDPNGRMTSIFNESAPAPLLYSPSSSLVFARRKRSAFKGPMVHTTNLMVSG GMAAPEFPRSGVAVAETSSAARPVARKSQIIEEDIEEDEDIEEVDAFTGTEEEPGSPT EMKTDEHTGSEYASDLSGRSSKPVLAPAPDLDTSPLRPPRSSSLKASKLKAAAGNSPR SVRSGKRAMT AOR_1_86154 MGLLHFLQTRSGFKVDNRKTTSAATLTLRQSLWPLCLVTILFFL WGFAYGLLDTLNKHFQITLGITRTRSAGLQAAYFGAYPLASLGYANYMLRHFGYKSVF IMGLVLYGIGALCMLPAGLNRSFGGFCAATLVIGSGLGSLETAANPYLAVCGPPKYAE IRINLAQAFNGIGTCVAPALASYVFFADTSDDVDALKSVQWVYLAIGIFVFILAGVFF VSNIPEVTDEDMASQVASTHAGEQEQPFRKQYKLFHATLAQFTYTGAQVAIAGYFINY VTETWPGTGDSTASKYLAGAQGAFAVGRFIGAFFMRYVKARWVFLVYLSCTVAFIAAS TTQGYKTGLAMLFLTLFFESVCFPTIVALGIRGLGRHYKRGSGFIVAGVSGGAVVAPI LGHVADMRNNTGFAMIVPTMFMIVAWTYAVAVNFVPAYRDTVDKTGESDVGLQAGGGV PKEDVEIGAMGRSKEVVVR AOR_1_88154 MEHSYLYSPKEVLEHFSVSEHSGLSQEQALKSRQKHGPNALAED PPTPLWELILEQFKDQLVLILLGSAALSFVLALFEEGDDWTAFVDPIVILTILILNSV VGVTQETSAEKAIAALQEYSANEATVVRDGKTQRIKAEDLVPGDIIHIAVGDRVPADC RLIAVHSNSFRVDQAILTGESESVGKDTRAIRDKQAVKQDQTNILFSGTTVVNGHATA LVVLTGGSTAIGDIHDSITSQISEPTPLKQKLNDFGDMLAKVITVICILVWVINIEHF NDPSHGGWTKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMANKNAVVRSLPS VETLGSCSVICSDKTGTLTTNQMSVNKVVYLDKTGNGVQEIDVEGTTFAPEGNLSQNG KVLQNLAVSSSTIRQMAEVMAICNSANLSHDAKSGVYSCIGEPTEGALRVLVEKIGTD DAATNMKIFQLPASQRLRASSAYYEGRLPLKATYEFSRDRKSMSVLVGTEKKQSLLVK GAPESILERCTHVLLGPDGPRVPITKEHADLLSAEIVEYGNRGLRVMAFARVDDVGAN PLLRNAQTSDDYAQLERNMTLIGLAAMLDPPRVEVADSIKKCLAAGIRVIVITGDSRN TAEAVCRHIGIFAEDEDLAGKSFTGREFDGLSDSEKLEAVKTASLFSRTEPSHKSKLV DLLQSLGHVVAMTGDGVNDAPALKKSDIGVAMGTGTDVAKLAADMVLADDNFATITVA VEEGRSIYSNTQQFIRYLISSNIGEVVSIFLTAALGMPEALVPVQLLWVNLVTDGLPA TALSFNPPDHDVMRRPPRKRDEPLVGGWLLFRYMVIGTYVGAATVFGYVWWFLYNPEG PQISFWQLSHFHKCSAQFPEIGCEMFTNDMSRAASTVSLSILVVIEMLNAMNALSSSE SLFTFALWNNMMLVYAIILSMTLHFAILYIPFLQGLFSILPLNWVEWKAVLAISAPVI IIDEALKFVERQMYTTTPKAIGQQNGAASKPKRA AOR_1_2756154 MPDSYSDIEIRIVQACTIAQGQKKPNISALARQFNVPYQRLRAR IHGRANLSSRPISTKTLDDSQEKALIRWIRQLDNLYSPPTAGMIEQSANQILQRNITD GQSRTVDKNWVYRFIKRLPEEFKLIQQKPKDKKRLDAEDIGVLQHWYDCLEAFIKNIP PKNIYNFDETGFQLGQGKTQKVVTTMPLRAARGNPSKEVGELISAIECIAADGFTLPP YFIFKGTYHLERWYDADIPEEYRISLSPKGYTTDKISFDWIQHFHRHTKHRIPTKKEV RLLFFDGHESHLTYEFLQFCGLHYIIPYCFPPHTTHLVQPLDGQPFQVYKHFYRKRNN ELAQRGAEMDDKSDFLKEIHSIRTMTFKQRTIRDAFEKRGLYPLDSEKVMKSLREALE TAPELEIITTPSPPPSSSSPPSTIRGLRRSISKAQSFINNSPELDQSFVRRLDRVFQS SLETTELAAQLKDDLQQHLRYRKPQDRRKSQKRVKYHGPLTVYDAKRRIADRTEVERL QGLRQIRKTGALEYDKPPQPTNTGDLPSTEAGQVDREGPRLPYWIDTQGDVV AOR_1_92154 MTSERENKTFLARLCEQAERYDEMVTYMKEVANIGGELTVDERN LLSVAYKNVVGTRRASWRIISSIEQKEESKGSEQHVSIIRDYRQKIETELEKVCQDVL DVLDESLIPKAETGESKVFYYKMKGDYHRYLAEFASGNKRKVAATAAHEAYKNATDVA QTDLTPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDSLSEESYRDS TLIMQLLRDNLTLWTSSDGQEPEGAASKEDKPEEESAPAPEDKGEESKPAAPES AOR_1_94154 MAPERWDDEEDSVSPPPVAPRRRFDDEEEDEVLDSWDAAEDSEV EREKAAKAAEAKAKADAEAAAKKKSKSQRIQEHKEERKKKAEEEDSDSEEEDDADKRA RLRRAQKDADLKHAEDLFGDIDLNRNRGAPKAIVISDSADPTQAVDLSAMPLFKPTTK EQFARLTSTLIPLLTPHSKKPHYSLWAQEFAKQLVKELNSADVKKIASAMTTMSNEKM REERAADKGSKKSKAAKTKVSLVTSRDNKLDADYDNGDDGLGDDDFM AOR_1_96154 MPITKIHARSVYDSRGNPTVEVDVVTETGLHRAIVPSGASTGQH EAHELRDGDKTHWGGKGVLKAVENVNKTIAPAVIEENLDVKDQSKVDEFLKKLDGSAN KSNLGANAILGVSLAIAKAGAAEKGVPLYAHISDLAGTKKPYVLPVPFQNVLNGGSHA GGRLAFQEFMIVPSAAPSFSEALRQGAEVYQKLKTLAKKKYGQSAGNVGDEGGVAPDI QTAEEALDLITEAIEQAGYTGKMKIAMDVASSEFYKADVKKYDLDFKNPDSDSSKWLT YEQLADLYKTLASKYPIVSIEDPFAEDDWEAWSYFYKTSDFQIVGDDLTVTNPLRIKK AIETKACNALLLKVNQIGTLTESIQAAKDSYADNWGVMVSHRSGETEDVTIADIAVGL RSGQIKTGAPARSERLAKLNQILRIEEELGNNAIYAGEKFRTSVNL AOR_1_98154 MNGAADPEREQALEDYKKSLLELREWEAKLKSLRMGIKDLQREF DISEENIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVGCRSKVDKSKLKQGTRV ALDMTTLTIMRMLPREVDPLVYNMSLEDPGQVNFAGIGGLNEQIRELREVIELPLKNP ELFHRVGIKPPKGVLLYGPPGTGKTLLARAVASSMETNFLKVVSSAIVDKYIGESARL IREMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGK TKIIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHSSTVQLEGDIDFES VVKMSDGLNGADLRNVVTEAGLFAIKDYRDAINQDDFNRAVRKVAEAKKLEGKLEYQK L AOR_1_100154 MSFHYPPRNPSLSPQPPLENNSWRASRSPGPKLGGYGLSQSAGV SNNLTTFFGDGRTLPMYKDKPYFAPRRTGPKVRQRRVLYGGLCLFFLVSLWYYMSGSW GKPEIKTSESQKGEELWAWVQSLDKEPAYNGEELKGIDWAARREKVRDAFIVSWDDYA KNGWGLDQYRPAAKDGKNMVEGGLGWIIVDALDTMIMMNLTSRVQHARDWIQHSLQYN QDHDVSTFETTIRMLGGLLSAHYLSTTYTDLAPVSGDEDLYIEKATDLAERLSGAFES SSGVPFASINLKKSEGIPAHSDNGASSTAEATTVQLEFKYLAKLTGEAEYWRMAEKVM EVVDRSKMEDGLVPIYIYPDTGKFRGKNIRLGSRGDSYYEYLIKQYLQTSEQEPVYKE MWDEALIGIRKHLVAYTKRAQLAIVGERPEGLEGKLSPKMDHLVCFLPGTIALGATGG IPLSQAKKSPYWSQRHDEEILLAKELMKTCWATYLATKTGLAAEITYFKLDNPAVMMQ DMYPESTLTTGNRKSEQEDLPLKSKPLYPLDDKTLNWENDLDIHMQDRHNLQRPETLE SLFYMYRITGDETYRHWGWEMFKSFVRHTAIVEHDNTHADPTSDKPAEPSRPQIISFT SLNNVDVIPPTRRDNMESFWMAETLKYFYLLFSDRDFIPLEENVFNTEAHPFPRFKLG GELRTGWERKSSESESVPGSAPQEQEQAP AOR_1_102154 MKSIYSLVLCTALTAASPHPAFPQSPLGVPTTSSPSTGTFNSAE EVINASPFLSFHRDIVQIESISSNEHNVGEFIADFLRARNFTVIEQAVTSSSQTENQE RFNVFAYPSSNTPEILITSHIDTVPPFIPYSLDTDSTTDNDPSTIRISGRGSVDAKGS VAAQIFAALDVLEQNPSAPLGLLFVVGEETGGDGMRAFSESSLNPAPSAFHTVIFGEP TELALVSGHKGMLGFEIVAKGHAAHSGYPWLGRSAISAVLPALSRVDQLGNIPADKGG LPSSPKYGNTTVNIGRVDAGVAANVVPATARADVAVRLAAGTPDEARDIVRRAVRDAT DGNPDVYAEFNTRSEGYPPQDLDTDVDGFDITTVNYGTDVPNLQIHEREDGPVRRYLY GPGSIHVAHGDNEAITVGDLQEAVRGYRKLIEAALQRR AOR_1_104154 MLKLSQLIDTKGRDSSEVMVDSYRQPPQSPLTNTSSTPVSPTVS LFSAKGHTRFSSSVSSLVSSPGHNNSMEIASKNPLTGVKEESCGAPARDLEEDYFQHF DQDLSEFEGPYFASVDYSDEYDLTDAGMDIPHSPKKRRSDSVSAKGLSRIGSRISTIS NRWKSRQGSDGFDALDAFSMRSRTNSTSSILIGPTIVPVSRVNSVTVPPSPARTIFEE RLSESGALPIDIAKANRHSQGNDDASPKATTPLLPPFMGDQPTYPVTSRVHSPLQSPS VADMSEDACDGAASRDSRLASLPSPPLSTRPSISSFNRPRASTVRPASVDAPPYLLSD PNDEWANKLGHANFTIQPEPYVPEVYDLESFRQLRAQWDLAQCNFTKHLVRTGEHYGI TSTIYKLTEEKWDCVNSEWKHHHEMMLSQLEVTEGHRLHLIESQCDPCEQIKLPRLHD EKFPELGDGEIVGPMKIAPATSGSGRCRSQSLKRNFFRFFQDLMSRS AOR_1_106154 MAGSVKRPASTTGTISPPPVKRKIESTLTKQSVSSFFTPASQKK PEQITWRIVNNSLVVGKYAKKADHKQTIEKPKVAAFDLDSTLVSTASGNTFPKNSSDW KWWHDTVPSKLKELSADGYYVIIITNQKKISLQKDMKGGRSDSKSLTNFKERASAVMK QLDIPLSVYAATLDDGYRKPRIGMWKEFLDDYDFDVNGVDLSKSIYVGDAAGRPNDHS QVDRGFAVNAGVPFKTPEEFFLNAAPEPLVESFDPSLYLQSDQTDDASPPFSRQSALE LVIFCGSPGAGKSTFYWDYLEPLGYERVNQDILKTRPKCIKVAKEHLTAGRSVVVDNT NADPETRSHWIEIAKEYSIPIRCVYFSASPALCRHNNAVRAANKSLNPESRALLPGIA FGDFGRRFKEPTMAEGFKDIVRVDFRFRGDEESQQIWKQYWI AOR_1_108154 MHELLLFASVPAHQHHELLQQLAGLTAMQPRHRLERRLIFKAYR KPGLINTRVGASQDLQGNEMQRLNKMLNGGMFYTQVVGPVSEADFGAQSSAASSGDPD APMSGTDTGTNFEYHPYSYENQPWKLEFRDIPEAGTRSAVTTRLMASASLPKGDITTP MNAWGYSFVTEYVVEGDVFILNDIVIYLHRVLHYPAESSGSHEPRRQLPPFQQMSPLE KTGSYVLQASIAVQDGGNQEMMKTASQHLFGLREQLKSAVRLEQADRLSLDTRAK AOR_1_110154 MHATAALRSAARTPLIRFLGRRSVPQSIDHTPRPHPASPSGALP DSFAAYRVKAQQHGPLSRGSFVEGAIGRSSGSSLGPVQPKQGEYFDRAELPPRFQRLP WSQAEIEAIETGGASLFA AOR_1_112154 MSFPIGAGETNLFGINADRTPLTLDESTRTLYKRAISDPFSLTD QERRLVTHRPLPEEENTLCQNACGLSMDELIAKAINSNNNDNNNNDLSLGLSNEEARL LTAGVVQGQSGRILSEVARLSPEDRELKARAMEAARTEDVRAAIEVAQRVRQRWTAVQ LAAAKALSNDDIRNIQVAMKVPWQEHVLQSSSTSAGDSSSGGQNPGEAGARFGLVAFY QKEEEEGGGGGGGGGGGGGGVDRLSEYKSQIGTAIYHGLHYSVSLIKDETRNRFTLHW VVVPGSHNNDLDPSALRTRFSTMLANNEIPIGFRRDAFLYVDKEAFDSRETARPYLWL AEPESKPEYETGTTTGAQPGVLPPLKVDIKHIAPTLFARLVQRDLQGEARRKPYRYTS ELSRLHAATDATREGDGIWPPPSRLQ AOR_1_114154 MASDANDTITFTEVDEVNNPTATYIYSGVVDQSTHLSHHDKNNS KPTGRLDVIHPSSKPQSWSLSSLTSLLVEVFLPAGYPHSVSDDYVPYQIFDSLQAFSS SIAGLLSSRAVLQGVGVGNADASPTAALLLHILQDTSGRISTILFAHRVGTALEPECK MYRLAADVFNDAAMILDCLSPMIPAGFGRVTVLSTAGVLRALCGVAGGSSKASLSAHF SRWGNLAEVNAKDSSQETIISLIGMLVGSFVVSRVTSYTATWISLVMLLTMHLSLNYA AVRSVQMTSLNRQRANIVFSTLLNSDTDLDIANFNPTHETHPTPKHSKATQSQKQWQI PTPAQVSKQEKIFETDGILRWVSAPSTQHKLGTCRIGVPLEQFLAPSSTRTGSGSLKT STPISDLSSLFKSEDYLLFLHRNRQSWDARILLKTSSTTQTQLKAWMHVLLAARVLCS SAKEMRTQEIEYIMDTISKTLTFLNDGSRTDQYMSALTEAGWDLNVAALETRSGRRIA CT AOR_1_116154 MLKKKTLYPPLHFWHSTPTSTPELSPTSSSSDSESDEDMDLSGS RPLSLTVPAGAFCPMRPTLDEVLANTAPAPYTLSAFMAYLSQNHCLETLEFTLEAKRY RETYEALSQQLGEYPIGTECPESQHLRMLWQRLLTAYIMPGSPREINVSSEVRDDILR QANSTIPPLPETLDAAVKLVHELMEESIFLPFLNAHSASAQVVPLAEPLFPQEDGVMV VAGPGLDEHAMKRARSKGRRLSPRSSKDFGSPTYSSSHSGRSNFSLSAMTSMGKSSHR HSSHTSSGSGDCSAGLTDDSGSLQSMSTSEPMTPPTTPPSSDAHGLHLAHSPKQRTDN PWKKMGMKLGFKKRSTTGSSGSNKLSGTDE AOR_1_118154 MSSSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSTKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRPNSKAANVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTAIKDLCEGFPEEFTKYLTYVRNLGFEDTPDYDYLR DLLTQALKNAGEVEDGEYDWMKLNNGRGWEYKSYSSQQHLHNNALPNSSARELHAQQL RSSQRPGVTADRLNAAQPPPPSPAKPGAGKTRERQNVQGGMPPKRQSGGMETTPTVST QAQFQNSNANIPGRMGSPANPTKNSQQGPGAQGINEPQPTFVQKVMKALCCGR AOR_1_120154 MAFAAINLSATKKIPAIEDAFAAEPSLKKRVYDAIGTTPQYIPL FEDIAKYTSSLLVRNASAPVQPVETPTDGPAAKKRKLQNGDTAGQAQSPGDLKADAPL QFYMQDISFALPQRKKLTLEITAGRGFLRARNQTSKAVEFGIHVDKIQHVLCLPVPEK NQKQFNFCIIPQYGDGINSPPEGETAPEAMVWTVNDGPPRAAFSGNGQQMGSNDGQTA ENVVRQMLNENLSQTKVVRPDEREFVSAMPEAHRKGEKAYHVKAFRGSKEGYLFFLST GILFGFKKPLVFFAFENIDSISYTSVLQRTLNLNIVARPTSSDETQELEFSMIDQADF AGIDAYIKKHGLQDASLAEARRAKRYNINGAKTGEEGAMDADGPVEEESELQKAQREL DDEEDEDEEDYDPGSDDSNDGSGSSSEEDSDEDGDEDEGEEEDGQDLLADELGSEAED IPAGQL AOR_1_122154 MQKVIRRTALARNQAQRKAVRAVKDAEREEFKDHLRQRFALNRI ELDNIRAERQRRREDWLRGPLAPQRDAGFEGQSFGALSPQAMNPPPIPKHLRRKYINI AVGDRVCIMKGRDKGKINEVVRVDTSNETVNVRDLNMNDVHLPSWINSQYGNKGTVNA MALPIPIDDVRLVVALDDPATGQTRDVLVEHVHGGEPILEREHGTETPRHTRYISGEN IEIPWPRREPPVLNDEEWDTLRMEVETPTWTPSLHYAPFPPSVLDELRNKFSKYRTRH DPEWVEAKKMEDYRKEYLQSRSLLTPKGELIAMIQAKKKERLDARRDANGNMLMDDQT AGFIENFLKEKVANKA AOR_1_124154 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKRVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISE VLHFCQGLPIILVGCKKDLRNDPKTIEELTKTSQKPVTAEQGEEVRKKIGAYKYLECS ARTNEGVREVFEAATRAALLTKTHKKKKGCTIL AOR_1_126154 MSGSDLASVVPAQLAYLTIYNPLLGPTDETIQDQVVFYTSRSDR LRRSGSFTTDNENKETNDGWNVRLRQIGLAQGMVSFARNFSKGEAVDYVETDKSLIVL HELEKNWWILASIDLTRLPTHSSSGPSSQHDASETSSSSHYSSREMCPSHHLIQQLRR AHSIFLLHHDITLDALYKRVGRSTFCPLLENFWLRFAWNWDILLSGNPAVDVYNGIKL SAGGELGIGVGEEEWGSGEREVFEDFVARTDGLVDLVVSRFGDPNTLGESAATANKSA DTTRDDDETHWLGLDTYPRPSDGVIFSGVGTISRSSVVRISQWMEWIYKYGIDAYGVG EDPTSPRRRKHRRRQRGRPRRDANAMTQARGNSQSRDTDGSFSPGIPRPLVVGTTQST QPPQGPDGVSLQSSGETSPARSDKGSDWMGVTTGTFVKYLTLGYGSSWSMSRTPSAHP RVEALKREDDSASSNKQTGPPTDGQEGSEESPSVPEDPPKPESRGKFLIGLKNEKAKR DSKSLETDPTIKKSRDRITQRTLHMYLANPSEDNPSGTIQQRAVVYIHKPFIYTFLFD PQASSLADPSLYHSIHHQLQPLQGSLSKSTSPANAAARISVSGNAVDINQRFSAENLP FYDLVYDPTNLTIRSSIPNIPDLGFSPLEAPRDPSATPWSRVESLNIHHRLLSTYIET RSRPLELERTCKTSRGWWIVWVRMSDPSYKPTSDDSSISHPDDPRQEAFLIRKASDHV STSSHARSHSGTRFFRDLGGASSPGLSDMGPAKLAEGLGLDARRYIESLLNLNR AOR_1_128154 MFLPRGPGALFPIKAAATDLSLPVHEIDTFRGWTPPVLPGGPIN LIVAVSFGLFVPPRILHGAKYGGLNVHPSLLPDFRGPAPLHHTLLAGRTRTGVTLQTL DLKDFDHGVILQQTPSPGFEIPNPESCTVPELLNLVAPKGAEILVDGIRKGLFVPPVH DAGWRSPEEHESLIHAAKIKPEDRHIDWANWTWLDISRRIRVLGPLWSKALVINDATA NPSSFQYRRVIFTEMEEVEPMKGSEAYAVVPGLPFVDGAHPIESRQGKGVYVFTQDGK LLRINQMKVEGEQNADALRAALKARMVGGRTFSSNGSDYTPFYNPLQ AOR_1_130154 MMFLPQWSLRSLLGLFTIGIVVGVSAFIVLIYALYTSQNANIPK WRSKNTPTHLLVVLGSGGHTAEMFSMLRRIKLDPSQYAYRTYVVSSGDNFSATKAVEF ETRYLNQVQKATTTDCSPAESYTIVTVPRARRVHQSFLTAPFSTLRSFWACLLVLRGQ YADQKRLPSSIISPYPDVILTNGPATAVCVILAARLLRLHNFIRGFVPFTKPLGGEYL APTDYQLRTIFIESWARVTTLSLSGKLLLPFADRFLVQWPGLEGKRAWKGMRKTEYVG MLVD AOR_1_132154 MAPLFAKRLTCFYCGRRSAQTEKGSIRKWRCNACEAINYLDEKG QIADPPAADTNPNVYGSDVSSTPFESTDITGSGLFCAQCIRNQHLFTSALAAYFPPTD DPNYGAYEQEYPKFRKNLEERYPQVCAKCEPRVKDRIRQAGYEAKSDHLRRMMDRSKA GRAARHARKWNWRSLLLYAGAMTYWASVAGQLSWNLVGALATDELLRDPDDLLTPASI VKCVQQTLETRRMPSYCFVDLAPYAGLSLVAGIISAWYNPKLRFKVEGRAGRFVGLGE YYQVQLIVMVVRCVFWALLRDPSANGLQSNLPPTLHTFMFIFTALSVLFSRRIAQYDT RPLVNWADNTPAATPARGRGESPVYSTGSKQLFTSPSERLQQGTPRFPLEKLATPRPV PEEPTFPTPPPEGDDMDWTPSVQHNVTPTVSVHQRERKSVLDGPLPFYGSLPAAPKPP SWNLRNQPVQRQKPIEQVVERNPFHRTPAQPSSPWARNNGPFDTAFAPPKFFPMSDHA ASTGLESLFDKAFTIKSPEDEDHGAWQSQQQTTNTRPHQSVDLHSYFIFQYLRLGLLL SSIAAWLVSQYGHISLPGDCIEVASLGSASLIAGFALLEALKQPLAQWNGMEILVYFA ELVAAVHLGGNLPHVSYERHYFDRYGKLLLIFMTVQEALGLLSLYRFSSAISSGQVPQ ANQNQPPPAGLPSTSPRLENSSGQGLQSVTTQQSVPPLSFSSTVTGSSFSAQTPEARR HHHFPSYDGGHQDYSFSLKSLKGDESDVSDPLDRDSDTETTVTTATTATNATIRNIRY GRSGSDAFLSPRRSELGPGIGGLSLDDEPSRRMTRSQTQKLRRFPGRGNVRTK AOR_1_134154 MNVFVTLLLVALMGCPLVKAMPINGGMAHQFQPSLSAHAGLAVG LSMGIPLLAAPAENTQATPAAAAEKENEAPAEQPKEEAGMYTIPE AOR_1_136154 MSVILRRLVHNEAMREDPKEIYGWRVYMLACSACFGGMLFGMET GIIGGVLTMDPFQVKYGLKNLGDIGEANLSANIVSTLQAGCFFGALIASPVADKWGRK TGLISASLIAIVGVIMQVAASGHLEAMYIGRLINGFGVGFASMINPLYVSENAPRAIR GGLTGLYQLFITMGIMLAFWINYGSSLHIKGTAQYMVPLAMQALPALLMLVGMLLCNE SPRWLAKQDRWEDARKTLSRVRNLPSTHQYIENEFQDIVNQLEHERQLIGGSGFWDLM KEMWLIPGNRKRAMISIFLMVCQQMTGTNAINYYAPQIFENLGITGTTTGLFATGVYG IVKVVACAVFLVFVADSLGRRRSLLWTSVAQGLAMLYIGLYIRIAPPVEGQPVIPAGY VALVCIFLFAACFQFGWGPVCWIYVSEIPTARLRSLNVAMAAATQWLFNFVVSRAVPN MLATVGANGYGTYIIFACFCFSMGVWVWFFIPETKGLSLEKMDELFGATSSDTHLKTE DVERSASQVEGDHKDEVATETRVERV AOR_1_138154 MAPVLKKYKAAAVNAEPGWFDLEESVKRTIHWINEAGKAGCKFI AFPELWIPGYPYWAWKVNYQESLPLLKKYRENSLPSDSEEMRRIREAARANKIFVSLG YSELDLASLYTTQVLISPTGDILNHRRKIRATHVERLVFGDGTGDTTESVIQTEIGRV GHLNCWENMNPFMKAYAASLGEQVHVAAWPLYPGKETLKYPDPFTNVAEANADLVTPA YAIETGTFTLAPWQSITAEGIKLNTPPGKELEDPHIYNGHGRIFGPDGQNLVPHPDKD FQGLLIVDIDLDECHLSKSLADFGGHYMRPDLIRLLVDTNRKDLVVHEDRVNGGVAYT RTVDRVGLSTPLEAATPNGEQEE AOR_1_140154 MSVQANGKTPVQAFSRSPFRTRRDFQDACRALLDPLVPRFTAGC SRVKIGSSTTRFDEGGAQIEGFARPLWALAALLGGGYQYPEAVRWYQGLINGTDPHSP EYWGDIEDLDQRMVEMCPLGFALAVAPHVFWDPLTIQQKHNVANWLASINEREMPNTN WLWFRVFANLGLRRNGAPYSLPRIEADMDHLDTFHVGGGWSNDGPKSHHQMDYYSGSF AIQFLQLLYSRLAADFDPQRAERYRERARQFALDFVYYFDADGAAIPFGRSMTYRFAM AGFWGALAFADVAPPAPLTWGVVKGLLLRHFRWWATQEDMFSSDGTLNLGYSYANMYL TENYNSPGSPYWCCLSFVPLVLGETHPFWAAKEEPYPIASLSPVAALQYPKHIVVHRG GHSFLLSSGQACHYPLKATQAKYGKFAYSASFGYSVPTGSYQLEQHAPDSMLALSEDG GDIWQTRRLAINARIEYHDDTPVLASSWKPWSDVEVETYLIPPHEESENWHIRAHRVR TGRDLMTSEGAFAIYGCRSDNGRILGPLAERPSEGTLQESQKALTVSSVGVVGIVELQ PGVDRAGRVVLADPNSNLMYGRTLLPSLGADLPSGSERWFVTAVFALPAHGDGDAWRQ KWERLPVIPEWLKRVMESGGQ AOR_1_142154 MVGSSASPCLQNAPPDSSILFLYINQPCVVIGRNQNPWHETNLL ALQNDREPITREKNDNGALLVRRRSGGGAVYHDEGNLNYSVISPRTTFTRNKHAEMVV RALHRVGATNTSVNDRHDIVMSTGQPQPRKISGSAFKLTRHRALHHGTCLLDTPNINR LGSFLRSPARDYIKAKGVESVRSPVANVSSVFVDAMMPFSIERVMASIVEEFAQMYQV DADAVRRAQRAHVLEPELYAGDTWVAGAVGESQGYGEPDIKKGIDELMSLEWKYTQTP QFIFSTYPIEDDPRERLPLPSTLPPATRVFLRLKHGAIIESHISTSGDASEASEQAAR VHEALNGRKLHEITPAQWREVLLDRLGADVEDKSLVELAKFIGSKLGWDTSS AOR_1_144154 MSTWGEYFKVTTYGESHCRSVGCIVDGCPPGMELTEADVQPQMT RRRPGQSALTTPRNEKDRVEIQSGTEFGVTLGTPIGMIVRNEDQRPKDYGGSTMDLYP RPSHADFTYLEKYGVKASSGGGRSSARETIGRVAAGAIAEKYLRLSHGVEIVSFVSSV GNEHLFPPTPEHPSPATNPEFLNLIENISRETVDSFVPTRCPNQEAAARMTKVIEQFR DNQDSIGGTVTCVIRNVPVGLGEPCFDKLEAKLAHAMLSIPATKGFEIGSGFGGCEVP GSIHNDPFVVSDVETRTGTETTTKQRLTTKTNNSGGIQGGISNGASIYFRVAFKPPAT IGQAQTTASYGLEEGTLEAKGRHDPCVVPRAVPIVETMSALVIMDALMAQYARESAKN LLPPLPKTIPTHPTVKPGSA AOR_1_146154 MNVFVALFLVALMGCPLAQAMPINGGMAHHFQPSLSAHAGLAVG LGVGMPFLCLVAIFIWRYNKNAKREENRARDEWLRDLSLTTQRRIFWSPLETHLACHI KRPVMAEKDPGSVQKPPKCVVKDGRLLLCACHQPRTHDAEIRRAEIRHSVGAGGMYTI LE AOR_1_148154 MNAFVTLLVALFLGYQVSAIPYIPSHLLYSAQYNGSMAYLLRPS ANYTTEFLSLNVSQDIDSAKPQYTTLLDHAPFQLDKQTPALIPAIDQHGIVKVYVGDC HNTSGYGALWQFTPDAASSTGNGTWERIEVNGTGQSNVSPHGPNYLAAGFTYASTNIT NTSFYAFGGMCPLASASEATWITAANYSQSMIALEPPKADGLTSYRISATGDRAPPIP EAGFTITPLQATYRSTSSGTLLQQQDFLMIGGQTQNAFINMSQVAVFSLPQSSWSFVT IDSTQSLGRTELAIRDSSVVEPRSGHTAVLSPDGSKVVVFGGWVGSTSIPANPQLAIL ELAAESTGAEWAWKIPSTRDAGVAEGTGIYGHGATMLPGGVMMIAGGYHIAQPSKRSV TGTEVNSEILLYNVTSGKWVSSYSNPGPLQPDGESRSSVSRRTGLGVGLGLGIPCVAG AAVFLWFYFRRRRVRRTRNQMLRELSLGAERSIFWSPEESHLAGSTYKPSQIMAERTG LLREIPSPTETNRLPLNARIYRPPAQCNEYRRSDGTGDMHPIDEEDEAHGAVGGDSTG LLQKMTRTELSLEGATEFATSIGRPSEGQSSEHADRTYSNISDFSRLAGHPSRGTIDN HPSHPSTGRESPEKSSSASNQSRETWSRPNSTVISHERRSSDSFSTAHTTISQRQAEG EHLLPNDPEPSSPIDLIPRPLSISKPKAEWIGNVRRVLSMTRKRPQSSGDGSVASTAS GIDRRSAVLGSAGPLFDYEPESKLPRRSVSASAELFRRKQGAKDWGTGNIVSRELTGR TTRDDFGLGGVLDLDDGDWDVEGAAENRRVQVTFTVPKEKLRVVNATANDMDNISEES ISRSNSRT AOR_1_150154 MDDPATRVPGQLLPHMHLVSRHRFPLMHMMPTDTVVEYLLGAPK IVREAQPMHWTFLDGPQDGTVMLTWQPLNHLGTNFASDGYVWADVEQAFTFEARGYLV EMWLHRSGYHPPNETMAIHCRRRYRLLPSKNPNPNMPPPDPSLWIVHYSRAPPTEHIP ANRIHVLPQVQSMLGQRRFLQSQGQLARKDFMLHDRNNWPTINFPPQVAPQGFAQVPP AYPSAMVGRQPFYPQPGSGVPAPAGGAPAKAPRGHRASSAAVNAATADFALEDEDVSA GDMMDLLTPREVSKMRYQQHHEWMEEIFASPYAISQITPVSLGLGRKGELESLTAGFF DAPSGPTSGESKDAADSAQATKMEPAKAEEFADRVAKKVADMTAEIEKLKKRHARRME KFNRTSLLKDAELRLREAAADPNATGTEIWRLEGRIEIPSEGDETQTPPASEPKAKYR VDDVVKELETSWKKQIVPEPKVSCVQKGGLLEKIEPEQKLEAPADGDIDMGHADSHLL DQFGSPPPSGPVQGSAPIAQELAAPAHTTAAVPQQSVTMSGMDVEMDMGNAPPANTAA GETGDWVMVNDEKKDQGDKPAVGDAPLHGIETPGSGLQGLTPGNTGGDTGLDGANFDF TNMDSAGDALAAYTEQNEVLDLPDLENSAFGDAFHASDNENTHHHDADDMA AOR_1_152154 MGFFGKKDPSGDEIIEAPVMSDPEKQQPVHHDDAQTALPQIPPA VVNIDPAIEARILRKLDLRVPTLMGFLYLLSLLDRSNIGNAKIAGMEEDLNLTGNRYS WLLTIFYISYVVFEFAAFMWKVMPPHQWAAITVLSWGIVATCQAAVQNWEGLMALRFL LGMSEAAFGPGTPYLLSFFYRRHELGFRCGLFVSAAPLANTFAGALAYGITSGHSKLA NWRLLFLVEGSPSLLAAILAWRFLPDSPSKARFLTEEEKEVARARSLQQSGERERSTK INWKELAETLTDAKAWLTALMYFSCNVSFSSLPVFLPTILKDMGFTSINAQGLTAPPY FASFLVTIATTWIADRLQQRGLMLVILSLIGAVGYVLLAVCTSVGARYAGVFLAAIGV FPCIANILPWALNNQGSDSRRGMGIVILNIIGQCGPFLGTNVFPESDGPRYIRGQSIC AAFMFFTMILALTLRTLLVWENRRLDKQHGTQAEREARGWNKGENVAEENYGAGFRYV L AOR_1_154154 MAYASESNAYLYGIVDMGSNGIRFSITDIPPHTARTMPTVYQDR AGISLYDAQFSGGSRGPIPQDIIEQVVDRLVQFQITCYDFGVPPQNIYVLATEATRTA PNSEEFRARIKDRTGWEVRLLSKEDEGRIGALGIASSASSAAGLAMDLGGGSTQITWV VEKDGVVTTSPKGSFSFPYGAAALTKRLEQAKAEGKKAEKALKQEMIKNFQEAYRALE VPEFLLETAKSLGRFDLYLCGGGFRGWGYVLMKQHKVDPYPIPIINGFRVRREDFHDT VSVLNSVSDSDEKIFGVSKRRASQIPAVAVLVNVIMDALPDITHIQFCQGGVREGFLF DLMPQEIRAQDPLLAASLPYASPSNAAIRGLLTAALPSTSSPMESRHAPVSFTPQLLG ALANLLFAHSRVPRESRSAVALHSTTTGILASVNTLSHTERALIALILCERWAGDLAP TDEEFHRQLSRCVSKQEAWWCQYLGRVATLIGDVHPSGRVSDMHWRIRLETEWESIVK KKDECDMLRLKVKCNNDVAVAAFSLDSLQERAEKVEKVGKKKNWIKDYGVRVGVTIIC AOR_1_156154 MSPPAAIYEPTVAATGLKGKVVVSETAPVEGASQTKLLDHFGGK WDEFKFAPIRESQVSRAMTRRYFEDLDKYAESDVVIVGAGSCGLSTAYVLAKARPDLK IAIVEASVSPGGGAWLGGQLFSAMVMRRPAEVFLNELGVPYEEDANPNYVVVKHASLF TSTLMSKVLSFPNVKLFNATAVEDLITRPTENGNPQIAGVVVNWTLVTLHHDDHSCMD PNTINAPVIISTTGHDGPFGAFCAKRLVSMGSVDKLGGMRGLDMNSAEDAIVKNTREV TKGLIIGGMELSEIDGFNRMGPTFGAMVLSGVKAAEEALKVFDERQRECAE AOR_1_158154 MSAQSTVYPSEAPSQIRIFTLNCWGLKYLAKYRHERLSEIGRQL ALADPAPEIVGLQECWTQQDYESIREQTRHLLPYGKFYFGGVMGAGLAILSKWPIEES SMYGYPLNGRPTAFFRGDWYVGKGVACARVRFGPGASDVAEVFCTHLHAPYEKEPNDS YICHRTAQAWEIAKLMRGAAERGHLAIGLGDFNMVPSSFAHQLIRAHSPVQDVWQAIY PDSSVAAPIDPIEQKRGKPTPSAEFNLHVNGATCDGKFNTWRWTKEERKRLEKGEEIA VDKDAPCPRGKRLDYIFVGDGGYPPAFPAPQWSVESVNIGMTQRHPTLRCSLSDHFAV EATITRSPRPSEADNLTDNKLRQSVVPNTALSPDTYDRIIDMIHTYVQRERSQRRWRL AHFIISVFVSIGCFVGVWWTGDLPWIDWRAIHVKRAARA AOR_1_160154 MDGNNHRSKRRRLDRSPSHENGYIQSPVESSSDELAAGSDHDEA ERRRASWTLQKALPPKRPNTRLRSFSGSESPDELAVDADVYWRSRNRGRNSSPSEVSA AGPSSEHYQDEEEVDADVDDNESPMEGDAEPEQAYSDRSPTPVPPPPPPPPPKPDKIN YQQKFLLRGHLRGVSAVRFSPDSTMIASGGADGAVKVWDTLTGRLVHTFEGHLAGIST ISWSPDGAIIASGSDDKTIRLWNVLTGKAHSIPFVGHHNYVYQIAFSPKGNMLVSGSY DEAVFLWDVRSATVMRSLPAHSDPVGGIDVVWDGTLIASCATDGLIRIWDTATGQCLR TLVHEDNPPVTSVKFSPNGKFVLAWSLDDCVRLWNYVEGRCIKTYQGHVNRKYSLSGG FGTYGVRGAPPHAFAVSGSEDGAVLCWDVVSKKTLQRIEGHTGVVLGVDTCTLGDKRL MVSCGIDQTVRVYEEVEEDGRMETDAKESPPAINGTGPNGTEPNGILPNGTTQNGAEG HDTSEQQDTGDTQEPQDTEMADADTVPEG AOR_1_162154 MKMMGSGIAALGLMTIVNTMSAMAQNMSFGADNFYRSDSVTVQP ITFENQYRMKIGGNLFIRNNFTRSVNAPAIIVGHPMGAVKEQSANLYATKLAEQGFVT VSLDLSFWGSSEGEPRNGVSPDLYAEAYSAAVDYLGTQDFVDRERIGALGICGSGGFV ISAAKIDPRIKAIATSSMYDMGASNRNGLQKSQSLEQRKEVIAEAAQQRWTEIEGGEI QYTSGTPDELTADTPAVGREFYDFYRTPRGEFTPEGTTPNLTTHPTLSSNVKFMNFYP FNDIETISPRPLLFISGDQAHSREFSEDAYARAAEPKELFWVPRAGHVDLYDRVDLIP FGKITQFFRQNLSKRASRRAN AOR_1_2758154 MVSSTTMNRSLSVRRRNSVPSRSRFSFATLRGTQQPELSKRMNR LIKNENAAISAYEKAGRERVSTAKELSDWGEATEDDAVSDITDKLGVLLAEMGDQEEI FAGYLEEYRTVLKHIRETENSVQPSRNHRAKIQDDIAKLKIKDPESIRLETLEQELVR AEAQSLVAEAQLTNMTRAKLKEAFDIHLAAVIERGEKQILLARHARRLLGILDDSPVV PGEPRKDYDRGDEASQIIQDAERGLRTWESTTVPIPTSAGHLHDSTLLPAPAARAARD SQALTVGSSEVDGREMSASTRDINGSASDIRYTEEYPPETQGTNEYVNEYGSGTQQGI APVIEAQEFQEPVTAPVEPTRDTYSAPGNAITYIPGTKQRVDTATGAEGYGESSIQGA RGMYGATPDVTGYTPGAQDTIPGTKEHVGPISEARSWDASVTDVSGDVNENVASGRSI DDSVSITDPTQHFEEPSTELTEGTEATKMTEATDDANGSIREKLQEQPQAALGIPQVV AVPY AOR_1_166154 MDKLVAQYSRPAHQNEMYSEQEQHDLTESLPPLSLKFNLPPVDN SRSWLRAMTDDHSNPSCPIKLAHGTTTLAFRFQGGIIVATDSRATAGNWIASQTVKKV IPVSRLSRGEDKANNAPTPGLLGTMAGGAADCQYWLRYLSQQCTLHEIRHKRRITVAA ASKILANLTYAYKGYGLSMGTMLAGMTPQEGPALYYIDSDGTRLPGNLFCVGSGQTFA YGVLDANYRYDLTEEEALELGRRSILAAMHRDAYSGGFINLYHVKEEGWVHHGFDDMN PIFWKTKLEKGEFSNVTSEL AOR_1_168154 MAPAKTDTNWSVNYDVLRREHLFKNPPKDRTAYPALAASIKPHV DSFNALFEDSKILQAGLKDIGTKTFIDGEAETPEQKKARQAEGRKAPKRNKLHVRIKE VFLEKPAIPPTNKFTTRNRNIYPSECRERHATYRGKLRARIEYRVNNGDWMEAVRELG QVPIMMRTNRCHLEKATPAELVEHKEESEELGGYFIVNGNEKLIRMLIVGKRNFPMAI VRGSFVKRGHTYTKFGVQIRSVRPDQTSQTNVLHYLSDGNVTFRFSWRKNEYLVPVMM ILKALVETNDREIFEGLVGSASSEGINNTFVTDRVELLLRTYKGYNLHSRSACRAYLG EKFKPVLGVPMDMSNEEAGTEFLRKVVLPHLGNQNVTETQDYDKFKLIMFMIRKLYAL VAGDCAPDNPDAVSNQEILLGGFLYGMILKERLEEWVRSFGPILRDWSMRNHGAKFTD PSFERDFLSKVVKRSNENIGGALEYFLSTGNLVSPTGLDLQQTSGYTVMAEKINFYRF ISHFRMIHRGSFFAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHLAHKCLISTS DIDVSHLPRLLVQLGVRSESSVSLEESVTVQLDGRIVGFCSPKQARMIADTLRHWKVE GSHNVPRELEIGYVPNSNGGQYPGIYMFSQAARMYREVKYLPLDKLDYVGPFEQPFME IACLPSDLVAGISTHIEFTPTNILSIVANMTPFSDYNQSPRNMYQCQMSKQTMGTPGT AIDYRTDNKLYRLQTGQTPIVRPPLYNAYGLDNFPNGTNAVVAIISYTGYDMDDAMII NKSSHERGFGHGTIYKTKVHSLDEKESRRNKSRREITKLFGFAPGGEIRAEWRNTIDE DGLPHIGARVKEGSLVAAYHNVRYDAASDSYVNVDGITHFVKYKDAEEGYIDSIRIMG AETGIEPCQSLSVKYRIPRKPVIGDKFSSRHGQKGVCSQLWPAVDMPFSESGIQPDLI INPHAFPSRMTIAQMIESMAGKAGALHGHPQDSTPFQFSEENTAADYFGHQLRKAGYN YYGNEPLYSGITGKEFAADIFIGVVHYQRLRHMVNDKFQVRTTGPVNSLTGQPVKGRA KGGGIRVGEMERDSLIAHGAAFILQDRLMNCSDTQRAWLCRDCGSFLSTQVALAGGSG KARNQGAAAAAAKAASSQLTQASGSSGIVRCRRCAREAVFDDSRAITWEDGDGRRYVG GDNVTVVAVPGVLRYLDVELAAMGIRMKFKVDN AOR_1_170154 MAGIFRTIYDWLLRMFWATEMDVTMIGLQNAGKSSLLRVLAGGE FTVDSIPTIGFNTKRVQKGHVTLKCWDLGGQPRFRPMWERYCRGVNAIVYIVDAADRA ALPVATDELHELMDKPTLEGIPLLVLGNKSDLPNKLSVDELIDAMDLKSITHREVSCY GISAKEETNLDAVLHWLIAKASR AOR_1_172154 MGVIHARRECGYNSWGDWVCRPSSWYDWGRWVAFAVIVGCAFII FFLFACHNARRRRTRGLQPHPGTAWLAGPPPYGQQHQQHPYYADPHYQQQPPPQYTPQ PQTYGYFGGQQTGIELQSPPNAYHNGPDRAYQPPPGPPPNGRKV AOR_1_174154 MSPVDTTPFPIWPRDFVSDLKSAPETLSSWDNCMAKSYCKWPVI VAIIVGALIVISILACIINCLCCGIQCCKCCGCCSCCCPSPRRKKEPKYLDDPYNQPP PMPENNPYQPPAPPSLPTYRGAQVARFDTPPSPAVSKGNEDALPAMPTWDSAVTKRVD DTDHHQDAMEMEPLNLANQRPRRMPSAPRPNGAGYMGPPPVRTGTAVTSSSFYPDDQS LWIPTTLYANWHRSLTVCRGRPGTVSSPISSHHSDTWDGTIHGWRPSDTLSATISRHQ PITYQQDDVACECSPSLQSIDTGKRKPSLQDNVSSHSRTGVQGDVSP AOR_1_176154 MASKIIVIGGVNCQLREVFTKLAKLHVKQSFSFAIVVGDLFGDC STEQELDEISALFQGSISVPLPTYFTLGSRPLPTRVIERIEANDEVCPNLYFLGKRGT LKTSEGIRLVALGGYLETESQSSDKYHPGYTESDARALYGAHSADILITHQWPKGIRT RSQVPIPDEATKPEEVQCIADLSSTLKPRYHLSSAPEFFYEREPFFHMPPEDNPDAKP LTRFISLASYNNPSKQKWMYAFTLDPKTPHPLTIPTGATASPLAPVLTKRKPLSSQKE SYSRFAIDDEGSNRPRKRARGPPPGPDQCFFCLSNPNIATHLITSIGNEAYLTTAKGP LPTSKTFPTLGFPGHMLIIPFTHTPTLSSIPDEDSRLATYKEMHRYRQALHSMLKSKT NSSLGAVTWEVSRGNGIHTHWQFLPVPGDLITRGLVTAAFKVEAENLKYPKFESPSST DPSAEPGDFFRVWIWGPNKNSDSDADAGASSGETERMLLLPLGPDFRFDLQFGRRVMA KLMELEGRINWKNDVQSQEEEEADATAFKDAFKEFDFTLEE AOR_1_178154 MVAASSASRAKPVKRVKKGTETTKNYRFEGFSQRVAKLKIDPIH RVRRPSFGEDEGDANSSHFRSAFDYWTELNLSDNFAQFARRVNPLCESLVQILYHEEK IMNLLVEFIEKRDHLSMEPLLSLLAQFARDLGVKFEKHFATSVTLVASVAATHPEVEV VEWSFTCLAWIFKFLSRLLVPDLRQLLGIMTPYLGKERQKPFVARFAAESMSFLIRKA GLVYYKNSTPLQRAISFLLDDLHQTAVDNKNVEIYKEGLMAMFSDAIKGVKYGLHSNG TDIFKAILENMCTDDDLRSSLGLDVASGILTNIIHNTTPDTFEPIVDTIKSHIEARCR KADKRRAMACCRLIFVCVSTRKGLRVKNWKNVHQALLLLLQSAAAAPGAYAEAIPQLL TAVAYALQVSPMDEMLPFMRTIMETVTSDPLSNYFLSFCATFSEWGAERFHSVILPYF QKFVNTSWKEQEYELCLILLRLNQAGCITSEVSKPGYISCPAPWKAKIKKTLKTQRPG ETEVTLLNAYSKLPSALSLSTEPSLLPEMTQSLHDHVSRALEINESEPSLSTKFFVGQ GFKTYVELASICGGVDSNLWDQISNVASTYSRLPVFLEATLAYVSACSKELDLNKPAL GDFADVLITNLAGPSHELRLVSLKILREIVQASGDDTSPISLAIQIEESPLTLQSARE LAMHSISEHADGEKIVSDLAIQWLQERGSPASAEDTEDDSNTDSFVSGDYQCFNAAKV ESVSTTNFEAAEPISMLTQRLEKDHQFSEVIPAAPRTQALRVLNAAPNIAEKRSRQVV PLFLSWATRDEEDIPSTTDLKSSESSSYIPWGFHDRLAFLGLFGQYLNPRVLFRASEV HDALLGLLCHGNSEIQKAALKALFTWKSQGIVPYKENLLNILDESRFRDELSAFVQVG GEDSMIEEAHRDELLPVLLRLLYGRMISKAGASASQAGQAGRRKAVLRTLAQLPDNEF QLFIQISFGPLGDVHLVQNSEIDQEVFTRELASPRRQMGLLRMIETVFESLQSKMTPY AERSMEVVLYCLVRACRELEKSQPENVADSQEGKLLTVLRNIRSTCIKCLDLIFSVSL DRDWTPFVRVIFNETINPRLENFATETTQGVSSLLRLFHTWATAPRSSFYLVQYNDAL LMKVVDCLAVDSTRDEVKVFIMDEILVPLVGLATGKELREQEEMSDIPADEIRSVVLA PYLDHTLSHLGNLLKRGPSRPVLISGVQTLSLMAPCVESSKETSSLVNITTYLLRQPP DRVSPKTKSGLLRILEHFLPLYDPKEDSELFQEVFDAVSSMFDYFKDEANREVLSRVF SAFAKHDPELVKVAALCEDLNSVSRKKLEVDFERRLQAFREINDGLWEKFNARQWRPV LYNMLYHVKDDEELAIRSSASFGLKRFMERATLATDKNVEEFEPLVKDVLFPSLQNGI RQKSELVRMEFLSALGYFVKLNPDRPNVQDMHDLLVDDDDEASFFNNVLHIQQHRRLR ALRRLAAEASKGKLQASNISTIFIPLNEHFVFDEEADEATHNLIAEAVATIGALAEWL DWNQFRAIFRRYKGYMQSKPEMEKNILRLLGRMSDALTTAMNQINVPKATTEDQMEGV ETSVPSQCTLARTIPSTSKVASELTTNFTPFLTNFVHHKDEAQMSLRLPASVTTIKLL KLLPEQDMTIRLPAVLLDVCSILKSRAQDSRDTARKTLNDIALLLGPVYFGYILKELR TTLTKGYQLHVLSFTVHSILVATTDDFKQGDLDYCLADLSAVVMDDTFGTVGQEKDAE DYVSKMKEVKSNKSYDSIELLAKNSTIGNLSNLIRPLQSLLKEKLTSTIVRKADELLR RIGIGLLRNPGAENRDILMFCYEVIKESYQEPVQTAKKALSASEEHFLIKLHGPKRGE KRGTTSSYAYKLTRFALDVLRSVLSKFDSLLTPANVAGFLPIIGDSLVQGQEEVKISA LRLLSTMIKLPLAELDNNSHVYLTEAVKIIKEAPSTNTEAAQASLKLIAAMLRERKST KLRDGHLSYLLQRLTSDIEEPDRQGITFNFIRAVMSRKFVVTEMYELVDHIATMMVTN QTRSARDLARGVYIHFLIEYPQAKNRWTKQLAFLAKNLEYKHSEGRQSVMEAIHTLLS KTGQELAQDIIGTFFLPVVIAMANDDASECRELAGALLSQFYSRADSETMKTMLVPLH SWLEQTDNLLLTGTGLHAMRIYFEAEDTTKEKEARFVRELLPSIMQPVLEAEDTENWQ TLYYALQLYAKLCKSVPAIALAKESATNWTAIRECLFYPHAWVKTSASNLVGTWLADL AKSNATSGYSSLPLENASGLALDRDAMLQLIRASVRCLRTPAVSEELAMQTVRNIIFI TRCCAQNGLEFSRRGDKAAESDASDSEESDDENEEDQPADSAKPAIRYIFEQVSSVLR RELLTTRANSLIPKTASIGLLAALCRHLDAEQIQPSIPIILIPLQHMTDSSIPPPRSS DPVFRESYKALVSNCHEVLDLIQKKLGTSEFVKQMALVQEKIKEKREGRRVKRRIEAV TEPEKFGREKKRRNDRKRDKRKEKGMEHRSKRRGW AOR_1_180154 MRSFTSFPRGFRAIQSSLPRTAISRPTSRPFSQLINRSTRSSPA LSWASGRTSVSASTLRHNSSSARPLTDQAADAARDAENEEQNRKRREQEPAYQITFTC KPCGERSSHRMSKQGYHRGTVVIRCPSCKNRHIISDHLNIFYDKKTTLEDILAEQGNK LKRGYVEGDMEFWDDGSVTPKEGEEAKSDQGQLP AOR_1_182154 MSLRARQKIRAPRRGLNTNKTDDFETNWEGLSTSLKKIHTKDAS NLSFEQLYRNAYNIVLMMRGDELYERVKKLEQEWLDTEVQKRVTAAISSILLQAKDQA EIQDQENERRDTGEKFLNVLREAWEDHQISMGMITDVLMYMDRVVSADHKKPSIYVAS MALFRDFVLRSAVRADAESMVADVLKSTVLFMIQLERSGQMINRPLIRHCIYMLEGLY ETITEEESSKLYLTMFEPAFIETSKVFYRAEGRRLLETGDAATFCKAASDRIAEEGAR CLSTLSPLSEPKIKDVLDKELIGSNIAEVINLEGTGVKNMLDNDRMDVLRNVYVLSAR VDSKKIPLTAAVQKRIVEMGDEINKSAAAAAQAQPTKSAEKTAEGGKKPAEKPVNQQT VSAIKWVDDVLGLKTKFDKIWEESFRSDPTMQSAITTSFSEFINSNTRSSEYLSLFFD ENLKKGIKGKTETEVDALLDNGITLLRYVKDKDLFEAYYKKHLSRRLLMKRSVSMDAE RQMISKMKMEVGNQFTQRLESMFKDMTVSEDLTASYKEHIRGAGDPDQKPVDLEINVL TSTMWPMEAMSSIKGDEVQLPCIFPKEIDHLRQSFEQFYLSKHNGRKLSWQASMGTAD IRATFHRSNGKVQRHELNVSTYAMVILLLFNDVPQGEPLTYEEIQARTRIPDHDLIRN LQSLAVAPKTRVLKKDPMSKDVKPADRFVFNNDFQSPFMKVRIGVVSGSANKVENQDQ RKETEKRMNDERGASIEAAVVRIMKQRKTLVHSKLMSEVLSQLSARFVPDVNMIKRRI ESLIDREYLERVGEDPPTYGYVA AOR_1_184154 MDIDDILASVDRNDVSTPESAALDHQLLTRFWVAERGVSELLPW PEALMNRMMERVRNQIETIEDLAASSSDPTTTTNSSNNPTLNLKLSILQTDLSRTQYL LRSILRQRLSKLTKNSMHYLLRISSASSQQQHPDSQNNPDQQPEDSIPDLTAVTDPSP LSTQELGFLRAHQTLLAGHFGASFLSSFPAQLRRLDDNAGGVSMVQGPDGREVVFVRC LAERVGVVVPPGDGVEVETVGTEMRMGDVWVVRWEGVRGAWERGEVEVL AOR_1_186154 MEHSSPLAAMQPPSVLFGHCFRSDARTSYPAFGLNSNSFNFKDL SMKKAHGADYFNVKGTSPTASLAADLSQNFHIDQSPQVATPRRSLFSSNLFGNGNRRG KKEAMTTPPLSSSPALDIMEMSPLPHKPPFNVLEAELRTPTIEISSMDTPMRSNAASP LQDSPLVAQKEGQHERRRPTFLRPSLARSKAQSFQLGMVKPAPESQAPPFKFQSKGTK TSLSTSASLEDMFNESPPRERSSLRNHSSHGLVNPRLRPPFGRETSHVRGNGSPSAAS IRKNSHPMMRPRKQCRRSLSMFENPEDVMVEKEASFTSNAPLQSICDIEGTPSLQLPH FLPEDQADTLPRIDKSILVDLIDGKYNDRFDNIMIIDCRFEYEYEGGHINGAVNYNDK DNLAAELFASPKPRTALVLHCEYSAHRAPIMAKYIRHQDRAFNVDHYPHLTYPDMYIL DGGYSSFFSDHRTLCFPQNYVEMSAKEHEFACERGLGKVKQRSKLSRAQTFAFGQSPQ MEDSPTGRCRNGLGDRNRLLGSPFAASPVSGRMSGRRMLSY AOR_1_188154 MLHFSSYLQAQFRVSQSPTNPLLRPSPVSPHLQKLAPTFDAPGY LLFEFSASHVALDARLRHEEVPLHAG AOR_1_190154 MAQNQAGSKKRRREPVNVDTKLVEIYEDLASEKDEIRLKAAQAL VSQFTPDKNATDDQIQKTLRRLFRGLCSSRKAARIGFSIALTEILSQILSSPRESSEF DIPRVVGFWESQSSASGSESGQEQRDHHFGRLFGAEAIIKSGILFKPNAPFSEWTKLL DLVFDLAKKKPWIREECGWIVYRCVYELSAQKAEAKFVESALERLCTNELARTPEGVA IWLAAKDLFPKVKLPSKVWKHDDPLDVKERNQLAKVMKESSVSEAEGENKGSNPKSSG VWNSKLHFAWDAVLSRLSETSAKESKSKTSRLSFSDFWTEVVDNGLFAASSSDERKYW GFLLFVKVLNESPLPLASLVFTKNLVRCLTNQLAVEDRYLHRMAAKAAKTIQTRVSKE PEFAAASINGLMGSAGSVNFDQVTKTKTVEKIVIEANLDALKQIVPLFEKLVACPGTS DSKAAASSRQFLAGLLLSIVRSRASASDESEEGAKEVLEQILFTFVRFAYFVEKEGDS RGQTAAEPALTEQTQELFRSRINSCLNSLIANQKYATALPYAVVRKVRDAAKSEEYGK FIIAMDDTLQDSVKGAFKSLKKLSSTEKKGDAAGVDAFKLLYSMTILQVYNGDADAVS MLDELDFCFSKIFGDKKSKKDETADASDALVEILLSFASKPSQLFRRMSEQVFGAFAD KISENGLDSLVSILEAKESLAGQQEMFEQDDEGEEDEEMMDVDEDDSDVEVIDAEGSN DDEDEDDEEEGSEEEEDGNDDEEAIFEAKLAEALGPHRANQDLNDDDEGSDADMNDDE MEQVDQQLAKVFQARRDALSKNKDKKDAKGNMVNFKNRVLDLLEIYVKKCHSKLLALD LLLPLLRLTRKSTVKQISNKANSVLRDYTRLCKGSALPKLESVEPAWELLNSIHKEAS HSGPPSHASACSQASLLMVKVLVAHDKNNISGIVDVYAETRKQQFLSKKCHVQPSFFS EWSNWCVSASKQMKN AOR_1_192154 MLDLDTPQALTIATTIITLLTIPVLYPQLPTWLKTTTSKLLPNS QKKKHPSSEILALRVYPIKSCRGLSLNSTTLHMEGLDLDRRWMLIDAKTHDFLTIRQI PQMTLINTALSTDDQSLVVTFTGVTDKEVRVPLRPDTAWLDAHTTLGQVKIWDIETDA YIYGPEVNAPFSEFLSRDVCLVYKGPTPRIMRGNGDPSLLGREQSVNFPDVHPVLVAS EASLAELNSRLVEKGVEPIGVERFRANVIVKGGEPWVEDEWKVVRVGDGAGKVLEFDV LARCARCQVPNVDPDTAGKHKTEPWDTLMSYRRVDEGMKYKPCFGMLCAPRGEGVLEV GMRFDVLEVTSEHRYIKGF AOR_1_194154 MGDFGPPVPIPETEVIGLASSSLTDPEEVSVLVTGFGPFKTNLV NASYLIASSLPESLDLPSAKPSGSGPTSRRISIHVHPSPIPVAYSTVRTTIPTILEDY AKSHGGRRPDIVLHMGIAATRSYYSIETKAHRDSYHLSDIKGRIGYEDGEKVWREQQL PPVLQAGPAADSTDVVRKVLHPQPPNDDFLNTWKSFVSPGADVRISEDAGRYLCEFIF YTSLAQAFQQGQHRNVVFFHVPGSCADEDIERGTDIAAGLIKALVRCWVSEQV AOR_1_2760154 MEAGNRAVEGEQWYDFYPWEEWLASEADRVLLVDIGRSKGHDLA RFKEKKNPAGRLILQDLSEVIQDIQAPLAQGIEAQGYSMFDPQPIRGAKAYYMRTVLH DWPDKQALQALQRIREAMADDSVLLINENSVPVTGVSRFNASVDLIMMTVLSSLQRTE KQWLSLLERAQFNVIKVWRSDNQGVGSNALFEAVPVFTLGKCWSRPLGEKECADNVSL PFSGNANLKDQQTIQEQPEQSVRVHGTHIKQEEVGRTVVLG AOR_1_196154 MGVFDAFAAAGGAELTVNELDEKTKGDKDLLVRIMRLLSANRLS TETGVDKYQPQPLALGFANGAPPSEVIENFHMILRATAYTHEFLEARGYQSPDDAYET PFQRAYGTKLHHFE AOR_1_198154 MPVLATFLESHYFFQGIAVALALIFVSNFYRELADGLPYRKIPL VGRSRWEISNTKAKKLFVTSAKDLMVQGFSQGRTVFQAMFTAGPTIVLHPRYVDELKN HPHLDFGEAVRKSFFGATIPGFEPFNNQTKEDIVIEVINKKLTHTLGQLTIPLSKETA AVLTDKLPGSDEWKPFTFAQEIPHMVARLSSLVFLGEKICRNETWLDVSVNYTIDAFN AARELRDLPAVARPFIHWFMPSMQKLRHHRKVAAEIVQQEIIKRDMIREGKLPEENPP RTHADALDWFREVAAGRPCDETVSQIGLSVAAIHTTSNMLTNVMYDLTAHPEYIQPLR DEIKAIVEQDGILKKTSLTKMKLMDSVMKESQRTNPVSIAFFNRIATEAVVLSDGTSI PKGANVVVSAHVMEDESIYPNAKVYDGFRFYNKRQVPGNEHRYQFVTTSPEHLGFGHG MHACPGRFFANNEIKILLAHLLLKYDWKFADRVDRPKSFLHGTEIICDPTVKLLYKSR QPEVDLSALGEGTTD AOR_1_200154 MTHRRQGLSRTLPKDFTFPSIGEPRTPERNSIQLDVPPPPPRHS SCRLRRSRVRSGTDVFAQAEYDHKIFHPNPSDIPLPSIEFSSSHDATDFQACPSIPTS NDRFLAPPRDRVALKTPPAQIRAAPVDQTTGDWSTEDPQTIGEAIERPGSACSDSSVS SIETFASRRSVGGSCTSLESDSFDPFFLEIQPKHVESTPVPKCRRNKAPTRERWTRDM DNHLWNTYQIYLQDPTITPFKMTPGSIPPLGVTHRVAREAKKTWERMRCRFTKQLPSA SQQFASGNSTPTPKTDTPKNVWPRSEASTRRRLKYLCKRKFCIAPHYQRLMMSRSPTP ALDMISHSSRESSQAEVPTSSSAVYATRDLGISLVSSSVPGPLTQLAMEEPPSNNSGE WFSQPVPCNAPHGAVDPFANRPFSFDEREMAPRLGSPFTYHTWGPNNSRKRAQRHTPR ARRETIHVTGSRLRSPPRMDLFTNADNRNIARDSMATAESPSDEETRQSLEELFRQGK LNDIGQRRVRIRNRGATMSSVNSGGLDQLFSPPSSSSRNDESQREEKPAPHLRNLSGE AIKRLGSPFKIDPPRRAGDSPARFIRHAPSRSEPFARGLLSQARKTTQVGGQGTSNTL PYDPTEPGLSDAERIRRQILNMSYSRQ AOR_1_2762154 MVRAVKTQPSWVGLLGQGPLQSRGWCLQERELSPRALDAHEVYT SWLNTVRDYASRSLTKYEDTFPALSGLARIVHGYIHCDYVAGMWAADLRRSIAWEPGE DPNADSSASRHATYVAPSWSWASVIGTINFEEAKTLSRDISDETAAVIDGWRITHLTQ DPFGQIATAELHITAPVLTAVLDYHSASSDYYLRGPHDTGVVWCIPLFTSDVRGGIGL APVPVQGQEHTYRRVGHIWELGMSHFQALERQSIVLI AOR_1_2764154 MTALESAAQGGHLPCVRYLLGHGATVTPQNSHSSALKRASAGGI PDVVDTLLQAGAGSNDDNALHAAAFGGHLEIFNRLVDTGADIDASHEYENSFRAGHLT ALQEAARGGHLNVVDTLIRKGADVNALPRGMTALQAAVASNSPEVVRYLIAVGANINA PAEKWGRTALQKAAEIGSIEMVNMLLDAGAVMERSAHQNGRDAPALELAIKGGHLSVA ETLLRKMDRAGEQEQKYLCDPLTLALHAAAFEGYEHIVRRLLEAGAPILDLDNSDLVP RTAFKGHTGIIKILLDAGAEMDSSRHSPYSGTALQRAVAGGHVETARLLLEYGADVNA APARVKSPLHLACRNGDVIMARMLLDARANFRAVSYSGKTVRRSAEKGGSVEILQLLN MREALEPSDGNKTEILDVSTIAKRGLCSTCSGLPLEVFTSPRWLRRYHSNAFCFHPSL VSLEKSARGGCPFCLFFWKRLGINIISIPQPSKVRLFYGRRSTDDVAKMLSQIDEPYP KDIERPRSERADFQACVEPFDGKVKALPGNTQSAETYQQIVTWLQQCIKDHHACTVGS NGRFLPTRLIDLTDWGRGKVVKLVESNSIHRDQSIPYIALSHRWDGQITAAASTTSDN FIPRLKELTLDTLPRNFVHAMEVTHQLKINYL AOR_1_204154 MPATGNIYSWMISHRNIELEEDEHGDTKWLLVVDDTERSALDLA RDSNTTQRQLQEAVQLEFKTLWDQFNLYHTWSLTEAAKQGDLFAVQRLVEAGAEIHMQ SDRSENSYSAVRIAARKGHNLVVHYLLDAEKDRLSRHIYTPERLERAVRDGIHEEVAR MIPERDFISRSLSAKNEAL AOR_1_206154 MAAQEEVVDFDIIENQKENIQSLPGGRSARELARIFSPRGTEDK LYSPSPNDTRTVNDAIRQDYEAELQAIGESDDPLDIYDRYVKWTLDAYPSSQATPESG LLPLLERAVKSFLTSPHYKNDPRYLRLWVHYIRLFSDSPRETFAFLARHQIGEGLALF YEEFASWLEGAGRWTQADEVYRLGVDREARPVERLVRKYREFQQRYEQRTQDNGPSSP ALPAVRPALAAKVDPFASAAAPSPDPQAQRAAPAAAAPKTKSGKPKMAIFSDADSASQ PAVSGQTRGWDSIGSMSDRRKENKVEAKPWAGETLKAGKKPAPKEKMTIFRDESNQNS HLKESMQSKHVPEHRVREAVNPRTGRRERVFVNLDAVYPDYTNPNVEVSFEELRAMRR GWMDKKWRPQKEPLRQISGNENSAAIDPARALPDEFNEKLTMKDADISAQQQAPESDA HHEAKAGKARKLKLREVKQETQTVKMKFDSPTGGKIRRKSTAEPTMTIHTRAATDEIY SIFNQPLKAETEAAESSDFDDDDYTSAGESTVTGHISAASSDFGDDENTFHKPFDETV GDGFDDNTRAESVVDGEWTQFSAVDDTAGLRSLGVESVSQHHTDERDSIDGDDFEDNQ NRQRFIPEMPDDYNPPCGPYRDPAIVAQNRLPFMTPIVEQTEYSLASLTAARNHLYNA KTPSKPQMADLLSTPLIDGTPRQGDTTIGLPEDVALSPTAKKALSSVKSASPFGRKYR QGPIIHDAQCNPTDKKIRNTILSALDPPLATYAGYHGHTETDAHYASDIQKFMKAQPK RSRSGDEASFELPILELPGAERSYIIRRELGAGAFAPVYLAESIDSLPADSDDESEDS NSGGSQLTYSNRSTRRKPRYSFEAIKMEVGPPSPWEFYMIRTAHDRLNQRPGLSRAVD SIIDAHELHVYKRESILVEDYRSQGTLLDLVNLVRNEQVIGGGHGEGGLDEVLAMFFT VELFRTVEALHSCGILHGDIKADNCLVRLEEKAEPPSLIDLGDENAYDPREVHYSPRG SYGWRNKGLSLIDFGRGIDMHAFQPSVQFVADWEAKKHECNEIQEMRPWTHQIDLYGL AGTVHVMLFGKYIESSPVRQSEGAPPNGPRTYRIRESLKRYWEREIWNDVFDLLLNPS SERWVQMELEGNNNPAAMLFDENTPTSPILPVVNSMRYVREKMEAWLLANAEKKGLGL QLRKLEAIFNERKKKLERS AOR_1_208154 MPPRGSRKAATSAVSQSPPRSPQSPGATKRKIEDNHEPKSPLRR SKRVKSTEALGITSAPTKEKVAPRGRKSQSGQSQTGAPESNENGVVLSVKEEVKEEVK VTVRTELDQESATKHETADDKTTVTGTVLKKRKTKKEKESEMVPLRARTQGLRIMHIG GNAFALFLKSQRKWDNPPLQDDHRDNFRRLCIENKYDAAKHILPHGSYLVNLAQEDKA KAKQAYDSFLDDLRRCEALGINLYNFHPGSANQSNFSDALSRLAKALTNALAATSTVT PVLETMCGHGTTIGGKLTEFRDLLAMIPQEYHPRIGICIDTCHSFAAGYDLASPEGFK AFMKEFEDVIGLQHLRALHLNDSKAPRGSKRDLHANIGTGFLGLRAFHNVMNEPRFEG LPMVLETPIDRPLSAISKGSAQKGGEEDSDSAADTPKNKKKKQPKRPASAKEPTVADP SVWAREIELLESLIGMDPESPEFRALEAQLAEEGREMREKQQDQYERKLEAEEKKKTK SPGKKSQKTMMEMMNGAGKKGKTNKKVSNDDSEDEGCQSHTE AOR_1_210154 MGLSPSGNHHRRRSSVLTATGGPSQVGPAEQRDDNPRTNADPVN YKREEQKAAEDADVSDLSSIAESSEDDLQDDEETGLTAKQRRQRRRRRKQRRQLDARI AGVKGSQSDVFSVGLADRNVMRRLLVNAGLILMWYFFSLAISIYNKWMFSEDDVVFPF PLFTTSLHMLVQFSLSSFILYMIPSLRPRAPSSSPSGSPMRQQDGSENSVVSKVFYFT RLVPCGAATSLDIGLGNMSLKFISLTFLTMCKSSALAFVLLFAFLFRLETPSAKLIVI IATMTIGVVMMVAGETAFNVVGFLLVIASAFFSGFRWGLTQILLLRHPATANPFSTLF FLTPVMFISLITIALAVEGPSQIVTGFVALSDVHGGMFATFLLIFPGILAFCMISSEF ALLKRSSVVTLSICGIFKEVVTISAAGVVFHDQLTLINIVGLVITISSIGSYNYMKIS KMRAEARKGTWEPEPDSDSETEDSDPSRGREGYHRVANPETSMLRASSDTRDGQNVNI TPADDSILGRRSFRVRASGASSSTHGLTISTANLSDHDKVYSPRVSAPSPLKSAPPVV VSAESELHRAGRNLGTGSQLQSSPERASSRERR AOR_1_212154 MAEKKRHGAGVRASSQGASGATGQGMAGAMVPLEVALSGAIGAR VRITTAPVSTTIEGTLFTACPITNLVAINTAADTKQTAGDYRIIPISRIQSFQLLSLA PSSNSAEGPSFADAVPPVHALDIRALKAREANAVGKLQEGEAKRGKGVTREAQDIFDA FSRTMPTRWDGPSIIVADAVSIAAPYRVDDCQPLVAGDTAALARVRKVLEMERKKIEL RNASATIGPGAAGPRKGG AOR_1_214154 MADQAVADFGLIGLAVMGQNLIMNVADHGFTVCAYNRTTSKVDR FLENEAKGKSIVGAHSIEEFCAKLKRPRRIMLLVMAGKPVDQFIESLLPHLEKGDIII DGGNSHFPDSNRRTKYLAEKGIRFVGSGVSGGEEGARYGPSLMPGGNEEAWPFIKDIF QSIAAKSEGEACCDWVGDEGAGHYVKMVHNGIEYGDMQLICEAYDILKRGVGMPVNEI AEVFDKWNKGVLDSFLIEITRDVLRFNDDDGTPLVEKILDKAGQKGTGKWTAINALDL GMPVTLIGEAVFSRCLSALKDERVRASSLLPGPTPQFTGDKQAFVDDLEQALYASKII SYAQGFMLMQEAAKEYGWKLNKPSIALMWRGGCIIRSVFLKDITNAYRQNPDLENLLF DKFFNEAIAKAQNGWRNVVSKGALWGIPTPAFSTALSFYDGYRTRDLPANLLQAQRDY FGAHTFRVKPEHANETYPEGKDIHVNWTGRGGNVSASTYIA AOR_1_216154 MSFPRPPGLKQAPSSLPPRPPNTASPSPASSQPAYSAAPSYSSA GTSNGYGSSGPRTGYNAFTAFAPRSVASSQPYRTSSPVVSAPPATSAGYSTPTTAGYG SYYSQPQQTYQSGASYYCSAQYNENTYGPSVPRIQNPFPAAGADQANNYGMHGRNYGR SESGLDPETEAQIAQWQSAYANRDETQSTSKVPGRRDGYAVSGTGSGVNTPSGTATAA TTPAPVAGQAEPQKTVVRAGGGQTWTDSTLLEWDPAHFRLFVGNLAGEVTDDSLLKAF AKYTSVQKARVIRDKRTQKSKGYGFVSFSDGDDYFKAAREMQGKYIGSHPVLLRRATT EVRPVANSKNGKKHGGGGGSGGGHGGGKVKHDGIRKPGKTKGGLRIIG AOR_1_218154 MAPLAGDARSVLKGTWSLAAVAIVVMVLRVVAKLKLRHVGIDDC AMITALLLALVTSTLFSVAVVVYGFGDGLDTHNHSDLVNALKYYVILQVFGIASSCMG RVAFIFYLLPILSTTKISKIILRGLLTLQVVNFVSIVLLLSQCRDIRGIWDPLFAERT ECMDVSVEIYYGYFQCSCNGLTDLILSVYPSYIFWNLKLRLRVKISLEILQSLNVKEN T AOR_1_220154 MPTVLVTGANGYIGNAVARAFVRAGWITYGLIRSQTTATSLAVE EIIPIIGSIDDIASHETIRNKLPPTLDAIISTTENINEYIPHYNNTVHLLRTLATAST ANGVRPVVIFSSGCKDYGIGPHYDGDAALAPHTEESSLNPPDILADRTYQSLKFLEHR DVFSPVVVRPTNVYGRSASYYRGFFEAAAQSVDTRKPLLIPVPPNSICHALHVDDCGD AYVAIAGHPRREEIEGEIFNISSRRYETIDEIAKTLVTEYGITAGVKYVEPESLAPAE NPWPPALIDFPQWTGSAKVRAITGWCDVRPLFTEAIHTYRLAYEAAVVAGHENIEKMK ERVELFKATVGQ AOR_1_2766154 MDVHHGHLSRDTASDLSSVSASSSNISSNSCPDQNAELNETEKS SRPGSLNRRLTEDEIVRVLSRRRTGGSGENTEGKSEDMTQIMKLVSRMFGHERKSNSD EEKTRHLGVVWKHLTVKGVGLGAAIQPTNSEILLALPRKIKSLLTRGRNKPPLRTIID DFTGCVRPGEMLLVLGRPGSGCSTFLKVVGNQRSGYKSVEGDVRYGGADAQTMADKYR SEVLYNPEDDLHYPTLTVRDTLLFALKTRTPNKESRLPGESRKEYQETFLSAIAKLFW IEHALDTKVGNELIRGISGGEKKRVSIAEALVTRASTQSWDNSTKGLDASTALEYVQS LRSLTDMANVSTLVALYQASENLYKLFDKVIFIEEGKCVYYGRAESARHYFESLGFEC APRWTTPDFLLSVTDPQARRVRQGWEDRIPRTAEEFRKIYRKSDIYKAALADNESFEE ELESHQEEREAARKQSEKKNYTVSFYQQVAILTHRQFLIMYGDKTTLIGKWVILTGQA LITGSLFYDLPQTSAGVFTRGGVMFYVLLFNALLAMAELTSFFDTRPVILKHKSFSFY RPSAFALAQVIVDIPIIFVQVTLFELIVYFMANLSRTASQFFINFLFIFTLTMTMYSF FRTIGALCGSLDIATRITGVAIQALVVYTGYLIPPWKMHPWLKWLIWINPVQYAFEGI MSNEFYNLDIQCEPPSIVPDGPNASPGHQTCAIQGSSANQLIVRGSNYIKSAFTYSRS HLWRNFGIIIAWLALFIALTMLGMELQKPNKGGSAATIFKRGEEPETVRRALENKKLP EDVESGNKEKGVDGNMNESASEDSGEKVTGIAQSTSIFTWRNVNYTIPYKGREKKLLQ DVQGYVKPGRLTALVGASGAGKTTLLNTLAQRINFGVVTGEFLVDGRPLPRSFQRATG FAEQMDIHEPTATVRESLRFSALLRQPKEVPIHEKYDYCEKILDLLEMRSIAGATVGS GGIGLSEEQRKRLTIAVELASKPQLLLFLDEPTSGLDSLAAFNIVRFLRRLADAGQAI LCTIHQPSAVLFEHFDDLVLLQSGGKVVYNGELGQDSSKLISYFERNGGKKCPPHANP AEYMLEVIGAGNPDYEGQDWSEVWAKSSENKQLTEEIDSIIQSRRNKNEGDNDDDRRE YAMPIGVQVVAVTKRAFVAYWRSPEYNLGKFLLHIFTGLFNTFTFWHLGNSYIDMQSR LFSIFMTLTIAPPLIQQLQPRFLHFRNLYESREANSKIYSWVAFVTSAILPELPYSIV AGSIYFNCWYWGVWFPRDSFSSGYVWMLLMLFEMFYVGFGQFIAALAPNELFASLLVP CFFIFVVSFCGVVVPYKALIHFWRSWMYWLTPFHYLLEGLLGVVTHNVPLRCVSREES QFSPPPGETCQSYAGPFAQQAGGYVHDTGNGLCSYCQYSDGDTFAAESFNVYYSHKWR AYGIFWAFVMFNFAAVYAFSWLYLHGIRDIKKWFSTRKTKRGAQA AOR_1_224154 MDESASNRPIDTPEGSAGADDAQSGAAKNAAVKDRKCQYCHQAF TSSSLGRHLDQFLFKKKPDGVHDVEEIRRIRSGITRRQARTSSGKRDTPERIIGKGQL DPYASDSGAKPRDGVRMMFNTPTWHATGVINDIPNPSQSHDVSSTSRFSASQTRAPKP LPDYASRGASANNPDTMRALELALREVLDNIKAATSRMRPRLSPFDFDIQSQTFPSLC LQLLPPPPSLFATSPFPSPSSFPLQPPGVEHVEIIRQALRAKIDQWQSDQLSTDSMSN SQSGRPSIGLDANMIARSAQQHEDISLRHLELAFKHWASLPSDTRRDAWQLEITRAFA REMEKRKSLDDQLARVQQEANQLRAQVERLGSCQWPREFALFPPDTLPLPRDVARDLD TKESKISPDSSRWDYDNVVAKWKRVVMHDKSMGRVGVGHSSPVLDDYGTADSKRVGDD SGSMSRSRILQPPAGMSPPAPSPIQTGGPSASSSQQTSPYLPHDVTRSPNAGPQAKRP RLMNGHHSGAAPEGSNSPSTSQTAGTSKPWNPQQSLTVSNLTGPSGPTPPPSSSGP AOR_1_2768154 MSALEIAALNVEKRQYQKLYMDYWNSTAEITGTGRPVDGVICPC APHAAVIPHKYAHVGYTAFVNLLDYTSVVFPVTQADKKVDVPEPKDDFLSEIDKIVHE QYDPEMYDGAPAGLQIVGRRLEEEKMLTLADYISQEV AOR_1_226154 MHSCKNSIMEYMVQKLDELSQKVAALSNAPFIPSGSHQPAIIEP SPVGERVPDTCPSTFVSHPQVKNDLRSCTAASKTEYEGGSSLSAHAIFATRYLQDAVS STNSAQIAQEMTSILDTLHDIIDDQKQQRDTLENLYPHAKPIPHGSSIRHLPMPPVEM TLACLRMAKEQPRVQRFWLIELISISQFNDYFLRVYSPGPATDADMIIVNGGLYWLYC ECASLFVDQKVKGNYMDQAMLCRDNLETVLSNLPFHMPVTTDVVFAMNVAATYCLQMA KPSIAWSFITTASHLSQTLGLHSAHALSTDNQETKDQKIRLFWAVFLTEKWLCLRLGR SSTFRDEDITAPLVTFDQNVETLLVPKWIDLAKIQGKTYNDIYSPSALMQPIDTRISR ARTLVAEVNKLMVSEDELERRYNDARRRAIGDDLHEIIQRSDRVCNLSLLSLIYRAIP PKKPSGTAFCDECIATAREALKEHEKCVALILKCPWDESFLEMYINWTLLQFPFFPFI VLFCYIVETSEPDDLKCLGAFVELGDLKRRSILGAIPAKWRLQNPIPQADELRDVTGA YIQQFLTPQEIEITETDAAGITEQTTSGSWTAVEVAEAFCHRAALAHQLVNCLHEVFF DAAIEDAKRLDAYFAEHKKPIGPLHGLPISLKDQFHVKGVETTMGYVGWIGTFQGKKD DPRRATFESELVKELRNLGAVLYCKTSVPATLMAGETVNNIISYTWNPKNRLLSSGGS SGGEGALIALKGSPGGFGTDIGGSIRIPAVFNGVFGIRPSSGRMPYEGAANSIDGQNM ILSVVGPLATTARSLTLLFKTVLSQQPWYHDPLVLELPWRADVEERTRALIRKSADGS PSLAFAIMRHDGMVRPHPPIARAVEIVEQTLKRLGHKVTQNLKGQGVY AOR_1_228154 MTHSSAMEKPAAGSSKSSVKDNQSQHKDIQSHSTFKSFLRVFTF GRPIDYVLGLISLLASVGSGVALALVNLVIGKFMTLLSGSTLGTSLPDNFMSRVSKFS LYFVYIGIARLGCTYIYSSLLTYVAYHLTRNIRYKYLRAALSQETGYFDQGTGGSIST QATSNGKLIHSGISEKLGIFIQAIATFIAAFIIAFVSHWKLTLIICCIVPALIAVGGG LSFVDAGYETNILKVNAQSASYAENILSGIRAVHAFSLRPRITHKYGQYLQSVFKIGM KKNPIYGLMFGSEYFIIYAGMGLAFWQGIHMLARGNIPDIGTVFTVLFSVVIAASTIT AIASHTVTFTRAASAAAELFALIDRESEINPLDESGDKPQDTYGVINIDNITFNYPSR PNVCVLKDFSLHVPAGKVTALVGASGSGKSTIVGLLERWYKPLAGSIKLDGRDITQLN LRWLRTNVRLVQQEPVLFNGSVFDNIMNGLIGTQWETAPYEEQRKRVEDAAKLAFAHD FIMNLPNGYDSSIGERGGLLSGGQKQRIAIARSIISEPKILLLDEATSALDPHAEGIV QQALDRASKNRTTIVIAHKLATIRNADNIVVMSKGRIVEQGQHSELITRGGAYASLVK AQDLSAARSADDEEQSTEDEIPDKESEPVQSLARYQTAEAQQLTMLQHREDFGLYKKS GILRSIAKLVIRTPKLKFWYFLTIICCAAGAAIFPGQALLLANVMDIFSAPNMVERGN FIALMYFVMSLGCFVVYFCLGWATNIIAQTFNKVFRHDLLNSMLKQDLRFFDRPENTV GALTSRLDSHPQAILELMGFNIALVVLAAINVLASSILSLAIAWKLGVMGVFVGLPPM VIAGYARIRLETKMDTDMGKRFSQSASMASEAVLSIRTVSSLAMEKNILKRYTNELDQ AIQTSIRPLFSMMVWFSLTQSIEYFILALGFWWGSKLIHDGDITFYQFIVSFMGVYFS GQAAGQLFSFSSSFTKANEAANYYFWITNLSPLIQKTKENRDNGPSNNCQAIDFQGIQ FSYPLAPDTRILKGVSFNIRKGQFVALVGASGCGKSTMISLLERFYDPTHGTISVDSS PLNSMNPVLYRQQVALVQQEPTLFPGTILENISYGLDIAPSESASAPSSEVENACRAA NVWDFICSLPDGLQTPCGTSGSQLSGGQRQRVAIARALIRNPRVILLDEATSALDTES ERVVQGALMQAATSGERITVAVAHRLSTVREADCIFVFLGGKIVECGRHGELVEKGGI YAKMCEAQSLDSAA AOR_1_230154 MAHIKELNAHERPPEAVRHRYKEIQKATLSDIDSDHKIIDLQAL NPDKLPSDISLAQWMPGEQVQPVFHQLVRAYGESQNDEDTSHKDIPVYTHQSISGLQM IPSLVPPAVQVELLSRLLHRDLSNKEHQTNLHLHYNITYPGETEIAPIEGGTSTSSAG NSPEGNGVLSFFEDDPARVVYPKDPAVHKPLTVQQMLNKKLRWATLGGQYNWTTKEYP TECPPAFPEDVASVLHAAFPQTEAQAAILNVYSPGDTLSPHRDVSEECDVGLISISFG CDGLFLISHDDGKGCEIVRLRSGDAVYMDGTSRFAWHAVPKIVPGTCPEWLADWPLCP VDDADTSKYGRWKGWMSGKRVNLNVRQMTVTDTHT AOR_1_232154 MENYQKIEKIGEGTYGVVYKARELTHPNRIVALKKIRLEAEDEG VPSTAIREISLLKEMSDPNIVRLLNIVHADGHKLYLVFEFLDLDLKKYMEALPVSEGG RGKALPDGSGLSKSMGLGEAMVKKFMAQLIEGIRYCHSHRILHRDLKPQNLLIDRDGN LKLADFGLARAFGVPLRTYTHEVVTLWYRSPEILLGGRQYSTGVDMWSCGAIFAEMCT RKPLFPGDSEIDEIFKIFRLLGTPDEVAWPGVTSFPDYKPTFPKWKREETRALVPGLE ENGLDLLDALLEYDPARRISAKQACMHPYFQYGSSHYSGRTRRH AOR_1_234154 MGAKCNARDLYVSVTFDAATKSQNPSLPRLTPLASRAQKSLAKM DHDDDLTDMVFTPPLSTRSGGRKRRASQTPQETLRQFWNQFNSKFPGRVYTVLPDNPY ARTKAERAPKGVIQGQDAGKSYEEARKECRRAVDRIVKECERLNQKYTDPHFDIEVDL KSGKRNCLDTLEEENMEMRPRGVKRVTEIFEKPRFFVNGPTASDVRQGRDGDCWFMAA LCTMGNKQGLIEQICVARDEKIGVYGFVFYRDGEWQQCIVDDKLYLRAADYDESVDER PIWDDINRADTEEEYRKVWQTGSRALYFARCVDENETWLPLLEKAYAKAHGDFSAIEG GFVGEAIEDLTGGVTSEILSSSILDKDRFWKEELMKVNKEFLFGCGTGLYSNWLDPKY RGPPRDRKGISENHSYSIMDAKEIDGERLLRLRNPWGKKEWTGAWSDGSEQWTPEWME KLGHKFGNDGFFWISYDDLLKKYQHFDRTRLFGPEWSIAQQWTTVNVPWSADYHSTKF MVNVTKAGPVVLVLSQLDSRYFRGLAGEYGFVLKFRVQKEGEDDYMVRSQSSHLICRS VNAEVDLEPGRYHVLMKVTAYRNGEMESTEEAVSRLAPIKREKLVQIGLSYDLAHAKG MVVETEDERRVREELEHHRKAAERQKQIEATRKKLQKEWIRQQKMTARKQRMAERISA KGQSNAVRNKAIEQILTDGPVQSPVELGNGSGAGFGAKHTRNGSVPIIQCNGVHVSEA EIIGKSQSAQSTLDSTYSSVSSYHEDLDMLEGFEFDPDLDMPPEEPAEPKPAHLTSNG CLEETNSDPWNAVCVVGLRVYSKDPQLSLEVVRSVSKRDTGAALDMDDPLKSASFSRL EAN AOR_1_236154 MADPQESLVDIVKKNKFTAKSDDEIVELFKNAFKTELNHLKNAS PTVESGATKKWNGTPSQKVFGDDYHEVNRTLTSMLAIKWVLTGDYKTFTSGQDTGKLS EKSFVKMQEFFRDRLPTPEDVYALIVALMIDDIGKDKALAENVEIPEENHGEVLLKAV EKGLVPALEAITDQAKKQNIIQSLTIGSKLDISQIVQGETVPHSMLALNDSRNLHDAF NIKAMVTLLDVGGAAAHSDPRGCIVMTQPIFDHYMKAIELLDEYRRKENPGWPECYNK YLAYRADILKDNGFALLSTKDSEEQQFQRAFSDLPSSTKTALVEGMSVNGIDDGTAIL PYYAPGILSEVLRDVPDERTIPYLIAFMKFLTGVYDGSKPEPGEPGALKERDLAPMQG LVKSPEFKKNPEILAKATLE AOR_1_238154 MESQSEKPKRAPRKHVTTACVPCRESKIRCDGATPHCHNCERKG KDCKYQHGDDKRKVSLRAATELFSARIDQLCQFIYDHGLEPPPMRPEDEAGVNRVLDT LQIPRGLAKKRETSAANEIISLPQKAVAHSPAHSSPSAVPDANERPPEIPTPPQNALS NQKLVPSAEKGRETINSFGVNSIPTSHYVPANWGFTLPTAESLDTIYANLNDGTSLSQ ENSLSPESLQLTPDMQQQPGELLRQARYDRECESDSGDEDEAEKDVIEQISNRIVRHD GQDILNHLRVGQPVDQALEDHLVELYFTWQNTSTYVVDKDMYMIARSKWRNEYDDTPF YSEVLTNAMCAIGSAFEARYHPTFITFPKSLSEFFADRAKALLEIELDSPCVATVQAL VILSCHEGSANRDARGWLYSGMSTRLAFDLGLHIDMTPYVEKGEIGAFEADVRRIAFW GSYTADHFWGFYLGRPFRMNAGDITVPKLASDLGAEKESTWYPYGLPTKSDILKHGLR NPNELISRQFAVLWEIISPVGHILYGCSDIPRHDLQRLCHRVTDDLFAWKANLPSILD INLENDTIPQLPQLLMLHMQYHQIVIFTHRPWVSKSYIQPRAPRQGPGYHHARRMCIE SSTAIARLLHIYEKFYTFRRMNNQVVAIIFSAALMLLYVTISNTSTSGRNPSDNPNNN AEMVAYLNLCFRALDELGQSFENAKRTRDFLVSLQRRWQAHMRRSGSALKRQISNRPS SQHLVGLSSDADASRKKTRITAPRNQINYPVSATAASQATTAVPNQPQSQPQPSGQHP LDATQHIGVPGEFDWIRNSDLQLLSGNFGDSSFSQFGNVNTFGEDPALPSLSDIEPWW DTPNGNTFGGSSL AOR_1_240154 MATDTSTYKLNHTMIRVKDPKKSLEFYKFLGLTQIQQLDFPENK FSLYFLAYNGPKSLQGDRHWTDRNAVLELTHNYGTENDPNYSVANGNTEPHRGFGHIA ISVDNIESACKRIEDAGYPFQKKLTDGRMKHIAFAKDPDGYWVELIRRHNEDVGTTTD TANYRLNHSMLRVKCAETSLKFYQEVMGMTLLRTAENKDAGFNLYFLGYPAGNPKVQE DAKNPVAEWEGLLELTWNYGTEKQEGPVYHNGNAEPQGFGHICVAVDDLNAACERFES LNVNWKKRLTDGRMKDVAFVLDPDGYWIEVIQNQALKRTSNW AOR_1_242154 MAEYQLSVRLGYSSKESAALYEAEHCPYWAQSPVKYAQNLLEYS DEPIEYDHHDSDYSDNCFFFPEDCSWSPPRDWTLENEESEDDISNSDDHDTMIPGLPD IKMLDAEALSDLLEDNLSPPEITTILVFGTNGAIFAYASSLPSRQLRNLTATYGAAYT AYAKNASSGNLTGVNPASHPSSYVTAQSVSLGDVGSIVFELDELVAVVTRIADRVLLA AVGPSKLEPEGETGPSNGAQNGSLNASADEFPLHEPRTGANGTSTNQTPTNGTPNSIS RTHSEANMQSDAQLETQYEIDRSNDLARLASLNLSSSPSILLALESKSAALGKFLSQK LEDLESPEDF AOR_1_244154 MSTPTSPVDKLPHRSSTLTSSIAPDRRASMSDDEAIPDSDSSET TNLLNERLRALKHMCGYLEDYVTVTSKVQRSHSKDYEKVLKTVSEPLKEGHHFSQNTG GVASMFENIRANTQGMVNMYLETEKNLKGTVSPTLERLHKEIKAKSKELTSGASKSAK AVDKARAVTQKHIELLAQQTASMDAAAGNKLEQHHDPYILRRGVNHRLNKQIIEENNN RKDIIAVQNNFQQFEAHVLQTIQGAMQEFVQIVSGQLEHQGTMYQDMLGSAQKIPPDF EWVNFITKNDNVLINPDAPPRTLSNITFPNMDHRATMALIEGSLERKSRAMLKGYSSG YYVVTPARYLHEFKDDDDFRRDPAPELSLYLPDCVIGAIDGVKFSVKGKDVSGSKVGN AFHTTTELSFKAHTANDAEKWWTVIKDCTRGPVHTAAAATSSQPASPAVAQPPAYSEK ANEATSPAQATAPQSATQATAPESATQAAQPMQPAASEAAAQSPVSPPAVSRTASTAS GHFHTAPGGTATTGDKA AOR_1_2770154 MALSFFSGGGSASHAKYFDIRLDEDYIVFRGGEQEAASAHLSGK LLLCLSEPLSIKHIRLHLTGISRVCWHLPSSSAGGGRKSWRERVIYEKTWRFRDPGKG KTEILPAGNYEYPFNLVLEGNMPESIEGLSDTYITYRFKAEIGRKYAKDIIVRKPLRI IRTLEPSALELAHAMSVENIWPNKIEYSISTPTKAVIFGTSIRVDFKLIPLLKGLTIG QIVSQLIESHDLTLNPEDPDSIRNTYKNTRTILNDEFELDHDNALEIIDEAAEGYQFS RYLDLPKTLTRCLQDTDTKGIKVRHKLKFRVQLMNPDGHISELRATLPVSIFISPNLA IDENNNLVDQTPQSAQRAINDIAQQAPPLYGEHQFDQLYSELDPNGYRTPGPGSGPGT PFGTLSRNLSAENLASMNALTNTDISASALHSRLSNLSNLNITRPHQPSPTDHESQND SEHRRLGVPADYFGPSSGSNSHSPSSPVLSRRPSDEVDHEHVPSGMATPFHPQYAEVE TLSRVPSYSTAVRTTVRPHDSDLPDYDAVVAEDIPVPPPLQSPQQAHIRNAGRGSSQL FSSLDILHHRPGLGHSHSSSHDDEDRRLRLVQARARV AOR_1_246154 MGDAYEREQQNNALLNSLSSKVSALKSVTIDIHDNARDQDTLDH SNQVFSSLSTSLTGSASRLTRMARQGDTVAVLKVAGIVIAIGLALWVILGWIF AOR_1_248154 MSRRPLVIYTATTSFPLNDIDYESDPAAVAQELNNLAAIRRMSM DVAATGDPDLPSFNSDFSVPPSPSADENDAARLFWVPARLHPELAPKEFKSFLESKTE QIKRRSGDFNSLGPERQGSSGGLRRKRSMLSRQIDNSHGYTDGAERTSPVTLLNEIQN LGITADEDRPILPPAPPGHSLRRSTRTQYRKAGSLKKGEKLPYSKRFAKASDLKEGTL STANSFGEQATSGLTRVSTDPTPSITRNQASGIPAQSAASPSNETTRSAPESVSDQPQ SGNDRPKQKIPEIIETPPPESNAAPTTQTSLSSATSGRGFAHDSPSPSSSPAKGSGNY DQSSSKWSLHNRMHSKDSASTLSDFANSPQALPGNSTRTDSLSFIPTLSEERKPETKK SKDKKESEGSRKSSWHWLLGSEEKDKDKDKEKKKDKESDAKKIKAKLVDKVHETANAL PSSNDSGQRGRESLVLDRLDPKLEEERRKDHVRRTSGESKKEKESGLFSSLFGGGKKK SSTDSHHKKSSSRTLSPDPPPRELRPDVDYPWTRFTILEERAIYRMAHIKLANPRRAL YSQVLLSNFMYSYLAKVQQMHPLMLASSASQRHQKARDQQDDYSGYQQYQEAQMQHYS DSSYDDPQMYEYGDDSHDSYRQHTRGSKSGYENGHAYGPGHYQYGNSTFGDDVQLDDD DDDMW AOR_1_250154 MPLGIHNPLPSSLNSECRKAGKILASFIDPRQAFGPDKIIPPEI LAGAKGLAVLTVLKAGFLGSARFGSGVVVARLADGSWSAPSAIATAGAGFGGQIGFEL TDFVFILNDAAAVRTFSQVGTLTLGGNVSLAAGPVGRNAEAAGAASTKGVAAVFSYSK TKGLFAGISLEGSMLVERKDANEKLYNSRVSARQLLSGTIRPPPSAEPLMRVLNSRAF YGNTRNGDGMYNDIPIYDDHHDDVVWEGRRGDAYGQGLRRDRTGANDVDTYEYRDRPR RANTWADDIYDRPAGGLSRSSTTRSPRNDTFDTYGRNRSNTAPFEEDYVYSDHKPSRP TAPKPVFGQRTGQAPSLRQDQAIALYTFDADQEGDLGFKKGDIITIVKRTEKKEDWWT GRIGDRVGIFPANYVDTA AOR_1_252154 MATVRICVCGDEGTGKSSLITSLVKGVFVTNKIQPILPQITIPP TIGTPENVTTTTVVDTSALPQERSNLAREIRKSNVILLVYSDHYSYERVALFWLPYFR SLGVNVPVVLCANKSDLAADHSEAQVIEEEMLPLMAEFKEIDSCIRTSAREHRNVNEA FFLCQKAVTHPIAPLFDSKESALKPAAVAALQRIFYLSDKDRDGYLSDKELEDFQMRC FEKPLSEEDLVHIKETIQKTHPTSVAPSGIDCRGFIHLNKMYAEKGRHETVWIILRAF QYTDNLSLQESFLHPRFEVPPYASAELSPEGYRFFVNLFLLSDKDNDGGLNDAELASL FAPTPGLPASWADGSFPSSTVRNEAGHVTLQGWLAQWSMTTFTSPKTTLEYLAYLGFE SSDRSNPSTTAALKVTRPRKRRKRPGRVGRNVVLGHVLGPPGSGKSALLDAFLARGFS TTYHPTIQPRTAVNTVELPGGKQCYLILDELGELEPAILENQVKLLDQCDVIVYTYDS SDPDSFAYIPELRSKYPHLEELPSVFVALKADLDRTTQRAEYQPHEYTAMLNMPSSPL HVSVTWSSMQEVFVHIAEAAMEPSTAFPRSEEDVEGKWMAWGIALGAVVCAGAAAVMI WRRVSGSGT AOR_1_2772154 MFVLPPPPPRYTVPVAYAAGASNGMAVPVVETNNIISHPEGGCP LQVGEGTYHLKDDLHLATPPPHPSEAPVVNPNPLATVPTPPTSGVKLSLVSVGQRNKL PVFTSKEKVTAPPFADGNPALAAIPTKDGLKRRKPKNNIIKSSSSFVSRVITHEASSK RLNDRNPDGLFAFANINRAFQWLDLSSKNKEEPLAKILFTKAHMLTHDINELTKSSSH IDIAMGSSAGDIIWYEPISQKYARINKNGVVSNSPVTHIKWIPGSENMFMAAHANGQL VVYDKEKEDALFTPEISNHSAEAMKASSRLPLQVLKSVNSRNQKTNPVALWKLANQKI SQFAFSPDQRHLAVVLEDGSLRVMDYLKEEVLDIFRSYYGGLICVCWSPDGKYIVTGG QDDLVTIWSFPERKIVARCQGHNSWVSTVAFDPWRCDERTYRFGSVGDDCRLLLWDFS VGMLHRPRAHQASARQRTSMIASNTQHFNRHRADSASNRMRSDSQRTADTYNDYDSAV RHPVEPRARTALLPPIMSKIVGDDPICWLGFQEDSIMTSSLEGHIRTWDRPREGINDS YNGNTSSPAISTSAAGSGSGIADSAMGSL AOR_1_256154 MRDPTPQQEAPMTKPSKVSAGEPDVATRRRINQDIDYSFSSDLT EAPSKNCTYLGSTSFLSVFRETQPGLPPNPIPHAVLRGRWSRDHTFVASRLVQLLSAF ELCEELLIGHYERCPVTIIPLQLILQPLKMARTYLETGGWGKDMQHEEIYSRITRNTA RQFPDLRSPSSPWDFYTLFTGENLRWEFIGIIFSFAGLGALSGERKLFKINGQGPMSA NAFAEEMTAASTMCVEICKQLDKVNDLMLWLHVTHGALASDIYGETSHRSYELLGDLV SHVYALGLHRFQSSDNDVPFFISETRKRLFGTSFRWDKNLATLLDSPEPLLQQLGPDG WNITGVNNELSLGRRTPDFTEKLRTTYEQCLQMWTQIPQEYHYSADSWGMLSPPSCVS LILVYSEYLYTVFQVERIRCRENQDATKDLLDTSMKVISVVNDLMKHREQAGNHIIKS FFCILLFNAIPTAGALATEVHRCTIAEIPLPCSASRSEIIRKLSVFVSWLGSADPSIS HTHRTCVDINKAITKLLDDTLNYRPSPRSAEQIPDRTQGHGSIEESSSVLPWANVEDL PDLATFGTSADFLSWLDDLGFGSTLPELLV AOR_1_258154 MRFFQIASIVAYAATSLAVTITSPQNGDKVDFSKPYTVKWTTVP SDPEQVNIVLKNQTSSEKEIAKNVKTSDGKYTIDSIWDIETGTGYQFNFISNSTKNTG ILAQSQIFNVTAVADPPKPSSSATSSASSTSATSTESTGGSAALTIPAAGSLMLSLFA LVL AOR_1_260154 MRIQSIALFLGLCTAVLAVPHKPHNARYMGKDWQESSSSTATPT PTPSPTPRPTPRPTPTTPPGDDDDSGEFPFPWPTEWPPIFDDFPDIGGNDDSSSGDVP DVGDKSTSKIPDVEGDERLRKGSK AOR_1_2774154 MASKHPASEIHRVIDLLIDNLINIRDDKGEFLLHLKDGRTIQAK CWNGWEWTHGIGLYGVWKFYEITGQAKYLKIIEDWFAERFAEGGTTKNINTMSVFLTL AYVYEKTGNLTYLPWLDAWGEWAMYELPRTKYGGMQHITYVAENDQELWDDTLMMTVM PLAKIGKLLNRPEYIAEAKRQCLIHVKYLFDTKTGLFFHGWKFENGGHGNGGHNFADA RWARGNSWCTIVIPEILELLELEPNDAIRTHLCDTLEAQCEALQQSQSVSGAWHTLID HPDSYLEASATAGFAYGILKAVRRRYIGSQYRAMGEKAISSVLKDVDEKGELQNTSFG TPMGHDLQVYKDIPLTAMPYGQAMAIMALGEYLWTYL AOR_1_264154 MVDRADNQLRELEKDYCPPLDPALFTAIACDYDLSDSAQLQQLR ETLDTLKLSAWEQEDLPFDPSGTSGLGANGVDSEGIPSEHSVSQNGTVRSRETDITSL ASEFSSFSVGDKGSHNGKKVSQRLAYTVNADGSLCLSGATEEDKIGYLSEMFPSVDKF TIQHALRKSNGDVDRSMDVLLNLTFFSEQPSIEDGDKVAIPKGIDGFQDGSNGETGRK KNRKRKGKTKSGRNYERSSPLDSEPGCFLQDEPCTVNKWDAAQKDIEFIHSRTSPVLK KEMVTSTYHANGASLTATIRSLAETHAPKDERAISQDTVTEAQVAELIQELPSIPPTT FAGLLKITRSSVSAASELAAAMVTGPVSPSMSELIKFTTSPPPVDVDVETPRRRNEPR VIRDYDRVRSSAGAHFAASSEALAKASAAYRRGKSDRLMGGAAAYYSAVGRDHLERAK RDAAEAADALVDSQSTHNTLDLHGVSVQDAVRIASERVSDWWESFGDAKYVRGGEIAR SGYRIVTGLGRHSHDGTSRLGPAVGKMLAREGWKVEVGEGVLTVVGVVRRH AOR_1_266154 MRIQRFSTALRTFTSSFRYRPTPLITKAASQHPSKPSFPISKST ALKAAPGILPFLSSFFTSTAKPETENNSKMSYPDQRSTEEWRAVLSPEQFRILREKGT ERPGTGEYDSHYPSEGVYNCAGCNAPLYKATHKFKSGCGWPAYFDSIPGAVTRHTDNT FGMQRTEIVCSNCGGHLGHVFKGEGFPTPTDERHCVNSVSLRFQEGEEGVKAKA AOR_1_268154 MSRRATPGQAAQNQQTIKGLLKLEHNKICADCKRNKHPRWASWN LGIFVCIRCSGIHRGMGTHISRVKSVDLDSWTDEQLQSVMRWGNARANKYWEAKLAPG HVPSEAKIENFIRTKYESKRWIMDGPMPDPSTLDDGDDDVPLAVVQEKAKIERSASQR VAASSQPPAAHRQQASIDLFADDDIAPPARPSTTDPTPRAAPKQPQSAPKPTRPGDSL LGLDFFGSAQPAANSHPSSTASTPGGSTGISRPDLKQSILSLYSKPQPAPAQHGRTSS FGDFGDLASPAPPSASPSSNLGGLTDAFSGLSFPSTTSPPPQKPAEKSSPFANLTSFA TKKSSPAAPKVSSPTASAGSGGGSLFDSLASPTIPAAKPQSRTTSISSNGFDSGFTSF ASPPPSKPNPPPSSSLSNDLFGLSSPAPVAPSKVSSPPAPTAISPQNELKAAFNLNPP VPAPVSAAPKPSMSATTASIASALPASIDPWGGGNAWSTPDPAPAAEPSGPSMMKVPD TLTANDIGAGWGATASTSGGSKQAPTVAADEDFGGWTSAAPISSTTAATTTNMSVPSK PAGGFSGADDLFSNVWE AOR_1_270154 MADYRRPIPTGKELPQSVTSTPRVRLSCELCRQRKVKCDKLNPC TNCQRFGATCVPVERARLPRGRSGRVTGKNASGQDTGLKDRVDRLEELLRELTEHDDG TIAAQVGSSSSGSSDQAPANKKADKSEPGTENSLWANILKEIHDIRGTKSYGSTNEDR TFNSDAMKFRKLLATPRGLGSSMATKEPILTRQAERNLVQVFLKKVDPAFKILHAPSL KAFLLDGKPYLDYEPGHMAPAALSSAIHFAAACSATEDESNDLLGCNKAWLVARYQQE SEAALAKADFMTSNDLTILQAFVLFLLASRSQDSSRRVWTMLSMALRIAQALLLHLPE LPFPVRPFERELRRRLWTYIGLLDIQCSLERASEPMMQAKWVDSNPPSNVNDCDIFFG MDGPVQESEGFTEMTFALMTLKAQNTVRLLNFSDFIDKTVSCVNKRQQLVLEFQETAS KLLQNSQPDKIPFHWYTRQVAEIISASLQLIVLRPLQRNAKFIPPRVRGDRLLQIAVD VLKKSKTIRNDPRGQSWRWCEFMFVPWHSLAVAIAELCVCEDHSLMESFWGPVRDAYE NLGDLIADSRRGMIWKPMEKIMAQAEAKRNELLAVSNVVSYPTHFPGTAAPLQVPVCS QRSMQPSVALTGDIIPNTGAYTEAVPIVTLPETVELGPWPSVWDAVDFGCPVATNEMS WLNYENFIEDVYETMDYTLLSH AOR_1_272154 MSTATPRTSLREGLRQAPKANQPFIPDTAPVRRSHVHAHPQSPQ PVSMSQTHTNSVASNSPHPTIDSWEGREQKVPMSTREVATPGNRPGLFIGLNDRGKTA KQPDFYDPYFPLRYLEVPKSDHIYKRAHYGLQSGISDEVDFALYHLVQISNQRWDKFK FEGFPLLAETLMEKAIDISILCTGVKWELQYDFRQPTDRVNVLNSLHGTRDILEKIKQ IPVTLPDDTLETYEFNHRLRNVKEATLVLRNMVLLKENAFYVSRYAKGLLRDFLVILI NLPNQPRLNEIKNDALDIAEEVTKFMRTDPEDPLWISLLGCLESPDRAHVIRALWALT HFSTELDDQEANRAMERVPKDTLQQLYFHTLLDQDRDILSGALDFWYQYTLSRENIEN LIDIFNLPIVFAPRMIALLTHDARPSKKETVLQEEKVAPPPSEIPRVPPELLKDLMEL SEPERSSRWLRCCFVEDADCEITQIALWQAYQSRFADPRVPGGGVLPAAEFIKNVSTT FTNAQAQVINGPGATTRFIIKGIRPLETAYTFQGFPYLFCKWTDNSKPSKTCQRAFKT PTDLRNHVFSDHMNLATSGEEPGHYNLDKAESPIHTCLWDNCTKFRSSGPSANTAMVA GHVSSHLPEERPADAEAPSSKRPVLQERIVRKWFYLDTPVNERGEPVGVAYKAALVLR NLARNLPDRVAQQYDGLSWKKAVFLSHRPRIVEIWDRNRSLRKELTELIMILEREEYY AOR_1_2776154 MYGPTQRSQEGIQLACLSLAKYSYTTTSMSHRGPFNWSHIIGNG DIICIFERHAIPSPLYSRVLLKVMRDHDILEQIDIMHLSRDAMGQPQPSQHGQTKPIF AVVVKLPCLAVKYPEGSGCIRRFQIKFSLERDFYSALSILSDISCPFSESSPGSMRTE PILTNRL AOR_1_276154 MLLSPANSTSPLATQPTHNTPVLPLNQIAREKTQNSRVITLKYR PYSQPQSNRTEPTPSHISRRPNLTQSTITPHILPENTHQSPLAIQQDTPPLLNQRPTT ENSRQEPQHDLLAKADFSVYLASPTPERTQKLENWICGNLENDGFLQLCEDVEGIWRR FALGK AOR_1_278154 MNSREHSDMPPTASYPSPNAAQMGQGAMQYYANRQLTADELLSA ELSRETSGPGLADGSSNGVHHGQSMVLGSSNPGGGDMGRPSSPDQHQQQHMLQFTPSQ QVGVDPNHDLSYGDQSARRKRSKISRACDECRRKKVRCDASSESGVETCSNCRRLGVV CQFSRVPMKRGPSKGYIKELAERLHTLESQMQPAMVHPDMPYQSMNEVSSPRAYQDFS PPMDAGSIGRKRTYSVFEGLPSSSFTQPQFNSRSQNAFGETSTDPYNPSAVSGTAPKP GNLFWTTGNETELPHGLEVPEVPKQAMEEDMTPLDVDEGSLNAYYQKIHPVFPILSHS KERLLEILHQCSREVQEIFLYSLYTVTRTNMDRVISTFERVTSFDNAQDLLLYYTRQP ALARPTGVNLIWLQTMLLMILDCDSRGPDNFVLKDGVPKHSLIQSASKLGSDLAKGLG QLKSKRSSDPDVDSEANLVRRNWVALAILSRWHAISVADPSILGTYEIGGREDERVVG PVATGIGSYSTFLVEMVTLGAIENNVCQTNTGLGRMVGANMLASLERLTQVEDFHKSN ETLESATSQSFLDSLQNQLEWTIRLLIKRHIYVYSPYEIIHSAVEVINEMHKSNTQSR LTTPFDLHSLALASMTLLEATVIPEHANECWDALKKVEEILDYRAKRSGEATEFSDIF ATPGWDSKIRIFLEWRRTKSQESQLQDPSLGKSGSAAPPVMGPNEQRSLQHLADLAVG AEGTVAANAGSPPPGLSTESNLNATSPNLTSVSQPQGRVVVDFTLLTKEGYLNVFSGL IYRRSR AOR_1_280154 MLIDGEKWACEACVRGHRVSSCHHSDRPLTHINKKGRPVSQCAH CRGLRKSRTTHTKCECGDKKKNSHKHDLDPHHANDKRDHKQDSRPRCGCTHGQRCTCA LKKEPHLNTVPETGLPPPQHTILSEPPKKPQLTSTKSESTLTIFRDGHHKPAHKHNDM AHKCGLPYTIPRSHTIHSTSDVSRRSVDQMPLTQAALMNEPFATQPFSEQQPTHGPQR RVKSEHGSPESAPVVSTEDGPTTVPPLDLSSFFPQPQPMNKPTEAEPVSLSMGKTPLN PLMTSVPPLDVSSFSTFPTTTTSPVNTMAFQDPYKEQFFTSPDNDMTLGPTGFNAPPV DWSSFPLYSSDVPAATSTQAPSYASFDYNSMSHGLPAPSSSGDISEVEDFAPFSGFGN AGNDLQDLASGSEGSDLDHFRISSASSFIGLPQAQLLSSNQLDSINIDDFLKSANEST AALEHQLQANMGMEPKPLPSQDAYAISDAQTFKPMTTPTTSLSMTTSAADPMWPAALF DPAAASVDDNNFYPPSWVQ AOR_1_282154 MDPVAPVQEDIRRVGRKELYTDFGKRMEYIKTFLDFTDDDVIIF NKGSKYLKTVIPELTHRLYEKMLEFDITARALRTRSTTSEAQIEDLFTIDSPQVQRRK IFWKWYLTRLCSDPGQPSYWEYLRKVGEMHTGKVLMHPLTIEYIHMNACLGYVKQLLF ETISLHPDMSVKFKFALIRSMSKVFCIQNDLISKCYINEGQEFAEEASNTTNADNAPA KGNTDNASIATCITDNASAVTGTTDTRGIFNDPGQTKPPSLPNPRFQHFQAQLSRRHA ELNLAGQKRKRRSGPSELDSRNGSVL AOR_1_284154 MATQTTVSYTTTRTLSTPARCLNPDNINPHVTEAKYAVRGELAV KAEEYRVKLANGDKSLPFDSVIFANIGNPQQLDQKPITFFRQVLSLLENPQLLNNTEA LRTSFFYEQDVVDRAKKLLADVQSVGAYSHSQGAPVIRQSIAKFIEERDGFPANPQDL FCCAGASSGVSTILNIICNGPQAGVLVPIPQYPLYTATLSLLNAQCVPYLLEEQKAWG TDVTAIRNSLAQARSTGTDVRSIVVINPGNPTGASLSAEDIKNVLDLAAEEKLVVIAD EVYQTNVFEGEFISFKKRLRQLQQETPGKYDYVELVSLHSVSKGMVGECGHRGGYFEL VGFDPEVQAQIYKLVSIGLCPPVIGQCLLELMVNPPKEGEGSYELYQKEYNGISEGLH KRAFALYEAFQQMEGVECQKPQGAMYLFPTITLPPKAIEAAKAENRAADEFYCLRLLD ATGVCVVPGSGFGQKENTLHFRTTFLAPGTDWVERIVKFHSEFMAKYK AOR_1_286154 MGSDPQYIKFPDLTLAQHVFNLSNPSCPQTVRQTSLKKVQDAIS ENKMAPFYRHLAHPVEGILNHSGEGVPQHQASSTKSLITSNMLASRKSPQKIDFPWDE SLYQSLVEDNKKELDAFQKEEDEAEEAAGDTEVLAARGKRAEFWARVGDKDKAIESHE ALLEKTTFLGTKIDLVLAMIRIGLFFGDTLSVRKNIERANTLIESGGDWDRRNRLKAY KGLHLLTIRSYSVAAPLLLDSLSTFTSYELCSYSALVIYSVLAGSLSLKRVDFKAKVV DAPEIKAILGSGEDRVAALTGEVSSGPGAKDEEMKDASTSRATPGAATTAVNLTTLGA GSGIQAEAEAPVDFSPLANLVSSLYNGNYRSFFVALAAVEDNFLTQDRYLYEHRAWFV REMRLRAYQQLLQSYRVVGLNSMASDFGVTVDFLDRDLAKFIASNRIACTIDRVNGII ETNRPDDKNKQYADVVKHGDALITKLQKYGQAVRLRGSERS AOR_1_288154 MDPQKHSEEPPEKAVASPDAVPIDVAPSENMQKGRWERSWPTIA CGAGLFSDGYLNGVIGSVNTILGKIYPDSYSNSPASQNVSSITFAGTVLGQLVFGYVS DHWSRKWSLMISTIILIIFSALCAGAYGLNGSQYGLFAALTAYRFFLGIGIGGEYPAG SVAAAENTGELKKGHRNRWFIMFTNFQIDFAYVVSALVPMILVLICTENHLRLVWRIA LGLGVIPPLSLFYLRLKLEEPEEFNRERMHKFPVWLIIRFYWKRLTVVSLIWFIYDFS AYSFNIYSSKWVGIILGDSAPLWKTFGWTTVTNAFYIPGSFLGALVSDWIGPRNTLAI GVGLQGVIGFVMSGCYEYLSIPKNVAGFVVVFGIFSALGEFGPGDNIGLCAAKSSATA IRGQYYAIAAAAGKIGAFVGTYVIPIIQKNAPNEVRSGQDPFFVSSSLCLLSAAMAYF LLPHIGQDTITEEDEKFRAFLEANGYDTSTMGNREAR AOR_1_290154 MVANALAYHPTLAHYLRFVATTVGRDKILRTLQYFSRFYAWYLY RTNRPQSSIDPYNAVKKQFGTTRKILRIGKFAEHLKAAAVAADNKSPVDPVLRYLAVG RQLGYAGYLTLDTITVIDVIGFRKLAAAKRLQDTAYRSWLAGLICSAIASVYSLWRLR EKERTLDRTEGEGVVEAKKLEKERSAARIQLFSDLCDLTIPVSGLGLANLDDGIVGIG GTISSLLGVVSQWRKTA AOR_1_292154 MYTSIYFILNTLLASNLASQTYASPFQPQVDQIRVPEPVSPNTH WPNFNDIISQVTQPTEKEESLSGSLGLRYGSCYYIENRQGDTLGSDGGVYSYYKFGSS KRIFQVWYSRGFPWKDGSSYQLVPDGGKFYLWDPKGSSVTNGGSWIATNLGGNTYPGF YSYYYYLHFKGRRDDHGSGAMPQSENEMHMMGSRYPVTLEIAKVEPPNGIPNYKGLTI SNGYLQNRNNEDSVDVRFHEVSCPVDGADD AOR_1_294154 MLHPIFDLSNFNIVLTVLSLYILLFGYISLQFKQRWYLGEALPA FLVGISFGPFGAKFLNVSQWGGEEAEERSEITYALTRLVIGIQLVKVGYQLPKRYIKQ RSIELTFCLLPLMGIGWVITSSCIMLMVPNLSFLAALIIGSCVTCTDPILSQAIAKGP FADKYVRRHLREFISSEAGGNDGFGFTFLLLAVSLLRYAETPANAESLREFDLVRGIP DVLGAADVGRFGGGVGKALKHWFVEGVLYMIIVGATYGALIGFMTRKLLVIALKRRWI ENESLSLVPIAIGMLVVGTCGCVGSDETLACFIAGSFLNWDGVYNSEMQARHDTFNPT LKTLLNFGTFMYLGAVMPWEQFHMPHDTGITLPRLFGLGFLILVLRRIPTILLGYRFI PAVCHDWTEALFMGYFGPIGIGAISYVEYARRLFPDSGESDNEINNLTAAMIPVVYWL VLFSILVHGLSVPILNALYKLCNVPCICDHPVEVLLLSDNEPLPNNSTANRARHSVMV NNRFSRPPDSDDGTDDGQPEDDTAAILRRSEDSASTDNDRPESRNTIQMIDRAVDTRD IV AOR_1_2778154 MPQPNARRGKFRFQITTRLFPITHFFSLSSLSYSFPDFSTQGPQ QQQPSSFLSISSAIAVDLQSKCLTLLALPVTPPSLVRPRPVSLVERLALFKGSYWVL AOR_1_298154 MGKTLYQCEIHSPYDPLPLTISKALVLAKVREENVSWVDDLQPQ WIPYIYTADNEPGYFPVPENKGREGMAYLTHIIDNYDTLTDITVFMHASATQWHNDVG DTNSSNVLSMLRLDTVKQKGYVNLRCQHRPGCPTAVRPFDPELLSSSSIVYRNFTTIY TELFNTSIETVPKEIGGVCCGQFALTKERIHQRRREDYVRMRDWAFSTSLDNFTVGSV FEMLWHMVFLEDPVSCPDTQQCYCELYDLCNE AOR_1_300154 MAPAENINIPRASPVEPGPLYTDFFQQQVAKQRNNNYHSTSLRN MVATSVNRTALHPGGVQPGKGHTELEEELHEHAHIDYERVAIIANPAVPALYEDALVY ETGTAITSSGALTAYSGAKTGRSPSDKRIVKEESSEQDIWWGPVNKPMTPDVWRINRE RAVDYLNTRNRIYVIDGFAGWDERYRISVRVVCARAYHALFMRNMLIRPSQEELKHFH PDYVIYNAGSFPANRFTEGMTSATSVAINFAEKEMVILGTEYAGEMKKGVFTVLFYEM PVKHNVLTLHSSANEGKNGDVTVFFGLSGTGKTTLSADPNRALIGDDEHCWTDRGVFN IEGGCYAKCIGLSAEKEPDIFNAIRFGSVLENVVFDPISRVVNYDDSTLTENTRCAYP IEYIDNAKIPCISDKHPTNIILLTCDARGVLPPISKLTTEQTMFHFISGYTSKMAGTE DGVTEPQATFSSCFAQPFLALHPMRYATMLAEKISQHKANAWLLNTGWVGAGATTGGK RCPLKYTRAILDAIHSGELANAEYEVYDVFNLHVPKSCPNVPSELLNPKNSWTASTSF KDEVNKLAKLFNENFTKYADQATKEVIEAGPVVA AOR_1_302154 MASPTTKESQAAMDFLHHPYTRAALPFINGGLAGMTATTVIQPI DMIKVRLQLAGEGVRTGPRPTAFGVARDIIAGGKILDLYTGLSAGLLRQAVYTTARLG FFDTFSKTLNKRAEAANRKITFAERAGAGLTAGGIAAMIGNPADLALVRMQSDGLKPL EARANYRSVVDALFRISKNEGIPALWAGALPTVVRAMALNVGQLTFFAESKAQLKAHT SLSAQNQTFAASAIAGFFASFLSLPFDFIKTRLQKQQKDPKTGQVPYKGVLDCARKVA KDEGWLRFYRGFGTYYVRIAPHAMVTLIVADYLNLITK AOR_1_304154 MSSPQAASPAPESTEAHNGALASPTAVQEEPRPGYSKRRRLQER HQQLRKRGRTPPSAYARRDMNETAQQHNRNESSNRSPSPLAPPRSPSPDAQARKRKRP GGGARMGLVDRETLRRRQEERERSQQEEAMRFSQNRGVTDIVRHHYNAVPQRGREWRK TESKIKGLRSFNNWIKSTLIQKFSPDEEFVARSIGTKDWADETAPPPMEDKRLLVVDL GCGKGGDLGKWQLAPQPVDLYVGLDPAEVSIVQARERYNGMRTGRGPRGRRGPLFHAE FAPKDCFGEYLGDVPIVQQVGIDPNAGPGGSVMSSRWGGGGFDVVASMFTIHYAFESE EKARQMLRNVAGCLKKGGRFLGVCPNSDIISARVAEMNAKRKERETAAKKEEAEPEDG EVEEDDNKIEWGNSIYRVRFSGDTPEDGIFRPPFGWKYSYFMEEAVEEIPEYVVPWEA FRALTEDYNLELQYRKPFLEVWKDEKDDQELGPLSERMGVRDRNTGALLMTEEEKEAA SFYHAFCFYKTHLQHAEEKLTDSAGEVNERLTDARVRLQRLKERRGERKNFNEGEENG ARQQGDTDDWEERLRELEERVEGATERLEGQMRGTIDGEVRVDGLVGVLGTIEREVEQ ESSFGRSTRSTRRTTRRRGGEEDGDDDEEDGDYEEAEEERGEESVPPSRRLDKLLGEN YADWDKLSLTERYSSNNAYIGFYRIIHEAKHPGEDIPPLPHASTWFSHLEDPTTGSAQ LADSSARRTRRRRSPSPADSDEIAIESERISLKCPLTLLYFRDPVTSSKCPHSFEREA IEDMIAHSSTTVPAPQPAGAAGRSARRVRSVKCPVCSVVLTAADLRPDPVLVRRVRRH EAALRREAEEDELSDGARRRRSGARKSGITLVDDDGAEDANEMDVDSDETSDEDDRQN KESSSSQDHVRIKQEMSTAPVDDD AOR_1_306154 MSYAVETKKRKFHRVLESLTKPSNAESSSKPTPAATTATTTTTA NTNERISADLAAKKARLSDRQDGNDLASVRKTVLRVARSSSRDSSVSSSSRPSYVPWD RERFLERLETFRRVDRWSPKPSAINELEWAKRGWICTDVSRVACVGGCGGSVVVKLPD ELDELDGYDSEKVQERKEVRAKLVEEYASLLVQGHGENCPWRNKGCDATIHRLALSNP DTAISGLRTRYLNIAKMADQLPFQEIIQTPEPFDLETIIKILPDDFERLEEHTEIAVP QTPQRVDENQLASVQSPSNRGISINRTAFALAFFGWDSVADGAAGLAGCGACFRRLGL WMYKPKNNGDVSVYDALDVATEHMEYCPWVSGKAQSGTGKSSEKSAELRSGWELLAQA LKVKHRRHIRSTVSVDSRAVSETPSADGLAVDEANNEAKKASDREWWAKLRRMRQVLN VKSPKKPTAP AOR_1_308154 MASLGSSVSLMRSFTPRLSKEFFTCRQCLGRSQNYATKSTFRKN FAVSPFTQNTSKLNTPASFPKTKSAIPNIFRRSASGSAAANAVEEGASNAKSSFPKVS DKTVAYWLLGSAASVFGIVVFGGLTRLTESGLSITEWRPVTGSLPPMNAEDWESEFAK YRASPEFQLLNPHMTLSEFKSIYYMEWIHRLWGRFVGLSFVLPALYFVARKKVSKPMA LRLGGIAGLIGFQGFIGWWMVKSGLKDDLFAPGSHPRVSQYRLTAHLGAAFVCYVAML WNGLAILRSHRLLADPEAGIKLLDSLRDPKLKIFRRSVAGLAALVFVTAMSGALVAGL DAGLIYNEFPFMGTGLAPPKSELFDERYSRHEDRSDLWWRNMLENPSLVQLDHRILAM TTFTTIMALWAYTRRSPTMKRLLPPAAKKGVHGVVAFAFCQVGLGISTLLYLVPTPLA SAHQAGSLFLLTWVLVLGSRVWHPSRTAKLLQMAVKARGQAISSATAHGPRNL AOR_1_310154 MVHSTPVPSSGFQALILCGPGESLNTISSNPEENPKCLIPIALR PMVYYPLDWCKRAGITDIILITPPSALTPLKAALQQNPYLTSLPSPSPTVIAPKDLKM TTGTAELLRLPEVQACIRSNFLLLSCDLLCDIPGEHLLEAWLVSQGELGPASQGGLSV FYPAKGIKDEVKKEPTDFMAITSLEQDEVPAVSRYKLSKLVLSMPMDTLKEQIEEDKT FLLRHTLVEKHASVKMLTSYRDAHLYILPKWVQSLAQYQERFESVSEDLIGYWAKAEW QRGLSEKLGINKILGGQNENQEDHGSNDGDLLEEEIDLRGMTTTHAQGSEARSTNSTP NDQSSVEVPSILAYMTKSSEQLVRRVDSSALVLAMSLRLAKLESVEEVGRAAASPFSH ASKIATPEGIASKSIVTKGDCLLGSNVTVEEKCVIKESCISPNAKICSGARLTRCVIM DNAVIGPKCVLTGCIVGRYSQVGRDSVLKDCEVQDGVVVEEETDAKNEQFMSFEGLDE DDEDDMDVEDDEDNNDEAVF AOR_1_312154 MIDRDETGDNTDPFRQLRYLPPPQTSQYHQTSQHLFHPQPIRQT VGPACLRQSRDPNGAIDSFSLRESLFGQGELNPGARHFTLESQNIHRTTPRFPPEYVN WRFGDPIPSNWRITVTPRAVNSPRPEQHCILMPDIGTPESYERCRDISLSSLLCPQPD RTVLEHAAILHHMRNSEPEFVTVGNPKIVSRPGSAREDTLMDCGDPHEQSSPRETTVP VTTNAPDDMFPFAMPAMGKEVILCEFEELATHTAKCDICNKHNYSGMSRCLTCGWQTC NPCTIARGYFRTHHVNGNIHTGPTSQNNLDATAKEISKAKKKSSPPKRKKGPMNARKS KKGHPAKNKTAKKVPRTPSKSSLVSNKVDADQEASAEEISDSGNRIKQSDPDTWDVDS ILDDDATEYLPEDDQLEEEEASTWSPSNTPLSQGSLHNWNLQQAQRGHEIRRQKNIRS QKVKTSRETDADTPLTKSKASGQRTKAQAYCRKQPGRYSRL AOR_1_314154 MSEANAVKEAEASMANLLLDEVTGEKVSKSELKRRQKLREKEAK KKEKEAAAPPKPAKKTSAEEDEANLTPNQYFEIRSKRINKLRETKQPDPYPHKFQVTD DLRQFLKDYDHLGKGDQLPDKTVRIAGRIYTKRSSGAKLIFYDIRAEGVKVQVVCQAQ NAAGDVSFEAQHEHLRRGDVVGIVGFPGRSNPKNRPDGELSIFASEIVLLAPCLHAIP SEHYGFQDKEQRFRQRYLDLIMNDRSRNVFVTRSKIVSYIRNFFDTRDFVEVETPMMN AIAGGATAKPFVTHHNDLDMNLFMRVAPELYLKMLIVGGLERVYEMGRQFRNEGIDLT HNPEFTTCEFYWAYADVYDVMNLTEELVSGLVKHVTGGYETVFHTQTGEEYNVNWKAP WRRVEMIPALEEATGEKFPPGDQLHTAETGEFLKKVLKKTGVECSPPLTNARMLDKLV GEFIEETCVNPTFITGHPQMMSPLAKYHRQNVGLCERFEAFVCKKEIVNAYTELNDPF DQRLRFEEQARQKDQGDDEAQLIDENFCTSLEYGLPPTGGWGMGIDRLVMFLTDNYSI KEVLAFPFMKEDKSAAETKSAAEVVGIEPQPEEGIPHK AOR_1_316154 MSQLGTPSYSTTDVTVQDSPSKMRSLENKAIQVIARDMKALVRK IQDLRHIGIEDNRIALPKICVIGDQSTGKSSLIEGMSEIKVPRSAGTCTRCPMEINLS EGEPGQPWACQIYLSRRYMYDGSRKFRTPRKAQPLGPWIEQDQEDEHFITLSEKDQVQ EAIKWAQLAILNPGQSSREYIPGENAGTNTHYQVKFSPNIVRLDISAPDFPNLSFYDL PGVISQAELDEEKYLILLIENLVKEYISQQNCIVLLTLPMTDDATNSSAARIMRNVRG AHQRTLGVLTKPDRIQAGESYSQWVDILEGYKFKLGHGYYIVRNNPDPEIEHSQAREE EDDFFDSPPWTAEELAPYQDRFGTRRLQTSLSSLLLEQIQGCLPGIVEQINTKAARIN EELKELPDPPSANVLYILCKLLYDLGDRIRANFEGGSSDYPLPKIWGHIAQDFRAALI RTRPTVSLLSQSDRTSFPVQPDGDSDCEMTSAPRPVKRKSPSVPPDTQMPKPGPSGYS TNHFNQFIQPARVFTWEDIREINEDSCRAGIPEQADPKALEKLRQLSVQHWDGPMLVF LKATHNLVKEMLLKQLREVFFQYYQTTLFRELKRIIEKYLGELQEKHTRYAIDNYNIE HHKPFTMATAQLEHATKDAYHFLSTRRHLARADLYLELQGKCPENESKRAAELKKLTT AELGPDRFFQEVKMMASTRGYYEMVCHLNDAKSSWLQTLNANVDANT AOR_1_318154 MLQVPVREHTNVASTKAIILVGGPSRGTRFRPLSLDLPKPLFEV AGHPIIYHGLKALAKIPDIRDVYLVGYYDETVFRDFIKDSTKEFPQFRIQYLREYTSL GTAGGLYHFRDAILKGKPERIFVLNADVCCSFPLGEMLRLFEEKDAEAVILGTRVNND AATNFGCIVSDSHTKRVLHYVEKPESHISNLINCGVYLFATECIFPSIRSAIKRRTTR PRLVSYPSSENLESSFVAAEEDSEKSEVLRLEQDILSDLADSNRFFVHETKDFWRQIK TAGSAVPANALYLQKAFQAQSDELTPPSATIVPPVFIHPSATVDPTAKLGPNVSIGPR AVVGAGARVKDSIVLEDAEIKHDACVMHSIIGWSSRVGAWARVEGTPIANGTHSTSIV KHGIKVQSITILGKECAVGDEVRVQNCVCLPYKELKRDVANEVIM AOR_1_320154 MRSKPRSVKPSAYPAIPFHSIRAVGFISTLVVGIILAVFIYNLH QGGFKLPWAFLVLLIAVILSLLNYVLTTITHCCYGLSPRLSLLSNTICLLLWLISLGL LSWSMSHTILTTCNATYWATSTGITVCRIYKALFAFTVLGNISYIAAIALDVIVRRRQ TRLGEYDPMASNPALNDYKMHDRSSSVLSGGMGPYGGLEEQHPAFRSNNHADEVYNDI PAPGNYAGQTMPPPVYGASSTLEQHHGGEAQDYYQPTPTRPRVRFSAYGHDGYSHPSE QTHYDPAAYR AOR_1_322154 MASLLTDTLPWHDGEIQMRSLLRIPPIINPTVPSLSYGATYLLL NSPLLAIGAVDREGRPWSTLWGGEVGFAKPTSQSKIEIRTPVDSKHDPLADILLHNSS GESGQLVSGLVVDLATRKRAKLFGRKITGSIQVDEENHIDSSRVGFAHLLVQIEASLG NCPKYINIRNITPALPTPRLISESPQLPSGALKLLDHADTLFISSRHGDVDMDTNIRG GPPGFVRVISNEPNGAVFAYPEYSGNRLYQTLGNLQTTPLAGFVFPDFETGDALYVTG QTEVLVGKEAAALLPRSNLAVKVTVTAARYVEQSLSFRGVAGAKSPYNPGVRYLATEK ASPAAIGDEKSSVTAMLVKKELLTPTICRFRFQISDPSKIGTWTPGQYATLSFQEELD MGYSHMKDDDPSSINDDYVRTFTVSSYPALNRSTEFEITARRHGNVTDYLFRTNERAG LEVPLKGFGGDFYVKTPSEHGKIPFIAGGIGITPLLAQLPDLDVSHLRLFWTISIRDL GLILDTFNRFPQLPHGTSLFITGPEPHDEKTTEQLATLVASGAQVERRRMQAKDLDLS LADVWYFCGGPSLKSSVLDWLAGKEIVYEDFNY AOR_1_324154 MSTYEVEHNTTDPGTATPSTHRRRPDLSTFFATLSEISPDEART REHAVPVPRDVSAAFYSLAEAFDLMRREGDHSVPTSGEGDNDLLTQMIQSLLSSAETP PREVEGVSEEFCDVLDRVPKASLKPSQSCPICGNAFLEDQYPLVVRLPCHSTHLFDLE CVRPWLRLRGTCPLDRTDFAKQEREKAEARRKKPVEDDEEEWDGMYG AOR_1_326154 MSFNVWTIVISTDLRIGGVTSLMFMTAPLAMAFLVYHTYLIWAG MTTNESAKWSDWKEDVADGMVFKSTKAEAYGNSPLLREYQSPQTSWPVNSDQVLVLTE VFVSFLTGPVKMADRNSADIKPKCTPAELMLKVILTGTLSHYETRGMIDDKRLEHMLS AGKQILYKTHQESDVRHNLGLSPDIWQGFTDVLTKAIPVLESQSFAWKCPPTANYDHS SSNLIAYNYFSLVKDIERLNDLCTIARNLLATTKKAQNMAAEKGFDQRILALVDTCVR VTARGFDGETNARNEERWQKVVNLYKRLLITCLQFLHNFIMHNEQRKMVLWLDLFGYH STGDSNIIQPREPLDPASGQPEGAAPIVKTGERIVNPPIRALYDQTAEDLLLETISNF PREPATIKEEAAMLLLANIKDHMEKLLGRDLTAIQEMGKDPEQVKEIRAALTAILGAK VDGFSDLQDRAKDLPPALPEDEPPRKKAILTIDRSTTAGFPRICWADLPDLNAYGALA AGDATIMEEDTSMPRSAQSAAETLQEAKDELMARLQESSQIGGDGDQDYDTGDAGTVG DDDSRSLEAVADGSMEEEEEEDDEDDDDYRGRPGDQQRGLLTDIPLVLGPAEIEALPM IIQAGIVDSFGLKGGERTGSRNMQALRCHILLTQETGRNLLRELLIFIAAWDLPDDEL YFKMMVQIMDAVLRNGLMSHAYSDFGQTKDIISPAQAVVVKILTHIFRAKYSPASVTG STQANTTKNPAPLSRVDILTVRYIFTIFRGNIIPETCALIYLQGQIRAGRALPEDFPL NLWDMERVYEGVYQFLEFFAVLTENNDWKNLLVKWEIVYDLVTLIKELEASIPKGQLS QLSLGPRSPSKESQPNTIPGPVAVERPYDPSDPDPVDGGAPSRAESPPITEDPSEFEW RNLKKLVVLVLSSLVWKCPEVQDQIRRHGGVETILSCTNFDAHNPYIKEHAVMCLKFL LEGNRENQKLVEELEAREVVKDENGLLERSGFEAVIDKTGKLAIRPKDGTGEKR AOR_1_330154 MASPGPLFQGKQFWLSHNVPQRSRFKDLIEQHAGVVRLHEKDAD IKLVDHTRKNLPPDTYSYRFVEWSVRNGKLEDLQNHRAGPSAARPVGATNIPTRSHPI PYTLEDDQWLWDRMAEYEKDPNASIGGNKIYQELATQTETSDHDPENAIDPLFLELPF LPSSPEPEPEEPPEQDIDTWIDHRLQTGRAENEEQIIEALRCTSMDPYLADQVLDYLI AGKGIPDNMPGVWTAEDDRCLEAKETRTIEQVLKKHGSDAFNSRWEYLGMARAAGLDD IDS AOR_1_328154 MPPPVENLSDDESTGESIPYNDAKEDRDSGAENQEDNDEEVEEE DEEGVYVVEKILGHDFAKNGTLLLQVKWKGYDDPADETMEPEENLLEGAKDLVEEYYR AQGGRPEKPQRGKRKSMTGPKQTTEKSEPKRRRKSRAEAATETPDEDDDLPNWVPRSK NWENEVQSVDTILRDAETSTLIAYLHWKNGKKSKVSLETCYEKCPRKMLKFYEEHLVF KEG AOR_1_332154 MAMQLDLSQASVMKDEQGRPFIVVRDQGKKKRQHGTDAVKSHIV AAKTVANIVKTSLGPRGLDKILISPDGDITVTNDGATILSQMEITNNVAKLLVELSKS QDEEIGDGTTGVVVLAAAMLEQASDLIDKGIHPIRIADGYDQACEIAVAELDKISDEI PFTKEDTSNLLKVAKTSLGSKIVSKSHDQFAKIAIDAVLSVADLERKDVDFELIKVDG KVGGALEDSMLVKGVIVDKDFSHPQMPDEVKDAKLAILTCPFEPPKPKTKHKLDITSV EEFKKLQDYEREKFTEMIQHLKDSGANLVICQWGFDDEANHLLLQNNLPAVRWVGGPE IELIAIATNGRIVPRFEDLNAEKLGTAGSVREMTFGTTREKMLVIEDCANSRAVTVFV RGSNKMIIDEAKRSLHDALCVVRNLVRDNRVVYGGGAAEIACSIAVEDAAVKSPGIEQ YAMRAFADALDAVPLALAENSGLSPIETLASIKSRQVKEKNTRLGVDCMMTGNNDMRE HFAIDPLIGKRQQLLLATQLCRMVLKINNVIISGDDEQEF AOR_1_334154 MPSRLTTPVVTVDTAKIHKVDTANAQSLHGMWMVFSKCADYMEE GRRLENLSWRLWTRETFCVEPATSNDASVLPLLRQESADMPELSASVESAASEQAERI EHHIKRPKCEIKPAVVRDDSLASLGRGKEKHITSMGLERMVLNIKEKKDLEPISSAMA TVSPPVVDITPRPSTPTPTPPSESTARRTPSPSPSFPVIQQPNHSTESCSTTAPDCND SDAANANASDTSVSSSGILPSRCELIKSPSIIRGFSPSLISSSYRSQPRMAAEPSPAK ASAQLKPTPFKKKGGMFTLGGSSGDDDESSFEDRMVLRGPHRSSLSDELSKSGTMQPS PKKKVTSFKEHDGTIKPVKEPSGDNDEDAIESEDEVDESAIEDEDSDWEDSITESGRS SLDERDMFQRVDSRPNLVSRRSLLTMMMHQPTKMGAPTRSSPALQRSRLTSPNGPSIP ASPPEDDEDNLTMRGPGVPRSKPIVMKPTPQSVAHSPRTTRRNMLATELTESLRRHLL WERQQKSATANAFLKRRHTAHDMANLQEYPNPKGAQKGQGVGVSNPGADKDKDMAKTA SFNQYTDFGPWEYHAKGW AOR_1_336154 MASFTLVSAYAAAGLLAIIVLNLLRQLLFRNKTDPPLVFHWIPF LGSTVTYGMDPYAFFFSCRQKYGDIFTFILLGRKITVYLGIQGNEFILNGKLKDVNAE EIYSPLTTPVFGSDIVYDCPNSKLMEQKKFIKFGLTQAALESHVPLIEKEVLDYLKTS PNFKGTSGRVEITDAMAEITIFTAGRALQGEEVRKKLTTEFADLYHDLDRGFTPINFM LPWAPLPRNRKRDAAHARMREIYMDIINERRKNPDRETSDMIWNLMHCTYKNGQPLPD KEIAHMMITLLMAGQHSSSSISSWIMLRLASEPAVMEELYQEQITKLSPDGRTLPPLQ YRDLDLLPLHQNLIKETLRLHLSIHSLMRKVKNPMPVPGTPYVVPADHVLLASPGVTA LSDEYFPNASRWDPHRWENRVEKEDEEDIVDYGYGTVSKGTSSPYLPFGAGRHRCIGE KFAYVNLGVIVATMARHMKLFNVDGKKGVPATDYSSMFSGPSKPAIIGWERRFPEKS AOR_1_342154 MNDPSLAAGNMTSTSSTAAQRPISKAESSNPREFQINQLRRRFR PTESTDDVGTTLTFGMAPSDPDFPFEMDKLQCVLHVPLSYPGRERPTLKVTNSEMGSA FQDNIARGFDDIVDSTLRSGGRATLLTWMNALDRHLERLLTTTERGPTLKFVPNVGSK QKPESQAIVEGVKSLAVSTPASTQDTKPKSLPVPEAPSRIYTAEEKSQAEKRRAVETK QIEARLGRLPLFQKSKDGLSFVIPIQPPKPDRLPISIRSVKTVKLLVPLLYPLEQSSV ELQGVDRAEARPVEVGFAQWVEGNSKLNLMSQINYLTANMHNFAKTPLETPQEPVFDA SPEPFTGSKAPENTSTTTRGPTLEDRPHVHVVPRPPEWTVGERSSESEGTDMTESEDD FTGEDEEDGGAPVPALPETTVERGVALSFPFLELYGIELLELVGLSITVKCDRCKEQM DVKNVAQVKDKADALSPKVESCKKCANTMSFGFRRQLIHPNSNRAGYLDLDGCTIADL LPSSFIPTCAECSSSFPAPGVVAVRGESASASCRQCHRKMVFKLPEVKFLRVGSAAFT SRNQALPRKKPKEALGIVAGQELPRRGRCSHYGKSYRWFRFSCCAKVFPCDKCHDAET DHPNEHANRMICGFCSREQIYRPENCGVCRAVLIGKAGSGFWEGGKGTRNKVLMSRKD PRKYKRRPGSTPGGSSSKKK AOR_1_342154 MNDPSLAAGNMTSTSSTAAQRPISKAESSNPREFQINQLRRRFR PTESTDDVGTTLTFGMAPSDPDFPFEMDKLQCVLHVPLSYPGRERPTLKVTNSEMGSA FQDNIARGFDDIVDSTLRSGGRATLLTWMNALDRHLERLLTTTERGPTLKFVPNVGSK QKPESQAIVEGVKSLAVSTPASTQDTKPKSLPVPEAPSRIYTAEEKSQAEKRRAVETK QIEARLGRLPLFQKSKDGLSFVIPIQPPKPDRLPISIRSVKTVKLLVPLLYPLEQSSV ELQGVDRAEARPVEVGFAQWVEGNSKLNLMSQINYLTANMHNFAKTPLETPQEPVFDA SPEPFTGSKAPENTSTTTRGPTLEDRPHVHVVPRPPEWTVGERSSESEGTDMTESEDD FTGEDEEDGGAPVPALPETTVERGVALSFPFLELYGIELLELVGLSITVKCDRCKEQM DVKNVAQVKDKADALSPKVESCKKCANTMSFGKVYSNST AOR_1_338154 MAPISTSPPPTDRNSLASYRGYDHVHWYVGNAKQAATYYITRMG FKRVAYRGLETGNRSICSHVVRNGDITFILTSPLRSIDQIDRFSSEEQEQLREIHHHL EQHGDGVKDVAFEVDSVDAVFNAAVSNGAKAVSNPQTLKDENGEVRVATIQTYGQTTH TLLERSNYRGAFIPGYRLETAEDPVSKFLPGVHLNRIDHCVGNQDWDEMDKVCEYYEK ALGFHRFWSVDDKQICTEFSALKSIVMASPNEIVKMPINEPAKGKKQSQIEEYVDFYN GAGVQHIALLTDDIIRDITNLKARGVEFIKVPSTYYDDVKIRLKKAGLTLHEDFETIQ KLDILIDFDENGYLLQLFTKHLMDRPTVFIEIIQRHNFEGFGAGNFKSLFEAIEREQE LRGNLV AOR_1_344154 MDSITISPTKPNLTTNDSAVLQALFDAESSPSNGITINPSLPPF PAHLNIDPTLHETLKAREITIVRTLASPNPTPETIQSAIDQLSTLITEHPTYPPAYVN RAQALRMLISTHNGGQAEETETDLFTPQNAETVSSLLSDLGEAIGLATPRSPADPVSE VQARLLADAHTHRGYLLLRLAKVKKSGEAFEGTGRWSQLDADRLEEMASRDFFFGGRF GNKVAQQLAVQTNPYAKMCGAIVKEALRKEVEG AOR_1_340154 MPVTKFSHPDPYSYQTGFDSYHETEAIEGALPVGQNSPQKAPYG LYAEKLSGTAFTAPRHENKQTWVYRIIPAAAHENFKVENGDSYHTHMTTETTKLHHIP NQLRWNPFDLDETVDWVHGLHLIAGSGDPTLKQGLGILMYAAGKDMGKEAFYSADGDF LIVPQHGVLDIQTELGRLIVRPNEICVIPRGVRYRVTLPAGPVRGYICELYQGHYQLP ELGPIGSNCLANARDFQAPVASFDDEEEPSEYRLYSKFNNTLFSARQDHTPFDIVAWH GNYYPYKYDLGRFNTIGSISFDHPDPSIFTVLTGPSDHVGTAIADFVIFPPRWLVQEN TFRPPWYHRNTMSEFMGLISGNYDAKTGGGFQPAGASLHNVMSAHGPDTDAFEGASNA ELKPQKVGDGSMAFMFESSLMVGVSEWGLKTCQKVQEEYNEHSWRPLKRHFKNPNKA AOR_1_2780154 MRFLISSIITGTMASWLQIPKNSPFSLANIPFGIISSTKSTSRV AAIAIGEYALNLSTFASSGGFAQLPDFQPHLSVFSQPTLNAFAALGRPVHRQVREYIQ NVFRADTPFPQVLKDNASLQKEALLPLSEVTNHVPMQIGDYTDFYAGLNHAYNVGVLF RGPENALQPNYKHLPVAYHSRASSVVPSGTPIHRPNGQILANPAATPKLPTFSPCKKL DIELELAAFVSKPNDLGKPININEAEDHIFGLVLMNDWSARDIQAWEYIPLGPFNAKN FATTITPWVVLLDALEPFRATGLEPGDRDSLLPYLREKRAANVYDIPLEVEITNAGGK PTIISNSNAKNLLYSFPQMLAHHTITGCNMNPGDLLGSGTISGTEPKTQGSLLEQTNG KNPLKLDDGSERLFLEDGDTIVLRGKAGTEGNYVGFGDCTGTILPAIKLEY AOR_1_348154 MTDETPKITLYTYFRSSSAARLRIALNLKSIPYTSIPVNLLKGE QSSPANRALNPSGTVPTLVVERPASQGATVTITQSLAALEYLEEITPASSHALLPPVS DPESRAVVRTLCEIIGCDVQPVTNLKILKRVGPLGFDRETWSKELIEDGFRAYETIVS RTAGVFSVADTVTMADVCLLPAVWGAQRSGVNLAEYPTIQRIAERLEKEDAVKKAHWR TQDDTPEEFRLKESS AOR_1_350154 MEVRRQSRNGHATFQRTYKACLACRQRKAKWQSEEGTPLPARAR PRLSSNPGISRDESHHVLSHGPSPLSYAEQNQDNGDSTLQSSPTEGSQRRRQSTSTLA NSMMRTVVSSGNDALNILFEAAAAHSKEHGNGLSESSTPSRNARSSTGRSNNYESSLN QSIVPPEVLAKAAQPVEVSQASKEVLSVWGACRFVRMGWFTAREAVTFIDLFFKNMSD LSPILTDFYADHNNHRWLVSHDPVLCCTILMISSRYHLLPGAGGGSRNFFIHHRLWQH CQQLVMRLMFGQEKSSQSKVRNIGTIEALMLMSEWHPRSLHFPPESDGWDFDLTSVPP EPQELEDSSSTNRWLEDMIEPARRSDQMSWMLLGSALSLAHELGIFELDEKKLGYASG YEGFISGEQIKLRRQRVQRLLYLNQTGDEWLIFMDSWMDLTKLAKSVTDMFFPTVSFA RQQLHSGRYIELLDHFRPILDKWKEEHLQVRSFKKQYFDILFIEYHFVRVYTHSVGMQ AVVERVLADSDPRAEEVRALNIDPIDYEYIQEVIDGCCQILQKVIQLAENGVLRFCPV RIFLRITSSSIFLMKALSLGTRQSTLRESLDVLERSIQALRSNALDDIHLSTRYAALL DMHVARLRRNLLASSKTNISDMGYIPSLNDIAADDWLSLPFDPSMAPFGISSAGQFPA YEGGALNFIWNLPS AOR_1_352154 MDHSRDPCPWVALSDFGGAFCMGAIGGAVWHGIKGFRNSPYGER RIGALTAIKARAPVLGGNFGVWGGMFSTFDCAIKGIRKKEDPYNAIIAGFFTGGALAV RGGVKAARNSAIMCAVFLAVIEGVGIGFQRMMADNTKLELPPAPPSGDKVAA AOR_1_354154 MAEPKPNSQQRKPLFKPTLIIHGGAGALKRSTLPPSLYNQYQTS LLTYLRSTHSLLKSGSTALDAAVHAVTLLEDDELFNCGRGSVFTTAGTIEMEASLMVT SLVSDDSSPDYPGSIKRGAGVMGLKNVRHPILAAKESLLRTGNGSQDGGSMHSQLVAP YVEEKAREWGLEFMDDEWFWTQRRWDEHLRGLQGEPEPVSFSQGTVGCVCLDMWGGVA VATSTGGLTNKWPGRIGDTPTLGGGFWAEERAVAVSGTGNGDSFLRVAAARTACAMVR FSERMPTLADAVTAVAGPGGELQRSAGRRWGLTGEGGGGIIGIEAEVEVDEDTGVPVS GMELGRGKVVFDFNCGGMWRAWVEEDGDGNDMENVMVFREPY AOR_1_356154 MMGLPWATVYIVIAGYAIAKSTFGNFILLSILVSLLKVFYNWVL YPDFFTPIKKIPSPPGRSWITGNSDTVFLEAPYEHMRKWLENVPNDGLIRYYVSINLE RILPVGPRALKEILVTKSYDFPKPEFIRASLKRLAGEHGLLLVEGDDHKKSKKNLLPA FAYRHVKEMYPIFWSKSIEMVRVMDEDLRKKADPTDNVLRMGAYASRAALDIVGVAGM DHDFQSLRDPNNKLVRTYQNLMSEPPLYMKIIFLLTLLLGDPAVVHDLPLERNRSIER SSETIRDVARQMIRQKRAKWESGSSTAEDIDIVSVALRSGNFTEEELWCVYVLCKHPD VQTRLREEIRANLPPISTENPQPPAATDIDNLPYLNAVCNEVLRYHPSVPATIRCASR DTTIIGEPIPKGTLFLIAPEIIGKSKELWGPDADKFNPERWLGPGRANNGGADSNYAN LTFLHGPRSCIGQGFAKAELACMVAVVVGKYHMELKNPNAPLEIRQQATVCPKDGVLA KFTNIEGW AOR_1_358154 MTSRELPDIVNKAAPGISYFTPAQEPPAGTAADPQSDGTAPPKL FQPLSVRGLTFHNRIGLSPLCQYSGDDGHMTDWHMAHLGGIAQRGPGFLMVEATAVEP EGRITPEDLGLWKDSQIEPLRRIIEFVHSQNQLIGVQIAHAGRKASTVAPWLSMNDTA SRNVGGWPDHVKGPTDAPFNDRNPTPKEMTKQDIEDLKVAWVSAVKRAVKAGADFVEI HNAHGYLLMSFLSPAVNKRTDEYGGSFENRIRLSMEIAKLTREAVPEDKPVFLRVSAT DWLEESRPSEPSWKSEDTVKFAQALAESGYIDLIDISSGGTHQAQHIHAKPGFQAPFA VAVKRAVGDKLKVGSVGMIDSAQLANSLLEKEGLDFVMVGRGFQKNPGLVWAWADDLN VEISMANQIRWGFSRRGAGPFLRKRQEKI AOR_1_360154 MVVQAKTPLQVIVVGAGIGGMAAALTLGSKGHHVVILESAPKLL EVGAGIQVSPNMLRIFDRWGVSPLIHSKDVALEHIHVRRWQDGSLLGTMPVNKTYGQQ VVIHRADLHNALIEQALALPNVELRVNSTVTDVQFDPASVTLADDTVVQGDVVIAADG IKSTIRGHLLGEDSPSKAIPTGDAAYRIMLPRSVMEKDPELKELVDEPQATRWLGPDR HIIAYPVRKHELFNVVLLHPDGHGVEESWTTKGSKQEMVDNYRGWDRRVRKLIDMVAE DEVLEWKLCLHSPLKTWIKGSVALIGDACHPMLPYVAQGAAQAVEDAAALGVLLSTIS SKHEIPIALRAYEKSRKQRAETVQQSGSANRITLHLPDGPEQQARDEQFRLSMTGGSN PDRWTDRETQNFLWGWDAEKAALEAWNEVRDGNVVEPRHHL AOR_1_362154 MDTMIPQRPLPSKEALKQFYMHKTLTEIPKPAAVLDLAIIKRHC NSMLQTIQTLGVGFRAHVKSHKTTELSRLQVGTSSPEINYVASTVLEIESLVPLLREF QSKGRKVNILYGIPLVPSQVSRLALAAAELGPDSVSVMIDHPDQIKFLDTFSRIAGFA AGVFLKVDCGYHRAGLPPRAMDKGGLFSKLEELEKTGAGRLVGIYSHSSLSYGGRNRG DALRHLRGEVEACLLALDSYAGVLPVDRELVVSVGATPQVVAAEAVAEGDDAELEELR KFLKEPDTGAFKGRVRVELHAGNYPLLDMQQMSTNAGGVRERFFDEVGAYVVAETCSV YNDGEREYPEALVAVGTLGMGREPCPSYKGWGVVGPWGLPTTPQRDRLYIDRISQEHS ILRWESEDVAKKIPLSVGQTVKIYPNHACITGAMYGWYLVVDSSSDPDATKVVDVWVR WLGW AOR_1_364154 MAPFGETIAVIDKSGKVVSTSKQLFGVFSNAKNAYSARKAQFQS ERNAIIAEREALKAIQNYTIDDAPSVASSRRSRSRHHSGRSHHARHYYDDDYEYEQDR GSVASRPDSYYDRPQDLVRRHTHHDIAMRGPEARPTTSRSKSDAHIDMDLAYGDYNPH VLTKAPPQQNQLQKIEDPELSGLVNRAQWLMEEANCVHHSATATIAHLQKNPDAMAAV ALTLAEISKIASKMAPAALSSLKSAAPAVFALLASPQFLIAAGVGLTATIVMFGGYKI IKQMSGNGNEVSRGPDRGLGPRPGESVGMDDMVEINTECLSGVEMWRRGVADAADESI GTSVDGEFITPTAAMMSGIDVTTARMMRDPRFKFDDEESRASSHRSHRSRPPRSRAPT RLDERPESYVASKAPTKSFFGISSKAPSKAPSKAPSRAPSKAPSKAPSRAPSRAPSKA PSKADSHHSHHSHHSHHSDRDAQPKEKKKRSSRLRLMFTA AOR_1_366154 MSPSKNDALIARLLWEPRQRCCGRYPFHQKKAMDHTVLHESDST SEIDEQTKTWESDPRSITTHLTDDDANDSNAMIECGIGHRVQASRSVLALVLDDDCVF AGLQGGDIVAWSLQTYELVLSVHAHQESVLDLHLSEDKELLFSSGGDSVVNVWSTRTF DRLYSIHSHHDVGDIFAVAYSSSLKTIYCAGQNTSIQWCDISQADAAATQLSAAHLSR RTHRFFDSRGPDGTRAPRPEAGADGSHSVTQGGQVLTFKRDHHRIFSHHGYVYTMLLV RGLVESAPSEEVLITGAGDGVVKLWSLDQDKTNAAPSQMAKLQNGDPVLSIAVDGSFL YCGLAGGALNIWNLDSHQLVKRITRHTGDLWAVDIIHGVAVCGDSNGIVKKFNSRFEE VGSWAAHEGTMLASAAGRHKDRFIYASGGNDNTVGIWDLTDVSLKQNELPPINNDEMV NCLAKFVAFKTVSASPKFAGECNQGAAFLRRHCVYLGAKTKLLTTGKDTNPIVYARFN ATSSEKIDKTILFYGHYDVVGADANLTKWKTDPYQLTSMDGFLYGRGVSDNKGPILAA LYAAADLARQKALRCNVAFLIEGEEESGSQGFHETVRQHKEQIGSVDYILLANSYWLD DYNPCLTYGQRGVVHANLIVTSDHPDLHSGIDGSALLDEPLKDLTLLIGTLVGPKGRI NLPDFRDRVLPLPEAEKQRYADIAQILLQQHPEITDRDALIDSLMHRWREPALTIHSI EVPGNSKSTTTTISRRAKASVSIRLVPNQEADDVAASLTMYAQEQFDLLESQNDLTVE ITGKSDPWLGDPDNEMFETLAEAITAAWTPDRQGQKHQYPPVQRNLQDRTVSKLSKEP GPRLTRKDSSDSLASHIDRIIMSSTTSSARKAETRQRSSLSMGVPTSSTLTSKSSPAV VSGDSTRETSPSPQGETPPPPEPVPGPSDVRPIYIREGGSIPTIRFLEKEFSAPAANL PCGQASDNAHLYNERLRVQNLYKSREIFSYVFSRLPERERK AOR_1_368154 MECPKTFSSSFFNTVLTPTTSSPRLPQTFPEPDYLNPTYNLTQN IPPIHPFTAPKTLPPIHTSDKHTITDRIKFASSLLSHPHYNPPLQTRLRLQEYKTLCN NLLSLTQPTTQTGLQAYKAWLQKRTASMQPGFQIKALHPKIHQIETDLKTKFLITEPL STTPATTVLDLNLSFSKTDLQAFSNETLTLHLQVLLHRYKNFIPPSTKKEMDFFNPIR VLHYAPSLYHTHSHPTTNQPTWNRPFWTTINKYLTKELPTFNQLLTTTTPTSTLLNSV PVSLLFTRTCHDHNLDIVEMNHVLAHILSPDSIPLPEMDIGAFIRDRDPLGLAKRLKR DLSVVPGCGVESPLGRDLEVVFDVVGGLQEGFFELGRSRLLSVPRNWDGACVGGLDYG SLEREDDEVVDREKGGEEEVEEKRSTDEDDSEETEESEESEESEEDDDNDSDSDTLSN RDDAEVNNGARWSALLRKDIA AOR_1_370154 MMVGFVHTLLLRLWRRLEKVLACTGSVSLDDEVYLLNRSPKESA RLNAQHNFLVDLIGGKPIHPAIPIENITAIADVATGTGIWLSSLITAPKVHPTDRLYL HGFDISSAQYPFSKDIAPTHELHLSTHDMRNRFPPKHRGRYDLVHLRLLVGALKEEDY LQSMRNIFELLKPGGYLQWDDCDTTAFSTAESSPDPFILRMQETVASAAVNLGLCPTA PVLIEKLAKLVGFEDVSRQSYNTIDKPYLHNSARAWLVQVLRSLLPKSMLGTGEATEE KDAVDRTERLVEELETRCRNVLPVVNLHVVIGRKPLSG AOR_1_372154 MDGLHKGSGKQQDTDIFAGSSLSESVSPSPGQRQTEAIAETRNQ EKMPRRSRQLQPSPLNLLDDGFEAPVVSETPDATKRRSLGAEPHRNGSQVNGHSASHH RSPSQRTTNGDQKNAQYSLPPDQAFGMVFEHSQGPGGVNGNTPHRTSLVDVIDGPEVA TVLNQWSGEVSPVRNQDTDRERVPKLSPAKIEELTSSPQSIPYRAADSEHSRRVVSDQ THASNSQTTSTDEASPLLNDIKFPPDHATKSRTNKEFTLDGGLARPPTSSTRHRSQSS RAVSTPTSARRQTLPSNERLAQTWASRSKHDRPSIGRGSESKHLNPAPEMSDSTLPSP MPPSIPLPPLSIPTYLQLELASGRPSPLYIHPSASSDFPYESSRVKLERLMNFFMLPP VLEQVFWFGILACLDSWLYSFTILPLRFVKALYILLESWMVNLGVEFRFLSGFIIKGV GRVWRRRNKISGDGTDEQRLVSEAEGRSRQESAGTERDVKTKAAEARRRCRSDTHHKY QHRRQKSIPSTLLPDDKADILKGLLMIATCAVLMYFDASRMYHWIRGQAAIKLYVIYN VLEVSDRLFAAIGQDVLECLFSREALERRPNGRSKVFRPFGLFLLALAYTVVHATALF YQVMTLNVAVNSYSNALLSLLLSNQFVEIKSTVFKKFEKENLFQLTCADVVERFQLWL MLTIIASRNIVETGAFNFIGNLGSSFTSQSTSTNSTPLSTPPRTMSSILPQSFTIVPS SIIASFSHVNSFLPTLAQVLGPFLVVLGSEMLVDWLKHAYIGKFNNTRPVIYKRFLDI LTKDYYTNAFGDQNLTRRLGLPVIPLSCLFFRVSVQTYQMFLAALLPQHPSSTAVEST SLSSIYSHYVPAPIPSPPPLTLRTIVPASAAHMNAFFQQLLANTMPSPAQSVYIFTVV LILTGYVVLLIVKLLLGMVLLAYARSRYRAMKQRETEQSSHENSGPPRAREYAVEGSR RFGGWGVVEVNDDHRRWIYTDDLEGLRRLKAKEEKEKNSKEELNMDHVRRYEMVAKRI W AOR_1_374154 MPCCGDREKGPVSLEEQWDYINLNDFKSESCLSPFSYFFLWVFL LISIAVYAVDTFTAVNLLAFSKWAGQIEPAIPFTVSRWIFAVCIIISFVLLVLRWIRA IRAIRSGSISQSYLDSLAVRLQSIRLWSSGHGWKRFLVFAELTKSKKGAEYVALFAYF SFETWMNVLIADGPRQVVNAITLYSVMRMDLLPGGENASKTDDSSPFIQFFDNVKILA ENNTLRALVLFGMLFTLVIWVLSILKLALAIILYLIFLFHHIPSQDGSLKAYCRRKIK TRLTRIVRRKVDKALAKGMVLQERKPTQPNMGLNTNPTLPTVGSDDKAPTVTTLSRST TQTTLRSYSSRPGTAAPDRAPTLPSVAAFEDKPPLSRTMTQSSAYSASTSLSGRPEYQ YGFKSRLNLETRHRVVAQLLANHPMSTLIAPFNGVSPSLTSRP AOR_1_376154 MTSPAEQMFLFGEIIDPGPISVLALFGYADLTAGSAIIFATITI SAYIASDWKFLSPWISALLTAVVRPIRAVVVDTSWVLMQLAAVRSLDDVFTTLRNLED GVKSRIVESRPSRSVCVVVNWYFPFNRPLATYTLAKAVPPRRAAKLQPAVSRVDSTGH ETQLRGMPPTPREIDLWNMIDQCEGVIAKQKGLIIAKTEELRVVKQRMEDGWALVKKL SARQALIEETRPRETTVIVELRQELAVKKGQLIIAQGKLRHVQDDTTAKERSLCERVA QLEQQLAREREQAEADSLSEHRSLRAELQTRKDQLVTLEHRLAAAEARERQSRGHIDT EHQNLVIRNNELVAQNQELMAQCQASQTEHDRLELQVRDSSVAIQERDAALGRVILLE TELVTTKSSAESVVRQAQESLAHAYKADVALRQSMPDLQHTCDLTLAQERATADQAQK RASDLTAEVNVLQGKIGMAMRDAQRSHNQAAAAERTIQILEHRLSRWEASHSSQEIPK RPSRDVGSISTALAQSQVTVSQQQTEINDLRRQLGEAKQGFLWPSEQVAQEGVQKLWE VLSNEKRARTEDNIRWSRKTRELEDECQKLRISLSNAAASTPVRR AOR_1_2782154 MASTNPLQSPALTGLNAFTRPLTDADLKSCVNVESSFVEHERCS EEKCLGLFIKTNDTEQLIAHVIAIRSLSTTIEEGSIEMPSGWQSRSPNDPVIIDGEVI GNDPRGTNIAVHSVAVLPEYQGTGVGKCLVKAYVEYIRNAGIEADQIMLICHDYLIRF YESAGFVNRGPSPSRFAGGGWYDMVLEV AOR_1_380154 MKCNVISELWFTRRPRICIDLRGQKEGPVSSYTTSDKIEGTATI IADHDTKYDHIKITFEGATRPPFLAIKGMANKGNKELPVMQHPLDTSLQPNERVLRKG QPVTIPFTFTIPDRLPPQSCEHPVDHDYVHKRHTRLPPTLEDSRITSSNKSPSDELSP KMCRIDYFVKVTIQRHSEVGPLAARVKKVHLVPVHDDGLPLVVCVDEKDYCWRKEIEF RRGMLRNSMGRLQMVASQPGPILVTLGCRASELVRSAVTAHIRFDPVGDEPPPVLKCL RTKLKASTFYASCPWTNIPSYSTMPRPCIHPGPFERTIPVSSLCVASVEWKKQELDAS RDFNDHAPVLCPQKPIIRNSAIHYTACLIVPITLPRNRTLVPTFHSCFISRTYTLEIE LLYHTTYVSMPTAIKLKVPLHIASSRRPDDPRHNSFDLAISSLPGGSTAQGQVLPGYN AOR_1_382154 MAPSNNENDPIDIKNGLNLVLDKVRSTGSFMTSANIDTAVNPGL YIPDVGNIGLPISTEHAKAIIQSCHPSPYGKGTETLVDESVRKSWQLDASQFALQNPR WQLQVELFVDKAVTGLGLTANGREVKAKLYKLLIYEEGAFFLPHRDSEKADGMFGTLA VCFPSKHEGGDVIVSHSRDQLKFQTAPTSEFGISWAAWYADVTHEVKPVTSGYRVVLI YNLIHRPSTALLESRGSSTENITRLLDPWARAAGVDPIPYLDGWNNRIHDTCPPALIY VLGHQYTNAALNFSRLKGVDQCRFAELRGACQRAGFDIFLANIEKKEMGAVEDDGSFY GGSYGRGGNGTHRIDYLIESSLTLSHVVDSGGIAVGRDIPFPKDMLIQTSVFDRDPDK EDFQGFTGNEGASATHFYHETRFKHGYGNAKSVLEDYHRDLSERPNDMLARQKLLQVC RMITPGCCRYRRRVSPQEVQHKILQIALELSDVELFCRAMEWLEKSFPSRTAQFSQIA KIVPQISRDAIHSSLSKMSGRSNDKQSLSRYKEMFSDLVNEHYEMKFDKLLPTHNGGS ESEGHDLAKVISDYPEKGVLSSIMPSLETKLDNTAFLVAFITSAHDYSLSGKFDKEEA DAVLKALFSKVVASFNIESVTSSHHTRGLYGGTSGYDGPSNNLRISPSLVVKLIQFAD ATGNDSTKLINTLTEYTLDVKEGTEESTFHDFLFPVANGICAHIDTTERSSTGSERRF MKHMLAKYVRDYVKKAPPSPPPDWKKKTTIRCICLNCASLRDFIDDPSSTTKDFALGE KRRNHLDQQIDKTFFTTTIVRANPHKLRVEKTQALLVSDFKAWVARVQIANSVLDQLS QKGYLEDMLGNQYHSILSHQNLVLPDDLPALPAPPGLRNMVQRTVPAKRPFGQ AOR_1_384154 MANDLPSVDEARLLDHPVDHNYLIIDPSSLYGLDDIDPQATGRL TTLNPEPHTLDELASNRVLNPNSNRPLEYSNTLPEGQATSWPTASLQDVPWLQNNEWY TGYTPNDSPSHWLNETFAPQAPLLPPSEINDGHLDNKNSWLQQSRLQSTCPLGSNDSR GLPRRRSRYSLQRTAKGATPQFIPNPLYAPDPMQRWQESPPEDEPASMSAIMNTLKNL PSAEHFDEHNYQACLSGTRTFRRKDHLVQHLRLTHNLEVIPIIDDWKTPAPTVTSRCG FCDRRLSSWEERVDHIASHFRNGSTMDCWKGEHDFPPEITKQVTNSLPPYYIGHDSRC LVPFSVTNVHAKDQLDQITSRLDWNTAIESNTPNAPVQALSSGRDSNNPLNSLLEVLA SHLGRYTRQKLAQGIIPTDEMLQQESRRLVYDSEDPWDQTIFDNPEWLAAFRREHIER PNNPANNEQALSLFDSLSFTQSLHTDYV AOR_1_386154 MATPSAVRLLAIRPVIVGFAKLLMLALGGLPLVSAAPATYIAYS EESPKAPNDPDLWLYLGVAAILVLSGGAFAGLTIALMGQDEVYLQVIKTSGEGSERKN AESVLKLLKRGKHWVLVTLLLSNVITNETLPIVLDRSLGGGWPAVLGSTVLIVIFGEV VPQSICVRYGLPIGAWMAPCVLALMYIMAPVAWPIAKLLDRLLGEDHGTIYKKAGLKT LVTLHKTLGEAGEQLNSDEVTIISAVLDLKDKPVGSIMTPMDDVFTMSADTVLDEDTM DLILSQGYSRIPIHAPDNPTNFVGMLLVKMLITYDPEDCRRVREFALATLPETRPETS CLDIVNFFQEGKSHMVLVSEYPGEDRGALGVVTLEDVIEELIGEEIVDESDVFIDVHK AIRRMTPAPIKSRVPKGKIVEEPPSLPPQKTGTLVDVDGEPQVSKPPANVRRRSSVEP PLPRFQLRMPQSDRNSDSTDGLVTKRGTTDEIREHLKHLGPSNLASRPRQTRYQNVKI KRASVSPTRSAQTDMDSGHSMSGSQALHPNSTALPGGIGEGLVQPGLDARDGAYAVRV GYGTMSGPKPADAGTQTVGKDVSIPEAVHEEQDEPPRPPGSAAISVNSESSNSQKFKP TSYQHQGPARSGSITEHVVDVNGIRKVVLHTTSTSSSDHEGRTSPKSKFPVRQDGEVV DLNGKRSDGTQGDGTKKRRRRRKRGQASKHADNHVDEESPLLPQ AOR_1_388154 MASIDPNVPTTTLPYTCNTCLVAFRGSDAQRDHMRKDWHLYNMK RRIASLPPVSQEVFNDKVLAAKATTSAAAAKASFEKTCVACQKTFFSENSYQNHVKSS KHKAREAQMLRDSADDASSVMSSTFSLGEPVNKPRERSEVSKVTESLKNATIEEDDED EEMEEQGFSASRCLFCNEKSSDLQQNTEHMFKTHGMFIPEKDYLVDLEGLVHYLYRKI NENSECLYCHAVRNNPEGARTHMRDKGHCMIAFEKQDEQVEIGQFYDFRSTYSDGEGE DEEDSIMEDGGVKVDGEDDEGWETETSASSMDDDEDELDDTKGQVYATEFELHLPSGR TAGHRSLAKYYRQNLRNYPTAEERAARQLAIENGEIEEEEPKPRGRDLNRAVVSRGNG GMGMIGATDSQKQFAAELERKDRTRAQRQEKRYTARVNRAANNQKHFRDPLLQ AOR_1_390154 MTRLRGASVSSYEVSHCGTDKPQFHRSKVPPNAPEVITQNTVDV SDPAQVLLWYRDERRRHFNLLVRRYKNQLLYGCQDPSCSIPTCASYRRRVTEEPFRRY TELSARTLACYLASVDNAESGLCRNTPRVPSDLSSQDHHRRSKRRSRASTNDSRKECS GSSVVGQGATDGYSVAQTSDGQGDFWDNAGRTSSPGFALQAEAECIPANEDQSTQPLK DPKSFTQNLFDTLSLRMIEWLPLRRSPSTFSADSDHSYSRSSSRSPKPSTVHGKSHSR TEKHRQERERTSRHNTSTRGNPLTPSSRTITNTTAAVELKLPNQQIKRLSLADVDQRR QSPRSSIDEKVYPELKPARKLSINTHASADGLVNIPSPPALRHRPQKHRGRTGDGACL HEQPKKERRVSWDGAKLLNGVHVPDDHNPLSADDDQPASEPRSPSAPSLKHNGIHQSE DMPTIQSLTHLTSEIIDELGKLVALSDDEAERWKDELAYIESMGTFDDSEWRFATSRQ REAFTFVSQSVFYALSSTRQILRSFRDNTAGPNDIGQSNSNLKLNLQQLQPSLRKLFT ICPRELVFHSLWSALESLFVPPKELSHPRQSRRSSYNSTTGAVSAPIIIRRASDALGN EHVTDSCAADVATVALFALASSLPEIDATTWRGILQMRATGAVASSADMQKLPLRNTR AVVDVTDALEHDLALRLVDRLVRALTARMAFYEISKVRHPYSHASRKSKNSVLDFLIN NLSDNHRLVTADVDDQNQVRPPGAAAVIAEWLRTLLLKEWDGSPEMSKSSATGGAVQI LSLMYKERNRLGLVPEDFHTPFLSERLEPLEMPVEWIGRSPNNKTLHLLSYPFLFPPS ALVIYFRALNYAAMSRFYEAAMTTTRHVMQTAFGAIQIQDDVGMLARLKTSMTAYLVL VVRRDNLLPDALNQLWRRERRELMRPLKVQMGMDEGEEGLDHGGVQQEFFRVLMAEAL DQSYGMFATDSRTRVSWFQPCSLEPLYKFELLGLLMSLAVYNGLTLPINFPTAFYRKL LGLKVKHLDHIRDGWPELTKGLEQLLTWEDGDVEDVFMRTYEFSFEAFGAIETVDMQK VDRDAPWPLPSALARSGGGNRSLGSPTWSEVRRYTDCVNLSPPSSMGAEATESFADMA KSLDGSVVMQSPTPPAEEASFVTNRNRSQFVRDYIFWLTDKSIRPQFEAFAQGFYTCL DRSALSIFTPEALKTVVEGIQEINMDELEHHARYEGGFGPSHRTIRDFWSIARRFSVE KKAQLLEFVTASDRVPVNGIASIMFVIQKNGVGDARLPTSLTCFGRLLLPEYSSRSVL EDKLNKALENARGFGAA AOR_1_392154 MSSAKSSRVNIAGIPKKELLLELLKNAEVASFFCTREPPSLDID EIWKEYEELTRYISLVDYLRGRCLKVDISGNTMNPSTFDSEYGEGKFAEIVDKLRGRY PLPRDRKEGNNSVEDHKTN AOR_1_394154 MPKNFNYPYPSQIEQTTPFREPRLNQIKMPPPKDLINISGISKD ALRKELLEALKSSIIKEDTREFLKDHRKLSWLIDEGLRYAVKVYVKPKDFVGASGLGE RCDADTVREVVKRLLQDYPLGPSA AOR_1_396154 MSVQYVDFESPDDPSIPFNWPIRKRVYISGLLGLLTMVVAMASS IFTSAIPTVIMMYNIDREVATLGVSLYVLGFATGPLCWAPFSELKGRKLPLVTSAFGF TVFCFATAVSKDLQSLMILRYFTGFFGAGPLTLSGAVYADIFPPHQRGIAMVGFCLMV FSGPLTAPFIGGFTVMNHSLGWRWTAYIPGILGSGIFVLLLTTMRETYVPVLLSWKAD RLRREQGDWSIHAKHEEISLDLRAIIADYVSLPLKMLALDPIVLCMSVFASFVYGLLY LFLTAYPIIFQQIHGMNPGVGGLPYIGIIVGQLFGALGVFAMQPWVLRKMERNGGIIM PEWRLPIAIPGALAFSGGLFWLGWSGYRRSISWVAPTVSGLLTGFGLLTMFLPSIAYV VEARPKKAASAVAAHTFLRSLAGAIFPLFASYMFDALGVEWACTLLGCVAALLIPIPL LLYIYGARIRNRSGLSNES AOR_1_398154 MHLKPIQTLLPTLLLFLHLPTPALTTQSPLSPSTPKSGNPVING WYADPEARIFDTTYWLYPTYSAAYEAQTFFDAFSSPDLLTWTKHPTILNLTAIPWSTN RAAWAPSVTRSPSTGEYFMYFSAGDGAGIGVAKSLSGRPEGPFEDVLGRPLIGETVFG AEPIDAQVFVDEEDGNRVWLYFGGWSHAVVVELGADMVSLKGEYLEITPKEYVEGPWV LRRGGVYYFMYSVGGWGDNSYGVSYVTGPSPTGPFSSTPTKILQGDDTVGQSTGHNSV FTPDGKDYYIVYHRRPVNDTERDHRVTCIDRMEFDEQGNILPVKITSDGVEGRPLA AOR_1_400154 MLDENLPTFFLKKTQQKFVWTILHSHRGTEPEPAYTLRHPDPAS PSSKNRYAAALVDPYVPDIVYGEVLLIPEWTQPSLSAEAIRQNGGVTPSPEPILPTRF TVHLYNPDQQVTVQYKPKTWNSPATWSFEMPQQSFRQPSSSTLDRTQTDPAAADTTPK LRFSWRKDSKLSKDLTCLLSGKTTALSGTKAKSKEPDITISIFQALRELTLYEPNLYR VEMEDFKGLEVVLLLGAITIRDVYFTTMKDAFKLDATSVPVGPTPAAVANSPNGHTTA PAAGKDKQPVSAGSGALNANTTPTIPEEPTVENPPRRKQEQDKEEERRTKKLLEEEEK ARRKRQAEIDKETRRLQRLYGEEEQRVRHSTPSLPPRPLQSPPPSERPAAAGRGAPAQ RYYHTHHHSPSVPHIGHSPYLQTPGGNPHRQSVAFLPTQPQPAAVSKPQKLQQKKSFF GFRRSSSDENKLSKKRSSMF AOR_1_402154 MPPVIHCVRHAQGFHNLCTENHVIRDPLLTDLGNEQCRKLSENF PFHDKIDLVTASPLRRTIYTALQSFGPVFEAHKDTKLLLLPDVQETSDVPCDTGSDPV DLRKEIEENGLPVDPSLVHEGWNNKTGRYAPTHDAIRKRAREARRWLKARPEKEIVVV THGGFLHYFTEDWEDGNQYQGTAWANTEYRTYEFSSEAHKTDVEGHELEGEDATLVET ISSRERRGKEGPAPDREAQKALYKKGIQGWDDQGLQLSTAERKAAKVPEGKEVDGVRV AOR_1_402154 MPPVIHCVRHAQGFHNLCTENHVIRDPLLTDLGNEQCRKLSENF PFHDKIDLVTASPLRRTIYTALQSFGPVFEAHKDTKLLLLPDVQETSDVPCDTGSDPV DLRKEIEENGLPVDPSLVHEGWNNKTGRYAPTHDAIRKRAREARRWLKARPEKEIVVV THGGFLHYFTEDWEDGNQYQGTAWANTEYRTYEFSSEAHKTDVEGHELEGEDATLVET ISSRERRGKEGPAPDREAQKALYKKGIQGWDDQGLQLSTAERKAAKVPEGKEVDGVRV AOR_1_404154 MEQVQPQVSLSADEPCEIRQQQRLAFTVFINNAFPISHVFNNFR ETNYPSFADYITSMFEQSVCLDISAYCVCLVFRNRIGVEASLLNKGRNAYIYALQALQ QALRTEHTSNKADMIGASILLFIYEMRVPSEDHGGWASHCDGVAALMKEMGAQSFTHG FARSCYIFFRGFLIAYAFHKEQPCFLEEDQWQQLAEKVRAEDSQKPGLSRMFADVTER IVMELVKCPRYVHDAQLHQSTQNSQQALVLYSRILCTKNNLGFLVTQLKDLISIYQPE NTASAPEFLLNGAVDAINLLNTLVQKLIMDPIPPIRLYSSLARLLDNKYIVQDARCLD RLGCSMGISGTRLD AOR_1_406154 MVAPSIRVAPSAANRALNLLRTVQYTHPPSCPCHSNPNHHHHHR TPTLADHVRRHMATPVDPSRQKEYAFEMAASSIRFGPGATKEVGMDFANMQAKRVCIV TDQNVSKLDAMKQAVEGLSREGIEFTVFDKVRIEPKDYSVKEAIAFAKPYNPDAFLAV GGGSVIDTAKLMNLYTVYPEADFLDFVNAPLGKGLPVERKLKPLVAVPTTAGTGSETT GTAIFDLVSKKAKTGIAHRNLKPTLGICDPLNTRTMPSAVHASSGLDVLCHSLESWTA IPYNERTPRPTNPINRPAYQGANPISDIFSLQALRDTVKYLPRAVKDPDDFEAQSKML LAATLAGVGFGNAGVHLCHGMSYPISSQNPGYKHAGYDVEHPIIPHGVSVAVTAPAVF RFTAASNPDRHLAAAEAFGVDISNVKRESAGEVLGEAIAKFLAELGDQPRGLKDLGFK SSDIDSLVEGTIPQKRVLMLAPNLNEELEAEKIELRGLLEQSMDH AOR_1_408154 MASAPHASFQQLEKLGEGTYATVFKGRNNQTGEMVALKEIHLDT EEGTPSTAIREISLMKELQHENILSLYDVVHTENKLMLVFEYMDKDLKRYMDTYGNRG QLEPGIIKSFVYQLLRGVAHCHENRILHRDLKPQNLLINTKGQLKLADFGLARAFGIP VNTFSNEVVTLWYRAPDVLLGSRSYNTSIDIWSIGCILAEMYTGRPLFPGTTNEDQLL KIFRVMGTPSEISWPGISKFPEYKPDFPVYATQDLRQVVSRIDHLGVDLLRRMLQMRP EMRISAASALKHAWFNDIPRPIPSNAQ AOR_1_410154 MHFPLTLLTTLTTTLLFLPTLTPANPLPKTQNQTNYQNQTSSQN QTQTQTQTHQLGHAIVRNNCAFPVYLWSVSSTVSEQQNMTQGALYAETFRRDSQTGGV GIKLTTVPNGLETSAPQTIFAYNLVADRVWYDLSDVFGDPFRGSRVFLDGEVTDIIWE RGVPPAGSRVGNQRAGVDLILTVC AOR_1_412154 MTRGFLAVFGTLLLSMGALADDTWPYMTLQTADFTPPQLEVKKT GTTDPGYIFIGPRGNQPNGTAALIYDEDGNLVYQGPTEVSANFKVQNLYNKDVITFWA GNMMELGFGYGTVHILDDTYEEIYTVTLPGNFISPDGVVRDSYIDLHESHVTSRNTLL VTAYNITQYDLTSIGGKMDDYMLDGMFFEIDIATNNIVYQWSALEHIQAIPLEQSKQG LGDDYGTQEKPWDAYHINSVELVDGGWIISLRHYWSGYFIAENGTVMWRLSGEKSVGD FEIDDGAIFSWQHDMRVYNQTNEGMVLDLFNNANTPTETVAPTTGLSLSLDLVNRKVT TLRTMNDGDDVIHSVSQGSYQLMSEETNHVFMGYGSISKVKEFDADNNAVLTAQFGDD NAVASYRGYKCQWKATPFWKPAVVIERHSTSATVHMSWNGATEYDNWAIYTASSPEAT DHTFITSAKRTGFETAVDVNNLRSPYFQVVARKGNVPLSSIIVPVA AOR_1_414154 MTPLQQPFTSPSLTLNHRVVLAPMTRMRSSDITAIPNASSATYY AERTTQGSLLISEGTVIHPRGKGFPNTPGLWTHEQALAWKPITDAVHERGGIFFVQLW HVGRVSVPSQIGGLAPLSSTSAHLPGMHMLFGDKNGTEPYVESHAMTGKDIKEVVDAF AHAARLAVGIAGFDGVEIHGANGYLLDSFVHDNINTRNDEYGGPAIEARLKFPLEVVD AVTQAIGNHRTAIRLAPYHVLQETHDSDRLATFSAFSRSLEERKLAYVHVVEPRYDQL SNEGAFSGSINRENSAESISSALSVSIWPFRRLLKNTPLIGAGGYDAESANEAIAEGR IDLAAFGRYFTSNPDLPERLFRGLPLSRYHRPTFYTSGLEGYLGWPRWGNSLNGEEEV AKPYLKP AOR_1_416154 MPFEKPIVVVTGATGGQGGSVIDALLESGRYQIRAVLRNLTPAK TQPLRDRGVEIVHGDLNDEASLVEAFRGAHAIFAVTDFFEPFMKYGPQEAEKRELAQA KNLAKAAAETSGLSHYIWSTLPSSATLSQGKYHTPHFESKASVDEYILKQFPDLAAKT TFLWVAYYASNLTFPLFTPSLLKTSGKYAWVQPVGPSTPITTIGDHRKNVGIFVEAVL RQPALTRGRYVHAEVETLTNGELLERWGKVTGKPTSYVPSTLEAYNQLFPAWGLEMGV MLQFWEAVGEASWRKPGVMLLRKDDLGIDTAHLTGLDTAFAQIDWNQV AOR_1_418154 MSKPQQILVIGAGELGAQVIQALVQHPLRTTAHISVLLRPSSIT PPDRDRAQELSHLRIQGVKYIPADIALDTESHLTSIFRTFDTVIGCTGFSAGRGMQVK IARAVLAAKVPRYIPWQFGVNYDAIGRGSAQDLFDEQLDVRDMLRGDNHSKTQWVIIS TGMFTSFLFEPSFGVVDILNRRVTALGHQDNQVSVTAVEDIGRVTAEVVLGPESDSAF DNKVIYVAGDTLTYAKLGDLVESLVPGKSRIERNVKTVQEARDDLAREPNNTLFKYQV VFGEGRGVAWDLAETWNRKVGIKTMTVEEWARTHSLV AOR_1_420154 MADSTPLMLIRGPTIRRGTEDASLVRSQLMRQRYREKRLRVLRK DTHTNNIPINIQCYENETQALLAGTNLRQISPCRIDPFLSDDRRTGYFDFLLHNCLHV VLPMARPDGFAERCLQAYLHPDRDPMVIQSLFYSATLSLHALPILRGTQNFIVAPGLA SSAVIPPTHHLQLKGFVLNRIRQKLPTMNGNNPHDDIIDDILLSILYLAANENLDRIL PPEKSPFLPPFRRLQSMEFYGSCEFQPLHWQTVQHIISQRGGLSTVKLYGLAWLICIL PDQTDRPTSIFHKRTCTAEDQARATTVYIISRKTALLYSAHVVLPLPQTSLIRRKMTL EIHEYMLLLKGQRPEAELEILLWCSIVAGICADAMLAIQSWFVREARELCDGLKITTW DELSETLQSFAWLDCASDEAGKALWSQIQLCDGSTI AOR_1_422154 MSSTQLKKLPLPVSKAHVFYRESGAQSAPVVLLLHGFPSSSHQY RNLIPILATKYRVIAPDLPGFGFTEFEDAKIREGIHYTFDTLATVVLEFLDVLSITKF SMFIFDYGSPTGLRLALKRPQSIQAIITQNGNAYEDGLGQFWSQIRELWESNNDPKVR AKLATSLLSLEATKWQYEEGTKGLVAPEAYMLDYALLQRPGNAEIQLDLFWDYQNNIK LYPEFHRYFRESQVPLLAVWGERDQIFIPSGAEAFKLDLPKAEVHLLDAGHFAAETET AEIGALVLDFLARNNI AOR_1_424154 MPTVPSFRKMKHNPDLLKNLPADFLAGHDKLSREQAGHLRHFHN LATQRDGEWGVMGSQDPGQEWLDAYRYQLATMAYAAGAAHFHRLPALRSIFQSLLSGL IHKMLRREVWGYWFLTSHSGKFVDPDIKRLRQPWADPVVKENIMYSGHLLLMVSLYTM LFNDDKYNQEGALTFNWSPIFWGMGPEKFSYTRESLQKAILAEMEREKWLGVCCEPNC IFIVCNQFPIIAMRYNDVRDGTNVATEVLSFLTRADHDRISINRGPVAFAIRELAEKK GVDPYSLSTMQKARDIVASNPTTGEHREPFPRPMFGYILMAMSELGDESKLAGLLNHV DRFFQPTWQNGGLYYPVNAEQYDKDGNWTEVEPFTGNGAVGYARLTVLGGQRKMWEEP WSAEQVSRAPHISGIDLGSGVDFLRGCWDESHQAMVVTMRTWDQTEKL AOR_1_426154 MADSVSLLRRKVQDTSLAVQDGTMNSVITLAAIEFGKGNIKVSQ MHVDGAIRLVNMRGGINSVRQTSPLTARMISWVSMIVMGHPQFETQDDVGIGDGIPPI PEWQMDPTALYDDLSELISMEVDYAVRNVFVRLRSAFQQAQRIHFPTTRLHDLTCFVI HRLLLTAPDTESSHSSSITECIRYAIILYMFIIHGPTYYSHAAMMHSIVIRFIDQLKQ LESTPRVYGSLDAWLLAIGLVASTGTPDYQWFIERARIMAASLRLSNWNDVLVRIKCI LWMETLQGEDIFRPHWDAIFSTMNHPGACISPGNAGGELLCISPSSLVPSKPEPASTN GRQWDMSVASP AOR_1_428154 MDAKMATEIFNTTSAQPESPQSWAYKTSQLQGALPDFLAEWSAW QYVVTFLVGLVLYDQVLYLKRKGALPGPTFKIPLMGPFIQAIHPTFDGYLRQWASGPL SCVSIFHRFVVLASDRDLAHKVFKSPAYAEPCLVPVARDIIGHKAWVFLQGRDHAEYR RGLVPLFTSRAIATYLPVQERVLDDYYNQFVAATKANQGKPMAFMTLFREINCALSCR TFFGDYISDDQVKKIADDFYLATDALDLVNIPLAMYVPFTKTWLGKRTADAVHRVFAE CAARCKANMAKGATPTCIVDHWVLHMMESNRYRERVAAGETNLEKPKNMIREFTNEEI SDTLFTFLFASQDASSSATTWLFQILAQRPDVLDKLREENLAARGGDRNKPFDLPMLE SLTYTNAVIKELLRYKPPVILVPYLATKDFPVTPDYTVPKGSMIIPSCYPALHDPEAY PNPDVFDPERWISGDAESKTKNWLVFGAGPHDCLARKYVPLSLAGMIGKASLELDWEH HPTPRSEEIRVFATLFPMDGCNLVFKRRP AOR_1_430154 MGRKLGLFRATYLASASCMGSFAFAFDTGVISGVLTLPSFQRDF RYSTSQKTTVNSNAVSILQAGAFFGCFFTMPIASKLGRRSGLILSSLVFTVGTILQII NAHTLGTFYAGRVIAGLGIGAATTLIPMYAAEMAPKEIRGRLGACFQLFFATGVMVAY WVTYAVSKDQPDATKQWQIALGLQLLPSTLLLLGMCTVKESARWLAAKGKKDKAWESL KWVRGGEETEELQKEFDEIIAGIEEEARVKENFTIRELLLPVNRYRIFIAFTIQLCAQ LTGNTSLAYYANQIFEAVGAEGEAKLVTGFFGVVKVVGVSIFQLFVLDRVGRRVPFMV GAFAMGSFMLIIACVLATHPTQSGGSNSSPTKAGIAMIIMTYAEAFSFNMSWGPLPWL YVGEIFSSRTREVGVAVGAASQWLFNFMMSQITPHAITNIGWRMFLMFAIFNYAIIVY SWFFLKETSNHSLEEMQAVFGGGDPPKEASLAGEKGEIDATVRP AOR_1_432154 MSPSEDRITRPTDPDDLVLEAWGQGLMVGSLVVMAAVTFANMKR HILLHKLIFAELILAMAHGTFIFPKEPAYGWYLSCSAIGLNVSWTLHNVIAWMKNKPF LSRRVSQAYIITVLLVQPYWVVEIYANFTYFNNINKIFLTTRPMEPLFRDPWWVFTTC SLFYTIKRLYNFGIIELVTVSPRFGIMLASMCLSIAFIIIDTCVVLNAFPAHTLPTGV EPFWKLSFIFKCLCDTVILDDFKTALDRMRNYWLRKQARNGEVLLPETQYEPGSRRHE EDDLEAFGSGRRGSGLRKPDSAVPRVHTREDVGIAL AOR_1_434154 MARLSSRNGAAKPFTAWTTIFYLLLVFIAPLAFFGTAHAEEDSV QDNYGTVIGIDLGTTYSCVGVMQNGKVEILVNDQGNRITPSYVAFTDEERLVGDAAKN QYAANPVRTIFDIKRLIGRKYDDKDVTKDTKNFPFKVVNKDGKPVVKVDVNKTPKTFT PEEVSAMVLGKMKEIAEGYLGKSVTHAVVTVPAYFNDAQRQATKDAGTIAGLNVLRVV NEPTAAAIAYGLDKTGDERQVIVYDLGGGTFDVSLLSIDNGVFEVLATAGDTHLGGED FDHRVMDYFVKQYNKKNNVDITKDLKSMGKLKREVEKAKRTLSSQMSTRIEIESFHNG EDFSETLTRAKFEELNMDLFKKTLKPVEQVLKDAKVKKSEVDDIVLVGGSTRIPKVQA LLEEFFGGKKASKGINPDEAVAFGAAVQGGVLSGEAGTEDVVLMDVNPLTLGIETTGG VMTKLIPRNTVIPTRKSQIFSTAADNQPTVLIQVYEGERSLTKDNNLLGKFELTSIPP APRGVPQIEVSFDLDANGILKVSASDKGTGKAESITITNDKGRLSQEEIDRMVAEAEE FAEEDKAIKSKIEARNSLENYAFSLKNQVNDENGLGGQIDEDDKQTILDAVKEVTDWL EDNAAEATTEDFEEQKEQLSNVAYPITSKLYGSAPADEDDEPSGHDEL AOR_1_436154 MEDKPLAPASKDPRELTAQESSAAVIFPSFTSNTAWSLGLALRS RILSLPADQRKPALISIAPTGGSEPHVVFQAATEPGTFADNEVWVRRKRNTVLRWGVS SWLMRNKMLTSTGLGADQVEAAFVRKHALTSTGGGGAADDFAIHGGAFPVRVKGVDGV IGVVVVSGLKQEDDHQVVLETIQEFIQQGGQ AOR_1_438154 MLSHNFAASDATNITLVELNIRRNTHMLRFLTNGSTSVGGYASH HLPQDQVVESHELSNYDGGLNAQDNSSAFFRYYGIPVFSPMGQKWIQSHRRTSEILKT LLSFGLPWASYPSHSTSEQEAGGKSCGTPVELPDRFVTEACIAFYKCGKVGLIYPIAD MSLLEDSVKTAYSPSSDESPQALSIAKAFVLSFVTFCSAADRREHMSYPVDGERCAFE AESMLSTVHDPAHSLASALNINTPP AOR_1_440154 MTEALRSSLNLSVRASVSSLEILCATRFTLNRELFWFICFYLLS AILNLLCKIITEPFDFDNPECMRILKDTPVILREMDTHQLTSTERRHLDLMILLSSEL SAMVEGSSVRNGS AOR_1_442154 MRPKLTKRACDECITRKVKCSGAWPCDTCRSAPKQVKCTYLKPA RRRGPKVRRQMGNIESVSSVSETNASTSLNGGASNESHDGHRTISRPVLEKVVRWYQH SSYSVWPVIDAEVLVWRFADGDGYDTSIYCLATALCAATMAQLQLPPLIDDGGLVDCA AMASECIRVREESNYRENLDMKSILVSFFLHVYHAKINKRNSAMMFIQEAISGARLLK LDEWDCGTRAGDDRVIANDEILFTLLWVTERGYAMHLGLLPSYTSPIRLPERIYLAGN EYAQGLLELARLFAAFDSVSLKRSTGTLNPDAISVDCLTETEAALSMLSLGEGGRASV RMADYCITREWMRTIIWQEALSRQMLSSKAYAELLTFKFPARVSRDLLYSLQGFSESD LLPLGRDQLLKCFEVTNSLADVVLHTPSVPSYELRLGPQDFLHALYQKLLPFLEQDVM LKSILHAKTAEALVTAPARLLRFYHEQTADDESTMNEYLDLRI AOR_1_444154 MGARQPTSDPIPSTQTVALVSELGGNVEFREGYPVPTPGENEVL AKVLYTGVCQSDLHTKNGTAAGPSGDPITKIKLPHVGGHEGVGRIVALGPRCGSDLKV GGLVGIRFSSRICRRCEFCLAGTEQYCIKSTNHLHHEDGSFQEFIALDADYLTILPDD IDPVVIGPVLCAGVTAYKAVLNANIRAGNWLVVVGAGGGLGHLAVQYAKAQGALVIGV DAADKRDFVLGLGATEFIDFTSTDPVQRVHEITGLGAHAVVVTAGSAKAFAHACEMLR VGGTLSCVGIPPGRPVLETPICTIVIKGLRITGNLVGSLKECMEAVDLVRRGVVKPEI KVRKFKELPQVYEEMENGDIAGRIVLQVSE AOR_1_446154 MSRHRVKAVAYDEDDFDDGYDSPDPEEQEFLEQCTAEVLSQLLA GSPSVTATRDEVQEALWHYYNDVEKSVNYLRNKKAKETKKKESAPAPAAKAKVPAYPL PPDLVLQEPPHFSAADFFRDSPWLNVPAHRKADILIEPLYPRLGLLGGAPESGGKVSK LAALAAARKKKEGDKASTAAPAQNAEVNKTPVPSTEQKGASLSLRERLAGNGKILKPE GAQSPRPLGKLSHPGSHSPQKKPSPELVKQNGASQVNSANVQQELTAEPLVKKEEKEQ PTVNIRASPSTFASTIVGDVTRPKLTEPSPLFSTSLDLMEIYGQNLTEPFDFTGPSPD DVVLNAQSSAKGFKSKQPASKPAGDKKNQTDLAGGMNNLSVAEKVTVKSKNLDVLSEY QKSKRKNAMNFAVIGHVDAGKSTLMGRLLADLKAIDQRTLDKYRREAEKIGKGSFALA WVLDQGSEERARGVTIDIATNKFETEKTVFTIVDAPGHRDFVPNMIAGASQADFAVLV IDSGTGNFESGLKGQTKEHALLVRSMGVQRIIVAVNKMDSVQWNKDRYDEIEQQVSAF LTTAGFQAKNIAFVPCSGISGDNVTKRSEDPNVSWYTGRTLIEELEATEPYSHALDKP LRMTIGDVFRGSVQNPLSISGRLDAGSLQVGDQILTMPSGETALVRSLEVDSEPSDWA VAGQNVVLNLANIDPIHLRSGDVICRASAPIANITSFTAKVLAFEHLMPSMVDVHRGR LHVPGRISRLVATLDKGSGASIKKKPKIVAPGSVARIVVEMDHAVPLEAPTRVVLRAG GDTVAAGLLE AOR_1_448154 MEADWDELSRIQVPPPSPHGMPTIATAIAFDDVMELLWVGNEYG RITSFCGPELQRYTSVRAHPVSEGPVRQILFHDRGVISLSSKSVHMITRRGLTQWHIT HEDMTDLRCMSFTAQLNKVIVAGCQKAMFTIDIDKGHIVDKLPTEYNYTMMKKSRYLC AATDTGSVNALSLTDFRVVKSWKAHGTAVNDMDARNDLLVTCGFSVRHLGSPIVDPLA NVYDLKTLSPLPPIPFHAGAAYVRMHPKLHTTSFVASQTGQLQVVDLMNPNAINLRQA NVSFMLGIDLSPSGEALAINDAECAIHLWGSPSKVHFNEMSKEVEFADVPARPPPLDW SPDTPLSMIGMPYYHERLFSAWPSHLVFEIGSPPAPIDQALIPYLRPAEIGHYAPNPK KTRRNQVENTRALANSEPALIAPKFLSEKAREQSKAKSDGLVTDAAETLAGTKLNGEA EDDPLLKYSNVEIKYSRFGVDDFDFRFYNQTKFSGLETHIANSFTNALLQLFKFIPLI RNVALQHAASACIFENCLLCEMGYLFDMLEKADGQNCQATNLLKTFGSFREASSLGLL EENLTNKSLSTSIQSVNRFFLGQISHDFRMILPSSDDLDHKLATVASESIRCMFCQKE IVRPGNSLVNELIYPAIDIKQIRRNPAYRFSNILRASIERETQNRGWCNYCRRYQQVA IRKTVHRMPLVMMLNTALNNPIYRRLWAIPGWLPEAVGLVVDAGQILCFEGEDLRMRM QNNMPGLVVYELVGVVSEIDIPEHQKAHLVSFINVSISSREPETTNKWHLFNDFLVTE VDKDEALRFNQPWKVPCVLAYQVKDARHAMDDNWKNVLDTTLLYRDWSLNGGRSVESL ATLSEEEKPTPGTPVALDTEFVDLEKAEIDVKADGSQEIVRPSKSGLARVSVLRGSGT REGVPFIDDYITIKETIVDYVTQYSGIKPGDLDPRTSQHNLVPLKVAYKKLWLLLNLG CVFVGHGLASDFRKINIQVPKCQTVDTQYLFFHPGKNRRLSLRYLAWAVFKEYIQEEP TDNNQGHDSIEDARMALRLWKKFQEYEDAGVVSQILEELFREGSKLGFRPPARNGATA VLSRPGTAVTMQNNSGRNTPSTPEVTAPTASAPTTPRQGFRRSVALTPSNGSFAPGTG DFFGGSPLK AOR_1_450154 MFRAQQNAFDDAVAKATDENLTSENWEYILDVCDKVAAEESGAK DAVAALIKRLAHRNANVQLYTLELGNALAQNCGPKIHRELASRSFTDALLRLASDRNT HQQVKAKILERMQEWTEMFSSNPDFGIMEQAYMKLKTQNPNLQPPSKPVKTEITDADR QKEEEELQMALALSIREKNPVAPEPQPESSTSSAAPASQAQAPAHQAVPSGTSAATVS RVRALYDFQPSEPGELQFRRGDVIAVLESVYKDWWKGSLRGQTGIFPLNYVEKLPDPT VEELQREAQMEGEVFGQIKNVEKLLTLLSTRSTEPNVQDNEEITALYHSTLAIRPKLI ELIGKYSQKKDEFTQLNEKFIKARRDYESLLEASMSHPAQPPYGRPGPSQYGYPGPAA SMGYPQGPPQSEPQRYFSPRPQETQSHQPNTSPYYGADQAPMYPPTSQSPDPRNRTPP AGASYQPVHHRPESTYEHPQELGTSVYDSPVEHPSASQRLPYPASGQAPPAAQPPFQQ QQQQQQQEYPPYAPEDAAKPQPPYPIGPASNQQPPMHQPPPVPGTTSTPTPYPSLTPG AAGYQAYNPSQGTAPNSNPASFYR AOR_1_452154 MNHDSFSSLKFRRPSSKLHKDPPSIGSRMLKSQQSNTSLKRHPS APVYPRSSASRSREHSRTRSNAYGSSTSSLDQNSGGPSPVLANNESGYFSENTAESDR QPIQKPPTLRSQTTPDTRGLRQSASFTALHNRMDALVNRTDSDRSTNTKRYSDEGNGT KPVGRSKKASFSSFVNSMLGSPRGIKISAPENPVHVTHVGYDNQTGQFTGLPKEWQRL LQESGISKKEQEEHPQTMVDIMRFYEKNAQGDDEVWHKFDHAYAHHHPVTTSSSQPSS GGSTPYGTVGQRASSPTSPRFPQNHEGSFENPRAPPPIPRGAPAATQAMSPPVGGLVP NRAPPRPPAAANMTPARPAPQPPTTASYATTRPVQDPWPQFGTIPENAQPFGTPPIPE SEPLPSGPQLSRSNSKANGATAPWVSPAVTPSPTQYQQQQEQAMATAQQAIASKQLDR SQSLRQQQAQQPKQKQATHPTPQQVSPVEDPSAALQQSARAVPAARPRQRARQSNAMD IRSRLVAICTPGDPTKMYYNLNKIGQGASGGVFTAYHNGTGSCVAIKQMNLDLQPKKD LIINEIIVMKDSKHKNIVNFLDSYLHGLDLWVVMEYMEGGSLTDVVTFNIMSEGQIAA VCRETLNGLQHLHSKGVIHRDIKSDNILLSLDGNIKLTDFGFCAQINDSHNKRNTMVG TPYWMAPEVVTRKEYGRKVDIWSLGIMAIEMIEGEPPYLTESPLRALYLIATNGTPTI KDEQSLTPVFRDFLHLALKVDPEKRASAHDLLKHPFMSFCAPLSHLAPLVKAARLSRA QEKAQKGGH AOR_1_454154 MTIRNMETYTLEAGKEAQAELSAAPFEEETPTKPRGLFARIRYY EEYLDRKLGIESHSLDRVLPEGRNPPNSLAMAFMWASATMNISCFSTGFLGKQFGLSL GQTIPIIICSTLMGAAVTGWCATMGPETGLRQVAISRYSLGFYPSSIIALLNVIEQLG WASVNCITGGLALSAVSDGRVSIAVGVVIVACISFLFSFIGLKGVLMYEQYAWMVFFV IFMIIYGESAHRANLAAPATVSGITRSGNVLSLISVVYGSSASWSSIVSDFYVHYPVN ISKVKVFLYTTLGITIPTCIGMLLGACISSALDTNPEWAAAYDRGIGEILQEIIYPNG FAKFLLVLLVLSGIGVNCIAIYSGALSAQLFAKPCEKVPRAIWSTLVFGCILALGIAG RDHLLDVLENFLSLLGYWNTSFFVILFCEHYVFRGGNVANYDLDAWNTPSKMPIGFAG LTAFLCGAAGWIVGMVETYYVGVIAKLIGADGGDIANELALVFTSVSYIPLRKLELKY IGR AOR_1_456154 MASDLSNADVNGGIPKSRAAMLEEQHARDEVHKPTVEDVVDEED LKHPPPSSSVQDQKVDAPAQSPAPTEVPAPKAAPKKAPAFDVQSEELFPALGSGPKPK APAASAWGARGPSAAAAVANGIPGGSPAAQGPRIMSLPGKHVEQLRLAPSQMLPRGQL KKPLRDILRDISRRSKANVDMRGGPGGSIIFEGKGSVDAVRQALKEVAQQVGSKQSVR VPIPTSARPHIIGRQGAVVQDMQQRTGARVQVPRVDESAGQVEDDEDTIDVLIEGDAV AAEMARREIEAIVKERASNMSLRLKSIPPEFFPFIAGAHNANLRDIEQRTNAQVHVPR YDTWQSQPPPQEADPGHVQFVAIPEKHIHISGERAAAQEARAEIERLAADLQRQLTLR QLAINRGQHQFILGDNADALHEFLADTGCAIVLPPASDDSEFLTITGPLDSIENGINR AMDLATSMQMASIDLSRQHPNAPSGAHAHARALTRYLRQRQIIKELESMYDARIALPP SSDGPVTWEVYSRDGKNTIRARSDIMNLVHAHPPARLRHISVDPYFHPYIRSRAIPKL QEEYGVHVLTPEELDCPDVVLVYEGPSATASQFEVPRQRPTTAELAAFEKALQEAQEY LSSVLGDQNDIVAKSVTVPAKYQEKVRKFIAREEQAKGEDLIPVRALVAEPSGRGAEC EVALRGPSRLVEELISKLQAFVTEQEKDDLERGYTTSFDFPQKFANFLIGKKGENINK LREEFDVDIKVENGKVEVKGPKAKADAAKARIINLGKKLEDETTHILKIPAQYHRELI GQQGSQVNRLQDRYHVRVQFPRAAPIVADDQSVEASSEAGGSRPSRPQQGADEVIVKG PSKGADATRDELLSLLQWVVDHSHSGSVSVAQSQIPSLIGQRGREMDKLRADTGARID VPGADDTPDASGRVQIKIKGTKKQVEEAKKILEQRSNEFDATVTKTIDIDKKYHKSLI GGGGANIRKIVADAGGPTDGGASRIVRFPRPESAETTIKLEGNGQVVDKIIAAIEEFV KERADQVTANVEVPSTQHRLLIGRGGETRRGIESKFNITLDIPKQGSGRSDIKLKGPS NAVAEAKEHILSMLKDQQGETVEVPRHLHHAISDNGSFFRRLRNDYQVTVDHAGQQVP SKPSSEDTRGAANGGSSLPLITDEPDQAADAHSWKVVENAPAANDPTQPATIPWVLAG NRDNVAKAKAALEKAIANASQQSATGYLILPDPKTYRFVVGQGGSQINAIRKQTGCRI NVPKDQAKGEAIEVKGNKESLEQAKDMILEAVRAGLEGNSR AOR_1_458154 MSENKEFTFQEVSGHNTKKDLYMVIHDKVYDCTSFVDEHPGGEE VLLDVGGQDATEAFEDVGHSDEAREILDGLLVGNLKRVPGDPAPRSHAQATTNASSNS GSSTGLGVGLYAFLLIGGAVAYGAYQYLNAASEAQ AOR_1_460154 MSSDAAALPSPPGFAPWENIGHPGGQISRPDSLSSSNHATPAGY QSPRFSNIKDLQDEAAALDVNEDSSLNLLLNRAQDAIENARRFADNDQPDKAYVQYLR ASEITINIIPHHPDYRTTASQRSDWYKQFANLMMAVRTKQGTMDDIKQQILEDNLRSN VQPTTVTEHNYSRPASELIPAGTLRSLSPRGRQTEQGDKSTRMPSPSEFQRSLGLQER PNRYSAPAEDMLAQRFAKLRASPPATNDSFSTIKGQNTTQSHNGDIPRRPLSYMPQGS PYSPNLASPSRRPLGPRSMGPSHNGPVLPPKVPLDTSLPRAPDPAYSPIWTVPSQPPS NPPRTSTEISRPVNPRYSHLANSPRGSPSRNGFDDNPYRSRTPNGIHQLKEDRSNTAD LPHSTAISAQDLLDYLRRYNVLLIDVRPRDQYDSGHVYAKSIICIEPVALKENVSAEE LEERLVVSPEHEQSLFEKRNEFDMIVYYDQSTDSVSYLAGSPVGTTAPHLRALYDTLY EFNAYKPLKDGRPPALLLGGLDAWIDLLGQQSLATSSTATVLGSLQKKRPVARPGRPL GRVPTMVSANSSLEVRKRRLREYKPLNPDELTAWMEKSKNEEIDTTTYIEEEPMAEEP EGQETNEPPTPFVHTYEDFLRRFPEPHAIQESMVTPHARPPVPPMPNYAAPVPVAPSR PPPAVPRPSYSGVTDGRQIQPTLARQNSATKTALYTPSSIYRAKLPRTGLANFGVTCY MNSTIQCLNATMMLSRFFVDNRFRYYVQKNWKGSQGVMPGLFANLIRSLWKGDVEVIV PTSFRNFCGRLNQEWAIDRQQDAKEFFDFTVDCLHEDLNINWQRSPLRPLTFEEEMQR ERMPVAKVSKIEWDRYCHREESFISSLFAGQHASRLRCTTCKRTSTTYEAFYSISVEI PSSGTGDIYQCLRSYCKEEMLSGDEVWKCPYCKCERVATKQIIITRAPQILVIHFKRF SASKTQTARKIHTPIEFPLHGLRMDDFVYSPPSPESNGDPKATMPHDTTLATVPPFTY DAYGVLRHIGSSMSSGHYISLVRDGARQCWRRFDDERTVDFNPRDLRNKDRLQNEQAY IVFYERVPAK AOR_1_462154 MAGWFSSSSPIDDQVEKATSSSLEDIALNLEVSDLIRSKSVQPK DAMRSLKRRLENKNPNVQLATLKLTDTCVKNGGTHFLAEIASREFMDNLVSLLKAEGS PLNTEVKEKMLELIQDWAMAAQGRMDLSYVGETYRKLQDEGFRFPPKTQISGSMLESS APPEWIDSDVCMRCRTPFSFMNRKHHCRNCGNVFDAQCSSKNLPLPHLGILQPVRVDD GCYAKLTSKPFTSSGLSDRSAFKNNSITKSSVMEPRTARAEGGFDDDLRRALQMSLEE AQGKGSTGYVPQPKVAQEPPRAFSQSRIEEEEDADLKAAIEASLRDMEQHKQKHAAAL KNTPTTEPSTSRDAPGTTSLPKNPYELSPVEVENIHLFATLVDRLQHQPPGTILREPQ IQELYESIGTLRPKLARSYGETMSKHDTLLDLHSKLSTVVRYYDRMLEERLSSAYSQH SLGYGTVPSGPRYPNVYPSMPPHAPEGKSGVENFYYGNPSAEKPPISGTPYTQQQPEG EGLDRAGMRGGTMSPSMYSQPPQAMPQNTSWNGNTQAASPQISAANTPYPQSPSAYPA PGAPTHFYTAPNQPDQDVNPYQSPRQSEVDPSYMPSPVTRRDSLYQPAAHSNVPAPSV PEQPPSAEKMAYLQLSESHPMQPGSQPALHRQPTDPAAQSYYYQQQPPPTHPAATYPQ GQPVYHGAYPANDASPAGGTAPPTHYQQPPPSRPAVEESLIEL AOR_1_464154 MRLTRAEVEGHNSKASCWVAIHGSVYDVTDFVDSHPGGPNVILR CAGKDATEDFDSVHEQEILTQSLAPSALRGHIEPGTLVKSNDINETKIPNKDASLPPP LSSLLNLHDFEIVAEKHLPPNAWAYYASGAEGEISKRQNSKAFQKVSLRPRILRSIPT VVTTTTILGKQVSLPVYMSAVGIAKLAHPDGERALAAAAGKEGLAQVLANGANNVIES VMDARTSPEQPIFQQLYVNRDITKSEDVVRRAERAGASAIWITVDSPVVGKREMDERI NLQVEARDDPSRKGQGVAKTMANFISPFIDWDILLWLRGLTKLPIVIKGIQCVEDAVQ AYHYGVQGIVLSNHGGRSQDTAQAPLLTLLEIRRYAPFLIESKMQIFIDGGIRRGTDV LKAIALGATAVGLGRPTLYSLAAGYGEQGVRRAVEILRQEIESNMVFLGVTNLKELGP HLLNTARLERDVVGSVKL AOR_1_466154 MSNQIDILLYGLGAIGSFYAFILTRNDRVRLTVVARSNYDAVKE NGIFLDSGNHGQHRFRPHNVIKSLDEVSGPFDYVVCAHKAIDQEAVVTRLQPAINEKT TIVIIQNGVGNEEPFRNTFPMSSIITCVTWVGATQTSPGTVKHTKSEDMQIGLFLNAS VDETLERTRLNTFASLLEEGGTKFQVLEDMQRQRWEKVVWNAAWNPLTTLTLLDTQSW LHSSTDATPLTRRLMREVIDVGRRCGVPLEYGLVDELMDRINSLPGVGSSMQTDYKNG RPMEVDVILGFPAKKSKEFGMETPVLDMIHALIRAVDGRVRASL AOR_1_468154 MTAEQPTPAPPQPAGHGIKPSAMHVEAIPGQPGKIQNGDTALAL FENFDELHEDVDPGELKRLVRKIDFMILPFLAVCYAFYYIDKTTLSYAAIFGINEDLG LSGEQYSWLSSVFYFGFLVWAFPTNFLMQKFPVGKYLGANIFLWGSFLMLQAAAKNFV QLAVLRVISGAAEACSDPAFMLITSMWYTRRQQPIRIGLWYTANGFGIALGGLLGYGI GHIKGALSSWKYEFLIIGALCSLWGILIVIFLPDSPVTTRYLSPREKRLTVERLRENQ TGVENKTLKPAQIYEAFLDWKVWVFLLLGLSGNIPNGGISNFGTLILKGFGFSTLVTT LMQIPYGAFIALMILFSIWLNDRLPQNNRCYVTILFLLPNLAGSFGLCYLPESNKVGR LICYYLTGSYNASFVLILSILTANIAGHTKKVVTNAMIFIGVCAGNIAGPFFYKEAQA PRYPLGIWSMIVSHFVEILLVIVLRTALAWENRRRDRLQGIGPGGEGEEARQWEMDRT AFSDLTDKENLNFRYVY AOR_1_470154 MAKIKSIEYFRVKPRWLFVKVTDEQGRFGWGEGTLEGHTQAVEG ALDELIARLVGYEADDIEHIWQTAWRLGFYRGGPVFMSALSGIDIALWDLKGRVLGVP VYQLLGGKVRQKVQVYAWIGGDRPSDVEVAAKARIAQGLKCVKMNATEDVNWLDSPSA VDSCVERLKQVKALGLDAGLDFHGRLHKPMAKQLAKALEPYRPLFIEEPLLCEHPEAL KQLSDLTTIPIAFGERLYTRWDAKRFLEDASVDVLQPDIAHAGGISETKRIATMAETY DVAIAPHCPLGPIALAASIQVALSTPNFVIQEMSLGMHYNVEAGDIDLNSYLVDKSVF DINEGYVKAPSGVGLGIEIDEAMVRRISAETEPWLPKEFYGPDGGIREW AOR_1_472154 MDLFSLTDRTALVTGGTRGIGQQMAIAMAEAGADIILVQRDTSN QDTRQKIEDLGRKATIYTADLSSRESVSSLVSNVLNDGHDIDILLNCAGIQRRHPSHI FPDNDWDEVLQVNLSTIFTLCRDIGAYMLTRTPDSSGHRGNIINIASLVSFQGGLTVP AYAAAKGGVAQLTKALSNEWAAKGINVNAIAPGYVATDMNTALLQDPERSASILARIP AGRWATPEDFKGVTIFLASRASGYVSGEILTVDGGWMGR AOR_1_474154 MPTSNTSSPVKRPGLGRRAVSSHAVVTRSTSTQSELANSNTAHQ QSSKLSHRPHRAHVVGGGHRNHHRNPSFGKNLNKQLQRHLSHTQIDSERPARHHQRKK SAPATPAAVTPATSPRGTHHVRWDGALGDSQQTTTSMKKNNSSPALRRNSSSVVGKVG KKALVTDRPHTSSGKKKTVGFELADSDDNDEGDWEDTTQSPESTRRSSVAQSKDSVEN TAVLVDPLTFVKRSYPQFPRSTSLPESSLNNFGHNNPLPDDDSDDEPDEDGDTQQPLK TEEREEGSRATDHGDIASRLLSPAHAAKAPPAMSSISATAKPATVDSLSRNASLTNIA SGHDGLRRPPLSSSQNALANTPGNLTQATSSSIEGGVSRFIKTGFHATSRTDSDPNTP SSFLPHYHPQTPPSPGRGASSKRARASPPTRPPGAEPHSRTQQKLWLQRTAALNTSPP DGHGVAATASPSAMDPTFMAAAHARPGAGFDAGRGIVNGVSRAGPAYDNEAKHVRKAY EKTALELTVVRRFQSPTGDSFRRLASLVNDTKSGSSHQRHSSLGKPIKSAPALTLLQN GKQQSPSQNSASPEPKQLISRKRSDLKTSASQTYLQEPADLANEPTDSSQRPKSQHPS HRVLSTSDEAAHPTPAGEENPEEPSFMASEAELMIRRLWESREVAIAG AOR_1_476154 MSSKLWEFFLRDDVDSFRRVLATASYTSGSQRASGPAGSSSFKI GSPGGIIASSGLSLKSKKLSGTSPGSPVPDRSNTPRSGATLSREELNARDQLGRTLLH LVASSTKPTATDFAVALLEAPFIDIYAQDWESGWTALHRALYAGNAAIAQALLIRDVR DATDFSKSGNTSNASGTLIKIKDREGYSPFDVYGATIASRDIKSIVSSTADVSKLADL DNADTASIASSQLDDFDGDGHFAKRVLKPRTNVLGDEVFTFGSNKNLNLGVGDQDDRQ YPERITFRRPDHLLQRFFSDFQEQQLDEGSSDFQQVRGVTDLPALIKNKPIKIQNIAM SKLHTAILTNDPESNLFLCGFGPGGRLGTGDESTRFSFVCIETGGLASKKVISVALGQ DHTLAITENGEIFSWGSNKFGQLGYGLPRTNNRDDVPMQKTPRQIFNPFKKETILGAA ASAIHSVVFSNFGLYTFGKNEGQLGLVDSDARSLETQTTPRRVGASLFSAPIQSVSAI DQATTILLQNHEVWVFSQYGYSRLSFPLDISSSFIRNSFMSTRYDTSINRIVKVTSGG NTICALSSSGEVFTVQVNRTENPPSSTSTTNPTKTRNSLSTPARVWSVKKAHMAVKDV DVGQDGSIIICTASGSAWRKEKRGKAKDSSSKDHKFARVPGLSRVVSVCSNAFGAFAA VQQNCDVTREQINVDQSTLWKDMLPLSPFNSVVGSLATDQDGVLDPEPIINIKKAVMS ESDVESYFLPLQTGRFPGTVWVMTTTSDIRIPMHEFILTGRSPVLRKAFHDLRHAQSF SIPDVLIIKYDGEGYAQLQLQGVDFLTVLNLAFYSYTDNVFEVWQLVKHTPESASRYR QVRSEVMRIATCLGLPSLERSARLMIEPTKALKSDMERAINDITFFDSGDVIVELKNG TMRVHSQVICQRCPFFDALFRGRSGGRWLSSRRIDPTDDVHVDLKHIDRHVFEFVLRY MYADTEEQLFDQVRTNDLEEFIDLVLDVAFVANELMIDRLGQICQKMLGRFVTTRNVC HLLNAIAPCCVSEFKDAALEYICLNLEDLLSNRFLGDLDEFLLGALDSVCHENQLSSF PVSRGRNSEEYVFEKYPELVFLAERDRRRKIDTMRLRSHINQIEANEGKLRATLTPSG QKAQQTPTKGASAATSRSPSLKPKHSISDLMFQMDDENLLTPGELIKGKAAVRGVKPN DANDSRSYPDSPALGSSLAERGSLEGRSYLDDQMASPQDAMLAESPTELRASALNGKK KGFLTPPDASQKPWSASVVSGSKKNLKDIMDEASENRVSNLSLGISSVPRESNSNNSN NLPPKLSQKERKKIQQQQMQEMLAAQKKAKEPPQNPWKMATPTKPIPLGATSGQDNQT PGSVKSTPKGPSMTLRQTVAGTPPPSKPGTPPVQIQNRKASTTITQTPSRPSISGPST APSPNPPATPLPQPRPAIQSVRHIPRPEPYQTSFTSPSPNSLSLATILMQQQTEKDEI REAATAKHNLQDIQAEQEFQEWWDKESRRIQGLPPVDQKENERDGRSGRGGRGKGGQG QGQQRKRRGGGGKGSGNTTSTPAPSQQLPRGNGPDQPGQKSSASTPRQQRTVHVGAAA GGNNARRGGRGGGRGRGKDRDRNT AOR_1_478154 MHQHPRSPAPTAPTSSARPNSSRSRELDYRPNSPAADVRVHNSR GLGIETEPDSSGQTVQPAKEAIAKLNQIISNYHTKAALIILHSRIELPPSFNKGSDTP RVNRWFNVEIEDTDVLREQLRTWRTCDATENRPPPLIIETYLDTKGLTNNQTLVALDE NGKRWDVLEALAASQQAHPVRPPSASSDDVILERWRVELGDTSNALPADLGSILPTVY KKSIVLFRSLFTYSKFLPAWKFAKRNGRLRANPALRIKYRIIIGSPNQVSSKPDHLTM PLYESSSKVVETYSFGVTDSPAGPFSAQVTYRTSCDFRVDDSEALLSSRFMGADDEIF RPSLPTRVVDSKVPPPEIGSLPQERRTIEDPDPGRAYGSLSTFHQVGPTTSASPISAL RAKGKLGTSSPSSPGSSSRKALAVAKASPVGRAAALASEGSPGVVRRPSISFQPFKAP PLSASPSLVDPPLSSPRSVSGPRPHPPMAASARKSFPAVQDNSIASPSSASPRPSSIS RYSSAFSHRRGRPSSGGINKLEDDTSSGKASATSSAQPGSGLLAEPTGTSADSIHADD ENISEFLKMLDLRKDLLNTSGSAALDATARRTTVTSAALTRFQRMRDSNAALSDSMSS SLLLQRSSNSSSKQLSGVPPMVAGTSISTASSPGKPISPHTPHTPAIPSRLSSNSIVD YTHSEGNGTELSQGHGSPLDENTSDGTTMEHGPSAVNAIDIPTSPRLFPPVYRRSSSA AHRPRTVAVDDDEIFPFNRSVSLGAEERSNLSLGALHRQHEYESSDTATHRAQREPRP MSSNEDAVVPPSSITRGQGSHKGVTPGPTVASSSSSHHHVYQPRFSHSRGRGSSGGHH SLSSGSSSLARGAAITPGLAERESERDGNGSGSNSVTSAMEDRRGVGRRPSAGRGGPP QSAQLEEDEPLLFAMSDFGASRRSFEEGKHVNHAHDPTGNIAGSRRGGSSRRGGGFHA WS AOR_1_480154 MKLSNQSEVPVYTISGSNTARPLPEWLARRRKRSLKNDPEYANR VELLQDFEFEEASQCVRVSEDGEWVMSTGTYKPQIHTHHLPQLSLSWARHTDALNTTF LLLSSDYSKSLHLQSDRSLQFHTPAGCHYTTRLPRYGRDLVYDRQSTEALVPSVGVNQ DGMGEVFRLNLEMGRYMRSFEIDVGGDDFTSAGGGTLQGGINTGAVNTGAIAEESHNL LAFGTTLGTVELWDPRAKGRAGILLPPTQSVPDEGRHEITALEFHRSGLTLGTGSSNG LIHLYDLRSPVPLLKKDQGYGFPIHTLKFLQPSSLTREQTMEPKILSSDKKIIKIWDP RDGSPWTSVEPAVDINSVAWCKDSGMILTANEGRQQHAFFIPQLGPAPKWCSFLDNLV EEMAEDPNDPNAFSSGQANSVYDNYKFLTIPQLRTLNLEHLIGRTNLLRPYMHGYFVA QRLYEEARLITNPYVWEEERAKRVKEKIDKERESRIRGKKKAAVKVNKKLADRLMAIE EKNERKRAQRVLQKGGDEDMVDAPASAPAAEKPGQGLLGDSRFAKLFEDEDFAVDEAS HEFKLLNPSTVTDVPERKERGLTAVEQEEIDDVPGSSSDDSDSESEAERPVKEKSSGK ISTSSYKRTNRSQQPRMQVSSSSAVNSTRDRSFGSRAQNMRTKERPSRRGGVVGEREV TFTPHVKSKQNRAPAPSRDTSFRAKERRSASGNTFRKM AOR_1_482154 MDFPGGSVTNIRVLDGFSNIYWRIYTEDPNITNLPGEAPANGFT ILKHLSRLKDLELRLRNSDCLVSSYPRRLGLWVFSATPEFESVRSLRSDESKGEQSRL AVGSSTLKVSASGSVTPRELVKNLSTDPQTAGGSTGSQRPQGTPTPTRRVDSYSSSVA IYAAFISAITGSLNLQLIRRSSAIPLGSRTLFTIIERDYYETSGIVNDDPSSISALTT LQVQLTSVGKLTVSLQTTSQPGIAPLCRLGESPSDICDVAPGADIWLSPSGSVARLVS TKPGPPNTSSPFPPTGSIGIDSLGAAGRKQWKANVLEWLRNFGLPMDSVHETAWVEVE VWEPFYSRLAGETLRLNEDNSSTLPLKRVLWPAVYCFRRTKSASPGSSQWIENACPVV GDPLDFAENWRVVEKPKQDETSPKPPSSHPEQQSRNPEPSAATTDILEGIESLSRASE YPDLQTVSLVYPTPPDGAAAMGLNLAGPSDTFAEEPDLVPSLLQNQSKPKYYEQLTTK DRSEADPSAGFGPLGGLAVGSGLYDTNEDDDLFGDMDERDFGTKGITDADFNFFDDPS FAAMDTDVPADDAQEVPGMVDLEVTEAHPTISEGALLEDFAAQKTPAEILEVAQASPD EVTPKVQPEHMDAEETTVAASPHIEQNQTISPPLSPVEIKRILLPEPEGDNHVPTKGS RKQSYYNPVAFKPNMSAWDQKYGADGKFRFTTAGPSASKVYTNSDIPTVGMPRRNKKY PTAGAGLMSLDGHASPSSEGQHLQTVSDSSSDTSDDSDGSASESDAPPLPSRKRKRAR SNSVGSPAISQVKSLGEAEQEIPVHRPEHSIFLGNLLSTFSDWSMTGYFSLTENRLFP VLTRKDMQIQIAQLFVDQITQSSLDHKLDGGFCLSDLDNKAYSVQTFFEEEGILGTIE RLDLNSWISLQENEQASPAPNGAVSRQSSQRKEMGKGSITKLSPPHLRVRRGKEYLEA LPPAISFWETFGLEPADGPKDISAYCIHPQIAADAADVFLERLGLLYASCNLGKHVRG CRSSAFERGLCPWDVGSLETAHFLPAMQSLKLICEDLGTALLKSSPSNDSLVIYIINP FAHASALVDICSAFWCLFQKYIADTGKQQARQLNEVVLQIIPISFIMSTDSMVVPPQA QYLSLALEIYSRCPPKALQSSLVNCAPPVLLAEPLPRTISFRLASEKTSPLQEGKCLH IAYSKSQDQRWIGVAWSDNSGALQRTISYNLRYRNASAVRSISDVRSEIWVATKDILD RIQARWKVFVVSTGPVDQDEVDAWTSFIEQYNKANSIPLELTILSVNTAPDLHLEPPF LPMSMSIFNPQTSSTPVATPNASGNVFSPDQSGSAPTPPSGGNAPTNAPTPTEPTLEA ETESVLTDICDESWGVILSHRLNNSPHLTEYRPALASGYLLRRKGDTDGDGVYAMTLN LIYTQRPSSCEAILRETLGMYRDLGTLARARGTRTVQRNTLPWHIATAVRAQEMLSHV L AOR_1_484154 MGGVEGKPSQSAKMSQQLPQENQPNSASSYLDLGITLAIHNWPA LTLAVQSNWGGPTSSDKRDWLCGAISDMLNDRPETDAEDLEDVLIQVMNDEFDVVIDD ESAVPVAAEIMEVRGLVAKGDFGPIKQMWENYQTKSQQKASNVAAAFKRGEDEDQDSD EDDEEDEEDVDMEEAPALVRAPKEKVEPEVDEDGFTKVVGKKKR AOR_1_486154 MAGQTPESWEDELSQQTEGVNLNAQNRPQPQAPSFRPGVASFQP GAASFVPGQSFQGYGGFQQYGQYGQQAYGGYPYGQQQAYGQYGAYAQQPGGYNQPYNQ QYGGYQQPQQQQQPAQQQPQATQQPKAAESQPAQSAPKPAAVAAPKAKVLSIGGASDS PAAPKTKVLSIGTPSPAPASNTPSSGSATPGDSKGPDAAQAAAKVTATKAIEKTEKKA EQKAAASGKSSPAPASGRSSPGRSSPSRAEVAKAARAADAVAKEQQADVDEATLKEIY GEKKEHVNIVFIGHVDAGKSTLGGSILYVTGMVDERTLDKYKRDAKEAGRETWYLSWA LDLTNEERAKGKTVEVGRAHFKLDVQSPDGPIERHFSILDAPGHKAYVHHMIGGASQA DVGVLVISARKGEYETGFEKGGQTREHALLARNTGVQKLVVAVNKMDDPTVEWSHARY KECTVKVSKFLENLGYKKDDLTFMPISAQKTYGIKDRVSKDLAPWYDGPSLLEYLSNM KLPERKINAPFMMPITAKYRDMGTMVEGRVESGVIKKNANCIIMPNRTKVEITALYGE TEDEIPTGTCGDQVRMRLRGVEEEDLLPGFVLCSPKRLVNCVSSFEAKIRILDLKSIL TAGYNCVMHVHSAVEEVTFTSLLHKCEPGTGRRSKRPPPFASKGQTIIARLDVTSTAG AVCVERFEDYNQMGRFTLRDQGQTIAIGMITKLIKSDEDN AOR_1_488154 MDTFLTRLTHQAMNYAIRSGIAITAKYAIRQSSRLLKNVENAEE REELLVLQQRLESKIQVISPAIDMIELIAARGNTSLESAVSLTKSLRWDIQALGQRLA NAAASEEIARKGGQSSISRSQIDDEIKSIIKDIRRLLVRIEDAVPLMNLAITTSGAKL STNLPATISPSRLLQASTFLTAGDTQYSMSPSQAVQIGPTFTLSMYMLFASHLRSHDD EGIRETTWKEVMHKARLKLRRVPMDLAVNSQTQLPRTQIAAEARVDEYAYQLLIIEDL DDGRVHTFEEDEPQPQTFEGVVSAGLREILPIHQISKIFYADTGKILNISPDGEVNNP VILLKRDINAIPPRRMVEREEAFDYSNEDSDQDEEEVDEVQAQLDAQLNGAGAPSTYP NFHESSIPEEWRLPKDLDPEWIAFEVYNEDDESDSESDDENLRPSAKGDSIDPELMAK LSLDSDATPSRQGALGESPSQHMATTTVTNPHFNNIRTSLSLLETLLRLTSLQQFQQQ SHLSISDELLNFFLEESSTTGAGGDEQHRQRLRADARRRVGWDPYDESPMKRRGEDYQ YGWVPEGTPSAYPRESSEFPYSPSDRLRGFQLRSRENTPETPPRSRRNTPRQPDMRQH STLRSTPAYMDKGSRKNSPLPNRSTSASGDDSERAGHESSEEKP AOR_1_490154 MDIAYDHIQEEILSSNDTKKEGTSQDENKTPQQSNVDLNAELQE TFRAFSASPWGMRIGGLWDNVRKQGETYYEGAKQEYAAASEEAAKGFSDLKQSLVGRT RGLSLSTAFNAGATDKAAEGETAATPEASRSVDAQGESSVGEGFLSRFKAEAARRLKE IEKAEEAADEAIMRFGMNIGQKLRDAVSIVPPESDSSKLLFESKDAEGKRVIHATRFE AQLHVIHSNMESFTKDPVSDEWPSFKEKFNVDNKTTEIAADLEKYPELRSAMEKLVPE QVDYASFWCRYYFLRLVIETEEQKRKELLKGANVSDEEEVGWDDDSDDDTDSPSTPQA KVDTNKANVAQGSATAAPDIKALKPNEPRKSNDQQSQPDSESSYDLVSGATSRAPASP KEKAKDDDSDDDWE AOR_1_492154 MRRGDTSGTNAQTNQEQFQTPDKLPPGDSLRGSLTTKKRIGTPA SSSSTSRSWKRSSFAGARDQPTLTQIDFVTQVSQHQSDDDDDLDYIAEAPKHGTRKRK EVIEIADESGNDADYRPPSNSRVPRARGVRFDQDTNSVGSKQSKAVSRGDGPSKRGLR KSGDGVKGNKKGKDKQQKDKTLTQMDYVRRYLKIEPDEDVKLEYTYTTPQKHERNSHD LQPPNAGDTAPNPVDTYATSSESKRRKLNDESRSSGEAESAGHPKPAEPFAGPVTPRK PRRSEIPSSQSPESPGLAIITSSQFRSATRSPLKRESPTPTIKRIKEESPGYEQVEGA LKSPEETLSHDSTPPSMIPHPPPTVKLTSKESLETNASSTQSYSTKGTTVPKEGIDTH QELDENPKTTQRTVVYETDAETDTGDFQDNDFNIPGSPPERRFPRTSTNSSIRARC AOR_1_494154 MPRTTRARSKKNGARGGRQSREQDDEVPEVYREMLAEAEARDPG NLENDRPIKKRKVQGQSLASPAPSQTTKQEDQHPSKQQENVNLQVQTVYDSSSSDESE MEWEEVDILQAPAAPIQTAPAPGENETMQITLDPHQDQKRKEDIMSDAEMFLSKDDFQ KQAKTLQGSRDFGAQLFCALLRSVAVEARLVIVMSSDDLDTSTDDLAKRGASPTPPRT RRLGRPQFKPSTQLKSFVANSRPSIRESSFPVFWVEAFNEAMQKWVPVDPLVTKSIAK SFKFEPPSSDPYNCMSYVIAFEEDASARDVTRRYSKAFNAKTRKLRVESTKNGERWWG RVMRFYEKPFLEDRDEVEISELTAKIAAEPMPRNLQDFKDHPIYALGRHLRRHEAIFP KRVVGQVSVGKSGSRNQVLEPVYRRSDVHALRSANRWFRLGRDIKVGEQPLKRVQSHR NQVMAIEEDAGDSEQYTETALYAYHQTELYRPPSVIQGKVPKNAFGNLDVYVPSMVPS GGVHIKHSDARHAAKLLGIDYADAVTGFDFKGRHGTAVIQGVVIAKEYEEALKEVLGC LEDQKLQAELEQKSAESLRLWKHFLLKLRIAERVQSYAVEGEEADDEESVASSNNDHG SPEETGGGFIPEPNQETIGSQYVAQERHFESPAAPKDDDLLGGRFIPNDGERHQETVQ ESVLEVASGPSHTNREEQSLYSLVVMSDDTNTARSTTPYQGATMLPEASAPGSRDKEG HRDGDRKIPEGVPSDTPILVESSTAADTPSGSVKVVSQPLSATQSRIESPVTSDEESN SNDEGSLLSHDPEDEDAIPEWLMSD AOR_1_496154 MLSRSLKRAGPVPWVRPVLSCTCRRSLAHVASLEDIPSEDDKPF SVPIAEDSFDTYHLDPPPYSVETTKRELKQLYHDMTMIRRMELAADGLYKDRKIRGFC HLSTGQEAVAVGIEHALTKQDKLITAYRSHGFTLMRGGTVKSIIGELLGRRDGISYGK GGSMHMFCESFFGGNGIVGASVPVGAGIAFAQQYNDANNVTIDLYGDGAANQGQVHEA FNMAKLWNLPVIFGCENNKYGMGTSVERASAMTEYYKRGQYIPGLRINGMDVLAVLSA VRYGKNFIQAGNGPLVYEYMTYRYAGHSMSDPGIAYRSREELKDQRANDPISNFKERL IEWGVFSEEDAKAIDKNVRSKVNDEVAEAEKMPEPDTKLDILFEDIYVRGSEPQQRRG RTIDETYYRG AOR_1_498154 MATTNLTTPSTCIADFSLIPIGSQNASFSKQIAEIQELLQKSGL KYQMTATGTAVEGPWDQVARVIGYAHTLIHEEGIPRIQTDIRITTSDTQSLTASVTDY PIENGRRYHKYHEGSYIYPNDEQELDRLDMQHHLIKMVNGGRLFFAPLEHPKRILDIG TGSGIWPIEMAPIFPEAEIIGTDLSPVQPNEVPENVHFLVDDATEDEWLWGPDHFDLI HTGHMSGSLPSFKELLRKALNHLKPGGYMECHEFDPKPKCDDGTMPPDDPEKFSEFAL QDWCDLNVRSGQITDPPRQFRVAHRIARWMREVGFVDVQERIKKVPNNPWPTDPRMKE IGKWNETNWLEALSGWSYKPLTALGWSKPEIEVFLVDVRKSIQNRDVHSYLNFFVVTG RKPLPGEQKP AOR_1_500154 MSFSRHAYRHAVPPSSHSLVDHVWISEDFLASTFRRFANGQRRY ESRVPGPLEARRRLAKRRNTALASLAGSGPLDDIGCLFGRNGREHMKWVGGQSRNAPR EAQGSATYAIGSSAYPFPFYNETTESNEFARSSGWPGSSYIANKASLEQCLAESLKDC QTITAIKDVVRKLRIDLQQEPAYSRSIFEHLVSQSIDHKCAADELVRFLDDPHLNTRG AGNYLCTVEHFVSRGADLSKRSALLGNVTAALELGRVPEDELCQIIRVLFERVIGGTV VRQRDARILTAYFREMWDAIGRCNIYEYENLDKETIDTWLEGLEKMDLYDSFVLAKDI ISATQDRYWAPLFITRFLKFSLDHETDRDYARGLLSCFTPDEASRCIITVTELLAPSR KQHLFEMWQDHLRRLQNIPSLVSSSPWSDIRVTASPSTSCLTQQQRIILRLWVLRTFS EYLPEGPLWRQVVRATDYPVSRLLSLYKSRVDRVNTESFLSSLVGGIHDLGIPPSGLL MLTVQLKTGKRMTKATRRTFNRLESSNVSLLDIFANGDAYRKTVPHLFSDFERTSECP DPHAALDMVHLIAISISSSKQLTARRAYGLIQWLYRFLVKHGAPVKPSLVRAMYHVGV VRYRREGLRLPSMQYAYILDLVEEVEGPEFLDIMVPKVGQSDSYVSQLELQ AOR_1_502154 MTGFDFSNYNRNAALHAKGVPLPKATSTGTTIVGCIFDNGVVIA ADTRATSGPIVADKNCEKLHYISPKIWCAGAGTAADTEFTTALISSNVELHSLSTGRD PRVITCMTMLKQHLFRYQGHIGAYLVVAGVDPTGTGLYTVHAHGSTDKLPYVTMGSGS LAAMSVFESTWKANLNREEAVELCAEAIKAGIFNDLGSGSNVDVCVIEKDKPTQLLRN YIKPNERGEKERNYRFPRGTTAYLNQKVISKEDMRKYVTVEEVSGDPNLMEVDS AOR_1_504154 MAFSFGASSPAGGNIPAELGPELPDVSAEEVGFKGVSSDSNVRL LPTPWPDNALPAPSSSLLAVAPTKGVIVGAGPDSLAVASSDAVRKAISAPTEDKAKTK PFQPQATIPLPARPTHVAFASGDDALILATENSSQLLVYETTSLTTGNAQPALSIPTN GATFRSLAPNPAPPSDEAHSSLVALITTNGELLVANLKAGNLVSGPNGNVLKTGVSSV CWSNKGKQLVAGLADGTGYQMTPDGTQKDLIPRPSDLEGNCHVSSIAWLENDIFLMVY TPNDAEDESGQTPPSSYYVITRRKQAPFLIRKLPELCFPFGVKRAPAYQFIARLRDYK PHLKDVLIISSTASGDIGLVTRSEQPLASGDTAKATIDLFTTTELSDDTKRASPPLTD SGEETSVIGLGIDLSSTEIVVSPIAGEDISESSTPLPNLLLLNNEGILCSWWFIYSES IRQKLPYHGLASVAQPQPQQQAQPQPPKQPAFGQSAFGSSSALGASSPFGKPSAAPAF GSPSTLGSRSQPTFGAPSFGATSQIGSQAGPSFGTPSAINRGSAQFGKSSFGSMGTSA FGQPSTPGKGFSSFSLGTPGATSGGGFGSFASSGGFAGLAASKPAGESPFAKTTGESP FSKPSGSSVFGNQTDTSTAFGSQKKEPAKNPFGLPPSGFKLESSFKGDGTAINDGPKP ERPSGGLFGSFDEMVSTPTKASPPTESMDDMEDEPTAAQQQKPEANPPAPSLFGAPTK LNTPAPSSLFGTPNQPQQPFGATQTNKSPFSILGNSDKQASTPLSPPSDKTPIASPPL KKEELVDDQKTPDASGEPPLPPDPTSKASYGPGDTSASSNVSKSSIDDAPLPPDFTTQ LKPAPQDDGGEPPLPPDFTLESKKEALAEAEPEEAPLPPDFTAAKPSPTPAEVPGPVP DDSEAEADESEKELSDEEDESDFADSGEDVADEAEQQEEESEAETPEISPESSFGAGF SGKTSAGGLFTGVSQSSQTLNQPPRQLFGEVRKPFLPPAPSTNRDFPRSPSPVRNGAP KSLFKPQAPGSTLAARKATLGDLAKRENQFRQHSAQAIEEAQAQQKLQAQRQQEETLS LSDDDEDERLRADLARPIEPVPTLDPFLPHQDYTGQTSKPGIPGQIERLYRDINSMVD TLGINARSLSAFLMYQQSSSNSDWVNVLQGDHPADLLDEKLRLSEIEQLDDALVMLAG ELEKQRVQGVEKKLEDCRELLSKDILTLRGQCASIRKTLDAHTDSAAIVSAPLSSEQA NLQQDLRTASTNIQARLTDLESAVSLLRAKIAEAPRSDSASRQSVKRPTVEAVTSTIS TMMNMAESKSSDIDFLEVQLKKLGIDTAASPASREGSPFATPKKSVGRLPTTPGSRGS IDGPLSAYHTPDSAARFRSSINGSARASRLRSVEGVGDLASKEESAQWKAKVQRRQHI VGNLKKAISEKKSKVRSVDDL AOR_1_506154 MALPVSEFIHFQLKSSVKPEDPSNEEGQALLQLFQTAKHQSGYK SSAWGRTVEDENIVVWVVNWADAHEGIQPQFLAPYIEPNTQVSVIFATLTPSITETES LTTNPVTELVALTVPSSLTPDEQKKLNADLIEFRAALTEKLPEGGRPKSWAMAQVERP GTLEHEKSPSGQAVLHLLAVGWESVDVHKAARETEEFKRTIAPIREKVIPSVPPLGMK HVSFRKV AOR_1_508154 MSASPSALQSTKRPLEDPSSPSGPNDQPEAKRPALDKVVKGDES EAYAEVKTESSGVPSASADGQGDTVVPDAPNAKGTNPETQPIQSTASHAETGSQSEQH RPQDESSWIHIRAVISSQEAATVIGKGGENVSQIRRLSGAKCTVSDYSRGAVERILTV SGPQDAVAKAFGLIIRTLNNEPLDAPSTAQSKTYPLRLLIPHILIGSIIGKGGGRIRE IQEASGARLNASDACLPLSTERSLVILGVADAVHIATYYVAVTLVEQLTERFGGPAAS AYATRSGGPAGAVPGGMQVVPYVPQPAGGQYGHPDTFKRHHPHPNRAAAGAYGVPYLH GQPAPAPVAQPAMHYGGAPQPYAGAGPHQPAPYGAPQAAPARGGPTPVAPVGGAMPGQ PLTQQIYIPNDMVGAIIGKGGAKINEIRHLSGSVIKINEPQENSNERLVTITGTQECN QMALYMLYSRLESEKHRI AOR_1_510154 MDVPQETVDKIQRFAVKREKAEESYDQQISPATLQAYNKKLDET LKNLQEQVKRQEDDLRKLRSVNAVDLSKIGADPSSRVSQVRRAKKAYDSLLGSETKLP SSGSPLPSLLAVEEISRLVKESKLSVTMTADKLSTNRQRLKVEEANLRDAQAINDGLQ KRIARIREQQAKKEQKSPSQLAHDLVDQQQEKKEELDKATEELQTSLHNFIDETLAPM LAAEDLGGPTAGDAMSVSDETLERGYTSHGKPKKLKASAANHDSGQRRIDELVRGQTS QGENQERNPSNRREAAAAEMHELLTSLLDAGTSYIDLPRESAAARFLVRAKVAQFHPR DARRLRLIDFGRSLND AOR_1_512154 MTRHNLEVHLKWLLQQGPSLYPSLSPSARENRNSIRENHTQNRL IPALHATGNQVPEIAIGDSQPLQKKPVDNVEKDFEVESDEDMARLLMAPQSASKPRLF SRPTDAVNRSPSKVNGRTHAESPTRRREALKSPTVKSTQGDTLSSFDSVTKTIVTPFR TKHKFDVESSAFDIDTIDLTGDFDKTTTSPSIVDEFGEPHRLRNEEPPNRKDAMEKRG KKRKSEEYISDLVSPRKNAPRVRSPLPNGGTTDAAPSGLTRLTKTYITSSPTKSFIRE APYSHSSVLNQIIADSDEDGDETLFDDLMSDNDAPVINNDKSLYPVLPQDTSAENERR EKVAKNAIHRSPLSSEMDLTSSVTDTSVSKTFTKAGDSGLTYALGTPGSQPKNSDVTK FLTLSDEILDQRISGFEDTLRKNSEIVFEQAMKGEPAPGLIAENKSITARIEAIKSLK NKKAAYHACESTRDQLKKALMQVISQGGSPNTMPEELEQSRKATLQLEQIESDIQRLL VQADLLSASSSSKGPSRQGSERLQSPPRSATNMNPKKSVHPQDLEAIETASSRLPSGP TCRVSQADIPARATNPSCRSAGSWSKTFDYDEPMISDDDHAFTRTMGSPVRAEQFDEF DLDADDEEMLEAAGFLDDGYSVSTASNSLQIRKVFAETSGNVARTPTTQKSQTHNGLW HHHPWSKDVKSVLKDRFHLRGFRLNQLEAIDATLSGKDTFVLMPTGGGKSLCYQLPSI VKSGTTRGVTIVISPLLSLMQDQVYHLRQLEIKAYLLNGETQKTERQWIMSTLSSSDA EGHIELLYITPEMVNKNQTLIRNLERLNNRHRLARIVIDEAHCVSQWGHDFRPDYKEL GGLRAQLPGVPMMALTATATENVKVDVIHNLKMEGCDIFTQSFNRPNLTYEVRQKKKG NELLASIADTIKSSYHNKSGIVYCLSRDTCQKVAKSLRDDYRIKAEHYHAGMKPDERA EVQQRWQAGRSHVIVATIAFGMGIDKPDVRFVIHHSLPKSLEGYYQETGRAGRDGKRS GCYMYYCYRDSMTITRMIDSSDGSKQQKNRQRQMLRNVVQFCENKSDCRRVQILAYFN EHFRREDCNASCDNCKSDSVFELRDFSQHAASVIKVVRYFEQSKENVTLSYCVNIFRG SAKKFRSPQHRQAPGYGEGSSLEMGEAERLFYHLLSEGALFEENVVNGSRFAVQYIKL GRRAPDFESGRRQLQLHVRVSPHKKAQPSRSVNRKDYHPQSTNVSSPVQAANQRHLAR YRYEANSDSDRDSDGFERIRIAGKPRRDKPFTPGPPITQDARFDRLDPLHKAVAEDFM VYAKNYCQDLVLKKGLRNQPFTDGVLREMVIAFPKDLSELSRIPGIDKDKVNRYGAQI LKLVRDTQRRYAELKKDRDDADGVVPDPNHHNVINLTSDSEEYDDGDILDDASNLDMD NNVISSRYFTTEPIPEDDSSDGPGGASSSKPRKRQATKRTRRKSAGESKPRNKTPRPR KKTASRADSRPPRKGSKAKEPMSRIGMMPI AOR_1_514154 MGKKNKKSAEHKERVAAKQNKKAAQKEKRSKGKKGRDADSDVED ADLDAILAQYAEEQAKFLKVTEVVSGPPSPRSSATVLASPSNRNELLVFGGEYFDGTL ATFFNNLFVYNIDKGEWKEVTSPNTPLPRSGHAWCRGGNTGGVYLFGGEFSSPKQGTF YHYNDFWYLDPSTKEWARLETKGKGPPARSGHRMTYYKNYIILFGGFQDTSQQTKYLQ DLWIYDCSKYTWYNPILPPASQKPDPRSSFSFLPHEAGAVILGGYSRVKATTSVGGKQ MKGGAQRMTMKPMVHQDTWLLRITPPAADAPASATPTIRWERRKKPANAPNPPRAGAT MAYHKGRGIMFGGVHDVELSEEGIDSEFFNTLYAWNTDRNRFFPLSLRRPRAQGKKQA NQVKSRDRTKADEEELLQNLRALEAKKGIRSQDDDDEMELNTPPVEEESDKPEKPSIV RFEMPHMRFNAQLTVQDDTLFIFGGTYEKGDREFTFNDMYSIDLVKLDGVKEIFYNEP ENWNLLNEEEESDDEMDDDEDDEEEEEGDEEEDAMSLDTASPAPTETTVPSVTQEMEQ LEVEEPEGEPSVQDSRPLPRPFESLREFFNRTSEEWQKILLETLKAKGLEPEMNIKEL RKDAFNIAEEKWWDSREEVMALEDEQEEAGIGEVVSLAERENAGGAGRRR AOR_1_516154 MSDKSVMTAMELKDVTVTAETQASLQQQLWEITQTPWKSGILPS ANHLARARASLPSCLANEGVGFEDAKQHILNDIVPAFNGSSISPNYYGFVTGGITPAA LFADNIVSAYDQNVQVHLPDHSIATDVESNALGLLVDLLHLERADWHNGIFTTGATAS NILGLACGREYVLNVTARKAGVPEESVGEHGLYEVIQASGLSGIQVLSTLPHSSLVKA AGILGIGRANVKNICQESNPLLFDMENLERELARPDKASIVALSCGEVNTGRFATTSI DDMRELRRLCDKYSAWLHVDGAFGIFNRVLDDSPEFATIKKGVAGIELADCIAGDGHK FLNVPYDCGFFLCRHPNEAFNVFQNANAAYLTGNSNGPPSIPSPLNIGIENSRRFRAL PVYTSLVAYGRTGYQSMLKKQIRLARMIAGWLFDHSEYNVLPEATTKEELMDQTFMVV LLSAKQDELNKVLAAKINETSKMFVSGTSWQGRPACRIAISNWRVQGDKEFEIVTDVL KSVVEGGTTN AOR_1_518154 MAAKLVDKKLHNVPGKLRVAELLFDVPVNYSRPNDGTLRLFARS VRRLTTSFDAPKEDKQLPWLVYLQGGPGYGCRPPQENGWIGTALDKGYQVLFLDQRGT GLSSTISAGTLALKGNAIKQAEYLKNFRADNIVRDCEAVRRCLTVDYPEDKRKWSIIG QSFGGFCAVTYLSIFPEGLAEAFICGGLPPLVNDPDPVYARTYEKLEERNKAYYSKFP EDVERVKRIMQYLEENDVSVPSGRLTPARFQQLGLIFGMHGGLDSIHELVLRAWNDLE IFGFLTHPTRTSIDAAGGFDGAVIYAILHESIYCQGQASNWSADRLRSANANFSIDAS RPEIWFTGEMIYKDMFDSYDELNEIKEAADILATTKDWPALYDEAQLAKNEVPVYAAT YIDDMYVHFSHASNTAAKIKGIKQFITNTMYHNALRAKSDEVMQQLFALRDDSID AOR_1_2784154 MDSEIKNQLQDSLGGNDDSKDDITCSSKSSPTMAASSVRERSTS PEGPPPPLPPRPNTLNLLDEGASSSRTLRQSTQSALQSRATTAVSLTDIASHDGGKES YPARGLPGTLRAKASLSHLASPRGSDTADSASVKSSVPQTDFGEVENVFSDFVATESG PMQHDSTGLLQFPEFQADDVDDDFTEEFEPVGDVGDEGENEELVLENWKSKRKHYLIL SAAGKPIWTRHGDGGLISTYIGVIQTIISFYEDSQDRLNSFTAGDTKFVIVAKGPLYL VAISRILESETQLKLQLEALYMQILSTLTLPSLTHLFSVRPSTDLKRPLQGSETLLST LADSFTKGSPSTLLSALECLKIRKAHRQTINNALLKTRTNSLLYGLVVAGGRLVSVVR PRKHSLHPGDLQLIFNMVFEAEAVKAGGGESWIPVCLPGFNSSGYLYMYVSFVDLRED AGNVADDTATKEESVAVILISTDKERFFELQEMRNSFIEQLEKDGSLKIMKEAIDKGR PKTTDIVPGTVLHHFLYKSRGNVQFTMSSYEPDFSSVSRRRRLMSTYNNLHASIHSKH AHVRVHHCVSQSSTSLAWVTPVFQLYCVAGPNANRNALAHSASKIVQWVQQEEERLFI IGGAVF AOR_1_522154 MSLDPSSFPRSNSPASSESSLARSRLRGKEENLKKDKNYRRYAS SVERALSLFDTALQEWADYISFLSRLLKALQSHPPDLPIVPHKVLVSKRLAQCLNPSL PSGVHQKALEVYTYVFGLIKPEGLSHDLPLYLPGVAPTLAFASLTVRPLFLNLVETYI CGLEPWAIRPALKAIILALLPGIEEETSDDFDPTLRLINKFRDISSQMDTQRPGGDVN TSGQYFWQCLFLASITSPSRRSGVLAYLNRYLPKLGVTDRRPSKSSSDGSNDMPHDMQ MAADSVVLPEPGLLIRCFASGLTDEQVLVQRNFLDLLVTHLPLSSPILQSRITVDDLQ RLLIAAVGVVARRDMSLNRRLWAWLLGPDPASDRTSFEARNSISENADASTGSGQELS QSEYFRQFGLEPLVGGLLEIIGQKTVVPSEKTRPFRISLSLMDRWEVGGHIVTAVFLP IIRNVQAFEKVASKPHFDEVFRSASAFFDGVESGVIFSELLKLIDWTPESLDRDNGQV MDNLRLAQFILENFNVREEDMVLNHVPLLTLSVLIKMNELSPKNHPKIAHDQLRLVSQ GLFKVISSLTGLLTERAFSKKLASEKITKNHPTDDLNMPEVLEKIHGFYKQSTSSLDP HPLPFASKQLAELIISKAYELVISALAGDNDIASIQENVNLLIILLKKLPKSRIPRDR RLYLAICNRVSANHTEQSTATFSAISSIATGVKNLYSIQSPGFYISYEDISDLIPSLV KQIWQYLSPLSPKFHVEAVRCLWHLHSVSWLDHLVEASITSLMVNSSNTSRQLLSEEQ VGRYFVLWNHSHHGAYELPSKHAQDSALTQVSYQSSLLERPLFIVLDSLSQAPNEASE VVQRWLQDLPSVHKVFHIVVSRLESLFTQADPSVANQTSLSISSDDYKECSYLLETIH NIISVIPHNGWVALLAQASAQGDRHHDVSASEDNPGTQTLHSSIFHAILRIVSGPKTT AQTSFDEVKLQQTSLLVMRQLLLGPGAEEVAESGIDSLLVERLSSALDEGGSVAVQEA LIDTLLAALKVRFAQAYLPQPPPRPKHQRASSRERLTSPSILSFTSDKAEKAPPIPTM PQPPQQLLECLLKGISSPNSRGTVEKWTMLLCEVLPLYSGSIFQIILMLVDCFCKEIQ IAYANLQLSFKHTKGWPEDRSEHATIALLTGLETCIATAHDRLLVDEANVTAVKSPDQ PQGFFGNMVSGVFASDASHGRSTAANNRLTVLLCFQDAVRLCFSIWAWGAVERSTLPQ DAESLASFQYTSVRMRNRSRRILEHLFTAEALECLETLVEMWTKTDSNTSSLIFSLLH TLDGSRPKITIPAVFNAIYTRTNPSALDPSRKSTLSSTLTENELAGFLVTYARSLDDD VLDEIWTDCTTFLRDVLSNPFPHRQILPRLVEFAAILGAKLENTSFGEDRRMRKELGD VLLRLLTAIFTSKPLGLSQESGLLGRGSLEHDNLPAPHIGPDDMLSILASSMPAFTIT LGDSDRITTAVSGISTNVIGPLLRSRLFPNNISHSFMALLQHIAKVPAAAKIWKKDIA DAFNDPRFFSSHLELVKGGWMNLLRQWVLADKDRLSELMSRLPPPSTAGIMFGVGASA ARLDADRKAQLNLRRITLLILSANNDYFIGELPGLLQKLEDLLGATSSSSPSSTTRAE IFMVLRALALKSSTTALAPFWPLINTELQEAIAAVPLGLQQEVYNPYALLQACKLLDT LLVLAPDDFQLLEWLYVTDTIDAVYPPERWEPMALADEISQSFGTRSAAADGPRESNE LGYSVKQPGLTADWIRETAKDELIDRVLRPWFEQLSIHAFESTYSMSSPGLTTSYDDL LADLFNESTMAN AOR_1_524154 MANTNVTSSFTEPPSLELTSGAFMIMSAYRMAFPGLRSVATRRA VIPVRRSFSTSPSVRDAAGSTLPAKKPVGAFRGGIFGFLTGTIVAGASVYYYILGEYR VTNEMLTEDIYALQSATQKLQTYIGELETKVDQLRKK AOR_1_526154 MYHVELLPSTTTHLTPGWTYVPDRGFDPSKAAITPSIGRKRGIR DPGSRGDVSSRQANAIIRHLAELDRENHKDVHIPIPVKQKDAAGRGTRGKVTSNVRRI LQSQKTFRNYLDDEEAALAQAAQSTTQRPPANKVTKPSSLRRSSTPATTPRPESSRQK KQSSTIPAPQRASATPAQPPSTTATEDTEKEPKKEPEDKQALIKTEHDNDPLLRSYIP SAPSERIMQALLAEPPLTYNASRVGPPVTMKSQRYFCCVCGYWGKIRCKNCPSRTCGL DCYKLHEDSRCGAFY AOR_1_528154 MTSILSWFRRLYSLDTLDTRFIVSSNTPLKAVATDRRSAPAKDA RANAIASNAAPSKWRTPEFWVYYVIFLIAVPLMFKTVIEVSQESHPTYPTYSHLLSPG WIAGRKVDNSDAQYSSFRDNIPYLLALLVAHPLLRRVYEHFAQPVDAGSTQTKANATT AQGDARLARRVRFDFYFALIFIVALHGVSAIKVLGILYVNYKIAKSLPRQYVPTITWT FNIAILFANELCTGYPFERIATMLSSEGNAGQESPLILWGRYLDSFGGIMPRWEVLFK VTILRQISFNMDYYWSLDYPASSPIEKQADPTALSERDRVNIPAEPSAFNGRNYLAYV LYSPLYLAGPILTFNDYISQQRFPPLSLTRSRVTRYAVRILLTVLAMEFILHFIYAVA ISQAHPDWSLYSPGQLSMLGFFNLHIIWLKLLIPWRFFRLWALVDGVDPPENMVRCVS NNYSAFAFWRAWHRSFNRWIVRYVYVPLGGGRGRARGDDNKSSSVLFAKARQIFNFLI VFTFVALWHDLNLRLLMWGWLITLFVLPEIIATLLFPAHKWRSRPNTYRVLCGIGAVG NILMMMIANLVGFALGLDGIQGLLSEMLGSYSGLVYLATACAALFVGVQVMFEIRQEE LRAGINLKC AOR_1_530154 MSTQRIAFKVSGTVQGVGFRDFTQRRASEFGLKGFVRNTTCGRV EGEAQGTPESIQKLLKEINNGPRLAHVVKVEKKDLAVQDSETHFGVRRTSESAFDDTN AOR_1_532154 MGGLYKELPEGLDEVDVIIAGGGTAGCVVASRLSDAYPTLSILV VEGGRDNRGLQNVTYPILLLNNILPGNTDTLFYEGIPEKAVGNRSLVVPSGGVLGGGS SINLLTYSRAQAVDYDQWGVEGWFSKDLVPYLQRLETYKGEGTPETHGYSGPMLVTPG NYTAKASEEGFIKAAAKLGYPEARDIQDLETINATQRNIRYVNGGKRQDAASNYLHPR LGDGFHPNLHVLTQHQVIRVLFNGNKASGVEFRPNPKFNDGVERPVQRVSAKKLVVLS SGALGTPLVLERSGVGDPEILGKAGVDVVAEVPGVGAEYQDHQLMTYAYYSSLLPNET FDAIYSGRTNVDELIQKNDPIIGWTAADVYSKLRPSDEEAKALGSAFESAWNRDYKTN PTKPLSMITSLNGFPGDSTGQPEVQYFSCSTFTPYPYSRGHLHITSKDLDASLDFATG FFADEDDIDIKKSVWSYKKQREIIRRMDIYRGEFAPLHPAFAADSDAATPSEPLDGPL PDDVPDIVYTAEDDAVLEQWLRAHVGTTWHSLGTCKMAPKSEGGVVDSSLSVYGVEKL KIADLSVPPGNVGANTANTAYMIGEKAADIFIQELKA AOR_1_534154 MNSITTTVPLRAASLPKSYLHLTLRGCTGSVATAGLRCSSPLLA SRINHQNTKRFISSTPQTQTKEFFPPPNAPHIKEVETAWAHPVYTEEQMRRVTVAHRE TKDWADWVALGTVRLLRWGMDFVTGYRHPPPGKEHEAKFQMTEQKWLTRFVFLESVAG VPGMVGGMLRHLRSLRRMKRDNGWIETLLEEAYNERMHLLTFLKLAEPGWFMRLMVLG AQGVFFNGFFLSYLMSPRICHRFVGYLEEEAVLTYTRAIQDIEHGKLPKWTKLEAPEI AVQYWKMPEGQRTMKDLLMYVRADEAKHREVNHTLGNLNQAADPNPYSVKYKDPSKAH PGKGIANLKATGWEREEVI AOR_1_536154 MDESIESESVASPVRASSPSPSIIPTPAISSCPSPSDRTVSTVS TLSSRSVSSATSADARSSVSTVSSRRRGYIRPQGVEFAESARHRESVMSLGSIAHLQY YFARTGLLEGKGGQAREWKKKQKPEDIPRLLLTPNARFIEDLTESPTDESSEPADEEF DEHEVMLPPTVSTYSVKTFHIPPPPDLVALRKDLRDALDEAGKIMETIGSEKEPPRNM KPPRINVDELSDTDDSRSKMLAGATPLGWHEIQGMRILDVVTLAIRAARIYYTAHERP ERLASIKSEREIRQELFDMLEVLKRWASRNFTGGLREDERSSIIGWMANVRSMLAQEV HMEEAEAQERQGWAWARGDWSGKERAREEAFLRSLMETDALPTWTAPEGQNLPTPMLE WFRDGRGLVQIHNQAVKKSKRPFCEIKSFHEDVAKPYRRADNIRYWTKAAEIRWETKL EMDVMGVVYGNSDEAWKKFDTALLTWCKAVREELMRDWRGPDPGNADAVPPHDGVAVD PA AOR_1_538154 MFTTSTVTTAPTHPDSGPKTPTTSSLSQLLSSPPPPPPPAVAVS PPENSSPRRIKSKSSLRSLRSLGSSLHDDDLDDFHDQAGSDKSLIRPSILRRLSPGLA ARVKLLDGSHRNATPTRNPGAVGRIPEEHIKELDNRNKDLSIKIEKRGRSWNAIHLGG KGRRPQQIESTYLEVHDPDTELPSPVESESVEPVEETSTSVANQDPLEETLVEQAPDA SATQEVEELEVEEPEEAPVAMAAVASPRASVGIESGASPNTQVEHAQTDFEKYIQSTS DNEAEQPPPPPPKDLPRPTSANSNVQSYFNPQGLQRPESIYSFSRASFSHQLTQLTSI PLPQPSSLEASIAAIPNAPLAVKSLTGSAAQIQIWIKKASDVLSGLDAEDEVEWAAAG GREGLDGIDKAITRFEGLMNIYVKAIEDVQLRDDICNVSADSLKTIVIQMDSILQSWA DIKNRLRNVKEQVELAMEWEELWNTILGDVSAEVESLSRLLFEIEEKRHINMANAWAA DQESNSGLDISELETIVEETPNNGSFSNSNRHSILFDAPPTLDTPLIQTPQDDSEHAD LIAVFARIQPLRASLAFLPMRLSMFQCRAERIFPSACAEMEERRKGLEKSYRTLEADA EALRKEFSEDRWVLVFRNAGMQARKMFLSVERSIAKLQEALETGAHVHNPAGLAKRVE SYEAKKQHYVPAIERVISLIEKGVKDQLTVNGETVNLLSDMASRMDALKRSVEVMDSS LTEYNVAPGQHLRDSISTIVTMDSPATSLIDTPGSSPPSSVVMTPANKGSGASMGSSS RRGSSVGSVARSTVAKVRRYSGIPQPTATLTVKKSAIPKPILTAPSPSKPSGLYTPTP AAKKVPRPPPPAKDNRPRWNSSVNTNDLEVGHVYKSNTPFRKSSAPGRTSRPLSMMSR RDFAVSPAPSTTRSPSRVSSRVSSRLASRSPNRTGSPTPNRSLLDPPPYSKLRRPPGA EGINNTPRNRQSFAGLSFGRSVSHDYNRGLLSPTKAERPGTALGHGGSRRISLLPLPR NKSGRDSSAGTRSKPSERPPWR AOR_1_540154 MPSILEDPTTTLPPPKTALQASQTLTPRTSHLKDGTPVTLYPIA NGPQSIPADLVALLHREFSAEIKAGCTYPMEEPMTLERFAEYWFGTFAVVAVLGEEGL VEGRDWEKECLGTFYIKPNYPGRCSHVCNAGFLTTVAARGRGVGIVMGETYLQFAPKL GYKYSVFNLVFENNVASVKIWERLGFKIIGRVPGAARLANSEELVDALIIGRELV AOR_1_542154 MRALSRLLMATPSTMGSKSSLSEALALLPPLQLYRRILRVHRKK LDPEMRILGDSYLKSEFRAHRNVENPLHIIGFLTEWQLYAQKLEGDTWVGEKLDKNKL DKMSDQQIGQLYELMNAIKNDGEGEGEGEGEQK AOR_1_544154 MLPTPCTSHVSFDTIYEPSEDSYLFLDTLASPSESAWLTQRFNA TSSSPNQSTASPLVVELGTGSGVVLGFVAANSQVIFGRRDILPLGIDVNRNACIATRE TANKAIKERQTDNESEANCQKTVYLSSVMADLGSSLRPGSVDVLMFNPPYVPSEDLPR LPSVTEQDVDESGMSRSAKFERDSYFLSLTYAGGRDGMETTDRLLEEIPGLLAPGRGV AYVLFCAQNRPQEVKERIRAWGDGWQAATVGNSGQQAGWEKLVIVRIWKETA AOR_1_546154 MKLVRFLMKCANETVTIELKNGTILHGTITSVSPQMNTSLRTVK MTPKGRDPISLDTINIRGSTIRYYILPDSLPLDTLLVDDQPKPKNKARKEADRGGRGG GGRGGPRGRGRGRGRGRGRGF AOR_1_548154 MASIFTYDPDPPRVSSPWSTSGSSTPQVAGTGCRTVTRNQSATN LDRPDPNVLSDYGITKLEPEPQEGPTEYKLHLLLRARRLYTAMSTGHLVGGSYHSRLP LSTSVPTSSSCESPSKQSQVRSTQSRQQRLQQLTTQLLWRLQQSSPFHSSTAANLVLP VLPEAALELGIPQKPARLLPGLEESQGALYEIGVADDGTFVGLTHDELEESLLNLQVM AGSLGCKVEILRRVIVGNCEWTEDACVPNAEVGKVHAEELWVAEALVSPDWDYYRIES PKNGSHEGKSSSLAARQGELEGDHSKTEQIRISITGPTTAGKSSLLGTLTSSVLDNGR GTSRLGLLKHRHEISSGITSSVAHELIGYAANELSEDTLDVVNYSSGNVAAWDDVHAA SAQGRLAFVSDLPGSVRYLKSTLRGLVSWAPHYVLLCIPANCDDETLKESQPDSAEQT TDINLALSHLDLCNKLEIPTIVVITKMDLASRASLKQNLTKVLSALKLSGKRPAILPV QSGSSEASLDLHHVGPKDSVEIKKLIATTEDWSSTVPIILTSAVDGSGIGRLHALLGN LPIPARPSLRTISPSKALPPPALPTNIFDVDEVFAIPPSKVTHTNDLTSLALTCSSLH ALAIPQMYSRFDIVWPETMSPSIHPTGVDALSYGLATLVMGEDVFHELPPPHRPVVPC HHCGRSAQHVQQGSRLETDGIRRIRRGNHYAQYTRKFSVGNGPQDCVQEYSVTKETGK MLGTLVALAIARMVNLESFIWDMPTGVLRDVWIALSSLADRPGHDCRLERVWVRWHDN SMIRIVRTPSDMTLPGIPSPFISPSARSTRLQNYAHVEYPTLSILPPLKSLSVLDIDE SSYLEEMAVLIERSRERLKELRIGISSRVYQYNWLKALGGWSPVQQDASNPVVSGWPK VGGVLGVLLGNPHTQFPFELAADQPSSKEAQLGQHGSHQGLNGPVPPAGDVASSGTSS GHSTTQLSDTVPLGHSPKATGAPRMDCVTSHQAIEGPHPAQPSRLGDLPEPSNTGLGV NKPIALKLEVFELERVCLSIPVMMHALDWTRITTLTILRCDGHEKLWRALRRQFSPSA ALRGSPKPENKDTNSSSSEYSLKLKHIHTDAVSPYLLLFIKDSIAPNTLETLFLHEAP LYDSIVHVDAIYRNVIRNHRMSLKHILVDSTERSPNGNEIASSHRWRKWMFTREMISF ITSGRMPRLRELSMTMHSKDWHYFLQRLPNMPQLRALHIPHITDSIPRDPKELALQIL DIVTIRPEVGISYIGMINKCYEILEVKRSEKYDYDDADDSHSEGFVPGSDDWAPSDTE DDDSDDGGAGSAIESNSELSSDDHSSSEGYDSDLESNKSRVSFRLREILFYDDKISIF KARHGVL AOR_1_550154 MAIMADNNTHSYGWQSRPSHPLHPSSMAMDQQPFPQPQTLPPST GYHVGYHTPQATTQGFYQPGIPAPQYLCSPHPGMLQSRQHPRSSSIPYSFPGSHIAGS PPTIAEHQFTSSPPSYLVNHQEYYYSQAPSLSSNPSSLAVSPQSQHIMTPGTVYSSPD TAPAGSDPEQQVRVISFRPKPQCWDHGCNGREFSTFSNLLRHQREKSGVVAKAECPSC GAVFTRTTARNIHVSQGKCKGGGREASSE AOR_1_552154 MRNNLLFSLNAIAGAVAHPSFPIHKRQSDLNAFIETQTPIAKQG VLNNIGADGKLVEGAAAGIVVASPSKSNPDYFYTWTRDAGLTMEEVIEQFIGGDATLE STIQNYVDSQAKQQAVSNPSGGLSDGSGLAEPKFYVNISQFTDSWGRPQRDGPALRAS ALIAYGNSLISSDKQSVVKANIWPIVQNDLSYVGQYWNQTGFDLWEEVQGSSFFTVAV QHKALVEGDAFAKALGEECQACSVAPQILCHLQDFWNGSAVLSNLPTNGRSGLDTNSL LGSIHTFDPAAACDDTTFQPCSSRALSNHKLVVDSFRSVYGINNGRGAGKAAAVGRYA EDTYQGGNPWYLTTLVAAELLYDALYQWDKQGQVNVTETSLPFFKDLSSNVTTGSYAK SSSAYESLTSAVKTYADGFISVVQEYTPDGGALAEQYSRDQGTPVSASDLTWSYAAFL SAVGRRNGTVPASWGSSTANAVPSQCSGGTVSGSYTTPTVGSW AOR_1_554154 MSDPQTGFDQPFFIVTWVETGLALVLLSARCFTSWKIVQYTGPD LILTIITFTFGIASMVMATVGAAYGLGTPSATLTNNNDKDALLFGWINQFLALIAIGL GKVALVAFIDHLQKHEAKAKRAFLWFIAGSNFIVNMIAAILVFVQCSPAKKLWDERIP GRCPGRKRVQTFGYIQGPYSAFCDFALAVYPVLIFRKVQAFSLPTKIGLSVLMGLGVV AGACTIVKTVNLKLLTKLEDTTWTLAELILWNQTEMWVVFIVSCMPPTTAFFRFAYRE SASRVSSILHRIRSGDEE AOR_1_556154 MKIKPFVLGIASVLSSLVDALPSAGNDTSQPQASDRMVFAHFMI GIVSNRKSAADFDSDMKRAKELGIDAFALNIGVDRVDPFTDTQLEFAYQSAANNDMKV FISFDFNWWKEDSEASQVGQKIAKFGGKPAQLMMDGKIFVSSFAGDRVDVNAIRSAAG RPIYWAPNYHRPESADISKVDALLNWMAWPNDGNNKAPKPGRLVTVQDGDKKYMNALG GKPYIAPVSPWFSTHFGPEVPYSKNWVFPSDNLWYERWVEMLNLKPRFIEIVTWNDYG ESHYIAPLASPHTDDGCSKYVNDMPHNGWMELARPFIAAYKAGASSVNEYIKEEQLIY WYRPSPRGANCDATDTCMVPADNSSGNYFMGRPNGWESMDDVVFVVSMLKSPANIQIS SGNSQQVFEAKAGATAFKAPMGVGKQSFAVVRDNKMVLAGTSPKDIIEGCVCGIYNFN AFVGMLPPEPLDPLQPDSLSRFAQGLKVQCEAKPSLKPPPPPPSTINPNPPTNPPTNP PPTNNPPPPSTTQNPPPQPPPTNNPPPPNQPPPNQPPGTVCTGGTGENNYKGLCDFCC HFGYCPPGPCTCNAYGAPIPAPPSTGQRGVPADGMDDSYKGLCSFACDHGYCPQGACK LV AOR_1_558154 MGSFVEDLWASVFTPGPTPTLLVAANATFAALQLVLFLLLLATY SIHFIVLSVLSASLWWSINWFAQELAAVQAQEAEKEKTESKELLTEEDDDDKRKSEAS RKTPGALDSTESDTETESLMERKISAVSAAAPPSTTAAATTTATLQPPEQQGGIRKRL SMSGESSGYVSTDSEWEKVDDKTTS AOR_1_560154 MASEEQVQTKDTLDGKSDIQGFSHHAEDILNDREPYGPPGLAGL VANPFVLMCAACSTLGGLLFGYDQGVVSVILVMDQFLERFPEVSPDSSGSGFWKGLMT AMIELGALLGALNQGWIADKISRRYSIIVAVIIFTIGSALQTGAVDYAMLTVARLIGG VGIGMLSMVAPLYISEISPPECRGTLLVLEEFCIVLGIVIAYWITYGTRFMAGEWSWR LPFLLQMVPGFVLIGGVAILPFSPRWLASKDRYEEALQSLSKLRRLPTTDKRVRQEFL DIQAEVRFHQEMNAEKHPNLQGGGLKDAFLLEMASWADCFKPGCWKRTHIGVGLMFFQ QFVGINALIYYSPTLFETMGLDYDMQLLMSGILNVTQLVGVITTIWTMDSLGRRSLLL SGALLMTISHVIIAVLVGLYSDNWPAYRPQGWASVALLLVYMIAFGASWGPVGWAMPS EVFPSSLRAKGVALSTCSNWLNNFIIGLITPPLVQNTGFGAYTFFAVFCLLAFVWTFF FVPETKGRTLEQMDHVFKDNSSEAEEARRHAIEVELLRAEAERYAMEA AOR_1_564154 MATTEPNRRRIFASVFPPVQLDGPKPKTKSRDPEQATWDRAWLA ATKFLSIPDQGFRGIENYVPKAPGREVNDALAYLAADKGVMDREEWSIFEWYGSEMRR HFYRNFKVALVKLLDQPNGLRKIVYCLQLIRKIYFAPLMEYLLPLLGAVEQEKVFVQR RRGFHLMVAYTLPWQHVSPLLTREMMTHAVTILGIDTLEDDTVEDDEDSMEVDRRYSV SYRDWRDEPSAEVRVQMMTEGEDTRVTAARERLLSLFNDLELVGLGGVRAQKVFASVM NTMMTEFIRAAYSGQWEGPSKVSQHLRHWIENVYARLAVQVLAIINVPESGTKESDRL DVKLSDVEKWQEIGLSRLGALRTGELFDIIVEWPASSGAIEDLRHFTAYPAGRFQVTH SFSAVLDHRLLHPGASTVEILQIYISIIRAFNLLDPKGVLLDRIARPIRRYLRDRPDT VKVIVGGLMADPADARDQTSSGDTLVELSAELTKAHQNSLRSDRGELDWDDMNWMPDP IDAAPDYRKSKTSDVIGSLISLFDSKEAFVKEMQRMLADRLLQKRTDYDQEMSVLELL KLRFGDNALQACEVMMRDIFDSRRVDAVVRNDQDLTRKPAVSTSSSDDEDIPELHAKI LSHFFWPEIQEQDFNVPEEILELQQRYAAGFASLKQSRKLTWLNGLGQVTVELDLEDR VFVDEVSTWQATVIYAFDSPSDDAVSKTANELAEELDMSVALVRSACLFWVSKRILAE VQRDTFRVLEVLPNEEDEAVGGTGVSGTDDNAGDASADTAAAAEAAAAAAAKESAEAA AMEKMNLYWQFIMGMLTNQGAMPLQRIVMMLKIAVPGGFPFSNEELREFLAGMVAKGK LEIVSGGNYKIVQ AOR_1_562154 MAALTQAPVAIPLDVFPDGLKTTGQHAPLYDHIKSFEQFPKEIS GPTVWKAEEYRDAPEKWTHRFTAEEIAELSATADQFLANKIPLTGISKSNFPLPNLSK RLAELRADLIDGKGFILFKGFPVQEWGNHKSAVAYMGLGTYLGYFVSQNSRGHVLGHV KDLGEDPTQIDSVRIYRTNARQFFHADDSDIVGLLCIARALEGGESDIVSSHHVYNTL AKERPDVLKTLTEPIWYFDRKGETSKGQEEYIRTSVMYLERGENPRVYTKWDPYYVRS LSRFSEAGIVPPLSAAQVEALEVLEATCNRLSLHMILEVGDIQFLSNSHVLHARTAYT DHAPPTPRRHLMRLWLATPEHEGGWKLPFWDSNEKKRGGIQVDDQAPVAPLDAE AOR_1_566154 MPPELVPEGSSTIPAVTHTESHIQSNSQPSQHPDDPLLGIFADL QNLLPLPLTDPLGSLVGVNSIPPGFDHGGDGSVADAGRTAVSEICGFIDQLSRRLNLE LSGESITSAFVDACLHEFLQQILPRFPVIHRPTFSPRNCIPPLLLNIIALGSLFVCLP RAREKGEMLWRLAHTAVATSWQTLIGSQSAKDGFDSAQIVLTALLGQTYAYLSSDSSI RTTAFVFHGLGFLWARVRGMHTVDDCKWETLAADASDAEKQSLWDTWAAKEVQRRAVL GHYILDGLLSQASGSPTSARHMTNRLTFAASDAAFAATNVGDWIRAMQETNRTDCSFS ESFHRICSSQYCLTPLQLSPFSVMVILEGLQSLIAELSEVDQAGVGIVSRQEVVRGLM NLYQANITTIPTTTETHVQLLIQWHAVCIEASVSSAGLYYALCKHFDLPQQIAGMRAE AAGSQIDIEAWCASSSAFRSLLHADGINKLLKDVTISNICMIHFPSAIFSSAVVYATL CIFRQDVIRFPKSWVWHDIWKPVLDGTAPQEKHLPADGGYLGQGFANGDNVSSLENVL QPIELLHEINFLQLTLKMIGSRWGVAEQMASAVSRFAALAQERYDLGVYPNFVHL AOR_1_2786154 MITYNTASDPGVEWSVVRLAEPITSYAPTASERASQGFWVGDAF TQNTFLSQTRLALANLRDYEGLPSMTIQITLLEGGGYGIGIKLSHCLADAQALMVFMQ QWASACRILHGHTNSNSLSPKDKLNPIFNPSLLDTKASAGINTPTPDPTLITKARNLP LHRYDWWKTSDPAYPPIMIPNTTNSKPPPEYLTPNPLSPSTPAPWATWDFTKPVSYTQ IHFTGPELDHLRQQACTQLEPTQTHTPISRLDALLAHIWTLINQARGYDQLKEKVYLN ITLGARTRLNPPLPETFIGSPIFITHVSTSGEEICTSTISKTASQIRSTIQQFTPDNL GAMLYDAGLEVSPQRLWQGFMGQRHTLITSWLRLGVYEVDFDGRGRGPRYVHAVMQMM DGCVQVMDSGVEDGGVDLGVYLDREVLGRVLEGIRLFGNEGD AOR_1_570154 MSAEQSNRLQLPGYNLPLNYRPDGGQPLRRTLFPNALDYGDIEG GWVDRINVHREILMMRVMNTITDKPDWDKKVFDEAITSKWREEIAQSGQDVTPKMMDY ILKELQWKTKDFQKTGFLSVYDAGVVKSDTAIPEDLKQALKNSVAPFEQVPEDQKDYH PGSDMKVVDLVHPSLFPVVYGRTRILPDRVINLDDCLGSVGQGDLLPVPPEGEAQIEG YEGSAYGWRRWEREALMPFSRKFQWLPCDVKLTGQDGECRIDSYINNVHHSEHRDLYQ AVEKIIAQTIPLWDKSLTHVQERRHARIVYDSVDYHPTSTKEPAYDDYSDDEEFDRKY QEWQRSQEIILPEPGEFTPPEITEKINLREQFHESGLQIIVKLANIELTPEKPEYEGG TWHVEGQLNERICATAIYYYDSENITQSTLAFRQRADKDELSEIAYEQDRHEFLQQVY GFGPEVSSRDDTQVTQDLGSVVCQEDRLLTFPNILQHRVSPFSLTDRSKPGHRKILAL FLVDPHMRIISSANIPPQQEDWGKEKRELVTGMLSQRLPVELQDMVSEDILYPSISLE EAKVYRKELMQERSATTSEQNQQFETGEFSLCEH AOR_1_572154 MSFHIAVLDADVPVPAVYNARGLYSSQFRHLLQSAAARLSEAGH KITIHTSAYDVVGGTFPPLESLRTTKRPETRSNETSTSTSNPLAQPIDGILITGAAAG AYDTYPWIKPLETWIQRVYTQYPHVKFFGSCFGHQIIAQALLSTSAPQCAPGPAMKVE LCPDGRETGLVPIDLSPEFVAAFPEALEKLPGAGRQMRLQMIHGDWVVPVAGPKKELP AGWVNVGSTELCPVQGLYCPGRALTYQGHFEFDVFVNRETCLAFGRRLKWSEEETARF VELIEVGEDDDSKVAAEVVAMFFCGLNE AOR_1_574154 MSSTAAERRQVFLACKGKAIFSGPYASYRNTGAIIKRIVKHKTS LRALFPKFSVGRVCRISKELLEAGVFESDIKAQLIFPDLLAPSTTKEREHNAFEDEAA HTQAKTVKEVDSVETSVEEETHANQENTSVPLPPPSAEPELPIPSLHPSYFPYHAQHS ILSQVQQVLEEGCFDFTKKWLPSELEDNGWDCAAAVELTKWTKLFKKWSSQLPDGSLQ CSGPEFHARLAEVAGIRHTAVHRAPITARAVDAFIVSAVRLTEALRDSQRTSQLENLH LDIQAKVNAMEFSKNALEGDLRREWEAIQRQGEELERKKVELLAKTIANDNEIKVLTG LMVKKSIERIFLGGTHENSSTGFVTADEKVEGD AOR_1_576154 MKVFTPIFVLMALATSQAIAAPAAEAESLEARADYCVDIKVCHG YNYEGGCYKECKKPGEPVDIRKGYKKNAGSFKLGTKGYSCQVGSTKHGTQTVNYPGLK RLPDGWINDITAYQCNKL AOR_1_2788154 MRLLNVHTLLLEEFNESKAPPYAILSHTWGEGEVTFDDIQDTSD KYTKKAGYEKIHSTCKQAISDKLEYVWIDTCCIDKSSSAELSESINSMFRWYEKAEIC YAFLADVPEIPFRMSRWFTRGWTLQELLAPRKVVFFGADWSFIGTRAELNEKISEATG IDRMFLCNGEHPSLSIHKASIAARMSWASSRETTRPEDMAYCLFGLFDVNMPLIYGEG QKAFLRLQEEIIKRYNDHSIFAWIIQNKLGSAKKHDDSVSRSGILALSPAAFSGCGSI ISFPISSHSSPFNVTNQGVQIRLPISNGDKCYALLECQHRNNPTTILALPVR AOR_1_580154 MSQSNPTLLTLQNHPPPNPAPPATDPSIYQVHHDAFAAEGQPTT TAGWLERARKVSNILAPDASARSKDQKTPRAEISLLKSSGLTKVLGDVKYGGGGQTWE TGYKVIREVAAGDGSIGMLLGYHLLWSVTAHIVGTDEQKERYEKLIIENNYFIGGAVN PRDNDSRVTRAPSGEGLVFNGFKNFNTGGVVSDLTVLEGVYEDTEGHIFAFVPTNQPG IVFSHNWNNIGLRLTESGSVKIENVPITWDDALGWSKETKAPIPEVLQVPFTALLLPT IQLVFSNFYIGIAQGALRTARHYTLTQTRAWPFAHDPKQSPTDEHYVLARYGKFFASL RAADALADRAGKEIADAFNEHGSKRDLPARKRGEVAEWVASVKVVATHTSLEVTSGVF EVTGARSTAEKYGFDRFWKDVRTHTLHDPVAYKESELGRFWLLDEVPTPTWYT AOR_1_582154 MSFSGDISKSGLLPGDQQLVGSASVQALPEIRPFSDALGYPNYI PDLGNLFTWGPFDCLDDPQYNPSLNNGVGFGGLDSLCQQVSLPSEPSVLQTPRSSTPL EPATLHSLLTPAEGIHQSSQTASHVLKDPTAYSSASTRGDPDPQSGDDILIAENFFHV RAVDNETYEKIHGFYLAQTDICFKKLAFPDVNHLNCLVQVYFEYFHAQMPFVHPVMLE NDGSWILVLAVAVIGSQYTRMAMGKQYIIVLSELLRRAIPLDAVKALQYDAMTLAQST LLLNVNLVFNGFRDDIINLQFLRTWLATLIRPFLKPHSKRDPALLHVSRTGNTYDRWH AWLQAEAHARLVYAFFYSLLRTNSGAARMLTPGSHIFNPARSHRKSL AOR_1_584154 MADGLGVPVLKTQGASILITLVIGIGTLHIVRSIYRRHFHPLSQ FSGPPEAALSTKWLYKTNQAGFPEHEFERLHEKYQTKALRIAPNELHLSDVHQYKVIY SQSKPFLKDPPFYSSFNIDHSLFAETDPALHKERRKMLNPLFSRAGIFKLEGVIHTKA GIMMKKIDRLREKHLINVYDAFRCLTTEVIMEFAFARSANMLEEEESTFDSWFLRAFD SVASDIWTAHEWPVASFFEVINKITEAMDILIAGADTTASTLTAALLHILADKKIQTK LVQALQSVQPNEQGILPLMELEKIGYLTACVKESLRVGMPVPGRLPRIVPDNLAQPFT VDGKIIPPGAVISMSAYTMHYSEELWGSDARSFNPERWLQPDSKNLDQYLCTFSKGAR MCIGQNVAFAEITIVMAYIFQNYKLSLPSDFQRPKQKDLFTMEYGKPGLPVKFEAVN AOR_1_586154 MLQTTTENLTQLRMPGAFSTKNATLPRTVRDGMALVKEIGYRFL WVDTICIVQDDPNKRDQIQVMNLIYRRARLTIVAMAGENANSGLPGVMQGTLIETPTA VIDGYKLQAKPPSFETELKRPRHSTRGWTYQEMLLSPRCLFISPYQYYFRCTIRLWPE TWLNYHTSQPVSTLIWPTLSGTPSSDLLISGYEHYVEAYTRRQLTYDNDILNAFTAVL EELSLLFSTTFHDAIPDTNIVRALLWCSAGDGAPERRSSITNPCADSNPYFPSWSWIE WKGPVTYAGSRFGNLRDLTSMCGLLPQTSLFRKPAPTTIFQKIETSDTKTIKTEQEKV TCRRPNCCTTLVVTGRTPRIKVQNARHTSLTERSPNEDLMGPSPQQLPEKFTNLSILQ FRASTVMAKSYYFGKCQYESSTNRYNCVNVLPVYDSQRRQCGGFFNPAVRTLTLDESQ QFAIIAISVFRSTRLPYKNFIDSCYGYEELNPRKCSCLLHVMLIRFHGEVAERAATGI MHPRAWKDAEPGPEYKLINLA AOR_1_588154 MTIRSDLQSLATTVNDATADVKSTGSLDTPSGTTILADIQSLMP TSLVTLTHVGAEAPAWEDIQGGPALILSDLRSLKTALDNFANALISNEPLLLQAKALA IKTQIDGGLDIAIAPYSV AOR_1_590154 MKNLLPVISFAVLTAAQTIELGAPTDGAVLSRGSEFTAQVLKPG SLQPCIEVGIALAVNSCNDGVCPQPSDQLGNVLYAGPWTPTAHPSSGNYQNFTLQVPE YMPEGPATFTLTHLCLIGAGPVPLLEFRNVTVTVE AOR_1_592154 MAPKIGLIICSQRTPRAGLHIGITILNDLQSAGSVRTNAVTLSL IDLTEWNLPMYNEPGIPSQIHSSDQYLHPHTQRWSEEIASYAAFVFVTPQYNWGYPAS IKNAIDYLYHEWKGKPAMIVSYGGHGGGKAAEQLKQVLCGVRMRPLERTVGMTFPSKE VLMVAAEGQELDLSFWEGERREVVEVFGEMVKLLVEA AOR_1_594154 MILGVAFTRRLSLLTNADNGRWNQLSHGTKALIQNCDIGRFQQP FERAMLESQRAFFIVQDMNLRQPCFLARSPWREFLRETEETALTPASWACVLRSKLCD WLVDIPVLLEEITGILRSGNYGMKLKRLVQRAMVIHDQIDGWYLAEVVPAVPYVQQHG DNSAPLRPSAEYSQPLMGVLDCVTNSTLITLEDAISTSVSLFSESDGFHKSIDFSITI SKRQQTISNALKYVRGYSLVAAKPLEFGLQQLRSLRAD AOR_1_596154 MFLQSVLALSLALPSLSTAARLFATHYDGNVYSLNLEEKGDKFS LTKTHNLTTCGGAGSTSALTVDSARGLVWCVGEGTPGALTALKVWKDGKMFEEVVTVE TPPGGVDSVTYGIDKQFLAIAHYGNSSISLFNIPFADKQQVKPFDVVKLPPPKNVTEK QPKSQPHQVLLDPTESFILSPDLGSDVMHVFAIDHKSGKLNKCGSNSTIYYDKGSGPR HGVFVTSSEGHHARRARSPHRERLMGREGKKTTLYTVEELRGNVCSFDVSYVNKGCPV FKPLTCFRPYPGSNFPSNTTTLGEIRAAGPTLHISVRKDGKFDGKDSLVTLKPGQKTV TDGDLFSSGGKTPRSFVINRKGDLVAVGNQDSSTIVIIKRDPKTGKLLNEVASLLVGE APAEGTWGGLSSIVWYE AOR_1_598154 MQTMPPRASLTSSFSVTDANNEVVCPLKNNDGSNCRKRCLGEKR YRSMQEHIRRAHPNNYIPKLPATEESFILMVTTPPEQRAQISPPNQAQSRRRNADRDI YVADASSPATPRGIDEAHPAAATAAVALAQLHHNRLASDWDTDMETHSDNDIGRDRMR SSIELPSLRDHFKQESLPPFSPRPRELLPSILNHSPPGRSSTLPPIQRRDKLPRPRKS SISAARKPKHDRVKSKEYGRRPSLGDRKALSAEPQTAAWAQGKRWEDLIEAATSATEA DDDRQSEVGRSPTLAPLISNITSAPSAPGNRSSLPPAFQSAGGLPPPNSHRPFPPHSF GASPLHKSLTPPPYETARSRDNDLEPFPSIESSLDSASTASGKNFAFSGHLGPLAKPD SSPGLNLFPRQHHRFSNPTPASFRQKDVQVYCASCHRPWALSECYACTECICGVCRDC VGMYISSPTASFRNVTSSPGSAMSHGPTSYPPRGCPRCRTIGSKWKAFQLEFK AOR_1_598154 MQTMPPRASLTSSFSVTDANNEVVCPLKNNDGSNCRKRCLGEKR YRSMQEHIRRAHPNNYIPKLPATEESFILMVTTPPEQRAQISPPNQAQSRRRNEKLTR LNVADRDIYVADASSPATPRGIDEAHPAAATAAVALAQLHHNRLASDWDTDMETHSDN DIGRDRMRSSIELPSLRDHFKQESLPPFSPRPRELLPSILNHSPPGRSSTLPPIQRRD KLPRPRKSSISAARKPKHDRVKSKEYGRRPSLGDRKALSAEPQTAAWAQGKRWEDLIE AATSATEADDDRQSEVGRSPTLAPLISNITSAPSAPGNRSSLPPAFQSAGGLPPPNSH RPFPPHSFGASPLHKSLTPPPYETARSRDNDLEPFPSIESSLDSASTASGKNFAFSGH LGPLAKPDSSPGLNLFPRQHHRFSNPTPASFRQKDVQVYCASCHRPWALSECYACTEC ICGVCRDCVGMYISSPTASFRNVTSSPGSAMSHGPTSYPPRGCPRCRTIGSKWKAFQL EFK AOR_1_600154 MSPQRKNFHVAVVGGGIAGLTLAIALYHRNIPVTIYEQAEAFGE VGAGVSFGPNAVEAMKACHSGIYEAFEKVFTQNLWPSKQKVWFDYLDGYNKGTSTTAK NASRQDIAFTISNSLGQTGVHRAHFLDELIKLIPGDIARFHKRLENIVERETDGKLLL KFADGTQDEADLVIGCDGIKSQVRQVIVGAEHPSAKPSYTHKYAYRGLVPMEKAIEAV GEELASNSCMHMGPGGHMLTFPVNQGKTLNIVAFHTSPDEWADYPRLTRQGTRDEALR DFAGYGPNVINLLKLTDAELSVWAIFDLGENPVPTFYKGRVAISGDAAHATSPHHGAG AGFCVEDTAVLATLLADERVQTHKDLEAVLAAYDISRRERSQWLVQSSRFIGDSYEWR AEGVGSDFKKIEEAINYRNGVITNVDIPQMCADATKCLERRLSSVTKASI AOR_1_602154 MPPKKNLFKDVVVVVAGSFSGYKQADVKKLVEGQGAKFCTTVTG DCTHFVTTQREVDNNNSKYAKKPIPEKPYLLNRKDAKSDDKKDDEKNDKKEKKKRTLE EALDVSEESSNKKAKDAQKLGSKTLNVPVDEGCYLSGFAVYIDPAGLIWDATLNQTVS ANNANKFYRIQLLVDRSGTNFKTWTRWGRVGETGQHGLLGSGALSEAQLQFQKKFKDK SGLSWDDRLDPPKKGKYTFIERNYEEDSDEEDGDDKGTAKKNDEEKPEVKSELPEQVQ DLMSFIFNPSHFMNTMASMDYDAKKLPLGKLSKRTLRQGFLKLKELSELIADPNLAAT QYGTTYNAAAEDLSNQYFTTIPHVFGRNRPPVLNSDQHIKKEIELLEALTDMEVANGI MKESKDADTIHQLDRQFQSLKMQEMTPLDHSSTEFIELENYLNQSRASTHHFRYNVVN IFRIERDGENDRFNSSKYGKIKNSDRRLLWHGSRSTNFGGILSQGLRIAPPEAPVSGY MFGKGVYFADMSSKSANYCCSYNSGGMALLLLCDVELGDPMLELDHSNYNAGEDAKRD GKIATLGKGRTVPAAWKDAGSVNPQLQGVKMPDVSASSKSANAQSLMYNEYIVYDVAQ IRQKYLFQVHMR AOR_1_604154 MATDKGVTTKLVDDHNGRYYTEPATDSKGTYQVETRPYEESTNS HASNPFADPEVAERYALIYEKAQYECRHVFDPTLTWTPEEERALVRKLDWRCVMFFGL QVDRGNLVQAVSDNLLDDLNLSSNDYNTGNTIFYISFLLAELPSQLISKKIGPDRWIP MQISLWSIVATCQAGLMGRSSFYATRALLGILEGGFIPDIVLWLSYFYTSKELPTRLS IFWTALSLTTIVTSFMAFGILHMRGVLGWAGWRWLFLIEGLITLLIGLASFFRMPASA VETKKWFRPKGWFTDREVRIVVNRVLRDDPSKGDMHNRQAITLPRLWNALCDYDLWPI YLIGLIAYTPMVPVKSYITLTLKDLGFNTFVTNLLTIPNNVGHIILLLALTRLSVWLN ERSLTSMLQCVWTLPCVIALRFWPGTMENAWGTFSVVTVLLSYPYCHAIVVGWASKNS NNVGTRTVSAALYNMCVQLGNIIGNNVYREDDKPKYRRGNAVLLALNILGVLLFIGTK VYYILRNRHRERVWNSMTEEQRQDYLNNTTDTGSKRLDFRFAH AOR_1_606154 MRSLLWASLLSGVLAGRALVSPDEFPEDIQLEDLLEGSQQLEDF AYAYPERNRVFGGKAHDDTVNYLYEELKKTGYYDVYKQPQVHLWSNADQTLKVGDEEI EAKTMTYSPSVEVTADVAVVKNLGCSEADYPSDVEGKVALIKRGECPFGDKSVLAAKA KAAASIVYNNVAGSMAGTLGAAQSDKGPYSAIVGISLEDGQKLIKLAEAGSVSVDLWV DSKQENRTTYNVVAQTKGGDPNNVVALGGHTDSVEAGPGINDDGSGIISNLVIAKALT QYSVKNAVRFLFWTAEEFGLLGSNYYVSHLNATELNKIRLYLNFDMIASPNYALMIYD GDGSAFNQSGPAGSAQIEKLFEDYYDSIDLPHIPTQFDGRSDYEAFILNGIPSGGLFT GAEGIMSEENASRWGGQAGVAYDANYHAAGDNMTNLNHEAFLINSKATAFAVATYAND LSSIPKRNTTSSLHRRARTMRPFGKRAPKTHAHVSGSGCWHSQVEA AOR_1_608154 MCMKATCSTCHKTSWWGCGAHIPSVLDAVPESERCTCTPKVERD GKEYPPKAEK AOR_1_610154 MASLPHPFDPITPGEIQLATKIVQAAFPGVSLRYKKIDIQEPIK KEVVPFIEAERLGKPLPRRPTRLLQVLFHRLDNGAFYKALLDAGKQSVISAKELPKDI QANVDVDEMIEMEQLCLNHPAVQAEVAKLQLPEGVTVCNDPWIYGTDDPKETRRLFQC YMYIVATDHPQNNQYSTPCKFSPVFDGLTKQLVRMDYLPSGSDVQTTETQPWKPVETI QYAHDLLQEPLRTDLKPYIVQQPHGASFDVEGNVVSWQKWRFRVGFNSREGLVIYNLT YDGRNVFYRLSVSEMTVPYGDPRAPYHRKQAFDVGDVGFGITANQLSLGCDCLGHIKY FDGYRSDSKGNPIHLPNVICLHEQDNGLQHKHTNYRSGAATVVRNRQLVIQMICTVAN YEYIFAFIFDQAANIELEVRATGILSTVPFDNEEFGKTVPWGTNVGPGVMAPYHQHMF SFRMDPALDGFQNTVYYEDSVPMPEDENNPWNVGYTTEQTVVRTSGTANTSVDRHRVF KIRNDSQINPITYKPIAYKLQAVPSQMLLASPKSFGAKRAAFATKPIWVTKYQDDELF AAGEFTNQSKESQGVEKWVQRNDPVENEDIVLWHTFGLTHNPRIEDFPVMPMERISVM LKPDGFFTKNPALDVPQSSQSFNRSTQHPEPAACCGPAKGKL AOR_1_612154 MDRSERPKRTSNACKRCRSRKVKCSGTHPCDKCRQRRQDCVFED DRKIVVSEELFLSLKRRVEEIECTPPPRKRTRTLESSSVGGSTYVRTSETPQEPTPEQ SGGNRYDERFASNPLALASPGYVKHTGRRQRTWLFLGPTSTWSFSRRILNTIQARLSP HNSTPLPLAVDGDAYQLQWRQASSEELPDISGLPSLEHAIYILNTVQFHFSHLYLLFD EDEFLRHLYEFYDNAEVKVQESRLWYVQFLIVLAFGEALLAPVRKVSNAASWTKYFLR AMSFLPDITGLWQDPVLAIEVLTLIGLYFHSIDMRDTAYCYIGHAMRMALVEGHHRAP PVEQLGQKLVDRCQNIWWTVYILDRKFSSLIGSPNAVKDHEITTPLYDPKSCHQKEAA LSLHVRITQVITRVLDTVYSPDGKLGGIFLRKVRSVLQEMTDLSRELEDVFAHQFSNS VESLSGVTTRLTLSCHQCIIVTTRPLVLALLWERLSCFEQGEVFRTLSSPVQTLLHAS TDSALKSLRILTALRDQNLLETFLPFDLENLFSSFFILSLISAILPDIIPDPSYRDMG FSLLDDMIARGNRVAQLRKSEIVQLEELVQPLLQPHLQPLTPGSSAKQAGEERTSEQV NDSVAVLNAGISPALSVPEAADVVQDDEMHFDWRDCGLSLDQMLSVTDQLNANNLVLD AEREGLQTDLWLWSDG AOR_1_614154 MGLQYRVYLSVPQRRQLDTKVIYKGRYLLLFAVPLDLDMSSKED RSSAPSRTSESPELANNESYPEGGPRAWLVVFGAWCAMIPSMGLLNSLGILHAWTSTH QLQGYSESSIGWIYGAYGFFLYFAGAQAGPIFDAYGPAYVVIPGSIGIVAALICFSFS EEYYQIFLSFSVLGGLSACTLFTPAVSCVGHWFNIRRGYATGIACTAGGLGGVIFPII ILFAAPKIGFPWAIRIIALLCAILCTLACLLMKTRLPRNETAGASIDFKALRDIKYAT TTAAIFLVEFAVFIPITYIASYAVHVGINNTLSYPLIVFLNLGAIPGRFLPGLIADHL GRFNVMVLTSFICGVLTLGLWLKAGVNIAAIICYAVLSGFWSGAAISLTPVCISQVCA TEDYGKRNGTTFTIVSVGTLTGIPIAGAIQQNNGGDYWGLIVFGGVLYLAATVAFAVA RGVCAGWALRIRF AOR_1_616154 MSQQPSDTLVDKNNHQEKNNIPEQQKLFYPCVYTTQYANESPAS GTLIDEKLKSFDADFIRLGDELRRERKVKVLQRYIQYSSEDKKRLKLLQRFTIVSKLR GMPRLPKKRTDVETLVMSKDPHNGDA AOR_1_618154 MSASLPGNRDLPASQYDLSTYLGRVRQCADLSDPRTLFTSSARL QNAKDLLTKYRSGQIQSMSPELWQAKKIVDSTLHPDTGEPVLLPFRMSCYVFSNLFVT AGMLIPGMKWKGTLAWQIANQSLNVAINSANANKSTPLSTTGMIKSYFMAVSASCSVA LGLNSIVPRLKSVSPSTKVILGRLVPFAAVASAGALNVFLMRSEEIRQGIDVYPFNSA TSEGNTGEKVPATSLGKSQKAATIAVGETAISRVVTSTPVMVIPPLLLLRFQKTELLK KRPYLAMPINIGLVFLTSAFALPFALGVFPQRLVMSSDRLEERFHGRGGESEQVVFNR GI AOR_1_620154 MESATNPHIPSYTRPYRSKRHPPCDSCRRKKLRCQAEKGNSCQR CQTSGSSCTFGGLQVVHQLSEALPDANQASPPSARQVTAVQPVEEANLTVNTDDFARC HSEPILSLGPSADPDSGEVPSSEPITTTPATYHFPERPPAQAIQTLDQLKGFSYQVIG ASGESDPWLLRHCKFDDHGFLLFHQVHFRNAGGVPLDEKIPVHFLVTTDDLYGSTKKE TAIPRSQSLRDELNSLVPLDCGQKLVALFMKFIFPTLPIISRSLFGLTSTRITPDQHV LNNMPVHLLAAIYASAQPFAKFDEYLSVLNAYSTPPTEQLWRIVWEILLQEIHTPHLA SLQAGLLYLHKAPEKSQSAVADSASVWSFVGLLVGLATSLGLQLECGPMGLPAWERRL RRRLWWAIYAEDKWRSLLMGRPPYIRNDEWDVTELDDKDFHIDEQFQCFARLSRIADE VQHDLYALRSAQRLSSNFSESLKTARPLLQRLREWYVHLPAPLRLHDRLFASIDGTGP QLTCLHFAYTLLEVFIFRALLRPIVRSATPPPLFEETEASTNFTTALDDYIFHIMDPD EIEPSPAIDLSNENGVGCAVLKAAENCAAKMLRLVMRMAYSDLAGYWFSWSRIGFATV SSFMLLLLVQAPSKDHAIRARRLVHMWRQALRRQSEGSSLMNLALVRLDGIYWTGLCR NYYLSKHVKEALDETVYQ AOR_1_622154 MDGGQTTTNAAPAGNSSDFVRKLYKMLEDPSYAEIVRWGDEGDS FVVLECEKFTKTILPKHFKHSNFASFVRQLNKYDFHKVRQNNEENGQSPYGQNAWEFK HPEFRANSKESLDNIRRKAPAPRKQTQNNEDSVPTQQIDLLNQQIVAQQQQIQHLSDR YAQLTVDHQIMLQEVMRVQKTVLNHEHVIHQLMTYLLSVDARQRRDSKASGPFQAQGQ GGSTLSPSQVASMDDEPSSPLQHASKLLNDMNAEIQFNLGGLDSLGEPPKTGPVVATT PAMDAAPRNGVVRPSTAAAATPANPANPTNAATTALVYPKMSGEIEPVVYPVGATNGI DPMYSEHVNNVPYPMPPKQEVDDARRQFADNRKKSNHVDPGWMRSPHILLVEDDATCR QIGGKFLYSFSCVIDTAFDGLEAVNKIQDGSKYDLILMDIIMPNLDGVSACHLIRQFD RTPIIAMTSNIRSDDIQLYFQHGMDDVLPKPFTRKSLLDMLEKHLVHLKTMPQGMETA QPTAAVTMAAQSSAAQSVKEDSSPSQSPATSINNWQTPGQFQGMAAVHPNLQQVQGQY VPATPATAAAYAVDQNGVQYPTAPVALTAAAAAAARPQPRRQVSDMASAAENPNLAKR QRVYAPQPQAMVNPVQAARTG AOR_1_624154 MGPKQKGGGSKQRGNAAEEVEETLQAVVLADTFETRFEPFTLDK PRCLLPLANTPLIEYTLEFLANAGVEDVFLYGGAHSDQLEKYINASKWRSLSSPFKQL AFLKSTSTSVGDVMRDLDGKHLITGDFIVVSGDVISNLPIEGALTQHRARRAANKDAI MTMILREAGRNHRTKSSSSSPVFIIDPTKDRCLHYEEIDHHSRETTRLNIDSEIILEN AELDIRQDLIDCGIDICTPDVLSLWSDSFDYQTPRTQFLYGVLKDYELNGKTIHTHIV KDHYAARVRNLKAYDAVTKDVISRWAYPLCPDTNLLPGHNYELRKGNLYQEQGVTLAR SCVIGRRTVIGQGTSIGDKTTVKDTVLGRNCKIGKNVTLDGAFIWDGAVIGDGTTVRH AIVADNVQVGSKCIVEPGALLSFGVKIADGMTVSEGKRITNAPREEDGGAPESEPEVV GEGGEGYEYVPYEDEDDSDTESNASSGLVYNMAQLSLSTDSISTLSSDVSDYGHSRSG SFSSSGGDDDEEHFVHDAATSVYDSLRDGVTSDVVQLELVSLRMTANASDHQVRRAVV SAFMKRTQQLMEGGKAAGDIVRELFGKYREIIERSLFDRDRDAKPDQVDLLLLLQQDL VHRNRGDTVLLFVAKELYDLEILEEEAYEQWWDDERSSSTDEMKQVRSQTQQFVDWLA NAEEEESSEEEDDDEEEESDDE AOR_1_626154 MESDDDFMSVASSGDDFLDTQASDDESLGEDFGDDFDGGFSKDK DIVATSRKPYEVEFKVLSPDDIDRDQNQQINEVSSILSLPPESSAILLRYGRWNREKL IEGYMDHPEETLEEAGLGTNFEGTPKTEVIPGFVCDICCEDGDNLETYAMRCGHRFCV DCYRHYLAQKIRGEGEAARIECPGDGCNMIVDSKSLSLLVTPALKDRYYTLLQRTYVD DKENLKWCPAPNCEYAVDCSVKQRDLRRIVPTVQCNCKHHFCFGCTLNDHQPAPCQLV KMWLKKCEDDSETANWISANTKECPRCHSTIEKNGGCNHMTCRKCKHEFCWMCMGLWS EHGTSWYNCNRYEEKSGSEARTAQAKSRASLERYLHYYNRYANHEQSAKLDKDLYLKT EKKMTSLQSQSGLSWIEVQFLDTASQALQQCRQTLKWTYAFAYYLARNNLTEIFEDNQ KDLELAVENLSEMFEKPVPELANLKVDILDKTAYCNKRRVILLSDTAENLKNGEWSFN VEW AOR_1_628154 MAVSEQIPGQELEYDYEALPSNYGLGRNMLAGAFAGIAEHAVMY PVDLLKTRMQILHPANGGLYTGLTNAFSTIYRIEGWRTLWKGVSSVIVGAGPAHAVYF GTYEIVKDLAGGNVDDGHHPLAAALSGASATIASDALMNPFDVIKQRMQVHGSVHKTI VQCARSVYRTEGLQAFYVSYPTTLCMTVPFTATQFVAYESISKVMNPSGDYDPFTHCI AGGLAGAFAAGLTTPLDVVKTLLQTRGLAQNEEIRSAKGLFNAASIIKRQFGWSGFLR GARPRIISTMPSTAICWTSYEMAKAYFKRQEVA AOR_1_630154 MRPKPASSLQKTYDDCYLMCSTAVYFEGQNNEEEALKSWRSALE TIYYHNAYRVPSKYTPKNETEKALQDSIRQLELQCRERVDLLEALRESRKDTSGKSPP FTTGYRGWIGEGTVPAVGYTDLSKPPTIPGRPPPPVTTASSESAGNETGSSVPMAGRP GLRKTQSSSAKTTSSRNSSPERRKAMPSTLRNADLKKPAKKKVSPRRKDLRPAAASQA AGLAWGSLYRTPSSEKTVSDAALASSRLTAANDPSFRKESIPPRSKSGDGVPPRKSVP PEDSGEERRSGRKLRVPGQTPRRSPAKSTPAPTSTPTSTPQAPAGIRQPSGNRTHSAS VSTGSKDTVQPRASPKPSVKPKPVALRSSYQPPTPSGGSAGAATTSNNLQAGSASTPR RITPASTGEDALSDNIDRMSISRTSPERRATPRIRRAVTPPSSSDPESLGPKSTDADE DDVDVEDEDDAIMDILNKLPKGVDVATARQILNDIVVRGDEVHWDDIAGLDGAKKALK EAVVYPFLRPDLFSGLREPARGMLLFGPPGTGKTMLARAVATESKSTFFSVSASTLTS KWHGESEKLVRALFGLAKALAPSIIFVDEIDSLLSARSSGTENEASRRSKTEFLIQWD KKAGGDPSRVLVLAATNMPWDIDEAARRRFVRRQYIPLPEHHVREKQLRTLLSHQVHD LTDQDIDALVQLTDGFSGSDITALAKDAAMGPLRNLGEALLHTPMDQIRAIRFQDFEA SLSSIRPSVSQEGLKEYEDWARQFGERGG AOR_1_632154 MSKVVRSVKNVTKGYSSVQVKVRNATSNDPWGPTGTEMAEIAAL TFSSPTDFYEIMDMLDKRLNDKGKNWRHVLKSLKVLDYCLHEGSELVVTWARKNVYII KTLREFQYVDEDGRDVGQNVRVAAKELTSLVMDEDRLRSERSDRKLWKSRVSGLDDYP GHGSEPQQPRRNERRRRPADEEDVEYRLAIEASKHEAEEERKRRAKSSMQTGEEDEDL AKAIKLSKEEEELRKRELEESNAHSLFDDTPVQAAPAQPTGYNQGYQQQSAVDWFGNP INPQQPLSTGYLNNQYAQPTGFQGQMTGMPNGYTNGFQAQPTAFDQNPYGQPQNNFLQ PQATLQPQATLQPQHTAFNTNNPYGTTDMFAQQQQQPQQQQQQQQQQPQDNFLSSGSN NPWASSSPQPGDMLKPMQTGSNNPFAQRTQTQQFQTRPATSGHPSLNTLAEERATTAF NPIANYQAPVAPAPPKSTPPQMNDPHHARLNALLASGDGQDTFGNVGDLRIPAQHTAP GTFVNSAGQGLDRLRANQTGSNPFFAQQRFVPQQTGFAQQQTGFAQPTNNPWGAQKSY QQQPQAGGSLIDL AOR_1_634154 MPPNGMTQITVVPSLETAKDVISHSKDAKYPPNLLPLTASIPAD LLTPTVAYLKVAEKSKLSFLYESAATTETIGRYSFVGADPRKVLKTGPGHGPECDPLP ILEKEIAEYRVATVPGLTLPPLTGGAIGYVGYDCVKYFEPKTARPLKDVLGIPESLFM LFDTIVAFDHFYQVIKIITFIPITHVDSDFETEYRKGEDVIKRYIERLLRPETPLPPQ GPIIPNQEYTSNIGREGYERHVVKLKEHISKGDIFQTVPSQRLSRPTSLHPFNLFRHL RTVNPSPYLFYIDCEDFQLVGASPELLAKEEKGRIITHPIAGTVKRGKSPEEDEALAD ELRGSLKDRAEHVMLVDLARNDVNRVCDPTTTQVDRLMVVEKFSHVQHLVSQVSGILR PDKTRFDAFRSIFPAGTVSGAPKVRAMQLIAELEGEKRGVYAGAVGYFGYNIASTDGA TEMPGAMDTCIALRTMMVKDGVAYLQAGGGIVFDSDPYDEYMETINKLGANIACIKGA EAKYLSMEGEQS AOR_1_636154 MENSPYPACLDQLSSPHSLPEHTWETDMSRPTSPSDTHDTGEDA TPLPQRLAKIAHMVSQNADVSSEDTIAAHHCLNTLEALLDPRPSLRKEVVKCRPTRIY PGTSHPVASAASCSAPMKDRASLAFEPSSSQLIALLNEVTALNADLNQRRKESSQIYD LLRRECQGLSRRISELEAVVHDLEIDIVEGSAEREALHGTVRGLEAWVDGWQNEPKLG TSRQNKARRWIRRKPEERYETDSEALIEGITAWMRGWKDVEEGFRVRERGRQERREER QRRTRLAIDPADNT AOR_1_638154 MSHPDLTSHHVNYLIWRYLQESGHGEAAVTLQRAWNHDPQSLPF APYIKTHALVSLVQKGLQYHELEQSLDKDGNPRPFSPSDYFFGPAVLEAEPRKSQGGP GENGTDQAPVSPTSKVARDSVINGHLTGEPAAPQVIPNAKKSRKSDRAETNGDEIPME IDSNGVANETKSAVAASPSLADTAVDGDGDVSMGIRPDSQDQEPTAAPTFTLTNGHSV GVQITPAKAADLSPDTALLNVADDCHVTRTLWRPNDSTVVVAVGETFCSLWKMPSSAS PVQEKLVEGKGDSICVSAVAWDPTGQKLAVATYNDMRGSITMYDVAGNAVDLLPEVPR MITGLHWTESGTHLIVVASDSKVSELALWDDSVRPDEFPSPQVIDGSIYDLSWLGRNE AYASGNGTVYQCEVDSSIHISKTFTSETDRPWTFIRCANVNVSSVAVAASSAAAAFWI PTHDMHLDGAHEGDITAIELKPNTLDQPQANHPLVLASSSTDDTVKIWHIDLDTKRFD CIHRLFLGPSSPALASSFSPDGYALAAASKEKLFIWNSQRGGTAMATWSVPGTDGTKI EEGQSRLANGHNGDAESIPDRSLAWDTDGKRLAFGFGKQLAIINMQS AOR_1_640154 MALRVNIPPATRTCLITLLTLSLLYNIARWRQIDATGGHPLTTP VVPYLTLVPSEFFYYPWTFLTATFVEQNIFTVLLNGATLFYGGKYLERAWGSREFAKF ILTIAVIPNVTIIPLYLLGTTIRGGSTGGVTQICGGMSIQTSFLVAFKQLVPEHTVTI FKGLVKMRVKHFPALFLLLNTISGIVFGTHVAAILSWLGLLTSWTYLRFFKRQPDLTG TSTDGLGFKGDASETFAFACFFPDAIQPPISFVSEQVYSLLVALKICTPFSEEDIASG NQQVLARGEAGLPTLNNHRGGTRGAAKREEAERRRALALKALDQRLQAAAAGRAHSPS PLNQQGSSQTQTATPTVPAGQSMLGETSYTPDHA AOR_1_642154 MVNVFKRMRKLKTILNVRVGTGAAVFPSATSATQEFPAITRLHL TYAQKIYGGHQGARHFWRNCLPRLKYHNPGLQMTVKQTQEQEGPAALTIYFAERASNA ASLTAAKVEDKHAPAPESTEKTAVLDLKNLDYKEIWNKVKMVTGAQDVPATAEEEAEL QKLEQMRQQSEKDRVRLAAIRQAKKDQERMLQEARGEIEKLKQL AOR_1_642154 MVNVFKRMRKLKTKILNVRVGTGAAVFPSATSATQEFPAITRLH LTYAQKIYGGHQGARHFWRNCLPRLKYHNPGLQMTVKQTQEQEGPAALTIYFAERASN AASLTAAKVEDKHAPAPESTEKTAVLDLKNLDYKEIWNKVKMVTGAQDVPATAEEEAE LQKLEQMRQQSEKDRVRLAAIRQAKKDQERMLQEARGEIEKLKQL AOR_1_644154 MAAAAASAAALDPSNGTKNTLKLENTEKRDTLIAIEKKYQAQWK ENKVFEVDAPSFAEVPEGSMSAAELREKYPKFFGTMAYPYMNGTLHAGHSFTASKVEF MAGVARMDGKRALFPLGFHCTGMPIKACADKLSDEVKKFGQNFEGYKEDDEEEEKAPA APTQEVKNEQQEKFSGKKSKAAAKTVKMKYQFQIMLAIGIPLEEIHKFADAGHWLHHF PPLAIRDLDSLGARIDWRRQMVTTDANPYYDAFVRWQMNRLHELGKIQYGSRYTIYSP KDGQPCMDHDRTKGEGVGPQEYTAMKLKVKEWAPQIAEIVKGKIEEDASVYFVPATLR PETMYGQTCCFLGPKINYGIFKVKEKEYYVCTKRAAWNMAFQGTFFTSDNFPKSQDQL PLVAEAPGSAYVGTLVNAPLSFHTEGVRILPMEGVSASKGTGVVTSVPSDSPDDYATL ADLAKKPDYYGIQKEWAELEIFPLIETPTYGNLTAPALVKQLKINSPKDVTQLAKAKE LAYSEAFYKGTMCVGEYKGEPVSAAKDKIRKSLYESGDAFPFADPMGEVVSRSGDDCV VAYLGQWFLNYGENDAKWQKDTLNHVVNTLNTYTNETKNGFEKNLDWLNRWACARTYG LGSKLPWDPQFLVESLSDSTVYMAYYTIAHLLHGDRYGETLGPLNVKAEQMIDEVWDY VFTRRELSDELISKSGISKEALQQMRREFEYWYPLDVRVSGKDLIQNHLTFFLYIHVA LFPPEYWPRGVRANGHLLLNGEKMSKSTGNFLTLKDSVDKFGADATRIAFADAGDGIE DANFDETVANSNILRLHTLKEWVEEISKDETLRTGPADAFWDKIFNNEMNGLVREARK HYQNTDFKLALKSGLYDLVSARDTYREAATSAGVGMHRDVILRYIELQALMLAVIAPH WAEYVWLEILKKPESIHHARFPEVPEQSPELTAISTYVRATSSSITSAEAQLAKKASK GKSAGYDPRKPKKLTIYAAKKYPSWQEKYIDLVREAFDGLNLSINDKELNAKVGKMGE MKKAMPFVQTLKRRLIQSREEPETVFSRKLPFDEFAVLKEMLVNLQKTTGCKVIEIIA VDEGGKTGEVVGSGEKKEGLSAENAVPGQPTFQFTNIEESN AOR_1_646154 MLPPCPNPDNIEICIICASLQESNAVCSIFDETYKSGSTDRHAQ DQITNPQKYDYIMGRIAGHNVVLARLPGMGIVYSAGESHRLKERFKRIKLALLVGVCG AVPKRPDGQKISLGDVVISRDVIRYDLGKQYPFGFQWTDASGDSCMRPNGHIREFVAK LEMRREKLEQRACEFLRKTAGIPCVDQGCDLNLLLPRNPPKAETSDNKPVLHFGNFAS EEIVMDYAEDRDEIAQLENVIAFETIAVGVWDNLPCMIVKGVGDHADGHVSEEWEQYA AATAAACAKAILEEWITSARLNYDCVTFTEDSVGYGSSSSTPNRLPKAVASHLNNILK PLSPIEPETVIVASGITAIGSMLSFTLAEPTDGILVSRPVYGRFELDYGVEADVQIVY ADTDPEEAFTPAVVDKYEAALKRAEENGTKVRAVLIVNPHNPVETLKEILRFCNKHKL HLISDEVYASCVFDSGDPNAVPFTSVLSISTPDLIDPDLVHLLYGFSKDFAAGGLHLG FLITQNTALRQACKAILRLHNASTASITIGATILEDQDFITQFTQESRQSLASTYRIA TSILNHEGINYVKGGNAGFFIYIDLSSYLPYPDRSVREREFALAQRFLDAGVFLHPGE EHGKESGWFRLVFAHEEQVLREGLWRLIRVLRSV AOR_1_648154 MKATTRIHTNDSDAVIIGLYIIFFIYFSVNRGKSYRGHHKHLPW HVLAGITELTLYYCNFNCTLLAVLACYVQSLTSLSLVKRLPNGYPPHTRPAYQGGNIL RMYQILVAYTTQNPIDYHDAIVPLHSFIYTRIIIFLFGTMGPSLSFSKNVNSPFVYAE AVFGGALIAIGHCTRPSAIIVYLLLVHAVGRVSTFAGWRAWVGRTKKPPQDPGLLVKI LKFVGFFKDHEDWADEKVASSHETPQIGNLPMDKLGHQYTRLGFEG AOR_1_650154 MAERYYTLAEGCPFASNSTTVQLRNNSGGGLSLLQDTQLIETLA HFSRERIPERVVHAKAAGAYGEFEATSDCSDITSASFLSKAGKKSPVLLRVSTVGPEA GSADTTRDVHGWAMKIYTDEGNLDWVFNNTPVFFVRDPIKFPSLNRSHKRHPTTHLPD ANMFWDFHVGNPEGIHELLHLFSDRGTPKSIRHMNAYSGHTYKLTKEDGSFKYVKFHI KTAQGVKNMTAEESLKIAGEDPDYLIRDMFEAIEKGDYPVWNVYVQVMDPADAEKYRW NIFDMTKVWSHKDYPLRQIGKLTMNRNPKNYFTDIEQAAFSPSTMVPGIAPSADPMLQ ARMFAYPDAARYRLGVNYQQLPTNAAKAPVYCPYQRDGAMRFDDNYGGDPNYVGSSLQ PTKFYQEVKNSGAARLSGLTEHEKWVGEVTNFQSHITDDDFVQPAALWEVIGREPGHQ DRTIANLSGHIKNVRSPQLRNAVYELFAKVNADLGERLKKATEAAVSA AOR_1_652154 MSEDSHPQHHRGFFDRILHPEQHHEDQEQHRGQHDSQDPESHKK ESEIDKIKEDIKEDQEEVAEGDTYAGLM AOR_1_654154 MSLYLGRILPRTHRLKYRSPCQLRQLPGAQFSRSFFQQPRVLPV RNANGLINEPQRRLLSSGPSLSAKSSELTERVLPVCCPGCGAYAQTIEPGEPGYYSKT RKQTRKLLSETERATGVQDGETGEVADLKTEGEKAAGTIQQLIKESEEEAAAPKPIHG ALLENAAATAKEYIEKSRPPVQVCDRCHDLVHHNKAVPAISPTIYSIGAYLDESPYKY NRIYHVIDAADFPMSLVDNIYEALSIEEQRSRNRRASTEKYRGGKKLPTISFIITRSD LLAPTKEQVDSKMEYVRSVLREALAKSTENFRLGNVHMISAHRGWWTKKVKEEIKNHG GGVWVVGKANVGKSSFIEACFPKDSKNLEKIAELVERRQAESDISPRDATAVDSDGLL PPAPQEDLYPILPVVSSLPGTTVSPIRIPFGRGCGEMIDLPGMDRGDLADYVRDEHKR DTIMTKRRKPERYTIKSGQSLLLGGGLVRITSVNPDDVLMAACFIPIEAHVTKTEKAV EMQAEQRPYPGENIMIEGIGSQITSAGIFDLKWDVTQSHLPTTIAKAVEDKGIKPPPL PYKVMSADILIEGCGWVELTAQIRAKSTDGESARSLPQVEIFTPNGRHVGVRRPIECW KYVAEKQAVEKRKKGARGRQSIGQKKRAHHSSKV AOR_1_656154 MAPQNVNVLLSTFPGLSLPSTLSFSLPSTSSISDLTEKVSSYIP SSVPLLSLILTTTNNKQILPSSDLPISHLVAPNGELTATSNLLPLRLSVPLCGGKGGF GSQLRAAGGRMSSKRKRNQGDDNGSSRNLDGRRIRTVNEAKALAEYLAVKPEMDRKEK EERRRRWQAVVEAAEKREEELKNGGGKQKIDGQWMEDKEEMSEKAREAVLAAMKDGMW TDNLYDAIRDNILGGSSTSASEGSAQDSASASDEESEDEQEMKDAPGPSEPAPKSAVP RKFIGFDDDDEFMSDSEEEEIDQNDETEGKGKAKA AOR_1_658154 MAIKTEVTNRSTRSHSSFKPTTTLFALLERFPEERQELATMLIP KDDRKKIHEYLFREGVLVAKKDFESKHADIDTKNLYVIKALQSLNSRGYVKTQFSWQY YYYTLTPEGLDYLREWLHLPAEVVPATHIKQQRSHAPPRGMMGGEERERRGPRPTREG GYRRRDQEKEGGAPGEFAPNFRGGFGRGRGAPSS AOR_1_660154 MLTTELSEAEVSALRANKDRLANDLHHSCQWGFGIRWGDGPTDT GMQRLTLSEEDKSVRDWFIKTTKALKCDITIDEMGNIFAVRPGRRKDVPPTFIGSHLD TQPTGGRYDGILGVLSGIEALKVIDEMGLETEGGIGVVNWTNEEGARFPISMVASGVW AESIPLSRAHGLIEVPTVASLPTASSAPESLKSALEKIGYLGDVPCSYKATPMAAHFE LHIEQGPHLISAGQRVGIVTAVQAYRWYRVNVTGRDTHTGTTAFQHRADALYAFAQMM VRAREVASSHGCLASVGIVEAKPGSVNTVPGLVSFSLDIRGPETELVATVEEKLRKEF DAIAAEEGKGIGKPCRVEWTVEFDSPAVKFHPDCIDCVQQSAEAVVADAPEPKSLVRT IMSGAGHDSVFTSKRVPTSMIFVPCKDGLSHHPEEFCSADDCATGASVILQAVVRYDR KRFSS AOR_1_662154 MSSAACIFCKIIKGDIPSFKLFESDKVFAFLDIQPLSRGHALVI PKFHGEKLTDIPDEYLNEVLPVAKKLAQAADAKDFNVLQNNGTIAHQVVPHVHFHMIP KPNEKEGLGVGWPAQATDMDKLKALHEELKSKI AOR_1_664154 MHTGWFLRRRLLLSSARSVQSVSSYSASAQIFPKHLGTSSCFLI ASNPPKRPFSTLPVSPLNGPRKASSFWAITFTLAIVCGATWLQDKYRHPGNDSHPISD TPEFDQDKPPFLGVIDTLKTMPIQAAPGTVGNLTPEQEVKLQEFWVLLLKVCGVNVEG IESNGDVATPPSPSSQKKAAPKRRFTFFGGKSNDEEEDTTANGVTTSIASINITDGDD KYGQSKEFQQAITDMKPEEIRVTLWNMVKQDNPDSLLLRFLRARKWDIKKALIMLVST IRWRLQDVKVDDDIVKNGELAALEQSKSSDPEEKRKGEEFLKQMRMGKGYIHGVDKDG RPICVIRVRLHKPADQSTDTLDRFTVYTIESARMMLSPPVETACVVFDMTGFSLANMD YHPVKFMIKCFEANYPECLGVVLIHKAPWIFSGIWNIIKGWLDPVVASKINFTKNISD LEKFIPKDRIYKELEGDENWEYSYVEPKADENKTMEDTAKRDELVKERQQLAQELQDA TIEWITVSRKKDEEAIKAAVEKRQALIERLRAQYWQLDPYIRATSLYDRLNILQGGGK IDFYPAEAKVNGAATNGTTTNGATNGTSN AOR_1_666154 MDPTAFSRSSSRPRPSSRPTTPLRPSSRSSLREAHGYGGSISNA GYTQPAINALEPQFAELADSMADLEANFMHLQLMHESLTRFSESFASFLYGLNMNAFC VDFPEAPIPESFKRAKQAEAQKEAEVEQTRQANEGETTFMTTDTTFVENPSSTTPPKP VRKTPTSSRGTTRGSSTRGRYTTRGTSRARPSALPRGRGLR AOR_1_668154 MASVACVSLLSEAEFGCEHLTAQLSQDGGAGDQFKASFIKTHNA LAPRSRSGESATTQKGLRTTSFLKPKYMCLTCSESFMNGDRKAHTGKTGHQFYMESRS RTLFCQGCGDFVYDYGLERLRSSTPESTLKLAQKRRFSESSTDELYVRSNANKRSCAK QGVRGLFNLGQTCYLNVILQTLLHDPILNTYFLGSGHQSHDCTMSDCIACAVAEAFAD FNSSDKAEGFAALSLLLASWRASSALAGYQQQDAHEYYQFLVDKLHSSTDGHHENHEK GCPCFFHKTFYGKLRSSVTCDKCGNVTRTDDPMVDLSLDVQVQAKKRAMGGAGPSSTP TLSGCLESFTSPEKLMAGVYNCSGCGGSAQKATKQLRIKKLPAILCMQLKRYEHTFSV SEKLEGRIDFPLSINMLPYTTNPNSHVDKSRYIYDLSSAVVHKGKLDAGHYYAYCRQG DEWILFNDDQVTSVTEADVLSADAYLLFYNLRSLAGAPSQ AOR_1_670154 MTHESVWYSRPRTYGKGSRGCRVCTHRAGLIRKYGMNICRQCFR EKSQDIGFHKYR AOR_1_672154 MAGIPPENSYFVAQDAQDMRDRLPQTGNLQILLFMDVVQGVCVE PGTHFFLEPVTPTIARGGKYPTPVREARGQIVALGGHGGKELFGELRSITPAAMKQDG CVRVRTLRPSSPDSYSGFFYHKPWSEPWALSDFSPDQGIVKFYDRLESQPWWGRTLSR QFLKRSVHWGHGWPWGYFIYRTAYSSDEDWNQALAKLNRYIHCAIRYDDDPEPAEIVW EGCKNVIIDDQRLLEGASPVKVRQLFQDWVERHPDCNHRSTPRSAFCLMIDGHALQSI LASPEPCLENKNWITKKTGYVILIDRYFPDKGYRHEPYNVGWVRLKICGIWPFSRSWD VVEFDERYPAIGRPGLIPYYDGYETWVEDVNGQKLTDVDSSGDEDEDEDEDDLDSGYG VHDIYQYLREGGHI AOR_1_674154 MWQKASHAAIAAASLFASLASAIPHGDDHAMNMDMGMGMNSTQK QPESHAAASDDSPMSYFAYGKHSSTIIAHIGLMVLAWCFILPVAVMFSVARSRFALPS QFLFLVFNALGLLLGIIYNSQTPDLYENNAHHKIGWIATWVISAQVIMSLIFAYAGRG ESDATSYERAAFLPVSTDEMAETPTHPTGIHHEYRWSRDSGQDTEVNSASLHSRPSSS TCASPSEEYDTFVKPEAQYPEQPAQSRGWLHSTFVNRFLASRVPCMVSSRALRILTIF YLVIDRIILPFGFIAIATGAVTYGGIMRGREIFNGLAHFIKGGIFFWYGLLTLGRFMG CWADLGWAWNVKPSSDIVGKWKAKIPTGEFTESFVIFLYGASNMFLEHLTSWGGKWSA TDLEHVSISIMFFGGGLCGMLFESKRVKSWLNSTVVQYPSNLRRHGPSDTAWQLPDTQ GVSLNPMPALVILLLGSMMGSHHQSSMVSTMVHKQWGNLLVGFSFARCMTYVITYLKP PTSYLPSRPPTEIVAAFCLISGGLIFMLSTRNVVDAMEFYELDAMFIFTVAMGVTAFI MACEILAIAIKAWATKKETRPQLPPFQFPA AOR_1_676154 MASNPPGPCCATGFKHEGNPVGEIKNVNGVDTYIVYPQDKSTEK VVVFLSDIFGIYVNAQLLADEFAANGYTCVIPDLFQGDAIKLSDMESGKADLPAWLPN HQPSHVDPVVESTVKYVREELGAKRVAGVGYCFGAKYVCRHMKEGKIDVGFNAHPSFV THEELGAITGPLSIAASEIDQIFTTQLRHESEETLKKTGQHWQINLFSGVSHGFAVRA DLSNKHFKFAKEQAFCQAINWFRQYL AOR_1_678154 MATPAALPPLPFNPARVRSYLLRLPLFTRLVVLAIIVFWLLELQ TVWSVVQWGALAPDEIGFGSMYRLNTYPFIHNGFFHAFLNLVALTPLVERFEAEHGTL TAVALFLGPLSTFPAGLYLLVEKFLLHRNTAVLGASVWVFLLLGTEAIKTFKSHPYFS LGNYKIPTWTSPLFACIVVSILMSNTSFLGHLCAILIGYLFGLGYLKVFVPPEKVLRW IEGKLNLLGRLPHYVSVDQKTYGRYGVLPTTNTVGERGTPMSYLGSSQRLGP AOR_1_680154 MALKSAIRKLRNNPHFLFVHLPHILSFLCVLAGVVWLLLLPLND YSRRTYISENALLPGQVHAYFSGSEQNIFRGYRKELEGLLPNGAPGEGPERNDIELTP EISDKIQSVLRASGLKVATQKYEYTSAGITHQGQNVYAIIQAPRGDATEAIVLVTAWK TADGELNLNGVTLALTLARYFKRWSLWSKDIIFLITPDSKSGTQAWIDAYHDMQPPSV QPLPLKSGALQGGLVVEYPFDHRFESLHIVYDGVNGQLPNLDLINTAVSIAGGQMGID ANLQEMWDHNDSYEARLQTILRGMAKQGFGYATGAHSSFMPYHIDAITLQTKGDGWQD EMALGRTVESLCRSLNNLLEHLHQSFFFYLLMQTNRFVSIGTYLPSAMLIAGNFTIMA IALWLRTGYYMGSKPQPSVQTGASQDEKKEQAASSQDKNKTEATSVEQKSDANSIIER QLALPLSFVVGLHLLGLVPLFIFNNLSHKYFTTATYTFIVVDFVLPLLLAVLLTQGFT PKPQQYLLMKSFSLLLLGLFLSTLATLNFSLSFMIGLLCTPLSFVNRVSPSTSALIRY ALAFIGLVLLNLLSPPVVLLGGCWYTGVSVETILTQAAFGWDVWGMWTQVVVWCVWWP AWMIGCALLGFSLF AOR_1_682154 MDEDPETTLQNLTTQLTTTPQAFPTCCLSLSTPLLSTLTTLLPK KPNYTLSIGSGSGLLEALLTHTTPTLQIEGVEVNPTVNRYIAEQDMHVVSGTWDLLSS RVPGAKAWMFVYPREPRLVERYIEGFGETGMVEVILWLGPRADWGDYVGCFEGRGFGV ERCLFLVWFI AOR_1_684154 MENQKISDFLADQLQQAPEQCQAYFLTFEDYWERKLWHQLTDSL IEFFRLPESAPQRLPIFKAFVLSFADKINQLKFVSLGLMASTECADDKERLSFLTSLA DKVNKPDTQEAYIYALADVANVKQRLNDLDGAQKDLGTCQKVLDNFDSVETVVHASFY KVNADYYHKKQEFASFYKNALLYLACINLEDISESERVSRAYNLSVAALVSDSIYNFG ELLLHPILDSLTETPHSWLRDLLFAFNRGDLTAYDVLAGNISKNQLLEQHRFFLYQKI SLSALTEMVFRRPPHDRNLTFEAISSETKVKPEEIEHLIMKALSLGLLKGAIDQVAGV AQINWVQPKVLDMTQIEGMRNRLKDWDAGVNQLGHWIEGVGKDVWAA AOR_1_686154 MSEFTEKNKEVWGNLAKTYKTRFEKGTKLIYRLTQEKRLWGSDV WTDTEAGQGKEIKVLEYACGPGVVSTALAPFATKVVGIDVADGMVDEYNASAREAGFE DKMIGFKGDLLAEPMPEEFSRPEYSDFDVAFVSMALHHFEKPDLAMKRLGERLKKGGV CLIIDVLPHGKHDHNAHEMHNPDHETTHTIKTHGFTLEDMRKLYETAGLGVGFDYQVI EEPLVFERDGKAISKTIFIARGQRQ AOR_1_688154 MQKCRAVNSALGSRAFAPAPKVQQVQRRNLQDVAITRTGKPILK VQGGRSSLGGHTATVFGATGFLGRYIVNRLASQGCTVVVPYREEMTKRHLKVTGDLGR VNFLEYDLRNTQSIEESVRHSDIVYNLVGRQYPTKNFSYTDVHVDGTERIVEAVAKYD VDRYIHVSSYNASRDSPSEFFATKAWGEEVARSIYPETTIVRPAPMFGFEDNLLHKLA KVTNLLTSNHMQERYWPVHAPDVGNALERMLHDDSTAGQTFELYGPKEYSTAEIAELV DREIVKHRRHINVPKPILKPVAHYLNKLLWWPIISPDEVEREFIDQVIDPNAKTFKDL GIEPVDLATLTFHYLLGYRSASYYDLPPATERERQEEKKYLHVLDDQ AOR_1_690154 MPPQFTFNTSSTPILLLKTKSSPTDSYEEYFSAHSYNPTFIPVL EHNFHTPNLTTVKQLFQSGALNPGPGRKYGGLIFTSQRAVEGFATILNDIGESTKQTS SQSLILYTVGPATSRSLTSIRDDHLPHATILGSETGNGENLAHFILSHYNPLYDSQDG PKPPLLFLVGEQRRDIIPKTLMAGSLPPEQRIGVDELVVYETGVMEGFEKSFGEAVRA SEEFLGGGVERAVWVVVFSPTGCDAMVRVLKGLGDGERRVFVATIGPTTRDHLKNKYG FEADVCAEKPSQEGVGMGIVEFMENRRKNRAGQ AOR_1_692154 MVQQLPPQGGSRKISFNVSDQYEIQDVIGEGAYGVVCSAIHKPS GQKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQRPRNYESFNEVYLIQ ELMETDMHRVIRTQDLSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDL KVCDFGLARSAASTDDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEM LSGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKIPFKALF PKANDLALDLLERLLAFNPAKRITVEEALRHPYLEPYHDPEDEPTAPPIPEGFFDFDK NKDALSKEQLKILIYEEIMR AOR_1_692154 MVQQLPPQGGSRKISFNVSDQYEIQDVIGEGAYGVVCSAIHKPS GQKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQRPRNYESFNEVYLIQ ELMETDMHRVIRTQDLSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDL KVCDFGLARSAASTDDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEM LSGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKIPFKALF PKANDLALDLLERLLAFNPAKRITVEEALRHPYLEPYHDPEDEPTAPPIPEGFFDFDK NKDALSKEQLKILIYEEIMR AOR_1_694154 MAAPQGGYPPQEGYGQPAGYESPSQQAAGLAPAPAQHGGRKKRA YAGEAFELGSGANAGLGGQLPAGGTYGGYPAQPQAAGYQQPVYGADPTQMQAAAQGYA APAAPAVAQMTQQFGAMGVTDPHLMPPQPVPQAAQAPRPVLNHLYPTDLLTQPFNVAE LDYPPPPIVLPQGTSVYPSPTANCPPKYVRSTLNAVPTTHSLLKKSKLPFALVIQPYG ALHDSEDQVPVIPDQVISRCRRCRSYINPFVTFLDHGHRWRCNMCNLTNDVPQAFDWD TTLQRPADRALRPDLNHAVVEFVAPQEYMVRPPQPLVYLFLIDVSYASVTNGLLATSA RCIKESLERIPNADRRTRLGFIAVDSSLHYFSIPRDGSENSDPRMLVVSDLDEPFLPI PGDLLVTLSECRENIETFLDKLQEMFQNTQNNGCAMGSALRAGYKLIAPVGGKMTVLS SSLPNIGHGALTMREDKKVLGTSKESGLLQTANSFYKSFAVECSKAQVSVDMFLFSSQ YQDVASLSNLPRYTGGQTYFYPGWNAARGEDAIKFAREFSEYLSSEIGLEAVLRVRAT TGLRMSTFYGNFFNRSSDLCAFPAFPRDQAYVVEVAIDETVTKPVVCMQTAVLHTTCN GERRIRVLTLALPTTQSLADVYASADQQAIATYFSHKAVERALGSGLEPAREALQAKA VELLATYRKELAGGSVSGGGLQFPANLRGLPVLFLALIKNLGLRKSAQIPTDMRSAAL CLLSTLPLPLLIQYIYPKMYSLHDMPDNAGLPDEQTGEIVLPPPVNLSSERVVPYGLY LIDDGQTQFLWVGRDAVPQLIVDVFGLPDKSQLRVGKQNLPDLDNDMNQRVRAVIEKS RDHRSKGCGSIVVPHLYVVKEDGEPGLRLWAQTMLVEDRADQGVSLVQWMGNLQEKV AOR_1_696154 MSVRVVARVRPLLKSERELDIILRTGSTTQAAPSKTEKQSSQEK KLAALRDRDTIVRIPNPKNENEEYSFQFNAVYDADSPQQELFDAEVAPTVKHLFNGFD VTLFAYGVTGTGKTHTMRGGKSLADRGVIPRLLSSIYRRSRKLEKDGDGETTVNVSLS YYEIYNDKVFDLFEPPEKRTLAGLPLRDNGGKTVVVGLTERPCTSLKEFESLYDQANT NRSTSATKLNAHSSRSHAILCVKVAVSSGGKTRISTASAIDLAGSEDNRRTDNDKERM VESASINKSLFVLAQCVEAISKKHHRIPYRESKMTRILSLGQNNGLTVMILNLAPIKS YHLDTLSSLNFANRTKKIEVREVENEPMFKGPPRPAARPSVTALRQPLRPLTATANVN LPALANKDKDASKAGEKPVKAFHVYSDKPRSRDSTQFRKPEPPKRPSLDSNHRLLKLS RITQPLQSQKQYEDISAAKIEEMVEKKVEEILAVRAVSEKSRQTQVRELNEQVQKRLE MLEQRIEGTEDARAEGLSFLLMAKQHQARGEDSFALKMYQLALPFFPDNEKLARKIST LKQRIQSKSCPDADTTGNHTLTASKREFGSLLSIKRQSVGTNLKRQAEDSDGEYNPED RAEELSDDDIEEITQTRRKKRTKTSSPSDEGSSVDCYEAPSPRTIHLLSIINSRDVSQ IKLLKGVGVKKAEAIVDCLCEMDQHLEEQDSDRQVQINSLAELSTLRGVGVKTVESMR NGVLA AOR_1_698154 MPSIPEIQHSAESVVTESIEQSPSTTPVYSSSAHLNPEDAKQEH PHKEIQPGPQTILEDESSQTGDPEKSGATTQSVPAKAPDAPPDGGLKAWMVVLGAFCG LFVSFGWINCIGVFLDYYKTHQLQDLPTSTVTWITSLEIFMMFFGGPIVGVFFDNFGP RWVLIAGTFFHVFGLMMVSISKEYYQFILAQGVCSPIGTSAIFHGCLTSVSTWFRRRR ALALGVTTCGSSVGGVIFPIMVARLIPIVGFGWTMRICGFLSLGLLVIANLTVQSRLQ HHRKPFRPLDFVRPLRELPFVLTTAGTFFVYWGLFLPFAFIPTQAERYGMSSYLASYL IPILNAASILGRLVPPYLADLFGRFNLMMLTSLFSVIIVLALWLPSRSNAPAIVFTSL YGFSSGAAVSLAPALVAQISDLREIGVRSGTYFCIVSFAALTGMPIAGALLPDPLHGS YLKLEIFCGVVMFGGVVFYILAKGRISGWGLMHKV AOR_1_700154 MTMSQPLRRGVRAVSWTRVLPPRARQGQTRCLQIRAAAAEQPSS ANGNNLPVVGTPSSAESADARFDVIGAPYSLLSVSLSASQNLFTRRGTLVGLSGKADN VVSTLSVLEPFRRAVVGVPFLYQKVSSASPVTALVSVRSPTTSFAVVHLDGSVDWMVA QRRALLAWTGRSLSIKPTINTSLSVSHWGSSEVTGRGLLALVGAGQLYQVEVKAGEQY IVHPSNVVAYTMTNNPPRPYRFKSTTLKFQVPGLKGWPSFIQDSKFIRDMSGSDTWKT AMNIFHKIRTWSRMTIWGDRLFLQFDGPATILIQTRGPRINEVLTSHEVNEIASAPRG LTIGPAKPAEEKKPSADEEYRKAAEEAVNAAPAPTRTVEQLEQEIRGSAQSIATLTKE GKVIFEKPGQQN AOR_1_702154 MESSIQRLLNDKLYDRRKQGALELEKVVRDAVFKGAHEDIQRIV DQLCHDYAYAVHQPHARNGGLIGLAAASIALGSEGVAPYLKEIVPPVLACFSDQDARV RYYACESMYNIAKVAKGEILLFYNEIFDALSKLASDSELSVKNGAELLDRLVKDIVSE SAASYVSVLQLSEKQETDPEALEDPDLPTAFSLPKFIPLLKERIHVISPFTRMFLVSW LTLLDTIPDLELVSYLPEFLGGLIKFLGDPNRDVNVATQNLLDRFLSEIKRIARLKKG IEESRKGQGSENRQSTTSDSVSTTIDQTVAAETETETEAETNDIAIEDSEFGSTVDED GLHADGDWIPGQDVQIDYPKILDILVGFVDTSYEEEMQLTALRWIDSFFEISPEDILP FVPRLLTQVLPAMSSGSDQVRQAANRVNTSLLEYIVSLSEDTLSDETRQSSSSKLAST PNKETERRESAPNAKPSDVSITASRKQSVQESTQEQTPRSSVMSTPVPPADLDYASAV NSLTLQFLNENEATRVAALSWLIMLHRKAPKKVVAFNDGTFPALLKTLSDPAEAVVTK DLQLLSQISRNSEDSYFKSFMVNLLQLFSTDRHLLEVRGNLIIRQLCMNLSPERIYRT LADCLEKEEDLEFASIMVQNLNNNLITAPELSGLRKRLRNLDTREGQMFFVALFRSWC HNSVSTFSLCLLAQAYEQAYNLLQVFAELEMTVNNLIQIDKLVQLLESPVFTYLRLQL LEPESYPYLYKCLYGVLMLLPQSSAFAALKNRLNSVSNIGLLHTPRLSTMVSASGSGA YDRSTGSRSKREENSIRWVELLEKFKTVQERARRALRARERPFDDGVAGFQGQSLAAA LSAADQARNKERATLPDTPRTGLGLGAGAEGRRSPADVGNQKGGSILGAAHRHKTSLP NLGRLGIGSRKSKR AOR_1_704154 MGLIEKLQAKIELYRLEQRYARRKHRSTFSGVQYVDGEYVYTNG SNSPGSVSKHSTGSYWKSPTWGVSSTDSRWR AOR_1_706154 MLPRAARPPCLRVPGHFAHRGPAVPRSTPRISTRHSIQFRVFST SKGLLNKNATSEPKTPISGSPLAPQSADQKTKNAQNAAGTPKRDLLSETMVGKQEQRK ADWAIMKEMAKYLWPKDDWGTKLRVGTALSLLVGAKILNVNVPFYFKSIVDSMNVDFA AIGGTAYTVAGSMIIAYGVTRIGATLFQELRNAVFASVAQKAIRRVARNVFEHLLRLD LNFHLSRQTGGLTRAIDRGTKGISFLLTSMVFHVVPTALEISLVCGILTYQYGAQFAA ITAATMVAYSAFTITTTAWRTKFRKQANAADNRGATVAVDSLINYEAVKYFNNEKFEV ARYDKALKAYEDASIKVTTSLAFLNSGQNMIFSSALAGMMYLAANGVASGSLTVGDLV MVNQLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKVNVNITEKPNAKPLQLHRGG EIKFENVTFGYHPDRPILKNASFTIPAGQKFAIVGPSGCGKSTILRLLFRYYDVQEGR ILVDGQDVRDVTLESLRKAIGVVPQDTPLFNDSIAHNIRYGRIDATDEEVRKAAQRAH IHELIEKLPEGYKTAVGERGMMISGGEKQRLAISRLILKDPELLFFDEATSALDTYTE QALLQNINSVLKDKARTSVFVAHRLRTICDSDQILVLKEGRVAETGSHRELLELDGIY AELWNAQEMSFAQDPESEGNAELEEGAGQEVLPDSRQK AOR_1_708154 MRSLVFTAVSCLPAIIAAANPPDLGFDKLWSLENNIWTNFLYPA NLKQINATDDSVFTEDVQGRVDITRTFPGRELNNEYIFGLFSQPESLSLTGVAINYTI TQFVANQNMASATTVITFNSTSFGVLLPLTVDSWMAFNEDGKVTQYDATFRWFDWFVK TLFEAAAVKFNTTDPIVVKSTLTELLAKAICETSDKYCTGDNKQYDSQEQCMQVLTKE KRFGDPYELGRDTLLCREVHKHMVQYRPTEHCPHIGPSGGDMCVDDKSYVQTVLESYF PQSWIANGYGDDNIWVKK AOR_1_710154 MWQRVVHDSMELTLTARYRPRPSVREDGGSTPSSKNLQTPSSKS IDRLSKPFKCPGSATPTRTSDKPARKRRKVNYAGADETVDDNSEKPYTNEERLALATR DVNRFPVFKPKDKETTFKQRFKIPLINKAVDSYNGARAAPTLGMRQGATFVVKPLHDP SGEFAIVLYDPTVDDADENSETKLPEDGKPEEQQPKLDAPLVHKSLADILGLKKKVET GPRVPVVIDPRLAKVLRPHQIEGVKFLYRCTTGMVDKNAHGCIMADGMGLGKTLQCIS LMWTLLKQSPEAGKTLIQKCIIACPSSLVGNWANELVKWLGKDAITPFAVDGKASKTE LTSQIKQWAIASGRAVVRPVLIVSYETLRMYVEALKDSPIGLLLCDEGHRLKNKDSLT WTALNSLNVQRRVILSGTPIQNDLSEYFALLNFANPDLLGSQNEFRKRFELPILRGRD AAGSDEDKKKGDECLAELSTIVNKFIIRRTNDILTKYLPVKYEHVVFCNLSQFQLDLY NHFIQSPEIRSLLRGKGSQPLKAIGLLKKLCNHPDLLNLSTDLPGCEFAFPEDYVPPE ARGRDRDIKSWYSGKMMVLDRMLARIRQDTNDKIVLISNYTQTLDLFEKLCRSRGYGS LRLDGTMNVNKRQKLVDKFNNPDGEEFVFLLSSKAGGCGLNLIGANRLVLFDPDWNPA ADQQALARVWRDGQKKDCFVYRFIATGSIEEKIFQRQSHKQSLSSCVVDSAEDVERHF SLESLRELFQFKPETRSDTHDTFKCKRCRPDGAQFIKAQAMLYGDTSTWNHFVNDGEK GALSKIQDLLIRQETGERDVSAVFQYISH AOR_1_712154 MSSDFWAGYLSGAIGIIIGNPLDLIKVRLQASHATDAAASFSHQ QLSRFESTGSLVRGAAAPIVGYGALNAILFVAYNRSLMLLDSSVTDPTDPQGIPLYKL WLAGAAGGVASWTVSSPTEFIKCRTQLDSRPGASSWTVAKDIVRTLGWRGLYFGGAIT CARDSIGYGFYFWTYEYCKRLMASKDDDAQQTAMKVLLCGGVAGVATWASVYPLDMIK TRLQAQGLGAHPEDQPLVRSQNDRRALNSFQLAREAYRTEGLKAFYRGLGVCSVRAFI VNAVQWASYEWLMRYLNNPWNQTHAQVS AOR_1_714154 MGALRRIKTKRRTRDYDQVRADIESPKHLAQYKATKDPEDLPGL GKHYCVECSKWFESEHNLVAHTKGKNHKRRIRLLREEPHTQKVAEAAVGLGTDNGLRS EGTVVDMEE AOR_1_716154 MISTRLARMGALAPKSRLFLGARGLATASEHPLDKKVEMSNVEK GNYINYKKMSENLEIVRKRLSRPLTYAEKILYSHLDNPHEQDIERGVSYLKLRPDRVA CQDATAQMAILQFMSAGMPSVATPTTVHCDHLIEAQVGGEKDLARANEINKEVYDFLA SATAKYNIGFWKPGSGIIHQIVLENYAFPGGLMIGTDSHTPNGGGLGMAAIGVGGADA VDVMAGLPWELKAPKVIGVKLTGELSGWTTPKDIILKVAGLLTVKGGTGAIVEYHGPG VNSLSCTGMGTICNMGAEIGATTSLFPYNDRMYDYLKATKRQHIGDFARSYQKELRED EGAEYDQLIEINLSELEPHINGPFTPDLATPISKFKEAVEANKWPEELKVGLIGSCTN SSYEDMSRAASIARDALDHGLKSKSLFTITPGSEQIRATIERDGQLQTLEEYGGVILA NACGPCIGQWDRKDVKKGEANSIISSYNRNFTGRNDANPATHSFVTSPDLVVAMTVAG TLKFNPLTDTLKDKDGKEFKLKPPTGEGLPAKGYDPGRNTYQAPPVDRSTVNVAVSPT SDRLQVLQGFQAWDGKDATNIPILIKCQGKTTTDHISMAGPWLKYRGHLDNISNNMLI GAVNAENGEANKVKNAFTGEYDAVPATARDYKARGVKWVVIGDWNYGEGSSREHAALE PRHLGGLAIITRSFARIHETNLKKQGMLPLTFAEPADYDKIQPDDKVDLLCTELEVGK PMTLRVHPKDGKTFDIKLNHTFNESQIEWFKDGSALNTMARKGGN AOR_1_718154 MATQLVPLPEVERLSASVVRILGGNPGKFTLQGTNTYLIGRGPQ RILIDTGEGKPSWAAHLKTILSEENATVHKALLTHWHHDHVNGIPDLRKLCPQVTIYK NQPNEGQSGIEDGQVFSVEGATLKAFHTPGHTVDHMIFVLEEEDAIFTGDNVLGHGTA VFEDLKVYLSSLQRMQDRVSGRGYPGHGAVIDNATAKITEYIKHRQQREDEVIRVLRY GKLDVPDDEPSPERKASWTPLEIVKVIYHNVPESLHLPASHGVLQVLMKLEAEGKTIH DTESGKWRLETGKSAL AOR_1_720154 MPSLEDSSKNDSRLLLISNRLPITIKRSEDGKYDFSMSSGGLVS GLSGLSKSTTFQWYGWPGLEVPEEEIPVVKQRLKDEYNAVPVFIDDELADRHYNGFSN SILWPLFHYHPGEITFDESAWDAYKDANRLFARAVAKEVQDGDLIWVHDYHLMLLPEM LREEIGDQKQNVKIGFFLHTPFPSSEIYRILPVRNELLLGVLHCDLIGFHTYDYTRHF LSACSRLLGLATTPNGIEFQGKIIACGAFPIGIDPEKFQEGLKKEKVQKRIAQLEQKF QGVKLMVGVDRLDYIKGVPQKLHALEVFLSDHPEWVGKVVLVQVAVPSRQDVEEYQNL RAVVNELVGRINGKFGTVEFMPIHFLHKSVNFDELIALYAVSDACIVSSTRDGMNLVA YEYIAAQQKRHGVLVLSEFAGAAQSLNGSIIINPWNTEELAGAYQEAVTMSDEQRALN FSKLDKYVNKYTSAFWGQSFVTELTRISSQSAEKFQSKRASLTGTYHEQVNGVESEGS A AOR_1_722154 MRPSYLFRAMQPLRSSIVRPTSTSTPAAHIYSAISTPIRRLNST TATPTETQSSTAPSTPSVAPPSLRNYPYTLKTGTVVSVGRMDRTVRVAHRHTMWDSHI RKTYPKVTTYLVSDPKNSLREGDVIEFSSGYPKSRHVRHVVERIIAPFGEAIEDRPAV LTREERDAERVAKRTVKWERREARRAEGGEGQSLGGQEHVGRIRRLVYERTRAQ AOR_1_724154 MSSNPIVLITGANTGLGLETVKALLRSPKAHTILLGGRNIDKAN AAAKAVQEEYPQSRSVVKTIQVDVEYDDSISKAFEHVADEYGRVDILINNAGALLDTQ FYSGDLTMREMWKKSWNVNTVGTHILTHTFVPLLLKSSDPRLLFITSGTSALGETEDT SYRFNKSPAKGWPKEEPTFGAYRSSKTGMNMMMCEWVRILREDGVKVFGISPGFLATG LGGNPELYKKLGALDPVVGAEFVRDVVEGARDQDAGKVIRRDKIQAW AOR_1_726154 MRNKELPSEHEVVPPDLSRCSVDAATIQFQGDSIDDTAYVGSTH WSAILDDIHELQVALSGSIDSQGVNKLATPGAPTLGEYHRPQKLAIEALAVYAQCKNL TTLDPSREAGMILGMVVRMAYELGYHRDPDSFGSLSVFEGEMRRRFWAACKHMDIMIS FQQGLPSNICLESCDTKSPRNLLDSDFDVDTQVLPESRPETEPTKLLWFIVKDRQITS FSKVCKYMLSFKEHSEADLHRLDEEIRQTAPELQPANGATSGTNSALLATQTESTIGQ TNLAPFDVFDPFNIMGMDFENIDWDAFVPQLSI AOR_1_728154 MDPSPKGKFYPEGKPNKDEVPVSEVNGTEIRPGKYYVFRSCGGA HSSSDTEGNFNLKSNGTADKSIYWDSPWGVGGNKLKVTTPHGEDPEWGYEVT AOR_1_730154 MADGEEDFSSLPLPERFTHKNWKVRKGGYEDAKQQFEKSPDESD PVFTPFIQDAGLWKGAVADSNVAAQQDGLAAYCAFLKFGGVQACTRSRATTVFPIVEK GLPSARPAAKTNAQEALLLLVELDKADPVIEEMLPGLSHKVPKVIAATLTGLRTIYHN FGCKIVDPKPVLKALPKVFGHADKNVRAEAQSLTVEMYRWLKEAIKPLFWAELKPVQQ TDLEKLFENVKQEPPPKQERLTRAQQDAMATASAAAEDGEAEDGGEDYGDEDGEEVDA FDLAEPVDVMPKVPKDLHEQLSSSKWKDRKEALDALHSALNVPRIKDGPFDDIVRALA ARMKDANIAVVTVAANCVDLLAKGLRSGFGKYRSTIMAPILERLKEKKQSVAEALGQA LDSVFASTTLTECLEEILEFLKHKNPQVKQETLKFLIRCLRTTRDVPSKAEVKSIAEA ATKLLTESSEVNRSGGAEILGTLMKIMGERAMNPYLEGLDDIRKTKIKEFFETAEVKA KDRPKPIVGAPKAVPAAGKKVVGGKKPALGMKKPAPAAAAPPPEEPAPAPSPPKKAVP SRLGGPKTGGLPAPGSGLKKKLGGPGGIASPQRRVVSPPSEEQPAAPAAPKFGLGRGL AGRPIAKPAAPREPSPPPAAPPLTGMSAIERAELEELRLEQEKFTRLVEDLKSERTKL KSQVTELQDQNAQLIEDHTRDVLSIKAKETQLVRARSDAETAEQTVQKQQREIDRLKR ELARALRASAISPPNTLPEGISMAYGDAGSVYQDTASNGHGPLARGYHSGSRFESSRP RSYASASPSEEKENSGLESPGLGSRDGGLGRRKLSPTFGTGYSGMGSPTRSSMLGSSN ASGDDQPTRSTEPAENWKRAAEVTSQLKARIEQMKARQGLTRPPAQR AOR_1_732154 MPPKSGKKAAPLPYPQGKAGSKKAPKNPLIEKRSRNFGIGQDIQ PKRNLGRFVKWPEYVRLQRQKKILNLRLKVPPAIAQFQSTLDRNSAAQTFKLLNKYRP ETKAEKKERLHAEATAVAEGKKKEDVSKKPYHVKYGLNHVVGLVENKKASLVLIAHDV DPIELVVFLPALCRKMGVPYAIVKGKARLGTVVHKKTAAVLALTEVRSEDQSEFSKLL STIKEGYTDKYEESRRHWGGGIMGAKAVARQEKKRKAVESAVKI AOR_1_734154 MPPRRLLLVSYPRTASNLLLRILALSDQPNVVANEQGGYFFMKA YTTATKDSRVYRPGDQWTTEECDEVRSAFQECLNALEDYSSRAEKENKMLVTKEHAFW LCHPMAFSRMIHGTNGSYDSLFRLEFPATYGPSQTFSPNNETVFPDEYLRTWRLAFII RHPALVFPSLYRAMIKMVETGIIRKEELPGVLGTNMSLKWTRMLYDYGMESNDSDSKP LLLDAHDVIHNPHVIARFCELAGLDPNKLKFEWEKKSDANGAPSNGDPVEQREVTGED ARFRDQRAQAIMLSSLAGSSGVLKDKAPVMLDVPTEASKWREEFGEETSLLLEKAVLE AMPDYEYLKARRVQL AOR_1_736154 MRIIVAMTGATGAILGIRLLERLREMNVETHLVISRWAVETIKY ETKYTANDVRALATRCYPVNDAAAAISSGSFQADGMIIVPCSMRTLSAVRTGFADDLI CRAADVTLKERRKLVLVVRETPLSGIHLENMLDLTRYGAVIFPPMPAFYTMPESVDDI VTQSVGRMLDMFGLDAGNFERWDGF AOR_1_2790154 MDHTAAAKDFRRFIQELEDEGELLTITKEVDPHLELAAIVRKVC ETGERAPLFTNPKGRKDDGLFRVIGASIGYSKRPGMQLCRLAKSLGLPSSATGHEIVQ KINEAKTKPPIPCRKLESGPVKDHIIHGDDIDLTQLPVPLLHEHDGGKFIETMGMHVV QSPDGKWTNWSISRGMVHGKRELVGLVIPKQDIGTIFNLWKEKGEDMPWAVCFGVPPA AIMVGGMPIPKWTNEPEFIGALTDAPVDIVKCETNDLWVPANAEIVLEGVVSISETAP EGPMVEYNGLVFPGHKTQCPIFKVNTITYRHDPILPICVAGRAPDENSTIWCTMQAAE VLNICQKAGLPINMVWCPFESHCLWFVLQVNHSKLVDMGTNMEEFCRKLGHVVFGSKP GWFIPKIFLVNDYIDPTNLPDVIWAEATRCEPGRHEFIFNEYSNIPLIPYVTHGLPSK TGMNGKVVKCCMLPNEFTEKTLPWKEGSFQGAYPEDVKKKVLDNWTAYGFQPL AOR_1_738154 MINAVLVFNNNGQPRLSKFYTQIDTQTKQSLIQQIYDLVAQRPP SACNFLPLPPLLSRGASSGAEGPSDAPTQVTYRTYATLSFIMISTSTESPLALIDLIQ VFVEALDRIFENVCELDLIFGHETMHAVLSEMIVGGVVVETNIDKIVSGVRSQEGSLG KKKAIQAASSSVGRGGFPGIGAWR AOR_1_740154 MKHTIYRCTACSRQRLPFPLRRALSTAITPNTPSNPNKVPLHDL TPADISYYWDTQVPNETDLTYADKFFAPSRHSPIKIWSASKFRTTPMSSVEPEVAFLG RSNVGKSSLLNAIMGKEICWTSSKPGRTREMNAFGIGGTKGGESKIVLLDMPGYGKAS RTEWGIEIMKYLQGRKQLRRAFLLIDSLHGLKKTDEDILMLFRKYAIPHQVIMSKVDK ILAKKKSQVKSGASAAKVATLQTLLQSYRPILQPDGRLEGPGALGEILTCSAETPISP GKSLGISAIRWAILSAAGFDGNMKAHPVPTGSQVTNISPAAS AOR_1_742154 MDDQDNNSGLDNVPNTGSVGQFSFAPATRTTVVTTTTTTTTTFP PLFIKPPRATRELDPKLYPLASSPTPSSLRNIKFEIGGHSVVFNEPDDTTSAVNELRE KDDALRASNGLVRSVTSICSDDTQLPRRFAPPKGSSQPSSQQSSSKQRRALAAPETRH GYLRPYPARVPSEQSIRSTRSHGPTSTHPVVAGLATPETESNNYSAGESVLPRRRIHG VNASRRETLLPSPLSSGVESQEGSKAPSTRKDQLESTVVPERSRRLARPEGDPSRLAI PQEIETDGGPEDESGTSTSQDTGYETSQEAPQQSSLGSTSQFTAVGGVAVQDMCLPSP SLSPVAAMNAMNVDSSFDSAEDPEADTDSSFDNNDHRIVSSLRAEDPRTTAPHRSRPN ILPASSDTLRPTSLMDIPSVLDFFDSVPEGLKTYLMYQFLRRCPKPTLHFVADVVNPA LKCDFLALLPLELSLNIVKYFDAQTMCRAAQVSKKWRHIINSDEKSWKELFDRDGYVL PEGELERAIREGWGWQFPNGGEDYEKDLSVSSPVIKPDPESGSPSMQPLPGPSDRPSS AHRRPKRKACTRVSSRKLAKRKISSSGTDHSESSDWRKSVTAAEGPYGAANAAAAAVP YPDIGLPSLRGLHLYKSLYQRHHSIHNGWMKPSVKPRHIAFRAHDRHVVTCLQFDTDK VLTGSDDTNINVYDTRTGALRATLEGHEGGVWALEYYGNTLVSGSTDRSVRVWDIERA RCTQIFHGHTSTVRCLQIVLPVEVGKKADGTPEMMPKEPLIITGSRDSNLRIWKLPKP GDPVYYQNGPHVDDTDCPYFVRALIGHQHSVRTIAAHGDTLVSGSYDCTVRVWKISTG EALHRLQGHSLKVYSVVLDHKRNRCISGSMDNMVKVWSLETGSILYNLEGHSSLVGLL DLKCDRLVSAAADSTLRIWDPETGQCKNMLSAHTGAITCFQHDGQKVISGSDRTLKMW DVRTGECVRDLLTDLSGVWQVKFNDRKCVAAVQRDSLTYIEVLDFGASRDGVPEDQLG RRIVVNRWGQEVSDADEDYDLSDA AOR_1_744154 MARIKFTTAPTSAVQVQSARVLHEKKSATSTRKKTQAEVENPAK SAVSEGLFLKQQQSLEMVQIMLHVSFGTLFYLREFLPLPCFDDRDLKEAQRERRYSYR EFLDSKSRHDTNGGDPDIAFGNGKRGQPLKVMIRGTDPKADMILDVLENGIFDALRKN ILEAIQLTILVDKDAPQNVLESYTFSFKYAGGSGNVDSCLESLSIDPVDYVADMRSAQ SARVGLETIVRRLITLSTFLPTLPNKRNLGVNLFYTENCPSDYEPPGFTTANNDTIKY PLNENWRKETQSCGTMNSGWHTVGLRVTSLKWTGPDPEGSEVLPTVPSDIEYTDEVPR ADDIGFVDEPNCNGQTIIQITDILKKKGFVQPTPGYKLKGFARRGLPKYSIPQSENIR QRLKKEIFHPMVKIEHLYVLKNPNEPDNLDPTSTSQELGPIDVPTVQAGNMPFDGLRP SGHETQNSSGGLQDLPIKSRDNKRKSLSDNDKNESYESDDLDKNDRAAEEQLHNSFLT EESMNSKARGSSQRTSSSQGPRRSGRKRRKISNYSKLIDVGAETSDHESV AOR_1_746154 MPLQTMTIPMRTSSVNAVSNGVHMSNASHEDLRHESASKAQKIL GTTEITMPQDQSRREDRKSRGNSFMRAPDIKAKKSGGFAAFPTPKPEETLPPPHLRVR ASSPLLGQQYRSEDAMPPPIPTHSKRVQQSGSSSTLFSYFNSRNSTMDSNLSRNTTKD STATEEKSSRMQHNVEPRYGLKQPKGPMKESKRKMRPPRIDLSLLFPKPRADAAPLLS PQRLVNSPSAISMTSELPAAKPKNYDNPATTKRVTKTPPSGHETKGHKATDVDSESSP IYESGNTNWLDPSLERTVRTSEMDMALKRYSQFQKAPQPSEVARSSQLHLRPRDREQP QTSDTKSTGSSLRKVPSNSSAGEWSRELYLSPNSFSRPHNSRVSNSSNARHADSREKP SAYKSSMSKKSSKSTLKNVDLNKSSVLCLSSSEDEDDEEEEEPAKHDKNIRDSVTTYG EFEAEICTASAAQTTKGTLKRVERPYSMSASSRGSQSVRRQQQTILRNPSMSSAGRST LETRSHRSSGVPTISELDFLNTDPMVSQVRKPSQRARLQQSQQNRRSRVIAVTRQEEH LLEAMRQRKGKITPSLFHEARYQDSLEPDRNSMLSVPSRDSFYGSDISFLRLSPGLPP NMLRKDQGANNFDKDGSISQGTASDAEQKTTNSSASPRVSLIYSESLPSPATSGASPL TPTLPIHRFSPLPSQKPPPRNPPPAIPSVQRRHSRRRTDSSEAIVLGDSEERKETDDF PIWALGWGGNDNANLTAVH AOR_1_748154 MGESAQRPSSGPGPVGKNFSWILLTAQYTTFVLLLHYSRVMPST NGKRYLTSTAVFFNEVVKLAISLTIALYEVSKTAPPSVPATSLFFSLTSAVFSGDSWK LAIPACLYTLANSLQYVALSNLQAAPFQVTYQLKLIATAIFSVILLNRSISLRRWGLL LLLLVGVGLVQMPISSSGDISLQEEAAAHHAFPRSLEEWKAAKLDRPNLHKRSATYEG IEEDMMTAFPRMNAVVGLLATLGACVASSLASVYFEKVLKDSAKSTSLWVRNVQLAVY SIFPALFIGVVFLDGEKIAANGFFGGYNWAVWSTVVTQAIGGIATSFCIGHAYRDAKN VATATSIFLTTLGSIWLFEFELTGNFILGTFAVLVATYLCEDPNSASSTGKRQGLRPP PIRIDQYEKESKSDDFSPASPPPNEFSIKLPGTPFLSDAGLSTSRPTSPGHARINAAR TASGGYFDKQLRDQ AOR_1_750154 MAPQLWSLDTFAKPLISHRIKVLQAERTRPYLAQDSDSKGRYQD HQLRPEQFEKSHIAAILEQRTPFQDMPVSIRGIVLVSVHELPDKYGSLFHFPAFNAIQ SKCFQSVYKGDDNIVLAAPTGSGKTVVMELAICRLLNNLKDERFKVIYQAPTKSLCSE RFRDWNRKFHSLGLQCAELTGDTDYTQMRSVQNSQIIITTPEKWDSVTRKWKDHARLM QLVKLFLIDEVHILKESRGATLEAVVSRMKTIGSNVRFVALSATIPNSEDIATWLGKD ATNQHVPAHREHFGEEFRPVRLQRFVYGYQSQGNDFAFDKMCSSKLPDILAMHSCRKP IMIFCCTRNSSVATAKELARLWSMSNPPARLWKGPTTSAAGVAFHHAGLNPGDRQTIE NGFLQGQINIICCTSTLAVGVNLPCHLVIIKNTVGWLDGGCKEYSDLEIMQMLGRAGR PQFDKDAVAVILTRKERVDYYERLVSGSESLESCLHLNLIDHLNAEIGLGNVTSVEFA IRWLAGTFLFVRLRRNPTHYQLREGAKREDEDEMLRQICEKDIRLLQESNLVTTESLR STQFGDAMARYYVRFETMKTFLTLKRHATMSQILSVISQAEEFRDVRLKAGEKSLYKE INRETGIMFPSELGAVEFPNDEQFQKHKFAFQQDKGFVFSHVNRLIRCIIDCQISLQD SVATRNALELARSFGAKVWDRSPFQMKQIEQIGVVAVRKLAAAGITSLEALECAEPHQ IDMILSKNPPFGLKLLGRLSEFPKLRVSVKMIRKVGFLLRC AOR_1_2792154 MEYIACPTSKNKPQEKTSKKSKKSKEAKDVNKAEEPTQLRNGRW SCNHKCKDKTMCKHLCCREGLEKPPKMNRKHSNGGADRSNRLNQLTLSASITKHNTQI GNPGKRRTRKDSMTDSLSDTASKDLICPDVNTKDSSSDYGDDSFSDLPSPSDLLIGRT TRLTDRRAQTTSKETYLNKNVRTKDDWIYTDEPWLTLPSSLPNSLVQGKDATSTTAAE TSRGSVLEPKACSSNGSQGAKNDNQATTETNEVEYIGRKRRRSLASGDKAHDKRVTKR HIDDQAAEACASLRQYHSTDTLSGYHQNPQPYEPADLPAIWDDIDSTLLDEFKDIVNF F AOR_1_754154 MGKLNYSARKIDGEKFARVLSRDLRKRLPDGPGFKAAARDPTLE IDIANKTLTDQDLSIFIDDLLECIREDLAKVVEFHIQGNSLTIKSLPKLGEAIALNAG EMRELDISNNNINISPSPEDKAMWCQFLNSFKNCYMLKKLDLGGNPLGPVGLEVLARV YIKSDLHFLEDDAYAIVEPKHEERSFNEDSTAVKVTAGKENERSTRGSRLEKSPNKGK KALRQNFGQFKSNPAKGTALDDLKRFACTRGLRSIAYLILSNVYMAKSGTVHLASMLS MQRTSDQLLKFLPEGKSPALPETANSKSIIWLPNDTLPQVASEFLGKAEAINEIKTNI DSDDDLSNDDEAQGPVSTIAQTNAEPNQKIDTAAQRELQNKKNTAYARLTKRVRMEAL HDEGVHGTDLWITALRMMNVSRILLWQGKESSVCSPNKEQGQQEDDDRDDPYPSAVHI EDITQQFDDLETAEHPSSSESPSSPVEIHITEPDRMGPFHPGTDSFDANFPILHPSNT ENADTSLTRNDEEQHIVAARNELSASPQPARSGKGNSRTTYGSRALRKEKETWRFGFT LEIWRRIIADAAGAEGILDLEQQTQIMRYATDSKTLEDEMGITGLEDHQQIWRILEKN NCFVYSPL AOR_1_756154 MNMMRAKRTNTQPLEDASTAPATFNDGLPLPKLIAFDLDYTLWP FWVDTHVSAPIKPRDNNSRCTDRWNESFAFYPAVSAIIYACKTRSIPLALASRTHTPD LARDMLKALHIIPTFSDNPAAKAKSVRALDYFTYVQIFPANKTQHFSKIHQASGINYE DMLFFDDEARNRNVETELGVTFCLVRDGMTKEEVDRGVWAWRKRNGIKPTAPKEHNGE QAN AOR_1_758154 MDPRQSQVHLKPPPPQHVRPSASASSSPAPTPRAPVTIHPTTTV ADTVILHGTHPISIGAGTIVHPRAKFYSYEGPIIIGENCIISEKSTIGAAPTQPPSFL RESRTSDGLPVRISSCVTVGPLATILPGAHIHSAVTIEALATVHRRVSIGAHSKICSG CEVSDNVKIRDWTVVWGSGAGFGQRRRTRATEKMSSATATTQGIQALEGRVIEDARLM VLQKEREALVRLIGSGGGGRRR AOR_1_760154 MASVTIELPYLSSHYSIAESTLTTLTQAPTVELVNQLLEAITKK AREYDDLKSDKLRLEVELENAVRSSESKVKVLKSSVEKGHAEVEESRKKLHESENIRS SLESEIASLKSSSTSNESEVSSLKSRISSLEASNRDTLALLESKSAAYDKLAEELSTQ HKKTIELRRELSSAEQNLQAANSASASARFREQSLQQDLDLTKKNNEWFETELKTKSA EYLKFRKEKSARIAELQRENEEASATIDSLRRSENALKSRLDEVEQRYEESLSSIQQL KEEAIQTAESFRIELDSANRLAELQGNAAETAKQRVQECQLALEKARDDAAEEISRLR VEVETEHSDKEAAERRIAELELTVSQLESEGVAGRRSMSPAPGLNGGPSTPVRPGTPV GTFSPRASRGKGGLTLTQMYTEYDKMRTLLAAEQKTSQELRSTLDEMVQDLEASKPEI DELRADHARLENAVVEMSNILETAGKERDDATKEARKWQGQVEGLAREGDILRQQLRD LSAQVKVLVLEIAVLKEGEGSYDREELEKIARKEVEDAAAELTPTGRFISQNLMTFKD LHELQEQNVTLRRMLRELGDKMEGAEAREKDAVRQQEQEELKELRIRVQTYRDEIANL VAQTKSYVKERDTFRSMLTRRRQTVGDSSAFSQSLPLGAAPPGAADEHMKDAPDYAEL LRKVQAHFDSFREESATDHSALKQQVNELSRKNSELMSEISRSSSQLGAATQRAELLQ SNFNMLKSENAELQKRYAALFENANRQDIKTQQAAEDLVETKGLVESLQRENANLKAE KELWKNIERRLIEDNETLRNERSRLDSLNANLQTILNEREHTDSESRRRLQLNVESLE SELQSTKRKLNDEVEESKKAALRREYEHEQSQKRIDDLVTSLGSTREELVSIKTTRDH LQSRVDELTVELRSAEERLQVMQSRPSVSAAPTEAPTTMEDGAQESGLTREQELGIQV AELKRDLDLAKGELEHAKEQVEDYRAISQGAEERLESVTETHEQYREETERLVEEKDK KIQDLEKRIEEISSELSTTNSELSKLRDEQGDVARRLEEQKSHLEAEITRLKDENERQ LAAAQYHQADLKAQAEISQHAQQNYESELVKHAEAAKNLQLVRSEANQLKLELVESRA QADTYKKDLTQKEESWNELKDRYESELSELQKRREEVLHQNSLLHSQLENITNQISAL QRDRANIAETEDEAESSAPNLEGLQEVIKFLRREKEIVDVQYHLSTQESKRLRQQLEY TQSQLDEARLKLEQQRRAAADSEHTALSHNKLMETLNELNLFRESSVTLRNQVKQAET ALSEKSARVDELVQQMEPLETRIRELENVVETKDGEMKLLQADRDRWQQRTQNILQKY DRVDPAEMEGLKEKLETLQKERDEAVSSRDTLQEQAAAFPEQLKHAEERVQELRAKLT EQFKARSKELTGRINAKQLELNTVVQEKEVIQEELKTTKEELSGLKAKLAEKPAAPAV EEKPAGSGVDSTPASQFPGPTTQLPVPSDDERVKALEEKVQRLEAALAEKEAALAEKD AAIAAKDAEHETKAKERIEKLKETFNNKMAEVRTAHRQEIEKLRTNQQAASQPQEPGT PVSKPNQAPATPAKTEGELPQLTDEQAKALVAKNETIRTIIRNNIRTMLAKEREKQGA QPSAGVSQETLASMEQKFNEEKEAIKKAHEEGVEERIKSAVELSDKKTLVKISMLDTR CRNAQAKIDVVQKAATETPQKPVVEVWEIAKTTKAPPQTQKLASAASPAQVASPAPAP TPTPTPAAGVVPTPSPAPAPTPVPTPAPVNQQQGPAATGSVVTATQAKGPAPAEVQGQ GNVQQKQEQQPPQQSTEGTAPPAANAPVNPFGQSQNKQPTSLPSKPPAGANSGVLRAL QSGLPVARGGRTGGRGGHQQQNPFGGQAQQQEQPQQNQGQPQQQQQGQPSQRGTGLPR GRGGRGGHGRGGHQNVQTANLPQGQGQSSPRGNLNAQARQFVPQGNKRARDDGGEGGN EGAGKRMRGGGHARGS AOR_1_762154 MSHLQQQLKSFNAGVVAAAARMPQQRRFVHNNSASSSQVPSSTS TPTPSGDVKRKRQDADIVYSQPANTGTGKDIMTQVIFAIEHMKNKGIPLRFTDIVSYL SLQHRANDQGYVQALRSILQMHEKVLYDPSGANGEGTFSFRPPHNIRTAEQLLQKLQS QSTAAGMSVRELREGWPNVEDTINQLEKEGKLLVTRNKKDDHAKMVWANDPSLIQHFD DEFRQIWEKIRVPDQQAVKEELEKAGITPTNKNKVTKARPKIEHKKVKKPRRSGKTTN THMMGVLRDYSHLKR AOR_1_764154 MPADTDPSLQFDSIEDTIKAFKNGEFIIVLDSQDRENEGDLIIA ADSITPAQMAFLVRFTSGLICAPVSPEIASRLSLPQMVIENADPKGTAYTISVDSSDP SVTTGISAQDRALACRTLASPTARAEDFRRPGHIIPLQARSGGVRERRGHTEAAVEFC RLTGKVQAGVIAELVEDGELVPGVPEIGGNNGMMRRDGCLKFGKKWGIKVCTIEDLVD YVEKTEGSSSVVTNGKQ AOR_1_766154 MEKLPVEILAKIIDYLTPHEQVQLQSVSKRFFGLARDNNLWRLH CYEQSWAAANASYSGNRASARRVTDSTTPLSSLGQTSLRSLIQPDISLSNGDQNVSFA ERSRAAATWDPSYEGEDIDWYSEYIARNGPISFNWLQQPFTKEDEGKKTHREVKGMGL LRDWSSARQNKAIAPLDDGSVCIWDLNHSHSIGSQSTKGRILGVSQPGILMANLSGRR DNSAAKLGLEFINLGECVSVDSIRRRAYLAVSNVLNEVDLETLSVISQQRYPWSIFAL SQETDYSVPLTLATTLSLHIYDGRLSATEEEEAINLRCEKPTLSLVPKSRIYAPPDSP LLQLQPNGQPPHRIRSPNPLETGEDYAPLFQPGPLALLHPPAPHVNSIFLAGRFPSIL QYDRRFFPRLQNTIHSGGRLCGLASVPAPQFPLSSGLSCPDSHKVVACGEYKGKGSLE LYSLTPLGVQGENGPSDLSSSLSQVYQNRQSSASLKVLSVESHGTRIVYSDGDGNVKW VERDGRAEVRRFNINDYKPRRKNEQDSSGFNTNMQGESEEEARGLWNDSSSPRSNDEV ARKILPTGGNLTGDELLVWTGERVGRIRFADTHDYDEDEEEDDLIDVSEDMDSAKREE LRNRRLFWIKIFYLTTFFFDKKLIEIPVPYSGQ AOR_1_768154 MHHSQLAPLPNDLPFRIVSKTIGQGAYACIKKACPSHTDNPVFA VKFIHKEYAARHGKISSRQLQMEATVHKHIGDHNNIISFFQTGEDGVWRWIAMELAEG GDLFDKIEADEGVGEDIAHVYFSQLVSAVGYMHSKGVGHRDIKPENMLLTADGNLKIA DFGLATLFEYKGVTKLSTTFCGSPPYIAPEVITCSNKNNMKGLGYRPDLVDIWSCGIV LFVLLAGNTPWDSPTENSYEFHEYVATNARTTDELWQQLPVATLSLLRGMLNIDPASR FSLEDVRRHPWYTRQNRFLSPDGRLRDPINMATTMFESLHIDFSQDPLARRANSGVEP CRMDMDIGDLDTDFRISSTQPEMPSGHMLVDWDTPHLTDVFSSTQPMGQPLSVDNSYV ADTLEDEPSMSQFSPHPSVPLSRTQKAQQFRDIVPSRPLTRFYSIWELKILVPLICEA LHRLGVPVPSVPAVSASDASAMIRIVTRDGRMCTLQGKVIIECVSEGLFEIEFMKVKG DPLEWRRFFKRVAVLCIDAVYMPEG AOR_1_770154 MDYTMEDTQNSAPEALEASKLNSAPQRNDSQSVTKRLQSELMQL MLSPSPGISAFPDADGNLTSWTATISGPNETPYEGLTFKLSFAFPNNYPYSPPTVLFK TPIYHPNVDFSGRICLDILKDKWSAVYNVQSVLLSLQSLLGEPNNASPLNAQAAELWD TNQEEYKRHVLARHRDVEDIE AOR_1_772154 MVDEKKPVDNDTMPTMEEATPQHHGAVPNAQRDIEEDITYTKDV HSEKRELPESDSLNSCGQKKDEKDEEAGENVPSRSWRRYRPWLKHVVYAVIWLLFTGW WIAGLILHRYDLGWLIPFLIYLAITLRLIFLYVPISIVTRPVYWVWNQTASRFVSLIP EKLRIPSGALLTIAVIIVGSFASPESADNTRANRAVSLFGLVVFLFALWLTSRNRKKI IWHTVIVGMLVQFIVALFVLRTKAGYDIFNFISTLARELLEFSKQGVDFLVETGWANK HSSWFLVSVVPAIIFFVSIVQLLYYTGVLQWAIGKFAVFFFWAMRISGAEAVVAAASP FIGQGESAMLIKPFVPYLTMAEIHQIMCSGFATIAGSVLVSYIGMGLNPQALVSSCVM SIPASLAASKLRWPEEEETLTAGRIVVPEDDSHKAANALHAFSNGAWMGIKIAGMIAT TLLCIISLVGLVNGLLTWWGHYLNIYEPDLTIELIVGYICYPIAFLLGVSRDGDLLKV AKLIGTKLVMNEFIAYDYLQNKEEFQSLSPRSRLIATYALCGFANIGSLGNQIGVLAQ LAPSRAGDVSRVAVSAMLTGAISTLTSAAIAGLLITNEKQYIS AOR_1_774154 MSSNDIDWELEEGIPQVDDPFIQQYLKGRTSLVLEEQKQRYDTN LRKALSPVAARACEIVSKIRARDLTSLGLNEGNPRQLNTPSQTSRMSHLNRGEVQKTE LWRILQKMPKGSLLHAHMETMFDIDIVIEEAFKTPGIHIYAPKPFTTQRDYEEGIFYF RYSPPVQNSENEPTLWDPSYEPSILISLQKAAASFPDGGEAGFRDWLKKRCIFASEHS YHEHGSDDMLNAYKTLSSVVNSLLSYEPILRSCLRWMFSRLADDGISYVEIRNSFTFP YRREGNSTPEEDYSAWCQAFQEELELFRSTEEGRTLCGARIIWTASRTLSNREISGSM INCILAKQDFPEVICGFDVIGQGDDARSLVDLVPILFWFRKQCAEEGVDIPFLFHVGE KLCEGKQTEHDLFDAILLGTRRLGPGEPLYKHPLLLELIKEKKILVEVCPIVKGMTSR TDFTLDDPLSVLLSRGVPISLSSYFPDLSERGLNSLTFEFWQALQGPDNLELPGLAMM VENSIRWSCYEDQSTVEWLSDIREGILGEGIKATRLRDWYACFEKFCEWVALEFAEVD IS AOR_1_776154 MAYFLPSFFQKRLLRYALSRLELVDTEALDLDSLGIRWGQRSTV ELRDIGLRLEKLATLLHLPPSSELLSARVQFLKLTVPADIYSSGIICEASGIDVHLRL PLEETRRAETHDATTDQKTSGRVDPGSGDEPILPNPTDLAESFLQAEPKEEKEELQAA ISSQSQVLQHTSTSSSDDEEELGLGNETVSLPSFVAAFLKGVVDRLQVQVDDISIRVD VETKQEGSSKRHPEEKPDLITGLLSVRQVSMGAVSTHSESGEASSSERRRLVSLSDIN LALISEPVVFSNYSRFAAPASPSTPVQPKSSRPPSRAQSPSPETSSESSLALAMTRST IFEPPQDLTRQELEEQHTPRLEGSVYTYDGRFSDADTEDGKRSYGSLEDSRQFEDDEK LLDNPAYLDSVIDYQFQDDDPERLDDMQTRVDGLFRRSRDTPRSQSPEHTAELTDQNS HPEGALIPLNDRHELEVARLPSHQHFLEAPEAITEPGSSGLTPEKDFRPGYKQQLPLV CAPSSEPDSSGSASESFKESELSESRLFSNEEAQSMYMSAISQGSTSHSFMPNIPGAW DSPESTYVRDTGFHETPTAMEQDAYSEQDETITTPKLTAQEGIYLSHASSIDNLQKTT TGTTGRESIQTSPGFNRLTDVAKRFVSVDKVLIWIPSVNHEKVPGDSQSASHQEMSSD GLKDSTAYLQDSVIDDDLLASRIHGFPGPRSGANDPSSPHEGVDHKASGYQEKTKHDA GFSSERDEATVEIHSAEVQFDIAIGWLVIKIGKRIVNAFGHGDGEPPKKHNSESKAPE QVQPKESFGLILNKFSIKFVEHVPGHANPFGESRQYSPTFFGLMHEDIVLQTTASGLK AHFSSTNDQTKLRLDITKFTLGVASEDLISFNQDLKMRESMRDVLSPMHGDISLSMSK SLESARIHVTTLPLHLNLNIQRLEEVVGWIGGLSTILELGSSISSASGAKTPKKDPPK RPRGVHFEAPPSPEKLPQDTSLPWKVNARIGGVALNIVGESHYLKLRTTAVKVVSRFE GVGVQIDKAKLSGPLPLDDSKDAPAKINLSNIRIEYLFAPKEVDLDRLLSLITPSKDK YDEDDDIMLDTLFRQRRQGSVLRTTIAGADIMISRITDFDSLPQLGDELSRLSNVAKY LPEDDRPGLLTLNLIRDFEARVNVGGKVGDITARLKNAEVAYISIPSLVAAQVGSATV LRNGTEELLGEALPLSAEQRSGQIPHPMLMARFIADEMEPTIKVKMHNLRAEYTVPAA IAFLGLNESSTTSDFAANMAQSIGNLAELQPSKESQSAIKPGSPKSPVRPTILALALR DCVIGLNPRGSEAKGLVVLTNANFSGAMDDGASSEATLDLRKASIMIIDDVRNMGSTD DSHRRNSTAPPTNQVQSFIDMGFVTVSSISSATASVKLLRSGEDGTQSLDVELRDDLL ILETCADSTQTLISIVNGLQPPTPPSVTKKYRTEVLPLQDMLASFSGDAFALNSSSSL EGVSETAGDSAENIQDKEGHIEDEVEYVSDFYPAKPTSGGGSLHEAMTASGSNELLDS FHSQYYVSSSISDLEFRDDHFATQSAVGGTAHRWDSTENTYGLSDDTKLQKSPLRIRV RDAHVIWNLFDGYDWQRTRDTISKAVKDVERKATDRRARANRASPSFDEDEESVIGDC LFNSIYIGIPANKDPRELRSDINRNIDDLVSETGSYATTTTVTGATVRQSQSPSFRKK LRLSRSKYHKMTFELKGICADLVVFPPDSGETQSSLDVRVNDLEIFDHVPTSTWKKFA TYMHEVGEKESGTSMVHLEILTVRPVPELAASEIVLKATLLPLRLHVDQDALDFLCRF FEFRDDSAPASSAPQDIPFLQRVEINAVPVKLDFKPKRVDYTGLRSGRTTEFMNFFVL DGADMVMRHVIIYGVSGFDKLGQTLNDIWMPDIKRNQLPGVLAGLAPIRSLVNVGGGV KDLVVVPMREYRKDGRIVRSIQKGALAFAKTTSNELVKLGAKLAIGTQTVLQGAEDLL TSPNTQLAGAEEELGDEEEAKKISLYADQPVGVVQGLRGAFRGLERDLLLTRDAIVAV PGEVVESGSAKAAAKAVWKRAPTVILRPAIGVSKAVGQTLLGAGNTLDPSNRRKMEDK YKRH AOR_1_2796154 MCMPGNRSLHEYRQYLSSCDSATSDSPDIKKLRRKNAALNLNQS QMSLDDHYEYPFSVSSAASSPPPLSPSQSPSALSEQPESLDGFLRMGYHRHISPVDQC LLADLAPGTPSSVDDHICLQQNPHKILVSRHLIRALMDGRYFGSRSDFHTHMQDTFRD RLEKYPDPYPKPIPRNPPASIHSHTKRYSDSMLLNIKKPTTTIIHQGTSFEILNPHES LHFARIVSYIEDVDSFSTGHNRDSYISFTEDTVIIESDPWSYDPPPQPHIHTQSHAEE AFEDENRKSQLDIGDTQGLHHHLMPSINELLEETTLNMTRYLASKPRECASPTNESDL GEPGDPVYDDNHPMNPHEGLWQFDIGINPATKPPSNEQTMTPRTEIKLHRRPTRRSTS SRKRRGPLRKLYGLFRRKTGKQAK AOR_1_780154 MFEDFSFSSPSSTKPPRLAFDGDDNLMVDCDSSLISPLSSRCPS PRSTATHRIPRSLPRSRSSYFRSAQPPTSVPLSAYDDHQKRLSISTLTRKLHEHTIKT SDNETQFGRPATPTSPQSLDTSGRFPGYFLTPPDTDHDDEGSLDSPSLTSGSLSPQPQ SPFLSPTSVPCDLFPQTADMDPLSHSQDSWNIRAQRQNISRLQCNHSELEAIRRALIS DDEKLTTTFDPDACHPSSIPPQKSPRRRAATLQRSRFRPQLGPSLLDPPSSDSKGRRM SSVIPVQTTRIEKNYHSSSRDLRKKSEQGLRRKSLVSAALASMVEKEC AOR_1_782154 MAALLKQPLKLALVQLASGADKAVNLAHARTKVLEAAQAGAKLI VLPECFNSPYGTQYFPKYAETLLPSPPTEDQSPSYHALSAIAAEAKAYLVGGSIPELE PTTKKYYNTSLVFSPTGSLIGTHRKTHLFDIDIPGKITFKESEVLSPGNQLTIVDLPD YGKIGLAICYDIRFPEAAMIAARKGAFALIYPGAFNMTTGPMHWSLLARARAVDNQLY VGLCSPARDMEATYHAWGHSLIANPAAEVLVEAEDKETIVYADLDNDTIQSTRKGIPV YTQRRFDLYPDVSAEK AOR_1_784154 MSTTVQTGKKQRSAIADVVSREYTINMHKRLHGVSFKKRAPRAI KEIKAFTERAMGTKDVRIDPALNKKVWEAGVKGVPFRLRVRISRKRNDEENAKEKLYS MVYAVNVKETKGLHTAVVDEE AOR_1_786154 MNSTPDEKPQRATAAQMAQRKIKDVRRRARPNTAAPSTTASFGG PFNSLNPNTVSTPSVPQPISNGFTFGQSQSFPGASSNPSQPTQNGSTPFSFGSGGSSS SSFDFSSSFGGSGSTTSNPFASMTAGSTTQSSDGGSFSGFKGNMFNVPPAGSSAPAQQ PLPSGGLFGTGSQQNNTTGGLFGSSTTSGSSGQPATAAPATTGSIFGQNSASSSAPST NVFGQSASNKPSPFGQSTAFGESMQTSPDAKNNGAQSKPPIFGGGASQTGFGTSTNFA SPGAGSLFGGSASKPAETPKPLFGAKPTEQSTPSTSLFGATTQPSSTPSPASSTPAAS STTAAPSPSIFGTSSSAKPTTSFQNPFQSTNLFGTPASSTAAPALEDKEKQEKKPEES QPKTGFQFTPSTGGPSLFSKSASSAAPLAPSSGLFQPPSTGSLFAPKPSVEQNTSSVD QDKAKPAEGNPFSSLFAPKPATPAKPAGEQKPSTEQKPLSSSGNAFGNLFAPKPSTPS EGSKTSQPEKAATPTPLFSTPTSGPDAPKSSGLFAQSPLFSAPTVGNKTPAPAVTAPT PSQSPFKVNGTSTASSASSASATEKSSTTSFEDMRPSGLPDDLDKASKEEVETLYRMR MLNECFKREASRLDPTKDDFDALVQFYMRVRDTIGAPAGPQKRKAADESATADGHSVK KVKPFGLNAASNKEDSSPATTTTATGSVATNIFGASQATPTTSKRKVADEDENTASPG KRVSEDSTTASIFAQSFSKSINSGSSDEPTKPNSTQSVTSSARPSTPDSDKPALFSTT PISSPPKPLFAASTTTKDNSTSTSLFSQSGPSFKPTFTASTNGTSTNSNPFVLKPSGD KEASSAPAPIPGMPKFGAGATNFFAQFKAQVDKDAEKEKEKRKAEDFDSDEEDEAEWE KRDAEERRKKLEALESQAPKRSKFVPGKGFSFEDDEETSDLDKKEETAPTSDASTSSI FDKKTDSSAKPNNIFGHLSATPSEAEENDDADDTEEASAAGDEPEDMSKDTSLAPASE DESNEYVDAKAGAGSGAENSANDSSDDGDLTKALKKSKQEKTATNEQSASDTGASGRS LFDRVEYKQDGTLKRQDDEEQKPLSTFFNSSKYASSFNSPGTPNPFAPTPSKSDAEKD DAPTSKPATPNPFASLFGSPSPTPAAGTPSIFAPSAAKAGADNTWKMNSPIKFASDSN AASTSKLDSASATPAADSSKPFSTLFGAASATKPASSGTGSPSPGFTFGGPAQPPSFL APSTVSSAAASRASTPGITSDTGAEESGDGDAAEALPQANLAQSRAGEENEDVVIETR ARGLKLTKDGWNSQGVGFLRVLKDRTTSRGRVILRADPSGKIVLNASLIKQLSYTVKG TSVHFLVPQADGPPEQWAIRVKKEEAERLGTAMEETKA AOR_1_788154 MSLKNDAFPSSAAFDAINAALQSDAAERKEAVDKAKAIVAFNLK NDKGQEESWYLDLKEKGEVGKGAPSGGKKADVTLSLSDSDFASLVSGKANAQRLFMGG KLKIKGNIMKATKMEPVLKKAQGKAKL AOR_1_790154 MSPPSQPFQSAKRLAEQSQPLGPLTIRKRGREKDYDPNHAHDDS VETDWTDDLDLPLKRIATKKPSSSPSSLSIRSLQGQGQDDGFDDSIDELRRVLGLDSQ DILELQDEQRKAEQWLESRKEQERLDEEYARRLEGLQESPRPTSARSTSSTHYSESSL LSPPRVNNGTPFPDINRLMPGAIQTPDIYRSPFVPPPLPETNRPGPQRLQPTLIPDSD DSDIAEISAQDFELLIGPSSKRPFPSHHSIGSSRYSLQDSFQQQRPYSSLYPYLESMP GPSGIGGPGPAYGPHVLQNTMARLSAGKQLLEHAGRSIFGGVPNPFSSYDSSVPPYPG PTGYDMNKLPEWMSDYYGADPTKVNEEIKQLLETIRPDSDISTENREGTPEALKVTLL EHQKLGLAWMKSMEEQEQKGGILADDMGLGKTIQAIALMVSRPSTDEERKPTLIIAPV ALMQQWKREIGRILKPGRHQLSVYILHGEKRAVSFRDLKNYDVVLTTFGTLSSELKRR EKYDELQSSGANEQTLSREIAKSLPCLGPSSKWYRVIIDEAQCIKNRNTKAALACCRL NATYRWCMSGTPMMNNVQELHSLLRFLRIKPYSNLERFNHDFTRPLKGSSVSAQRKAM RQLQVLLKAVLLRRTKDSKIDGKPILQLPRRISEKVHAVFSEDELELYSSLEARTQLQ FNRYLEAGTVGRNYSNILVLLLRLRQACCHPHLITDFSVKLNANTDELDLIANAKEFD QEVVIRLKSNNDDLECPICMDAVENPIIFFPCGHSTCAECFSRISDPSLAVRQGHDGA VEVKCPNCRGKVDPKKITDHVSFRKVHDPDHSHDPVEEEPIKPAEEQDESDDSDDDDS LNRFIVNDEEDEESSSKRSRHKGKGKMAKAKKTLAELKKEASKNQKSKRKYLRRLEKT WVSSAKIEKAMDILRGIQEGEEKTIIFSQFTSLLDLLEVPIVRQGWGYRRYDGSMKPG DRNSAVLDFTDSPDCKIILVSLKAGNSGLNLVAASQVIIFDPFWNPYIEEQAIDRAHR IGQVREVQIHRILVQNTVEDRILELQDKKRELIEGALDENASKNISRLGTQELAYLFG VH AOR_1_792154 MAAPVPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIATLGVEV HPLNFTTNLGQIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPNWH RDLVRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPFLW LARKLVGNATLDFVAAPALAPPEVSVDPEVLKQYEADIVTASAQPLPDEDDADL AOR_1_794154 MVIHMDDNIHAPTVASGPTTTGGPDRDPAKLSMVELMQEKERIE EELSALSSILTSHGVNMNSSLTTFDDFPRADIDVAQIRTIRAKIIRLRYDHKEVMKYL EAGIHDHFANLQRAQGDTSSVSNTNGSSGTQSNLTGNPSSDAAMLGPPFARVNSVAAA SPADRAGLKPGDKIRSFGTINWINHERLSKVAESVQQNEGRTLIVKVLRQDGGDATEL DLELVPRRDWGGRGLLGCHLVPL AOR_1_796154 MEGLKEEGAEKHHLVMGDFELEAKRRNLTDSHAPEEHTPSFLPF KRWVKSFRAKKSYSPCQRLRYVEGWSDTTQAHCENTNALPCGGGQDLQWECLSGHSSN LETIKTSTLSVASQSVVRSRGTTQSTNRSFGSDLRGSIESLRPALSPSIDEEAHNRAV KRRKVLREIITTESDYVFGLKALINVLFLFSARPEIYYNLHQIRELHEDLLARIRKVT PMSSLAAVEYDRLVPQGVHERFNPTALSPRALQSRSMRTRCFKRSVLSRFKTLAAEAN EALEVAVEIGKLSASFATYMDFCSNYEQLTEDVDILRQSVPNWSVLENGIEALSKSVA SIENQALENNKSMLLHDLLIKPIQRLCKYPLLLQELLKWTHIQDDPTAHDGIHQALEG VRAMINQINNAPGNPVNKGMVQRTLLLQEMLRLPKLVAVHNIYKQLGPMTLCGVLHAT YQSSTYLAGDYMVCVLFKSHFLLAKTKNDDRSLEVVACLYVCDAKIDTLRNGKGLCCH GCFFSWKLVFQFQNTKFELVLSASSAYEEKQWKAEFLKSAALSANMQRPVSSELRGYS FLTLDLAPLDQMASFEPSFSRTASVHSVAISRVESDLQHVVIKRTHCPHKLGQTARHV DGEFERPKLSVPESPVILTTRRQDRIRLERIISSVYTREYLPYPGMSLAKGDILFRPG TIMRRFTVRPGVYRRSSSVNIPRSQSVVEKLYSTRETPQCKWSNDSDKVEAFHAKWGL SDEKNVGCLLKSSMGTIKCSKTLGLRNHPRSSCGLRLQQTDKGDGNCKRARAESPLKT SIRIMFNSMSLRRPKRSPGLRVCVSGG AOR_1_798154 MTRANEQTAKVFYKGSSEDFVVFVDDIEILNNWRKDRSIPLADV VNGFKIFVTHKHGAQGIMDGASKGILETEFGTSNEDECIKKILENGEYQSSVTKERQG GTNDAKDSPVVGR AOR_1_800154 MSPPASTTLINEDIHVETTFATDDSEDVPVNKKTSFNGRLNQYF HTSKNISNSSIQDTSSKRKSESESNGQSSNDNDSNSKRRKKAISTTVLNLPQRITRSR SASSSPASFASPSPSPATEPSTPGRSRARRQPSSRKSTPASSSVSLLRDTIPPNLTLL LVGVNPGIMTGATGYVYAHPSNLYWKLLHWSGITAIRHPPSDTYRLPELYNIGNTNIV ERPTRDASMLSKAEMDAGVPVLEEKVAKQQPEAVCLVGKSIWEAVWRVRKGRAIRKEE FRYGWQDESENMGRSERWNGAPVFVATTTSGLAAGMSMAEKQAVWNELGKWVNSRRAA KKNNLPE AOR_1_802154 MGRLIKNHWARLIILTAAAYQVGSAIEGFIWPKIFWDFMTKNLN GAVKPIPVLQILNLLMGLLGLAWEWPLKYFAGTLPHRSIEFRLILYPLSALLSMLLYQ GTDPAIYYLVGIGVYFWAYSEGEVVCPVPWTLPKRNSLKV AOR_1_804154 MSYTWGSLFTGSKILFYILFWGSHILVFALGWYFQANNSRLDAL NALKYSVWISRGAGLVLTFDGTLILLPMCRNIVKTLRPRIRWLPLDESIWFHRQVSYA LLIFTILHVAAHYVNFYNVEKDNIRPVTAVQIHFTEAGGITGHVMLLCMMLMYTTAHH RIRQQSFETFWYTHHLFVPFMLALYTHATGCFVRDSTDPYSPFAGKDFWNHCIGYEGW RWELVAGGLYLLERLYREIRARRGTVITKVIRHPYDAMEIQFQKESMRYKAGQWLFIQ VPEVSSNQWHPFTITSCPFDPYISIHVRQVGDFTRALGDALGCGPAQARDLEGLDPLG MYEVALENGQKMPQLRVDGPYGAPAEDVFENEIAVLIGTGIGVTPWASILKNIWHMRA GPNPPRRLRRVEFIWVCRDTSSFEWFQALLSSLESQSAYEAANEGRAEFLRIHTYLTQ RLDDDTAANIYLNSVGQEVDPLTELKSRTNFGRPNFQRLFTAMRDGLQDGTYMPGLYA EFSTQIGVYFCGPNAAARQIREAGALSATKDIKFKFWKEHF AOR_1_806154 MDSSSSQPEKKSIPRFASFKPRPAPPPEADRPPERRSRDSSEKE DKHGHHSKRHRSRHRHHRDHSRSRDRRRERKDIRHGHKEAYHSERELIPEHRSAPQDT VKLEEEASDLFVVDCKGDRYNIIYGTIHRYNVPFYHRIGRGSVLGLPSTYKIDRDTAE GDALIIKADAWRSDGSRTRSKSIISGVNTQKTKILRIRPAPTLDAAADASKDYLPLKA SVHQKPSDISGDEGSDDEKYGYRSIHGKAKHEDNLPSDMEEVSDTNLSGDETVRVDPD KEIKQRSVELSRNVERNPTDVRAWIDLVEHQESLLKGSEGETRTLTYAEKKSLADIKI SLYEKALKKIGDHASRDLLLLGLLEEGAKLWDTKKLSARWQAVLKSSPHFISLWVKYL DFRQTEFLDFTYERCYATFIDCMRLNRSASDNPEKSHVQVYLFLRLTLFMREAGFAEH AVGLWQAILELTLFQSGTIDSATAREEVLSAFMDFWDSEVARVGEVGAKGWRSGHNTL LEPRSFTPRLRVNSKSIFASWMSCEREHIHNARLPARSLDEENDDPYRVVLSTDLREV LSLVWGLASTDVLVDSFLYFCHLPPIAFSNDSKKTNHWMGDSFLRNEFMSSSDPALDR WVHKWNTNTRTTASVPVYFQNFVHSFDTLFADHEAWFSSIGPWATAVLNSQSDVDPEW VSKVLRSLVEAMPRNDHLAAYSIAVEFACDRIKAAKYAKSLLKKRPSSLWLYNVYALI ERRSGNLEAADRVWETTLSMSQNSKTFTEREKADSVLLWHTYIWEMLEAGSLDYVSYL FNSIPQSSPSLKAPVDPKQYIFSPTSLLKTHNLLSDIQEALLAAGNANTISLFPHNTI FLSLFAWNESRFRIEERVRDTIMDITTKAHNRADQILTTQVPITSHLFSIFTELNRPI YAGSTPHSVRAAFEKAIGDQDPSTPTHYNTVSTARSSLTLWKLYILFELSQHDINRAK DVFYRGMRACPWSKELIMLAFSHLRADIIQERYPGASRKGDGMNFLELRSVYNVLIEK ELRIHVDIEDELDEFVAEMQQKTAALGLPIAMPEDADSEDERM AOR_1_808154 MASSLAAQLSQIAANSTNQLNLKAQRISHSQSLIFDRKVAGSQD FDTIYDICNEGFQELCELDPRFAQFERTIFSEQSKVQERTEMNAAQNKELDAVLETFL ALVGGKLLLSPAVKAVEWLVRRFRIHEYNTEFTILTFLPYHTTPLFLNLLSILPEDLT PTFKILNPYKKSSVNPPRHPLVHSATTNKPFFAALNRYTIQVSKEQAGHHALLTFWAG IVTESVAGMLDSARSGRRNIEKENHDDIIMRVLPVLNDGLAMKDVAELVIGCYMVCVA IAQKASLHDKVLDSLMEAVAESWTEETVNSGLVCLAVLAQKKPDTTLPKRVFKAILRL ENPLQQLSETSKQHRASQLLLGLVAGCVQDLSKQKDTARLDFLSLMFESELLGEAELG SGMAIVLRASSNSHKDGAMSLDAQTHLADLVQHFSRSESLRPIFQKTVAESSFDIVAI EQNLQTVIESAPAPKALEDIEMEDAEKEEEQDNFAPALKSLTGSSFKGSYLSTQSIPV YDNLVRAFALGIGAQEKLDAFANLPALDKGNAAKSPQYLSFFVRVFSGSYPIGTRVAA LNMVSSFLTTASIDMDLQALLPFVLVTLADPSERVRREAAGILTIIGSLHKNKKGDAP GGVWARDTIYGQDKQPKNIQWTPGRDLQKVFERALLPGLEEYVIDPDHIGRVLEATLR GSSVSDSESSELKKAVRLSFFTCLCSHAVHVPLYAPKLGLLKLLNRVEKAGGTTRTKE LGSLLKSWREMDGQQAKDVCEKERVPVSEMESQIVLTVTPKERDAITVLLSNVSPYSG SLSPSFVGAIFGRMKDVWAKVPEDRQALAAENLFEISLEQSDSPLVDGCKDVLRSVEL PGAVLSQFLQKIPSTVTDMEGLGPAPKRRRTSQSNMVAMTVKDEAALSELMEKMTFIL ELVDSSSPETHPELADGLFQTLAALHHFKSQVQSGMSYLLSLALGSLLAIVNRSKTIG KPQFDTSVIRADLVVDCVRTTDSPQVQNAALLLVAGLSVIAPELVLHSVMPIFTFMGS SVLKKDDDYSVSVIDQTIDQVVPALIQSLRNQKRDVVSGTSELLLSFTAAFEHIPSHR RLRLFHALITKLGTQDFLFAVLSMLANRYSMDKDVLILMTGLVSDANAPVELATYSKY LGLVSDSLKAKPGISQVLLGIGSDDGREPQKVAVDLLRALAYLFRHSSLKSKMAKAFA IVEGDEPQQIRALFSQILEQTLAIGDNMQDMKSVGQASGEVLSALFGTLSLVDFLDTI EVLLQRPNDELRRKVLRLLEGRLRQNPERDSPSQTRMLDFLSVLVKIVESSPDILLKH AAVACIDRIADKYGKKDPSKVIPAARVVASEVCIGQEDDRIRIMGVLCLASMAEVLGQ AMIPALPDTLSRSLALLGLSLEDGKENTRLHDAVYSLFSALFVHLPYMISASHLDKVL VLSYKSAMNDEFEEESRQEALRLMAKKVDASATFGAVDRNWQHAVQAGPEATKETLEV VSMAIEKHPKSSTAKNLPVITNILFKAFDLRREQLALGSDATFDLSDVDEIEETINEV TIKMIYKLNDSTFRPIFTKLLEWATTGVSKKDTQGSLARHTTFYKFLQVFFGTLQSIV TGYASYIIENVVSVLSKASPSNPNTKSLWLATMRLLKNAFEHDQDEFWQSPSHLTKIA TPLISQLAHATHPTTATLVINEAIPAITELAVAADSTDNHKELNTVLMRYLRPSAGPT GKAAGGENPHTRLAALKTEQSLTEQLGEEWLALLPEMLPYISELMEDEDENVEKEVRK WVKQIEDVLGEKLDDMLT AOR_1_810154 MGSNNEGRVGVYSSAHFIPRFQPAVPPVLERLRPRRRSRAPVPQ KRSHTTFPYEPLDPAKHEIRLFELWPGKPGSKVVGRLFHVSLDENPSFEALSYTWGPP KPTYNISINGYKAFPVQRNLRKALDDLRQPDKPRVLWTDAICINQGSKEEKEHQIKLM GSIYSSAQVVCAWLDHSVRPMDVSFDDLARLGEEIQISDYDPSHWYPVADIFRNPYWR RLWIQQELILAREINIYCQRDVFDGQQLLEFQRRVNDDSFFKRSGEAGRLAKYIDRER MPHQSLGSNILQARADRLLGSQLHHQQSNDGVTHYEVTRRYLGSSLLQLFLQTAGLNM TDPRDRVYGILGLVWDTDVSKVRVDEAAPVIEIYLQVFSLFIERHKSIDFLCFSHKRP YRTASRGDDFPTWMPHDSVDWSAVNASRASGSITARSASIDLKSRILFAQGRLVDTIE NIGPKWEFPELPILEWYRNLEGYLRRLWPEDAGHGPLYEREDVIMLLHPWVSEKRYRQ HYQHQHERPTHETTVALLRAIRVAAEQVDQDKLTLWDLASRPRSDFLTKYQFMCKELW NLISIRVFVGTKCRRLGTMKNNVRAIEPGDQVWILHGCRMPVVLRPVPSKKARFTVIG PAIFPGLMRGEAMSHCEAELTSTVVELE AOR_1_812154 MKTFFFLLWGLACFASAALIPHANDKHDTSKPENGYISVAYFAS WAIYNDHYPQHIPADKLTHVLYAFANLTETGEVRLWDQWADYDKLFPGDPEERDMANI YGCVRQLGLLKKKNRHLKVLLSIGGYTNSQSWAGILNVEANRKNFAESAVKLMHDVGF DGLDIDWEYPKEDSAKDMVSLLKEVREELDRCSKEHANGNHFLLTIACSAGASNYKVL PMSEMDQYLDFWNLMAYDYVGSWANTTGHAANLYPNTSNPETTPANTDDAIKYYTSNG VPAEKIVLGMPLYGRSFINTTGLGQPYVEVGTGMGDPGVWHYKVLPLANAKVVELPRT GASYSYDEKNKMYVSYDTVNMTKVKAGYIKMKGLAGGMWWETSMDRVGENSLIGTLVK ELGGTGALNKTENYIDFSWSKYANVRKGFKEDEKS AOR_1_814154 MTSFVTRSSSTLESLTQSRPKVNIELAGQTEGLVNSYTTKDRIE GTAVITVDHDTRFDEVEITFEGTSRTSVERVAMPGRTGAYQTFLRLRQPIEDSAYPMP RVLEAGRTYKFPFTFVVPDRLLPHVCSHAKTNAHVERSHTLLPPSLGDPMLANDGKSL LNDLAPDMCRISYLIRVSVQRKPENAPSKALASVGKKVRIIPAVDEEPPLNITDDDSY CVRKEKDVKRGFMRGKLGRLVVASSQPKPVQLCPPNSEATDSVSTAATVHLRFDPVGN EEPPRLGTIWSKLRASSLFSAEPWGDYPSPRNVPWAQIGQGCYTETVPLSTMCVASAH WTKHTSPRGLSRCDSMESTSSSESLTGPSASFTGETYYTASVVVPITLPKTKAFVPTF HSCLISRIYCLELSLSYHTPNANILTPTATLKIPIQLTSRARSDAKTKDSEHEITQHE VNAEFFSPRSVAPPTLVQVAPPEYSENQGPILPPDRSIDLMSTARVPRVHAGSVGTAF AOR_1_2798154 MPPKAARKLKDSIEQEGRILLAISAIKKQEIRTIAEAARIYNIP RTTLRRRLNGHTFRAETRANGHKLTQNEENSLVHWILSLDQRGAPPRPAHVREMANIL LLKRGFSDTPTTVGENWVYTFIKRREELKTQFSRRYNYQRAKCEDPKLLREWFERVQI TIMQYGIQPDDIYNFDETGFAMGLISTAKVVTRAELAGRPFLLQPGNREWVTSIECIS SRGPLPPCLIFKGKVHIEGWYEMGLPSDWRIETSANGWTTDEIGLRWLQQLFIPFTAG RCFGPLKRAYGQLVENKMRLDFNHINKLDFLEAFPQARAQIYTTSNICSGFSATGLIP FNPKRVLSQLNIQLEATPPGSRPSSRSTNSVPKTPHNLKQLQKQETTLKKLLRARTKS PDSPTKIVIKQLFKGYERALNEATIAKQEARELRAAHERMLKKKKRSTRQLPIESGAS VQEAQELIQGRNSTVEPITTASVDIGAPVESQRCPNRQTI AOR_1_818154 MATTSHMFMYSLTIQPPTAVTQAILGQFAGTKEQQIVTASGSKL TIHRPDPTQGKVTPLFSQDVFGIIRSLAAFRLAGSNKDYIIIGSDSGRITIIEYVPSQ NRFNRIHLETFGKSGVRRVIPGQYLAVDPKGRACLIASVEKNKLVYVLNRNSQAELTI SSPLEAHKPQTLVFAMSALDVGYENPIFAALEVDYSESDQDPTGQAYEEAEKLLVYYE LDLGLNHVVRKWADPVDRTSSMLFQVPGGADGPSGVLVCAEDSVTYRHSNQDAFRVPI PRRSGPTENPERKRFITAGVMHKMRGAFFFLLQTEDGDLFKLNIDMVEDDNGQLTGEV KRLKIKYFDTVPVASSLLILKSGFLYVASEAGNHHFYQFEKLGDDDEEIEFSSENFSA DPSVPLEPIYFRPRSAENLNLVETINSLNPLIDSKVANLSEEDAPQIYTISGTGARST FRTLKHGLEVSEIVDSELPSVPSAVWTTKLTRADEFDAYIILSFANGTLVLSIGETVE EVTDTGFLSSAPTLAVQQLGEDSLIQIHPRGIRHIMADRRVNEWPAPQHRSIVAAATN ERQVAVALSSGEIVYFEMDADGTLAEYDERRQMSGTVTCLSLGEVPEGRVRSSFLAVG CDDSTVRILSLDPDSTLENKSVQALTAAPSALNIMSMSDSSSGGTALYLHIGLYSGVY LRTVLDEVTGELSDTRTRFLGSKPVKLFQVSVKGQTAVLALSSRPWLGYSDIQTKGFM LTPLDYVPLEWGWNFSSEQCLEGMVGIQGQNLRIFSIEKLDNNMLQQSISLAYTPRRF LKHPEQPLFYVIESDNNVLSPSTRAKLLEDSKARTGDETVLPPEEFGYPRGSGHWASC IQVVDPVHAKAVVSTIELEENEAAVSVAAVPFTSQDDETFLVVGTAKDMNVNPPSSAG GYIHIYRFQEDGRELEFIHKTKVEEPPLALLGFQGRLVAGIGPMLRIYDLGMKQLLRK CNAQVVPKTIVGLQTQGSRIVVSDVRESVTYVVYKYQENVLIPFVDDSVSRWTTSTTM VDYETTAGGDKFGNIWMLRCPKKISEQADEDGSGAHLIHERGYLHGTPNRLELMIHVY TQDIPTTLHKTQLVAGGRDILVWSGFHGTIGMLVPFVSREDVDFFQNLEMQLAAQNPP LAGRDHLIYRSYYAPVKGVIDGDLCETYFLLPNDTKMMIAAELDRSVREIERKISDMR TRVAY AOR_1_820154 MADDTATAEDSPVTFNIKSSNDAKYTITLPVSTQVSELKEKLAT SEYADTPAERQRLIYSGRVLKDNETLATYKIKDGHTIHLVKSAASNQRQAGTSQTASA STPSGTSATPAAGVPTNLAAGTGNNPLAGLTGARYAGFAQLPGAGMFGPDGGMGPPPD ADSMLNMLENPQFQSTINEALQNPAMIDMMIQQNPMLREMGPGVRQMMQSPEFRRMLT DPNSLRQAMQLQRAMGGGGGLGGGSAFPAPGVTNTTPEESQNGQNNNGATPAPGAPAF NPFMPPGLGAGNPFAALFGGNPAMGGANPPSTSTATGTGQTETAQRAAGDAAGGDTTT GEGQNQQNAQNPFGLLFNPAMFGAQGGQVNPFNPQQNPFLRDPALLSQMMQAMGAPPG EAGAGGLGANPLAALLGGSGFGTPPPQDNRPPEERYAEQLRQLNDMGFYEFERNIEAL RRAGGSVQGAVEYLLSHPS AOR_1_822154 MELRCLWCPQWALWLLFVSYSCAFYIPGYSVKRYADDESIPLLV NKIFSDHTQLQYAYYDLPFVCPPSGKTHGGSPFGSGHSVSLNLGEILRGDRLMTSDFE LQMGKNVECQALCTAEVGRKDVKWAHQLINEGYVAEWIVDNLPGATSFVTVDRSRKYY ATGFKLGSRDPSPIDGKPHYYINNHFTIVIRWRSAPEGGKLIVGFEIYPKSIRAEDHV ENGCPKQVHEHHDGLELYIPPNTSKLREMYPGSSYIPEDDGVDDGTTLKIPYTYSIYF KEDNSIDWSSRWDLYFSNQDDSSMTHWFAILNSLTISSVLGVAVYVIWGRTVQGDIKG RGDGAMDEAKLKARSAAKAKTLERKGDGLLDHGSDLERDADISSDDEGLEDVSGWKLL HGDVFRVPEYSGLLAPLVGSGMQLLFMTSGLLLLSCLGILNPSFRGGFVSVGMGLFVF AGLFSGYFSARLYKTFGGANWRKNTLITALFIPGLTFCLIFILNLFVWAQASSTAIPF GTLIGLLALWLLIQVPLVYMGSWYGYVRTAPWEHPTKTMSIARQIPPQPWYLHNTYGP VLTGLAPFAVLFIELLYVFKNLWQDKSGYYYVFGFLSAVSTILMVTVSQVTIIATYSQ LCSENYHWWWQSFLTGGSSAFWVFAYCIWYYFFHLHITGFVSSLLFFSYSFLACAVYG LLTGTVGFLTAYAFVRRIYSGVKVD AOR_1_824154 MATKKPNILYIMADQMAAPLLAFHDKDSPIKTPNLNRLADEGVV FDSAYCNSPLCAPSRFVMVTGQLPSKIGAYDNAADLPADIPTYAHYLRREGYHTALAG KMHFCGPDQLHGYEQRLTSDIYPGDYGWSVNWDEPEIRLDYYHNMSSVMDAGPVVRTN QLDFDEEVIYKSTQYLYNHVRQRGDQPFCLTVSMTHPHDPYAMTKEFWDLYEGVDIPL PKNGDMPQDQQDPHSQRVLKCIDLWGKEMPEERIKAARRAYYAACTYVDTNVGKLLKV LDDCGMTDDTIIVFTGDHGDMLGERGLWYKMTWYENSARVPMIVHAPKRFAPKRVPQN VSTMDLLPTFVDLVGAQLVRELPLDGVSLLPYLTGEDGLKTDTVLGEYMGEGTQSPVV MIRRGRWKFVYSLIDPPMLFDVKTDPEEKVNLAAGLPIPAQLSAAKHISGSQLQPASL PTPAESPRISPRPQRGASSAYPFPSPPRTPSPGKGLPEMPTTTEPAKVLAYFLEEAQA RWDLESITEDVLRSQRRRRLVYSALIKGNPAFWDYEPRIDPSTQYVRNQGKGVLDDVE FISRWPRVLQQAANATGTKI AOR_1_826154 MPSKITVGVAQARTHNTVTETLSALNRITRDAASRGVHLLLFPE AYLGGYPRTCNFGTAIGARQPHGREQFLNYFHSAVDLGDTPTGAGDDWVQRKLPVAEG KNHRGDGTRETLERIANETGVFIVVGVIERAAGSLYCSALYVDPARGVLGKRRKVMPT GTERLVWAQGSPSTLKAVTTHLNGVPVTMAAAICWENYMPLLRQSLYSQNVNIYLAPT ADARDTWLPLMRTVAFESRAYVLSANQCVRYNELPEWVTGQQDEKISTEYVCRGGSSI VDPQGQVLAGPIWEVSADDASDSAADAGGDGLIISEIDVEDCERGRLDMDVAGHYSRS DAFKLTVEGLDLNPPPL AOR_1_828154 MISGDHAISPPSAHSPVNPGEFSFEVRAAVDARLGLPSPKKRCP IPLTDAPLFGLLSIPDSINPIANPADNVLPPRRHADHLVNLYWQCLDPLEPLLDQRSF WTTYEAIFDGREIECNEQIILCILNLLFALSTQLQESTPSEQRNSASRTFFFRAWHLL RPEVILWQAGSLETVQCLILMTQYLRCTSNLHQTWMALGSAVRIALSIGLDRSGREIS EPQRENDVTPDVWQQCVFMDRSLSWSLGRSSSAPRVPFSSNSLRNGSNPPNYDSDAVT SVSEKMQELTKITGYINLSQIPTSRNPAEGLDLAMQTQAEPYTVIQIDESLIRLENSL PPFQPHTPIIEPTDRRLFLLRLRIAYTRIILLRPTMAHFCLPHPQPQKPNEPSLADHI TQTHATLCVTNAQKLITLIQTCSQQDNPGLIPWWYRVFFLYIAMQHLIAAMLRPDVFA TVVVESWNTAVSALSAHEHLSLSVGRCLNKLRLMWGKVGDIQASISHEVLADCDMGWR DVFQYLGFEAEMSLFGMDDSVGLGDIDWSL AOR_1_830154 MPKNTLPNPNRYITTNNDDGTSIFTQTIPESLPVVNNLNGALFR LGYTTNQPPVELTNNTDLHLYETSLQELPPLVPQGGGANVWYIDTPPESESPLHRTVS LDFVIQITGEIELTLSSGETRIVKPGDLTVQRSTLHKWRNPSKTKWSRMVGVMAECRP VVTGEGRTLGEEFPGH AOR_1_2800154 MPFSKYPRFETLSHDIKTDVCVVGSGIAGISTAYELITRGKKVT MIEARNVLSGESGRTSGHLSNALDDGYSAIAKKHGRDGAKLAADSHTWAIDRAADIVK KLKLDCEFRYLPAIEISQYPRGDPKHDKEGWDGEIDQRDGALFTGQGTFHPTKYMVGM LEWLRNHPNFQCFTHTRMASVEENDLVQVRTANGNTITAKDVVQATCVPIQKLSVIAE MEYMRTYCIAIRVPKNYIEDCLIYDQADAYKYIRFTDCDENDDYLVIGGCDHKVGQDQ VEGRFQELETWVRERFTKAGSVDYKWSGQIFEPVDYMAFIGKNQGMNHTYLIADEIEG VQNPWASLYNPERLTSIAKSLGSMLQHDIQINTQYKRYLQTDIKDIEDLAVGSGGVLN KADLSAPMAVYKDEGGQTHRFSAICPHMKAVLSWNAAEKSWDCPVHGSRFSCDGVCVE GPAKSNLTPLDDFSKTKQQEQEAL AOR_1_834154 MSNSTPSYPAIAQRKQAHLESQIPAEWKLSASQIPSGMLSLEDS ITNAKQYQRVNVMDVPRTCGLLTNKELEITENWDIRGLLRVIAEKRYTAEDVVGAFCK RAAIAHQVTRCLSEPLFDRALQRAKDLDLHLQKSGKPIGPLHGLPVSVKDSFHVKGVD STTGIVGLAFKPATQNSPLVDLLESLGAVIIGKTNVPQTMGALDSCNYLFGRTLNPLN RQWTVGGSTGGEGALIAMRGSMVGFGTDIGGSIRVPAMCNGIYGFKPSVGRVPFGGQE GGQMPGKGRVSLQAVAGPLARSVADLGAIMEEVVPRAELFGEDCIPGRWHGEFPFRLP ETQGRNVTIGVLRSDGIVEPLPPIAKVLDEVAQTLRKTPGVEVVEIPVPAALTKCQGL AGRLMGVDGGNAMMDLLESTGEPLIPWLQGRMKRGRELTLSQLGQLQAQRSIVELELL KMWTLNSGTGRRIDAIIHPVAPHPVPEMDRYNAVGYTSSFVLLDYPAGTIPVRPFRES DLESGKEMDAPVLGSWDKANRQLWNEKTVDRRVYLGSPLSIQVVTPKQHDYELFRAME IID AOR_1_836154 MIGPMKNSLTTPLLPRPRPRISPLQLHIYSKPSTRIPIRPPKMA TTFSLPPLPYAYDALEPVICKQIMEIHHQKHHQTYITNLNAALSAQSTALAANNIPQL INLQQKIKFNGGGHINHSLFWKNLAPHASPETNIDQAAPVLKAAIEAQYGSVEKFKEA FGATLLGLQGSGWGWLVANGPGGKLEIVSTKNQDPVTDKVPVFGVDMWEHAYYLQYFN NKASYVEGIWKVLNWRTAEDRFKNGVEGSALLKL AOR_1_838154 MCGIARPSTGHRIAVMFPSANTKSMTPYSLFFREMPDAGTKAVF RIEDASTFDVFRGCRGIDLRIERYGDLTSARMSEPLHQFRLQPCGKGNDEMEFELPER LDLGVSETGIVGRQVTVLVEGQSSVGVGMGIVGYD AOR_1_2802154 MHFSSLSLPLTALSLVTPSLAYPQFKFEQRVARSNSSESRANAV KEAFVHAWDGYMQYAYPHDELHPISNGVGDSRNGWGASAVDALSTAVIMGNETIVNQI LDHIATIDYSKTDDQVSLFETTIRYLGGMLSGYDLLKGPASNLVKDQAKVKTLLDQSQ NLADVLKFAFDTPSGIPYNNINITSHGNDGATTNGLAVTGTLVLEWTRLSDLTGDTEY AQLSQKAEDYLLNPSPKSAEPFEGLVGSHINISNGAFADGQVSWNGGDDSFYEYLIKM YVYDPKRFSTYGDRWVKAAESSIKHLASHPEKRPDLTFLASYNDGQYGLSSQHLTCFD GGSFLLGGTVLDRDDFIQFGLDLVKGCHETYNQTLTGIGPESFGWDPKNVPSDQKELY ERAGFYISSGAYILRPEVIESFYYAWRITGQEIYREWVWNAFVNINKYCRTDSGFAGL TNVNAANGGGRYDNQESFLFAEVLKYVYLTFAPDNEWQVQRGKGNKFVYNTEAHPVRV AA AOR_1_840154 MATPFLVSFDPSANGLSLKQIAYFGRVLIKASSVAQAEDFLRQN FRLLDVYVDATAVSTTGDLVDILNAGAAKIFITLDQLTALSQEQSVPSSRVVVYTSSD SQVDSFQKWVAEDSERKDAGLSTDSSAVKALIDKLGLNPEAQSLYRTYSGAVTEETLK DTLTQGAVSIVPAQALTLDRNQADGKIVAASLIAARAVADQSNGLYATTVTDERGTCL GLVYSSDESISEALRTGTGVYQSRKRGLWYKGQSSGDVQELIRVGFDCDSDCLVFVVN QIGRGFCHLGTASCFGPYNGLSRLQKTLQARKADAPAGSYTARLFNEPKLTQAKIMEE ADELCRANTKEEIAFEAADLLYFALTRCVAAGVSLEDVERNLDLKSLKVKRRKGDAKG PWAEKAGLAAPASAPAPTPAPVEKSQPSTKEDSRIEMTRVITASTPVEDVKAYLKRPS QKSNDAIIGLVRPIIQDVREHGDAGVLKYTHKFEKATSLTSPVINAPFAPELMQVSPE TKEAIDVSIANIAKFHSAQKGSNDGLQVETMPGVVCSRFSRAIERVGLYIPGGTAVLP STAMMLGVPAMVAGCKKIVLASPPRADGSVTPEIVYVAHKVGAESIVLAGGAQAVAAM AYGTESVSKVDKILGPGNQFVTAAKMLVANDTSAGVSIDMPAGPSEVLVIADKQANPA FVASDLLSQAEHGVDSQVILIAIDLNEQELQAIEDEVDKQARALPRMDIVKGSLEHSV TFVVRDLAEAMALSNEYAPEHLILQIENAEAAVEQVQNAGSVFIGQWTPESVGDYSAG VNHSLPTYGYAKQYSGVNLGSFLKHITSSNLTAEGLLGLSRTVEQLAAVEGLDAHKRA VSIRVAHMKGQ AOR_1_842154 MSSMRNAVQRRNHKERGQVGGREKWGLLEKHKDYSLRAKDYNQK KAKLKRLEEKARDRNPDEFAFGMMSSHTQKAGKHGTAARQSAAGLSHDAIKLLKTQDA GYLRTTGERIRRQMDKLEQEIQLQDGMVQSLVGKRPKKKKAAVRDEDDDGFDFDFDEE SEEEEVGPKKTVFVDDKQEQRALKMKKVQEEGSGEEESFEDLERKKTARELEADRLAL QEARRARKIKQRAALARQNKLAALQKQYTDITAAERQLDWQRGRMDNTVGGTNKNGIK WKIRERKK AOR_1_844154 MATTSTSTPTTKYNLRNPLPLSATQEQEVKKIFHKRVRAHCAEE IKAFAQCAVNRTITATWVCRDQRLTMNSCMLAHAKPEEEDRAREEWFATHEERRREKE EELRKVEVRREEIIRMMREDEARSKGR AOR_1_846154 MASPNQSQNAAPSTQPLTPPAEPSNTTTNASSQQQPATGSTTTA PVAQPTQVPSTSLKDSGKSRRPRDVRLIHMLLASLGVTAYQERVPLQLLDFAYRYTSG VLQDAVHLATEGYAGAMGEQAGSSRGPPEVNTVSLPALRLSIASRLHYQFQTGLPKEF LMDVAAERNRVALPGATRGYDQGQAKPTANQSVLMGGMRLPPERFCLTGVGWNMKDEW ESEGEEEVEEEEPKETNHAGAGGEEEGDGGEDDEDGKMEDIFGEDAVMGDGDDDGDKD MTDV AOR_1_848154 MQYVRSISGSVSKTWNSINPATLSGAIDVIVIEQEDGTLACSPF HVRFGKFSLLRPYEKKVEFKVNGIKQNYSMKLGEGGEAFFVFETSDEIPASLQTSPLV SPTGSLRTRSEENLPGSLQEPDYLDLDRSPANGNANEPKSLPILSRSMRASSDLGTMT PLSGSPGDTSTSRSRQGSFGDEPEPGKLDRAASDDVLLTQTHSVADHAYGSHAAGPAG DSDLTRSRSPPPLSLEEAVTRATALSKKLSGSNIRSHVTETGDLMLDMTGYKSNEEDA LRAEVVARKILSEELEGCYDIGALIGADEHGNLWIYSSEEAKEAANRRAAFSSMRPGS AMSDNAISDPGYHSDGDKSVRDSPIQARHHRTQSDVQPGIPTPPQSPTPGETTRNYAK TLRLTSDQLKALNLKPGANDMSFSVNRATCTATMYLWNGNTPIVISDIDGTITKSDAL GHVLNMIGRDWTHAGVAKLYTDIVNNGYNIMYLTSRSVGQADTTRAYIYGVNQDGYRL PKGPVITSPDRMIAALRREIYLRKPEVFKMACLRDILNLFNGKENPFYAGFGNRLTDA LSYRSVNIPSTRIFTINSNAEVSLDLLSLNKYKSSYVTMQELLDHFFPPVSLLVQAGG EEYTDFTYWREPPPGLADFSSSDSEDDEDEEDEDEEDEEEDDYDAELSDEEGSEVDED AEEDLGNSYISQASLELADSQSHLDDDEADDEGADEVPNVSPKPSRFATAGASPSPKR AOR_1_850154 MALFLAVTILWALIWLLYRAWQVCQTPNDVLVEKLGLDIPPPPE VTLEQITAREIRIAWKQPEFHNSIHKHIIQVNGSKVGESKRAETAVEILNLAPGNIYH ICVLSVSAANFQTPSAIIHVRTQSLPLSQAQQNAPVGGPTIRASVPRSTAGLAAPSAP VMSREHSGGQLPTKRSSAGRKQSPAASGPESSHGNIEDLQKNVTNGDRDETLEKLADR LKSLQQENENVEKQTADEEEEHIALLKELEKQRDDLRKRVKEKDEASGDLKKHVYKLE SVNRTVQSEKAKRERLLQQKESERKKRKDDIVRWRERTSRMTADAAQAREEKARIEED GKKRADEVREKIAKEQAEMKVIDDEIQDKGGRVKKLEEERQGHQGPDSEDGKELDRID NERARQWEIKLSNLHARYATLVNIHAQAQQQYQEAQERLKWLTTQGPGSTAPFSLPAL DLDLSNTATIRPRRHRSSLTSNVSSPMNFTAMEPSFSGGINYNPPSTSSPTFGPSPAF FNINNGMTIPGLSSQAADAISELSFSNPQMSPRADALLPSDLLGDEESPELPRPIVRP QMSDVEQSSGQLEGFPQGPPSPDSSESRPGSIFASPHENRNQEADSQPIRLGDAAEAP KSASRRLSGLFGFHRPRGKTLADEPPLLGTLKPGQSQSFPRNLDEMDPIGARRRRLSY TGNWANPMSLFPRSNTTGVTTDSSSDHMPSRRAALTSIFSPSRFGFGSGGGLMKSGEH SDLSTGYNQFSPRHDPIDPSSILGTVRRGSLSPRPSSTFSFENQLPHPSTDNQHFGWP SAEKPGHRSPLGFSWASPSTWSRAHSRRQSTSYGSSGHLPLGLTGEPDFLEDSSFERQ GRPLQAPIGTRPSSSHRPITPKLNPTAPTFKTVISRSSEKGKDKDGEMAIDNGADTSF EFLMSDGSPSEPRTSKESYSRSLTVFTGDSYESLEQVPSTASADNSSSKESFIRKITR KGSSGKFSSWKDRSGLFSKKGDTSQGDIEEDGNSESLLAKSVDSTVSSAPSADRSTRS SLGFFSRKSKKTDKAASETSERPSEQASETGGEEHSEDIHA AOR_1_852154 MANSKYEYVKAFEQPDVLLPNTWIVVRIDGRGFHKLTDRYNFTK PNDRRALDLMNAAAVEVMKDLPDLCIAYGVSDEYSFVFHPSCQLFERRSAKLVTTIVS TFTAHYVYLWGTYFPDNPLQFPYLPSFDGRAVMYPATRNLRDYMSWRQVDCHINNLYN TTFWTMVLQGGMSNTDAEQELKGTVSSDKNEILFKRFGINYNNEEEIYKKGSVLYRQY QLEDIKPKSESKSGVLAEEEGNNVQEAKISRSQQDKLRKLRRKAQVVVDHVDIIKDEF WERRPWILSGKPGKLPTEA AOR_1_854154 MDAQAYLIRHGWSGPGNPLNPNRRPGAHSGLGLTKPILVSRRKG NLGVGQTTTKDPTNQWWLRGFEDALKGVGDENNAGVEKKPNALTSELYRYFVRGEVVP GTLGSKDEQEKKKEREESSESKAKAESKKRKKDDVDGEEDREAKKLRKEERKRKKMGR AEEGEDSAVSRSDRDSKDRKESKEERRQRKEEKRRKKEAKELKKKLKKAKEAEDSTTD ENEKATRKSKKEKEGNNPEEDYPTPISIENDQTESSGREESSDSIEKSKKKKEKKEKK EKEKDKESKKRKKSEESSPEDGSKSKSMKSKDAKKSRKE AOR_1_856154 MHTLKATASSSLSLAQDNYIYSIASSSPGSFAAIASDDSLRVFD AASLSHVSVVAADAHKGVTSLKSYDAGQQLLATGGRDGKVKLWDLRNGKRSAVVEVET SRDAPVLSIACCPATNSLAAGTELVSYQAVVAFWDVRSPGQSRLQYVESHNDDVTELQ YHPTRNNVVLSGSTDGLVNVYNTDITDEDEALVQVINHGSVHHAGFLSERTIYALSHD EVFSIHPATDPEEEVQEPNPVQFGDLRQPLGCEYIAQLCIGSQGPYVAAGHKIEKRLD LVPLTSNPSWQFDQENLWRLPGAHGEEVVRSIYLDEQSQSVFTCGEDGFVRAWKPESG EAQGDESSNKTARPKKNKDKGRFKPY AOR_1_858154 MFGNGQTGQRLPAMASPPHDSYYSQSLASSRSRNNSDAMDIYAI TDRDPPAREPSGYSQWYRNGSPSVNSIHSKSSEKQPFYEENGRMYHAYRKGVYMLPCD EQEQDRLDIFHKLFTVARVSDGLMYAPHPRNGRFLDLGCGTGIWAIDVANKYPDAFVV GVDLAPIQPSNHPKNCEFYAPFDFESPWAMGEDSWDLIHLQMGCGSVMGWPNLYRRIF AHLRPGAWFEQVEIDFEPRCDDRPLEGLAIRQWYQYLKQATQDAMRPINHNSRDTIRD LQEAGFTDIDHQMVGLPLNPWHQDEHERKVARWYNLAVSESIESLSMAPFSRIFNWDL DRIRRISSEVKSEAFNKEIHAYNILHIYQARKPAN AOR_1_860154 MNLSLLDPFVLAQDYPDTLTEKLRSGHATCLRFNRKGDYLASGR VDGTVVIFDLETNGVARKLRGHTRQIQSLSWSRNGRYLLSSSQDWKCILWDLKDGSRV RTVRFEAPVYIAELHPYNHLLFVASLFEDQPVLVDVSSPKPIKRILPSAPFRPPPPKS EEVDPAVAAKQAAQDAKHSTCVTIFTALGNHIIAGTSKGWINIIETQTCATIHSTRLC NGVVILLRLASNGRDLLVNSSDRVIRTILMPDLSQLGIDLEPANIKLQVEHKFQDVVN RLSWNHVTFSSTSEFVTASTFMNPDIYVWERSHGSLVKILEGPREELGVVEWHPSRPM VVACGLESGCIYTWSIVTPQKWSALAPDFGEVEENVEYVEREDEFDVHPAEEIHQRRL DQEDEVPDVLTIEPHKSGTDEEMESFRMPVLLDISDSESEEDIIAVGPGTMRRRSPGA GRDWASGDGEKESTGGRNGTSRGQKGRRR AOR_1_862154 MSNVYFPYSKAPLRTIKEIQFGLFSPEEIKRMSVVHVEYPETMD EQRQRPRTKGLNDPRLGTIDRQWNCETCEEGQKECPGHFGHIELATPVFHIGFLTKIK KLLETVCHNCGKIKANTSDSKFLEALRMRDPKRRFDHIWRLSKDITICEADPPPDEDE PYAKESSKPTRMHGGCGNAQPTIRKEGITLVGTWKPSKSMMDEMDMQQPEKKTITPQM ALNIFRNISHEDVRIMGLSNDYARPEWMVLTVLPVPPPPVRPSVLVGGSTSGQRGEDD LTYKLAEIVRANQNVQRCEQEGAPEHVVREFESLLQYHVATYMDNDIAGQPKAMQKSN RPVKAIRSRLKGKEGRLRQNLMGKRVDFSARTVITGDPNLSLDEVGVPKSIARTLTYP EVVTPYNIDKLQQLVSNGPNEHPGARYIVRDNGERIDLRHAKRAGGQQLLYGWKVERH VMDGDVILFNRQPSLHKESMMGHRVRVMPYSTFRLNLSVTTPYNADFDGDEMNLHVPQ SEESRAELLQLALVPMNIVSPQRNGPLMGIVQDTLCGIYKICRRDTFLTKEQVMNLMM WVPDWDGVIPPPAILKPRPRWTGKQIISMALPSGLNLLRVDKDNSALSEKFAPLNDGG LLIHGGQLMYGMFSKKTVGASGGGVIHTIFNEYGPGTAVAFFNGAQAIVNYWLLHNGF SIGIGDTIPDAVTIQRIENCVRERKKEVETITASATDNTLEPLPGMNVRETFESKVSR ALNNARDEAGSETEKSLKDLNNAIQMARSGSKGSTINISQMTAVVGQQSVEGKRIPFG FKYRTLPHFTKDDYSPESRGFVENSYLRGLTPTEFFFHAMAGREGLIDTAVKTAETGY IQRKLVKALEEVMVKYDGTVRNSLGDIIQFIYGEDGLDGAHIENQRVDIIKCSDDQFR DRFRIDLMDPERSLGPEVLEQANEIAGDVEVQRYLDEEWEQLLKARAFLRTVAKEDEE MMQLPINVQRILEMARTTFRIREGTISDLHPAEVIPQVQALLDRLLIVRGDDPISQEA QENATLLFKAQLRSRLAFRRLVTEYSMNKLAFQHVIGAIESRFAKANAPAGEMVGVLA AQSIGEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNVATNIKTPSMTVYQEPGRTH DKEGAKQLRSVVEHTSLRSVTEATEIYYDPDIQSTVIENDRDMVESYFIIPEDVTDDS SRQSKWLLRIILSRPKLLDKGLTVQDVATRIKQAYPKDIAVIFSDNNADEQVIRIRQI QDHKEDEDDDDIEYDVTLKKLEQHLLDTLTLRGVNGVERAFINEKSKVRVLEDGSLFT SKVDPLCKEWVLETSGSALGEVLAVPGVDATRTYSNQFIEVFEVFGIEAARTAVLREL TQVLAFDGSYVNHRHLALLVDVMTVRGYLTPVTRHGINRADNGALMRCSFEETVEILL EAAAFGELDDCRGVSENLILGQMAPAGTGEFDIYLDQNMLNTVVSNNARFGVMGAIGA KDAIISDGASTQYDTGSPMQDNAYIGTPDPESNFSPIRQAGAESPGGFTEYQPTGGFG GGFSPAATSPAGYSPSSPFSANPTSPGYSPSSSYSPTSPGMAMTSPRFSMTSPGFSPA SPSFAPTSPAYSPTSPAYGQASPTSPSYSPTSPGFSPTSPNYSPTSPSFSPASPAFSP TSPSYSPTSPAIGGAARHLSPTSPTSPKYTPTSPGWSPTSPQTYSPTSPNFAGSPTSP GGPTSPGYSPTSPAFSPS AOR_1_864154 MKNLWLWRFLPLAFLLLQALAVESKAPTDVAHESHQHSNQSPGG PEGSGARPGSQHVDTALKILRNSKIPTVTSEKPSGILGNTLHYFREAFRVLFLNGPPS DNAERQKIHPNVAKAVDELKIAAQKDQNPDAMFLLAELNFYGNYTHPRDFKQAFQWYQ SLASATGNSTAQYMVGFMYATGIGGGVERDQAKALLYHTFAAEGGNTRSEMTLAYRNH AGIGMPRNCDHATYYYKKVADKAIQYFRSGPPGGHSMIRESYRWADEEGGVYGEGASV SSSGPNVMRDAAHSSSEASLEDVLEYLDLMSRKGELKATFSLGKMHYEGSRGLPRNLR KAMKYFKQITKRYWNKDGSVNPNHPLGIEKLASKAAGHIGLMYLRGEGVEQNFATALT WFRRGVTNGDSLCQHQMGLMYLHGYGVQQDAFRAASFFKSASEQDFPAAETRLGALFL DQGDVPTATRYFELAARWGWMEAFYYLAELSNNGIGRERHCGMAASYYKMVAERAEVI HSSFDEANTAYENGDKERALVAAMMAAEQGYEHAQSNVAFLLDEQRSLMSFDRILPGA KKPRPSLLRNAALALIYWTRSAKQTNIDSLVKMGDYYLGGIGIAADAEKASSCYHSAA EVHYSAQAYWNLGWMHENGIAVEQDFHMAKRYYDLALETSTEAYLPVKLSLLKLRLRS YWNRITNGKINSIQDEEESKPRRTLKEWIAAFIENDEEEEAYRAQMYKRAEEEDDLLS GGSDRRHIDDRHEDGYYDDLELDIDESVLEGLIIVALAATLLVLVYMRQQRNRQRQDG NVGANPAAPGNGNDDRGFFPRPGDPEFAQWVAGGVGH AOR_1_866154 MPSTDLNRKRRRVESAASALSKPFKSPLRRPPQVSETKHEALSK EEKNVAPRPSLKHNNADINDARTLPVISSSPSSAHALAYTIPTSPSSLESRKRKAQIN HLTASKKPVFSDPVILDLQKQERALQSRLAILRSELDTAQQALQLESSSKDADLQSLI TKWKSVSQSAAEEVFSGAQERVARMGGIKAWRERMKNNNAQWEQEEMETWYGSAEAEG ADVDEDELEARKAEMLRGRKKSHNEERENKEVEDEEFTMDFMLKTLNIDLKVIGYDKA HQIWIKE AOR_1_2804154 MPRTANHSRVLACARCFRLKRKCDHAKPTCGECRRRGAECLPAN SCKNGENITVPLEYLRYLEARVADLEHESRTSTTSLQRRDFGVQTESTDLLTCESMPD CGPDIDKDNDANTSPTFCSLLDGDLQRKSPGSNAHTIITLGDSTKSRESDGFDSMDTN VDDSKPTEIFPLHDLAVVDGYSFWLEEAYTNLYFSITHFMWPLLDCNAWGSWRHDWSL NEKTDPWKGFFVQMVYAIGSLSYNVLQPGQNHSKRAAEMYSSALAYYPYVMAEASAIL QIQASILMIIYSLHCPSSGEISMSVSSIVPFCSATLAEIQNRISSGLDSTLGDTMGGG ANLNELMFITCYMLNEIIVSGWERPVSAAYRIVDDDIHMFSNEIVDVSNTSTALQHLF RLRKIQANIRRYWDEPTDIQNLNDRSFKLALDEWRKDIPQYSAEEAQRTYLDPLWMTK LYDYSVIILMQGKRKHLMREDLDDILSAGVEVCLNYRLLQEEDQVMCFTWSALVFQFR TGILLLYICRSENLGLDYTQQAFDAVCACADSLTCFATRWQDATPYAKVFYFLLCSAS WLPEDLPERLRCACSSSELEAYLKQLKKQYLHKEVLAMIEDMVEPLLSDIC AOR_1_870154 MELAAETFSLVDATISELEHALSAGWITSVDLVARYLRRISVYD AAGLNLSAIPILNPTVFDEAAASDARRAAGLPARPLEGIPYLVKDSIKVKGMTVASGS PAFENLVATEDAACVQVLREAGAVLLGRTNMPAMAYGGMQRGSYGRAESPYSQEYLTA AYASGSSNGSATATTANFCAFSLGSETVSSGRSPASNNSIIAYTPSKGLLPLRGVWPL YPTCDVLVPHTRTMSDLFKVLDVLAVVDKTPIGDFWNEQKIVPLPSVETIRPRLFDEL KEGSALHGKRIGVPSMYIGGKDPLPDKVCTRPSVLKLWERTKDALEACGATVVEVDFP MVTTYEAKASLGELVSVKDLPEDWHSVERCQLVAHSWDDFLAANGQPGLDSLACVDPE TIFPLAPGSLRGTPDAANQLRWHEMVEYPKNKPDSIFEIPNLERAIRALENARKETFE QWMDSQGLDVVVFPANGDIGRADADVDPEASLYAWKNGVKYSNGNREIRHLGIPTVSV PMGVMEDTNMPVNLTFAGKAYDDTKLLRYAYAFEEFTHYRQVPPRVPGLDSDVVLTTG NQQSSSVQGQVELPGVTITEQSKCIKDSTVLMATDGV AOR_1_872154 MIDATKDTKADARSSTSVEKHGDVISGEICDIGADLYAEVGQLS SEELEREGAEVRKLLDWRILPMLYVTYVIQYLDKLSLNYASAYSLIPDLGLEGQRYSW VAAIFNFGYLFWAIPSNLMIQRLPLAKYMGTVLIIWAGLVIAHVGAKNYAGILVLRFL LGMAEAGVSPCMMNFTSMFYKRAEQPLRMAIWLSGNGMATMVGALLGFGLGHSHNTSL RSWQLIFLTIGLLNFVTGCFFLWLMPDSPSTAKFLSHRQRVVAVHRVSENMIGVKTKA IKLHQALEIFYDIKVLCCVGIGIACGVINGGVSNFSSSLIKGYGFSGIYATLLQLPTG AIEAVIVPICGLISTYVRDSRCIVLAVVCLIPFGGLLGIRFTDIDHRWTLVGCTWLQY IIGAPVIISWNLLSTNVAGHTKRSFANGVWFTVYASGNVAGANIFFAREAPRYYSALT GLLVCYAGMIVLCAAAYMAMKWENLRRDRNMLSEETAERREEAAVLDGFKDMTDMESK HFRYAL AOR_1_874154 MGSTSTSTLPPDFLWGFATASYQIEGAVNEDGRGPSIWDTFCKI PGKIAGGANGDVACDSYHRTHEDIALLKACGAKAYRFSLSWSRIIPLGGRNDPINEKG LQYYIKFVDDLHAAGITPLVTLFHWDLPDELDKRYGGLLNKEEFVADFAHYARIVFKA FGSKVKHWITFNEPWCSSVLGYNVGQFAPGRTSDRSKSPVGDSSRECWIVGHSLLVAH GAAVKIYRDEFKASDGGEIGITLNGDWAEPWDPENPADVEACDRKIEFAISWFADPIY HGKYPDSMVKQLGDRLPKWTPEDIALVHGSNDFYGMNHYCANFIKAKTGEADPNDTAG NLEILLQNKKGEWVGPETQSPWLRPSAIGFRKLLKWLSERYNYPKIYVTENGTSLKGE NDLPLEQLLQDDFRTQYFRDYIGAMADAYTLDGVNVRAYMAWSLMDNFEWAEGYETRF GVTYVDYENNQKRIPKQSAKAIGEIFDQYIEKA AOR_1_876154 MPPSIAGGRRGSTDYRPSIKKAQGHIPACLVNASVTYCNNDQIY AFGGFDQYTDEVYNHVLRLNLKDLRWELVDNYGDIPGVRMGHTATLHQGTKLIVFGGE NEHREYLSDVVILDITTSTWTQPEIRGPIPRGRARHAAVIYDDKLFVLGGVTGDNKIL DDLSYLDLKTWTWSRTWRFTARFDHTAWVWGGRLWTFGGLDPGMERTTDIWWLDLKDI QSLGMTTSQVQHNSSRDDPVVTQQIQGVYKFAMQTDGNQSLRVPYRVFSSNLVLTWHY CTVDTSGTKAWLLGSSSDAGATPGTADENHMSEVLCIDLERYGLLGNEMTAASPDQGR ALLSERSGISPLSGLGADLSAVFDQAPETGSGADFIITANPDDQVDGDEPGEDASSQA QPAFLPPNAATSPPIHVHRIILQLRWPHFKRLYSAQMVEYHSKKMHIPEPYSVVRAFV YYLYTDSISGHPEYCSDIVDVAGMLVMANLYDMPKLRVLCVNRLSRELDVENAAIIWE RAGRTNEEWLMRRAAQFCLSNWGRVVRTDGFKSLSRQSLIELCEVVDMEGRVVAGPEL EMVGALSAEGFGSGRDPKRSQLALGGTLADDVDDLDGDEMEGMEMS AOR_1_878154 MATPTVSTPVKTHHGIFSSKTAGGRMPLTPSPRMRAGSMTSNHS SPFTPPRQQEGAKDNGKSVYGGNLSSYFAKSMSRATRNYRESPKSNIARIRKSPKHLE MGVSEWALAGTGPSASQSPSSKERVRKEVPTRTTRSGKTTVRIAHNAGDRFIPNRTAS EGLATAGTAKPEESQRSKSNGNEGSTVLASAASAFDIGGRGTEDDITAALENLGLEDS ETSSTSSSSSYTRPAPDAVAYESSLADACGVNLNTRILAFKPPPPESSKPIDLRAQYN RPLRPAKSKSAQFRRRVQTAPERVLDAPGLLDDYYLNLLDWSSGNQVAIGLERNVYVW SADTGTVSCLLESSPDTYISSVKWSGDGAYVGVGLGTGEVQIWDVEEGTKLRSMFGHD SRVGVMGWSKHTLSTGARSGLVFNHDVRIAQHKVAELVSHTSEVCGLEWRPDGAQLAT GGNDNLVNIWDARSLSAPKFTKTNHRAAVKALSWCPWQLNLLATGGGSYDRHIHFWNT TTGARTNSIDTGSQVTSLRWSNHYREIVSSSGFPDNSLSIWSYPTLVRNIEIPAHETR VLHSCLSPDGQLLATAAADESLKFWKVFERKPGTSASASREGGVGSKAQMTKSMTIR AOR_1_880154 MSNQQVGTVFDRVIQEVCDGSQVDFEESGVDQQTLLDLRKSWQK KLSSLGVAHFPWDPPPPQPAPPQPQNQNQILPPSATVPSNAPRPAPAPQTPQQHVPPP QSMPHSMPGTAPPLQVPTPVGAAPNAMGQAPHIKTEPGMNGQTTLPPMSNMIPTNIPN AQSARERAANMLHQRYGAAAANSVSQLQAQSQAAMAMPGQARPQNLPHVPNGQAPHIK QEPGYPPVSQPPMNNTQTDGASDDALSAWKAEVARRREAADRQNGEGDRVLREHLKQR MLQLEGGGLLLPLEERQDSSIAPTHELATDAAVPSDPSASASSSSKVVRAQYDGPGGD DERDEDDEDAINSDLDDPDDLVADDHEAEDAVGQVMLCTYDKVQRVKNKWKCTLKDGI LTTGGKEYVFHKGQGEFEW AOR_1_882154 MLGSSETLSPPPLHYSPSVGDHDRASSRDSTPVTTPLGFGVSSC REKVSDRPWPRNPFGLLDDHSSYFDSTKLYSQPQEDYDFPLFPSPPLTSGTMNNTAAP IDIATRQTSVSPPGQQASNLTSALQRAGNGERTGSISHAGGVGINIFKAPPPRKDSIG AATAQWGNGSKPISMSGSNRDKQRRESLAGSLVGGMSWGGVSVGSWIRDDILMTGTSP FTFGQSPSFHSSSYLPKLEANFMRDFSCCGVTLPTLHDLLQHYEEAHATKSPHQGHRP SQADGRAALAAAAMAHQQNQQNNNQNRGLQPESTQRKLNQNQPPQQHSDIDAIDDMEL DEPMGDHDPSAQLFSPQPQNGNQGGFGHSNQRVPHLNLSMLPGHQGFKGSQPGTPVAS GRPLSLQNNPTVSSVNTPTLMSNPLQNSQFRSTPDSSTPGTPAELDESVLGGFGDMGM QTNNMMQGQEQFARFAANNDMVDLCIDEPAKRLFSPTGGINTPNAHFKLSGAQYGPNS EIARRIREQQLLAGVPDTTALLPNEEPKPFRCPVIGCEKAYKNQNGLKYHKAHGHNNQ QLHDNADGTFSIVNPETSTPYPGTLGMEKEKPYRCEVCGKRYKNLNGLKYHKSHSPPC NPDFQLAAGRNLAFGGGVMQGQNINVAGAGLPGIGEEGLL AOR_1_884154 MAPETAQSQTPETPMRDLQNDAPVTDTLEAGNTDERPSKKAKLD DASTPDNNANNIAPQRMRGVAPVKPEFIIPRATGTEPQPNTDDAAEAARHEGAQGQEA GKKKKKKPTGQNTNRTFGSSQDEKGLCPSRIFTPEFSPGACQWGEKCRFEHDLRTYLK EYKRGDLTTFDGVCPVWDAKGKCLSGWKCRLVGSHMTERETADGRKELVLVEDEERKK KAQPLVPFAVEDGTANIAPIEAKIALNRKKVKTPRADAYGSWLDKTSRELEKVIHNRE VHEERGAESKTDQAEREKEDNRAQYLEPPFLPSEKRRIYFGPETPVLAPLTTQGNLPF RRLCIELGAQFTYSEMALSMPLIQGQRGEWALMRAHETEMLPPTISPGADVVQGYDHS KDFRFGAQIAANKHWQALKATEVLSAYTPNLRVIDLNCGCPIDLLFREGAGSALLEHP SKLERILRGMNAVSQEIPITAKIRMGTRDNSPNALKLAERLILGGYESSTLGLGAPGV AALTLHGRSRQQRYTRQADWGYISECAALIKRLNEKTDQVTDTVREPDPRTQPNGGKT WFLGNGDCYSHLDYDDHVNNAGVDTVMVGRGALIKPWLFEEIQAGQYLDKSASERLSL VEKFAKYGLETWGSDEHGVGTTRRFLLEWLSFACRYIPIGLLEYLPPRIQDRPPSWRG RNELETLMGSHNYKDWIKITEMFLGPAHKDFRFEPKHKSNAWEAEAEG AOR_1_886154 MTLYYSLVFCLLVFEMVIFMGLIVPLPFTIKRKLFTFISESPII AKLQYGMKITFIFILILFIDSVNRVYRVQLEVTNFSRENMGAAALGTDRMEVQARKFY SQRNMYLCGFTLFLSLILNRTYTMILEVLRLEDKVKILEGDKKAGGKDSARLAEAGNA GEIGRLKKELDAKDRDIETLKKQCEGLTREYHSLGDKVAGKTDDDTKKDL AOR_1_888154 MHLDHKFPWNTISSHFGFIKENQYKNRKNDFVIHDRFADRQSAE LNHFTKTLISVIEEFATTERAKYPPSTALPTSGPLFDTSILAAIEQKYHLEPHRTNSA VSNPLCEKFQDADHWISLAPYSTADGDLADAVKMLLISNEMLALLRLANHKKIPLATL DNLSWGHSFGVNHLPDVALQAYLLLNIAAAVKANAKRGSADVTVRLTETQRFRYFADW ALADHDYPAQNIPHRQFWNAKGITDIHCSSWDPLRLETDGERAEMKAYLKMCFELLYR YDLLMRELGRDPGWMERILGILRLWGARSVTMNESGFCFA AOR_1_890154 MAAPSSKYDNYDFPTTAPVAQPGHPGHTTPEQDAKVEQLRSELE QLGYTERLDTLTLLRFLRARKFDVANAKTMFIECEKWRKEFGTDDLPRTFDYKEKPEV FKFYPQYYHKTDKDGRPVYIEKLGKIDLNAMYKITSAERMLQNLVTEYEKLADPRLPA CSRKAGKLLETCCTIMDLKGVGITSIPSVYGYVRQASGISQNYYPERLGKLYLINAPW GFSGAFNAVKGFLDPVTVEKIHILGSNYKKELLAQVPAENLPEDIGGTCKCEGGCELS DQGPWQDPEWAKAPKWATPKEDQNVVKNEDPGLEQKVETQEASQPQPTA AOR_1_892154 MGESMHRKIELQAPADFTYLYGNTVALSRQKLDLHLPPSANPED GPDPMRERVRELVDEYILRTFTSASSSISINGLDSSSPQFPFPAAFTAPAETVEYEPY DGHLASRVTSLYAQLESLTTTVAQLRRDAPQRAAEMYAAELKKVLEEDEHDDLEDEEI LENGENNERQTTEDVDMPDADQNQEQTSSNGPSTNGSLRRTAKTKWNLHVPLGTDHEA ELWRTGEMAEVYEDTLRTLLRLQGEAVPGDETNATTDGAADGNAVASTVGKAERASRA VEVVEKK AOR_1_894154 MEDRPSLNLIDNTSPTRRLSDDEGLSEVTTADDLSRNTSRRWKK PSVRRELTKRKYKKWQPHKLGITDDNADRRPSDARLSLTATYTNTEGESLVDTPTVPT TEQRDFGASEQENDDPESVTGHGVRGLKPGSELDILYENQRGWFFFGIPLYSQGSLLN FDPSAWVTYDFRDSPVNITNAQVPDPSWEWAWKTWYVDMSGDVDDQGWQYSFSFSSSA WHGSHPWFHSFVRRRRWVRLRVKKASERSRRGRSGFEMAHMLNEDYFTIHTAKKKRAA SAGRGSQGPSTHLSRATTNVDEEGPLEEIGNIPTLMYALKNAKIDREKFDILRRFVAE GGQELYYLDEKMQDIMALFVFQASRWQLVTYMTDLIEELSGKEPESSGMDAEETRRQK DYLSKAVATAKHYLTGPEILAPEGRVPAREMSEMLDLTPEAKRESLLSRSSGKFSHKP IDNGGEIKGIPQAAEIGREGHIYQYTS AOR_1_896154 MLSRGRPCLKRRVLSTVLDTVAVGPDEPLLFLYPRWFTAAVRQR RSISSTKCTSKCTARLCNAGGFVPGTRRRLSLGDSTKRWASSNSAAKSNVIEEAGSSQ QNDGPGATLESGQDGEKGVELKHDGVNPPKNTTSPKPSLPDSPERSKLLEERRKRLFN IFADIDSKPSRPPPPPPPKSSALRGRELKTHARPLAAPPKIHSHERARATMKSLSVRD RRKLRCRLFFTKRMNPEAKWRWTLWTKLEELFERMEQNTTVWKKRGTKHKEMLLPEET VALLAGATDMAMKENVWYVPVHNGCKVHVLHPRESEGQYRKVVLSGSERVVELVGDRI AHAKSLQEMGDPLVDIRKPPIPVFPSLETMARKNIPIPIIRGVWDFYKSAKDPANLGT LLPLSQNLLSVREFAEHVDEVARSLPSHKASPEYSHQKQVAKSLLSLFQNERYHGYLS TAALNTALSFLLDHEFVRYARMIFLRAEHVVTVDSFNILLKFAAQKQDMRLFRQFLLT MPRLNIRPNPYTWLTFLDCLVSPKAKANLVSRMMQKGYLNESSAMRTALQVTIQNTFR AHLESGKSVDSFFNMLIETQGTNWFPPSLINQMLNVAARRKDFSAMERLLQICKQQGF AVKGSTVNQIVLLFRKDIFSLLRFLFRFIDRPERVLTKDSWEKLFLMAFKGRHYNICR VLWRYACMYRGVTYNMRQSVLTSLLRNTSFRKTGGQYNQLWLTSAGKVIVGIDLHLPN YPLNESFADLVPSEFSRNPVASLATGFKPTGGEREKQQLLASKLTLRDTEVGPMYRPS RSLNIMLEAAAVLDQEWKTVPRPTQWLMQNAIKVSVKRKSDYLQ AOR_1_898154 MSHDATPTAVSAAPPDLRYIRYDGAREDEYVAAMRQLISKDLSE PYSIYVYRYFLYQWGDLCFMAMDDTLPDPMVGVVVSKLEPHRGGPLRGYIAMLAVREE HRGRGIATKLVRMAIDAMIARDADEIALETEITNTAAIKLYERLGFLRSKRLHRYYLN GNSAYRLVLYLKEGVGSMRTAFDPYGPPDMSGPIAPPPPALLHGNGNQ AOR_1_900154 MLRRRLAKDDNVQSASTDSSRDESKVVPATQTATPAEKPKKESF VTKPRSKRRNGLIFVLGGIFGIIVAAFFANQQDVISLDALMDLNLDALMDVIPQGIVK DVREFSQHERDAVSYDSFSVGLQLQSQGIQAKHPIVMIPGVISTGLESWGTEVSSRQY FRRRLWGSWSMMRALVLDKAEWKNHIMLDKDTGLDPPGIKLRAAQGFDATDFFITGYW IWNKILENLATIGYDPTNAFTAAYDWRLSYLNLEVRDQYFSRLKSYIETAVLVKGEKV ALASHSMGSQVLFYFFKWVEHPEHGKGGSDWVNRHVASWINISGCMLGAVKGLTAVLS GEMRDTAQLNAFAVYGLEKFLSKEERAEIFRAMPGISSMLPKGGEAVWGNATWAPDDL PGQHTSYGNLLKFQQTNSSLTAKNLTVSESLAYLMNSSDEWYRNQVQTSYSHGVAHTT AQVEANENDPRTWLNPLEARLPLAPDMKVYCFYGVGKPTERSYYYQEERDPLVNLNVS IDTTVTNSDGVDHGVVMGEGDGTVNLLSTGYMCAKGWNIKRYNPAGVKIKVFEMPHEP DRFSPRGGPNTGDHVDILGRASLNELILRVAGGHGDEIEETFVSKIKEYADRVQIFEE E AOR_1_902154 MEASITATGLRRAGGPKLCDIPYNSRTTVIEQLPFQELQPILET WKVCGSMQSMECTPCYDDSAEPILTLQVMVDTPRDDSRENWLQAAQEMHDLLRRHGLG HVTVDIIDWRLKVGPNILICEPTDAIFSKWDMVRKRILDSVDVSGFQMLGCYRMGYKD VEYKPTILITVDPKSERNWKLAKQDVNNILNEFDLSMVDVEIYKDRSIFCARRPSIQS EINSRYLVHTREGPRVATIGHSVGARDSDTYGTFGGWLNIRQKPDSEWEPFGLTCRHC IFDKHRQDALISESAQEVDCPTYGKVKECIDKLTETVSYLKRGEPYRTLEQLRVDGDL PDDRKALWRNLRDLIKSHEDDLTHLHSYYDLKTYRFGEVWAHSGDGTTRIMDWALLKP HPTRACPSNKFGQFTERYLPMFLKAENGYIEQGLPLDHGQPLHTYGCESNAAICRYSI LPTTIFTVAANGERRATDEQSVCRRASQPSFEPGDSGALLFTGYGNAVGMAFGGQVQG QIVVFTHIDDLIADIKEQTGVDEVVFYTQEWPGEPSRGPGERDSGY AOR_1_904154 MNPTLPSWKDRTQNQFGKLQIQVPWRSIQLLVPHRMRRKIRSKL RSRISPTSSISSLQTSFSPVDTLRSLQSHRWTTYDFQYLLLLIVAIFSLTVIESPGPL GKTAMFTAYIVALLLPITRQFFLPFLPIAGWLLFFYACQFIPSDWRPAIWVRVLPALE NILYGANISNILSAHQNVVLDVLAWIPYGLCHYGAPFVVSLIMFIFGPPGIVPIFART FGYISMLAVTIQLFFPCSPPWYENLYGLAPADYSMQGNPAGLARIDKLLGIDLYTSGF KQSPVVFGAFPSLHAADSTLAALFMSLVFPRLKPLFVTYTLWMWWATMYLSHHYAVDL VCGGLLATVAFYFAKTRFMPRVQTDKMFRWDYDYVEYGDSSRGYGYDLAGYDGDVNLD SDEWTVGSSSSVSSGSLSPIDDHYTWEGEALASPASDIESGRHMFSP AOR_1_906154 MPGFLNLPPELIFQVYCSLDTIGDAYFLSQTCQQTYSIFRRPQS QPKIFEAIIDNIIQEAAPTKAWLEAQFGPGSLWQPTEAELPADLTEEETIKFLLNVGF PAVNLTRMGFNSSDLSISAYKGQALDGYTADELFDVFNQDYHEVTDEDEGNPPALSFR FGAIRLKLVLLNNKNGTIYFYDPENWFSHRGVIANGLDTFTVLLGMVVAVTKDLRTAS LDISWYERFDILRIPLDALLRRLRDYDFPAGYGSEFWCGLIWNLLAFSEMDT AOR_1_908154 MLSLTLCHSWHNERDSKYYHIIYSLIMSLSGKIAIVTGASRGIG ASIALELAKQGAKVMLTYVSTSSDNAVEEVIQQIRSLNNGSEATKVQIDLHQPSAPET ILSATFRAFPSSDNKVDILVNNAGQTQYKLLAGTEIEDYTSMFDVNVRSTIFMAKAVL LYLRAPGRIINITSVAARRGSVGFSIYSATKAAVEGFTRALACEVGSYGHTVNAVAPG AVESDMLRGSVPDEFVKYMLDNTPLGNRIGTPEEIAAVVAFLADPKAGWLTGQTICPS GGLNMI AOR_1_910154 MAGKRKERDLIAPDITEDAAERKRVLNVLAQRRYRQRRKDRIQA LEAQLKSVGPHNEEITAPEPEQGRGGTGLPTDVLSPFSTPVTLGESDIIASDYEDEGI TTSAGAESCPAGTASGFNDITLVPEAFTELFLPAAPHLLPSELSTLASPFLASISPRT PGQASLDSLVSTSGAPLDVSPLPLFPGPENSTQDHSNGDYVQFTSLLSNPESTNNISD DLQDYQSSNFTFPDDHLLQVPSLTLLSAAVRVAQRLGIENRLWDMAAVSPFYRPRVHA QSSTSSPPSSLPPSSISSTTWIRSSQTSDGDDTTNIDLETLPDHLRPTRTQVLISHHP ILDLLPWPTARDKLIQVFNLPVNLRPKSAQDPMGLVRLVYDMEDVGGEGIRVHSSDPF EMAGWEIGQLMFERWWWAFETDTVKRSNRRRIERGEKCLELS AOR_1_912154 MAVTYEAVVAAPSAPSEFPRLLKEINSQSEAFINGQRDARMKLV NAAESLVHALETPSETVMRCCMAQCTAFASIEACVHLRIFSLIASSDDPKAVRDLANA TGADEHLLGRLLKHLAAMGVVTETGPDEYCRNGLSTALGMARYNDAWQSTPVSLHSAI HALPAWLEKNDYRNPTDARNIAFTMQFNTNLPFFEWLHSDPEHFPLASQFNSIMSTYH QGRPSWIEEGFYPVHDNLIQGARDDEDNVFLVDVGGGSGHDLVEFLSRWPGAPGRLVL QDLPAVLDDIVALDPSIERMAHDFFTEQPVKGARVYSFHTVLHDWNDKDCQAIFSRLA ASMERGYSKLLINDVVIPTTGAHWEATALDILMAACFASWERTEQQWHQLTESVGLKV VKVWHGTGSVASVIECELA AOR_1_914154 MSSQSSETLELKEANASVSHIPAEVSDSNVGWDSDDDPQNPMNW SNAWKRTIIILVAFATFNDAAASSIFTPGVPLVLEEFHETNPTISPFLISVHIIGFAT GPLLFSPLSEIYGRYLIMQISNIAFFFSCILCAVSVDVPMLAIARILLGVAGSVPNAL AGGFVADLIPLEKRASSLALLAAGVLSGTVVGPIVGGYMALKVGWRWTFWLEGIVVGC STILSFFFLRETYAPTLLKRKAARLGLQKPLKESESTWQVIRRGISRPMKLCCFSPIM MIISLYNSICYTYLYYMITTFPTLFGEHYGFNAGEVGLTYIAQGVGCLIGQVAVGRFA DWYIKRQQARNGTTTPEDRLPPAIVGYVVLAIGMLWFGWSAQVHAHFMVPIVGSGVVG LGLVGGFLVVQVYIVDTFTIYAASALAANNLIRSIVAAVLPLSGPAMYERLGYGWGDT ILGFTALALAPTPLLLMKYGERIRTRWPVEL AOR_1_2806154 MHPLLLLLYSTLALGQLIGPVGPTTPLSEKTTECNILSYGAVAD NSTDISTALETTFNDCVRPNPGSRLVVPEGQYLLNRGVVLSNATNWAFQLDGLVTAAY GGNWEIERSLILQGFAGVDLLNETINGEGDHKFLLDVLVIVNAVDFEFYSSNGLGAFQ GQGYLYRNLNNTDRPRLVRLISPTNASVHDLILVDSPKFHIILDFAVNVEAYHLTIRG ANLGSYDGIDAIGTNYYIHDNEVTNRDECVSIKSPSHHALVENLVCNQAGSGLSIGSL NVSAEISNIVARNISILQGNNIAFIKTYPGGSGYVSNITFENFRSKASLYGLNINQYW QNTFTPDTGSVALSNIVYRNVTGSVADGAKRPPLYLIANDLTFATNVTVEDVSVWTET GDSVVNKISNVFGIGDGTYGVNDGIEELGVGEVPTAYTRTVTVTETPTGWVEPGLPAW AAPSTGFGTASPIPVYTPTPLWRPGGVDYDLHYWGSF AOR_1_918154 MPAPEPQLTLPERPPNLPHPEYETPRGVSPLQSVRAAGLQYPNY TPFKLPNLTGKPFTDRGLSADPTKSRLLKAATAITHLTPEIGTELSGLQLKDLTDQQK DDLARLVAERGVVFFRDQDLDVHEQIAFGAYFGDLHIHQMAGIIPDLPWVHPIYKDET AVNGRSHQIWHSDVSYEIQPPGLTLLKMDTLPNAGPDGGLAGGDTIWASGYALYESLS PKLRSFLETLEAKHSGLEQAEKALRTNGCLRRDPIETIHPVVRTHPVTKWKTLYVNEN FTKEIIGLEKRVGDGILDALYRTVAEGYEFQVRWKWTKNAVAIWDNRATFHTGIFDYF PHLRHGLRVAPQAEKPYLDPESKTRKEALQQEETGKNN AOR_1_2808154 MPVRLAWFWFVDSMSGVVASFIAYGVLHMRGVQGREGWRWLFLI EALISILIGFLSFLFLIPGPTQTATWWNPKGYFTEREEKIIVNRVLRDDPSKGDMHNR QALSLKMLWQSLKDYDLWPVYIIGILFEIPTSPPKTYLSLSLKAIGFSTFQTTLLGIP VTVFAAINLLIITELSERFKQISIFGILTQLWSLPLLIVLYTSASTLSHWGLYAVTFV LLGWPSIHAAQVGWCSRLSNAVRTRAVSAALYNITIQLSGIASSNIYREDDKPYYHRG NSQLIAINVATIVAYVLAKLYYVGRNKWKRAKWDAMTTEEKAHYLGTTSDQGNKRLDF LFDS AOR_1_920154 MSTNNKAVQLQCLERHYIKDNSSATFGVPWPRGKYWPDRTAFTC SSHRNKSIALQSWVIAYWPDGSIKWTAHAFAADTDVADSYSVEAIPTTPKHLEPLNAG ISIKRDACADFIEVDTGKVRLVFPTTGSEIIKSIVLDNGTTIGQNGRLIVFSKTSLLT EKDTSSNHQFLSSIESINIDQEGPIRALLTVRGSHRAVDIDGRSRASWLPFSLRFYLY YNSNIIQIVHTITYDGDPQTSFIEGIGIQFDVPLKDELPYNRHVRFAGVGDGVFGEAV QGVTGLRRDPGSSVRTAQVNGEPLPPMDTWHDEVGKYMKWVPCWNDYSISQLSPDGYT MKKRTAAGHSWVNIPGGTQAGGLAYFGGATRGGLGLGMRYFWERYPTGLDIRHANEAT GEITLWLYSPSAHAMDLRPYHDGLGQETYDDELDALRITYEDWEHGTGTPYGIARTNE IFLFAFDHTPTSAQLSSSVQYMRNPPVVIPDPEYILQTGALGTFWSRRVSTENTTPAE AEINRNLDFLFEFYKKQISQRRWYGFWDHGDIMHTYDGDRHAWCYDIGGYAWDNSELS PDLFFWLYFLQTSREDVYRLAEALTRHTGEVDVYHIGPWKGLGTRHGVQHWSDSCKQA RISNALYRWIFFYLTGGDERTGELLDENLHAQKTFLALDPYRKVRRDKEFYEPTAGAV SISLGTDWSAFAASWFIAWERRAPGWEEAKSKLFSSMMGISQLHNGFVTGMALYNTQT GQIHPPSHDLSNQGVVQVSHLSAMFGLFEICAVLIDSLANDLPGGFEKAWLDYCLYFN ATAEDQTQRYGVGFGNLILRQGHSRLTAYAANSLEDLGLEQRSWKEFYNGDGYAPDLP WVSRSVTGCLVPVNVEEASWISTNLSSLYGLAAIQNLALVRRALS AOR_1_922154 MSISSIRGLLSTYSPVSEFSPDLEKEYQLPLRNDSSSDLESSTE EAKPSRPKTEKADSKVIDGRLVSDAIIGLSDGMTVPFALTAGLSALGDTKVVVFGGMA ELIAGAISMGLGGYLGAKSEEESYRATLKETESQTMTDPAGVTDTISDIFAPYDLPPH LVSELTRHLSTSPMLPSFLMNFHHTLQEPSGSRAFICALTIALGYFIGGFIPLLPYFF VGPNDAFIALRWSIATMVVALFLFGYGKTCFVSGWKGSRNVRRGLIGGLQMVLVGGVA AGSAMGLVKGFQLMASGGSDGGEH AOR_1_926154 MQWTWALITALATATSALPHEARKDVWGQLRSNIKHVVYLMMEN HSFSNIAGYWDFHPEIDNLRNRKYCNEYTNPNWTVWGEPLDICAGPYETEVPLEDPDH EFAGVTYQIYRKWNVTNDDVPNMGGFIERQSEKYQATPGESAFVIKAYDEKKSSTLAE IAQNFAFWDSYFAEHPGPTNPNRQFATSGSTCGFVDNAGQAAGFFNNVTGTTCATSIF EALSNKNISWKNYYETDIIDGWMYKWVQDNAIDNLAHASDFYRDLEEGTLPTFSYINP ECCTIDSMHPKSNMAAGEQMIKHLYDAVRRSKYWDDVLIIINFDEHGGFADYVPPPVN VPRPEDGIAFDGESEGRPVTYDFTRLGVRVPAFIISPYIEPNTLIHNDGTNYANNSAY THTSMLHFLQELWELKGLNNRVQWAKTFEHVFSDTKREDTPKTLSTPIWYGDSWEPKP EPFYLLNQNEDYYANRP AOR_1_924154 MSRGSLVPLIVLVVIVTILAVIGYITYSIVQEVTRNTKSKMEKK NVLWTKDGMKVGVKEINNEDYQDRTQSVLVNMWNHTSFPAYKSRLWNMTQPAAEVQQA EKRKGD AOR_1_928154 MCDVPFLPIFPICFQCGTDQNPCRCKVLGPTLGFCVTIVAAIVC YPASIFCGCCLTQTGKDMLGYPVTLNQKVNEVIPI AOR_1_930154 MGSHTFRWPHHANEVYVTGTFDDWGKTIRLDRKGDVFEKEVPLP ATEEKLHYKFVVDGIWTTDHSVPEEDDGNHNINNVLYPDQIRKENTTKSLQNGTAVMA GVAPDSTTAALAGEVPKETRRDILGDAAFSSTAPGSTTAELAKHAPFEQRANVPGTFP ATPGSEVEQFSVNPIPASSGLGNPIKLKPGEKVPDPSTFNTNTIHSTARTDQAGYEAN ASHPLTGSQSKDTSAFAVPPVSNNMIPESSLPMGQASQGSYDPATIQSAAPTSTTAAL AGAVPLESHKRQTDSGSGAPAGDVPEVVRHSMSEAHADPEAAAIKEAVGEKKEMEHEL QQKVPVDESRGTPAPTTGVTAAATETAPQATISHPDSAQLSPRATTPTTRPDTTSEAG PTVTTGPETTKTSEVSGPGSGSAAATAGNTGASATKTAEPTHPQNTSVGGTNGRSSTP PKDTSRKDSGSSSGTPSQKKKRNRASAFFHKLKEKLT AOR_1_932154 MAESSFSSASPQLKVGTKDDKTSAFRKISEDEEWEVTSPTDPTF QTANSAAGLSSAGNNLFGGNVFNEQQGGGIRFRRSPFADPSGDGEDHDDFDEHPEGPR PTGALNEGFPNNYALGRRTSVSAESLNPTSAGSDSWVPPHHPKTEEQVSRLKTAVSGN FLFSHLDDDQFKTVVDALVEKPIPAKGIKVISQGDAGDYFYIVEDGHFDVYIHPSGSV QSGSDGMGSKAGTIGPGGSFGELALMYNAPRAATIVSTDSKSTLWALDRITFRRILMD SAFQRRRMYEAFLEEVPLLSSLKPYERSKIADALDAIKFPAGSSIIKEGDPGDAFYLL ESGEAEAFKEGVDRPVKSYQRGDYFGELALLDDQPRAASIVAKTDVKVAKLGRDGFKR LLGPVEDIMRRAEYEQIQPKPAAS AOR_1_934154 MPPKSKSKKDIAPPKQQQQRQQTPKPNWPPLRPLIPSSDLTLDP LLPDQIYLIPNFFTANLCKTYVSFLSSLPLTTTPGKPKKGDAVRVNDRFQIQDERFAE SLWSGTALKDLVMNGDGEGERSMKEIWGGEPLGLNANIRIYRYSKGQFFAQHYDDSNT LTFSSPSHPSQPARTTWTLLIYLTTCSGGETIFYPESTRGNRNPEPVSVAPVTGMALL HRHGDRCLLHEGSEVSDGEKWVLRSDLVVR AOR_1_936154 MSSSQTMTAPAVGHRPPDAGPNMSSIYDYGRSQQPDDHRSPSPP SDSAIRALNDSAPDPPDAPSNGLTSPSGIMPVKSEPDENAFPPSAVEQSSQTDTRRQS AASALLAQLLGNQSSMPSDGPGPSAEHSVPAAQDTNQPQDIDDGMNGQWSTDAPAGTT AMSSDPTGGQDQIPANSNELPESQQEQKNSDQPPEVPFSNPDGDLNISLKHADPSEGL TDPLLFSKSGLDHSDLFTPFDITGAAKDPFEALQQNEMLTAAYLSQSADLSALGYSGG HLQDTGSIAGSEPRIQAFAKLEFDDGHFYCNTYSFILGRDVRAARAAHQRELQVRQVM RHTRAKSSSGGNTSHTPIRMKHEGSGIIGSVVSDRGGIMGFDPDVPPHLPSRISRRSS NSSHAELGAPMHATPAQLQSNTTDYNALAMESLNDEGGDAKPVDALALLPSPDSCPTI PIHPPATTDGSAAGHRGISRKHVKIAYNFDKNLFEMEVMGRNGAFIGADWLSPGQIRP LHSGDYIQIGGVRIRFLLPDVPIGETGADRVEEPYVAEEENAQASVPATENDEDEKRR KSESTENKDAPKTTKIILKTKEPDSSRPVPSIESSADGQQPMRRRGPGRPPKDGIMSK RERAELAREQKLAAKREANGGVTPPPANRPKAGKTTTTSTVATTPKESTGGDSPGSKP EKRKYTKRKKPDGTLMDFPLPSTEGGQFPMDQRPEDFIKAPPVKKRKPSRSPSPNYPP ESAYTPEDLAKPPYNYAVLIFDALTEAGTPMTLKQIYRALKLKYPYFRFKCETEGWTS SVRHNLNGNSHLFMHAERDGKGWSWQLRPGASVEKEKKRRPSPPPPSQPPPMPAAPQY MPPMNPAYSNPTNGQANMANPHFQFPSMPSNPYPAPSPAPTPTPAPQQSSPYPPPSQP AASTPFPIPSPLRNNLPPAFAQTTPSTYTSPYASDPPPQLIQYQQSQQQTMQAQPQHH SPYPPANPPPPPPPPMSMNPPSQNLPPNPGPPMQHQPNLGVAPGEPSSGPMDTSETSS FNDRANKAIDDFEAVLMEDYEDKNYIREVLKSARARVLGQATESSFPGGEPKDEAVIL DALRGLVGGLKDE AOR_1_938154 MSGGRMDSEHPFYVYSQSSCYSKPAPMNMHPSSMRSGRDMAMMN QPMFGPMPTANVLPPLRNNVQLPPMDSAIPPQYRRQGIMVQPEQQQRKEEKATGGVAA RLDYEMDQMSDFVAEMAQGIVYPGTSVPPQFRKYVFQILSSTRLPSSTILLGLYYLAS RMRMLSSSKVFVSGNGQVYRMLTVALLLGSKFLDDNTFQNKSWAEVSNIPVSELNTME LEWLFAFEWKIHDRIYDDQDGFASWRSHWDTWRAKTARAHDSRHNLAPIDTNVSRSSR ISKPLMSPEGPIPPQYQRSSHFETSWLNPAASEYSPPSAPHSGPNTPDYYAVGPWAYT NPPPPYSRTWIPPQPQYMPRSQPPSYHHTPSYALPFAQSVWTGHGSSCGCLHCAKHVE HYMCAGAFGGMQPILAG AOR_1_940154 MGKPRMIILIRHAQSEGNKNREIHQTIPDHRVKLTPEGHRQAHE AGSKLRALLRPDDTIHFFTSPYRRTRETTEGILQSLTSDSPSPSPFPRHTIKVYEEPR LREQDFGNFQPCSAEMERMWLERADYGHFFYRIPNGESAADAYDRISGFNESLWRLFG ENDFASVCVLVTHGLMTRVFLMKWYHWSVEYFEDLRNINHCEFVIMKLNEDNGKYVLQ NQLRTWSELRKEKELERQRDRAMNVIPPAVPTSSESLVPIRRKWGGCPDGCNHGIRRK GSTRSNRANGTDLHRKAHDSIYTHNQSTQESNNGQGSSAELKPQVEGQVLTAPEPALG DSSKDARLLINTIPAHSAQYDSLDRKETANPQSTRSDFPPNEPNSNSQESNLNTATAR ASPIPKRPDLSRFHRDSEDHLLHPPRSNYALLHLSGRDGGGTLSGANSVAPSEDERED NPPKPPTHQPKPSHDLGNDGDDEGSGTQAQRLRRSRSHHTHHRHNHPTPGRRHLSKKP PNGYPDIDRNLDSDHPDSSIDHEEDPDPDYHEHNNDDDDLEAARREDQSIRGSVY AOR_1_942154 MADYLYELLTPHLVPTNASPTPLNPESDTTTAQYLNRLPTLSLQ ALQETEPQSLAQSSHSNILSLQALSNRSHKTFISSADNLSGLRNSIPQLSRDAQQLRD AIPKLDEDAVLFSSKYSRAAENAALEKRKKVMQLARNVDRLSDILELPTLLSTAVSSA AASSGAAGGSSSTTYSAALDVYAHIKRLQTLYPDSPLVRDVSAQAEDAMKDMTTHLIT GLRAQNLRLAAAMRTVGWLRRVVPELENLRSDGGTGTGEGALGALFLICRLANLLTTL EALDPLRELADQESHRRTQSTEKKPGSWSDGHQTEKFLKRYIEIFREQSFAIVSLYKN IFTPNQSESDPAVTGLRGIDARIKSTTPRPAQQEDPLQHLPPALATFPMHLVQLLTDI LRAYLPNVRDKSSRESLLTQVLYCAASLGRLGGDFGMILTELSDMGDEDEENMAYEWE EVTRKHRALAGRLEQLTGGNAASGSPKGTLRAASPVQGLGIA AOR_1_944154 MAAPALSTGLIDPTKQAEYSIILGDRLSGKNGSSRSKLVNIQYN YKTKSATAQQTITRSSQSRDHYNLTITDKAPNAEQNTLTYSYQGSVDPDQAVSESEER NLVLVFDSHRKAFVLEPVAAQLNFNLRSAPAKTEKQVLEKYEQLRTLQEDDQGSGDDR GSDHASGNDDGPADDSNPYDFRHFLPKENADDDKSVSDNATPEPHYNTSKANTPLMPA TIKPTPSPKPSPKPRPKTQSNPLRLPKPAKPAKNDSAGTPKTSSKPVPRDQDAKEKVP ARDDTIEAAKSPSFENGSSALLSQQPAPSPGSNIIIDGDLIIDMGSPPPSRPAFRIDP AHFSSNNTPSNNEEDENEDIEDLRLPSPAGHAGMPTRSGRVEPSYNTQADEDEVEDDD ALAAEMEAAFEESAREEEARNHQSLHHYNAPSDDESEVSEEE AOR_1_946154 MASTTSQPAEKQLVPAPSNPVLLATQAQWLFTDEELTRAPSQLD GMTLEAEHTSRSKGVNFITQVGIMLKLPQLTIATAAVYLHRFFMRYSMVDLPQRPGMH PYPIAATALFLSTKVEENVRRMRELVVACCRVAQKQPNLVVDEQSKEFWKWRDTILHH EDLLLEALCFDLQLEQPYRILYDFICYFGVNENKPLRNAAWAFVNDSMFTVLCLQFSA RNIAAAALYAAARHCDVGFEDDASGRPWWEQVDVDLAQVRRACTRMAQLYENNAMQKH SQYYPTTPIFADEGTEKTRIPRTGSPAGTLRETDTANGRKRSREPEDEAQGRSEEPPI PHDQGPTNGERSPKRPRMGSDAAAQDASAEKNASNSTSFNSSQGATGSRSFPHDRHQT NGHLPPPPHRYQHPLPPAPRTFPRRDSDPRPSGSGGRPNASFNDPIQQRIDEIVSQNL TTPQGGPPPRDRRNSDRYREHEDPSRRRRSDLSSTSRKSIDEQHPPPPPPPPPSDMPQ NQQPPPPPPPPDQDEEGGGSEEGEL AOR_1_948154 MEMSSTFQDDLSVGDVFSPQADMSQPRNEVSLNSNQTVSFRMSD ASPDRKQGLSEKGARGGNPFPAPKLNANPLPGKADRRKKKKQQAAPAISTVRGFTPMG SGDEDSDFSTSSSRAPRSSARPGNSPMSQPASGHGVSALKLQLDSLNLSGDRPLQGAP SDLGSEAPSNASVCSDSDQTEVLTSYEVPLEHDYVSADAVAEEKSYNTSSIKDMRTQL CRKMTTDDFEPLLCLGKGSFGTVLLVRHALTGKLYAQKQFRKASITVHKKLVEQTKTE RMILESVNRHPFVVKLFYAFQDHEKLYLILEYAQGGELFTHLAMERMFDEDVAAFYMA EMVLALEHLHQNVGVIYRDLKPENCLLDHEGHLLLTDFGLSKISASDDDRCNSSLGTI EYMAPEVIQGKPYGKACDWWSLGALAYDLLTGSPPFKANNNAKLQEKILKQKLTLPYF LGPDAKDLLTRLLRKEPSKRLGYHMPKDLQTIKNHRFFRKIDWKALARRAVTPPIVPV VTDPALAENFSDDFTHLPLSPLVAPASFDDHYAAHQRGSQRPMSSGYDVMGEESNPFG GFSFVASSSLLDHGLGIATKGF AOR_1_950154 MITMAEQRVNVHIPSHPAVLDSVRLRDIELPLPAAPEAWHRLGK SQPCTASLKLSYSSAVASANADDVSLSIDYGKLYRRLEEDIRTMGQHEEHPGKRMISL EGSRRNSMMKNDVGQDVRLTAAIVANCSLGLLDETTAGVRRMSHLHNAASQAPASTSP IDGIFGRCEVWLHLPKALLRAEEGLKYRSVTVWGYKQENEAAGNLQDSERCPVVLEEE FRIEGIRCHCILGVNSHERVEKQAVIVSLEFKGPGQLAWGSTVVDTYQAMTRAVAERV EETSFQTVEALATFVARIVTVEFANERVTVRVEKPSALAFVGRSGIEITRSQSFFERS EMDGGRV AOR_1_952154 MTEVSSTRLYLGNLPRNVTKQDIEEHFSTHGSGKITEIKLMNGF GFIEYEDAMDARDVVPAFHGSDFKGERLTVQFARGPRRKENFPGPMDRPNMPRPRRTV YRMMVSGLPETSWQDLKDFARGAGLDVVYSETGREPGRGFVEFETANDLKTAIEKLDG RDFKGSRVSCVADIQPVDERPFRDPYRSRSPRRSYPPVDEYDRRFPPPRGYSPRAHYR ERSPIPMRRDYYDRDGYGRRTPPRPRIDDYPPPRRPYDDPYDVRPPPPPPRYEDPYMP PRPYGRPRSPPRGEYVPYDRRGY AOR_1_952154 MTEVSSTRLYLGNLPRNVTKQDIEEHFSTHGSGKITEIKLMNGF GFIEYEDAMDARDVVPAFHGSDFKGERLTVQFARGPRRKENFPGPMDRPNMPRPRRTV YRMMVSGLPETSWQDLKDFARGAGLDVVYSETGREPGRGFVEFETANDLKTAIEKLDG RDFKGSRVSCVADIQPVDERPFRDPYRSRSPRRSYPPVDEYDRRFPPPRGYSPRAHYR ERSPIPMRRDYYDRDGYGRRTPPRPRIDDYPPPRRPYDDPYDVRPPPPPPRYEDPYMP PRPYGRPRSPPRGEYVPYDRRGYW AOR_1_954154 MASKGAVLPLLRRELRPASSRFSRASFSSAAAPLRSSRSSALTS GRRTTRQAVPFTPSRTLGQFRCFSQSLSNKLTDENGHFDPRQVERESDEVDVCIVGGG PAGLAAAIRLKQLANEAGNEEFRVIVLEKAGEIGAHILSGNVLEPTAINELLPDWLSE ENPSRFEGATPAKGDKMRFLTKNSAIPIPAPPQMNNHGNYIISLNELTKWLGERAEEL GVEIYPGFAASELVYKSDGSVLGVATNDLGLGRDGRAKESFERGMEFHARITLLAEGC HGSLTKQVIKKYDLRRDSQPQTYGIGLKEVWEIQPEKFKSGEIIHSMGYPLPKDTYGG SWLYHFGDNMVSVGMVVGLDYPNPWLSPYGEFQKLKHHPLFKEVLEGGKCISYGARAL NEGGFQSIPKCAFPGGALIGDTAGFLNVPKIKGTHSAMKSGMLAAESAFSALNGKQDD ATVFLFDYEDALRKSSIWKELYEVRNMRPSFSTPLGIYGGIMYSGLEAYLFKGRTPWT LKHHSTDAAATKQASECEKIEYPKPDGVISFDILTSVSRTGTNHEEDQPVHLQVADWD KHKDIAWPKYKGVENRFCPAGVYEYVEDSTKEHGVRFQINAQNCIHCKTCDIKVPTQD INWQTPQGGEGPKYFMT AOR_1_956154 MDSLTTHPSTAQQARAFTSPASLSFPGGAGDLTPPSDKDGNMAM NLQGVNGHVNGQQQGGNATNGNGVTPATPVATPGANTPGSGIVPTLQNIVATVNLDCR LDLKTIALHARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASR KYARIIQKLGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELFPGLIY RMMKPKIVLLIFVSGKIVLTGAKVREEIYQAFELIYPVLSDFRKV AOR_1_958154 MALPPKILIVGGGVFGLSTALSLSRRHPTSEVTVLEASPIIPNP EGSSVDASRIVRADYSHPVYTKLADAAIERWRNTEWGAEDNRYIQSGLLLVYPEGNTN GKEYARKSYNNVKELGNDVELLPSKKDVLRVAHAYGEELNVAGGYVNWGSGWSDAEAG VRYAKKLLDTEGKVTFKTGEVKSLLYADQSAGASQRKVTGVLLEDGSSLTADLVVLAT GAWTGKLVDLRGRALSTGQAVAFVQISDEEQRRLEHMPTILNFATGFFIIPPRKNLLK IARHAYGYINPKNVPVPGVEGETMQVSLPEPGVPVPLEGEEALRSALRNLLPSMGDRP FIHTRVCWYTDTPEGHFIITYHPDHSNLFLATGGSGHGYKFLPVLGDKIVDAMEGKLE PELSEIWKWPAAVEGEFEGDGSRSGPKGLRLMDELAKTKKAQRKGVL AOR_1_960154 MSASRVGRAALKAKVPVTGPKRTGSKIPVDGSGKKPPAASRLPK RANPHLLNLQETQPRMSPKVMTILGVGVLGMSTYCGYLYASYRREVTHAQSMDVPRDV SDRYNQTARSFDADVEMSEKLMRMGKKRRDLVQKARGNVLEVSCGTGRNLEYYELGQQ RKPNERGQVELRGCRSVTFVDLSPQMVEIARGKFQKLHPDFKDVNFRAQDVKEVAPPT TGDKRAYYDTIVQTMGLCSMPDPVGALRHLGSITEPEKGQILLLEHGRSHYDWLNRIL DNLAPAHADRHGCWWNRDIGAIVRESGLEVVEEKRWHFGTTWKYVLKPARGN AOR_1_962154 MPYNTRRKSLSLPSLGIHLPSASRRSPSASKSPHATDEQLPPSK KVKRSHDSSSLSPEPTSVSISTTKEQLPVRSLGRRGAFEQTPPPSPIDGNVAPKIDTE GINDDIVVGVIEQLEKTGNRPHLVKELAAVLVTLNENVANSANPAALLSSRLSTYMKR PWTALAPCPLAKELIPVHPRKVYYYLTTLPRQPLPENSDDIIIPGVEGKNVTPSVSSA DLDEEDALARERSRLSPSPEVDLSPPDFEEENIDLDARDDSVARQCATDFDHQHARLM HSNRAASPPLEGDEKEFTQTASAVRERASEQKASQLEKAKGPFSALSEGLSELDDGAM SIAGTPVEDSPLSSINGDRMSDSPDEDYFSHGGFMEQPSLQEQLQQQQDLDEAAAVAL FGTSPSPSLTSVASSLSSGTSVASDDGLDVEANPDSVASAPQISLPEDLIITPVSAMK RSIDMLNSGVPDLDMKMSDLVEQDSKMSLAPRTMADTDVEMVFESWRDLQNPESVDVH ELDEMFGEI AOR_1_964154 MEGTNQRAIGQSLDKGRSYSGSGGERASTGSQSSSATPQDKDSY LEEMSSSSSIGSLDAIDRVEEDVNRTPNSRATGYMGKNSEVTWMQRLRMETEQRLRKE PGPYEAEPEGEFALHSMNYHLDDLDVSVPGPVQVYWIPPRPLADKLFEDYLETVHPFY PIISRTLFRAQYRTFFDSTARPGDKWLAILNLIFAISAKHAHLTQAPWRGDDNDHLVY LTRARILSMNGDALFSHPDLQQVQVEGLVAFYLMASDQINRAWKITALAVRSAISLGL NMKNTSESTPGISKEARYRVWWCVYTFEHMLGIMTGRVSCITDGICTTPLPLPFEEDQ LREPAAAKLLNDQDLRQELVESALASTLVRHMPSNPTGGKEARHTDKLRDAAWLKSQP ASKTLIFLYYVDLAVVAQEIVNRVYSLDCAMVPWRHIENRIGELRSRIDIWYTNLPEA LDFTRRDDQALNFNPTSHVHMASNTGGNAGMGVSVSQTAPPMPIFYDTVGGPNQHPVQ TQYHYDQNQQAYSGVPALDPISTTLALSASGTDAFFPYDPISGEFIRSFFPIPNEEEP WEQN AOR_1_2812154 MKRSLCPARLLCLDRRSHLLHTASVSSYRLLSYSQSKGLPNWRP SRGRRQWGRQFSVGSSPSISRRLLLDRIHARNEPPARESQENPETWALLLEQYLLGDS GGDSTAFESDTASVTTSTSISRAIDLSDLLYNARTFGNLDLLAHLGFRLNNWPAVYAL LNQLLDAADALNDVSPSLKHLSNNSWGYESSVSLDQLTHQIDSAPRLTPDPTTVSELT KLDTLTERAFADEHSRRFMAQVWQSLGSIVLDAADSSPNESKIAMSYVFRILARLHHS GAVSDRVYKYVPTDSHQVAFRPPTMHLLSTHIMSVLSDAAWLVHEAEVAAKAAAAGED SPFLPFKMGIRELGPEIWIELILWCCVEHGHITEGVWLIDQMKTRKGDLAWRFQSWRP LLQHPESVWNTKVDSEVSWRHPAQVDGPSLLRKRSPPSPFNGLGRRTISLEVAASLMD NLPNWGYRGLGFWGITSAALLRHIVSLKFAIAPRATDDTPLATTKESNWFILRVLESG CLDPVADPQAFDELIRAIPHVVPPWDSNDLYLPDEEDLEQLEPSQIYDETTALAKLVE HNIRFHSRRRLCGDAMDSFAWLQSAVDKSKMQRIGEFFSSRVDPSNDEHLPTFDTANL ASLKPFESSMPQISPITLAELLDLVTVSRAFTFGDWLLFSNDIDGPPVPYSAYGNQAL APSIIRYAAATKNSTLCDSVVQSLSQPLSANTLRALLNYRIAMCQWNQVTVILEYLRD YRLKSWGHSNVTALAAEIIRLDHAVTTEIDTTKAEDNIQNLAQAKGILLRILNGDFNE LHPRDDYQKRSLHGLQRLFLSIPGALHDLAASSNIRSQKLARSTTPNIPSTAFHPILA AVVDTRGSAAGKRLWDTWCVDVQSPSTRRLHKGGIPRLYLNTERDLKKGDPHFDEAYF KQLQTKLVIPNISTVRIIAQAAVKEYNEYEAKHSHTIPDNSPPSPQANAYPRTIHPQH NPVRPILDFCMKKFEALGLRRANMNRECGGFVYRRNKELKRMKRQRLRGSDITAQ AOR_1_966154 MKAFTFLGAAALFFSPALAQEDLGYVTILPFPVPSGTPSGTPSS TPSVTPTPWPSGVPRPTGVFPTGSWPTPSSSGVPPPSSSPVFAFQRRHARQVRPIFV AOR_1_968154 MSQDTGLFSIKRPRESLAHFSALPQPSSALKRTSSIGAFGNPPT AQHTRMSLLNSASRPQQPNFQRSSSGGAFGADAGLSSVRRSVSSNIFHGASAGRQSYA PGSFSSNPASQNLQRRSSVFSRPSAGVGGAMGHQSFFTQVPNAAGVPRDPRPLRDRSF QARIGQELLEYLTHNNFELEMKHTLGQNTLRSPTQKDFNYIFQWLYHRIDPGYRFQKS MDAEVPPILKQLRYPYEKGITKSQIAAVGGQNWPTFLGMLHWLMQLAQMMDRFIMGEY DEACAEAGVDVSGDRIIFRFLTGAYHDWLQGGEDEDDETAGQRLVPHIEMMAQEFERG NEKYVQEVQALEAENRALRDQIEEMEKSAPDMAKLDKHFRILEDDKRKFEDYNQNVQG KIEKYENRIKFLEDELQKTEADLQAAEDERAGLQSSVDRQGITIQDIDRMNTERDRLQ KILDDTMGRLEETHSRVMEKEKEASEKLEALEEVVKAYNTLGYQTSLIPSSAVNAKGQ DYELSLNVNESNFSASQIGSAPSRISPEGDRLLAEPFTGYHPAHLLSLDLRGTVRSSL QTLRKDINERRKRAADDDLDRRNLLDNIKEAMDEKRSEVEALEHRRRAAEEEFERTKE ITTTQKLASDAQIEKMEKELAKMRATMSESVQLMEQREMNTNIEYEQLTLRANALREE LHTNVESMLNDVIRFKVHVQKGLEDYEGFVVDEVEQELGGDMVPADDIPPEEEL AOR_1_970154 MAREELISSAVTFLQDPSVASSPVEKRVAFLQSKNLTQEEIDIA LARAGEGPAGATAVTASSGYQPSSQPPAYRGPPPPAQGYGYGYPPYGQWQPPPPEPPK RDWRDWFIMATTVGGVGYGLYFVAKRYITPLIAPPTPPQLEQDKENIDEQFNRAFALI EQVSTDTAALKAAEESRTERLDTALREVENLVADLKNASRRRDDETRRISDEVKSLKD AIPKALEGAREGNENRLKELGTELKSLKVLLGNRLGGSGAAISPNTAKPSALPTMSGA SRPTEESPASPATNGVTATATEQATQPSSTVSTQSNQTTPAASSSPLSQFSRSASIPA WQMAAANRSKNASPSTPTSSTGDNSTKPADEQTAPAS AOR_1_972154 MSTREHSSHRHPRSHRRSDSRTRSRSPDKHRRHHHHRDRDHDRS HRHHHRSRDHDRRERRPEPSTKPIVLPFQARELSKRDLSTYEPMFAMYLDIQKGILLE DLSEDEVKGRWKSFINKWNRGELAEGWYDPSTLEKARRSAQDEPIVSASGRNRRSPDY GRGEDDRAEREEEDNLDEDEDDYGPVLPKYDQLNRYEGGRAGQSSGPTIPTMQDLELR KESAIEDAIAAREDSRKQHRSEVRSHRSELRHMEDEVAPRAEPGTHERKMEKRREAAA ANRAFAESRRGASPDGAPEDELMGSADNDLDAIKRARATEQRKKNEREIRREEILRAR AAEREERLQQYRQKEDETIGWLKALAKQRFG AOR_1_974154 MHILVVNDDGPPSNQSSPYVHSLVVALQSAGHVVSVVLPHQQRS WIGKAHLIGAAVKPTYFRPGTLHQDDGTTHDLPRGSDPADDEDDDGDEWILVDSTPAS CVQIGLYHYFEDRGPVDLVVSGPNYGRNSTALFALSSGTIGGAMEGAVCGKRSIALSY AFSSRDHDPVVIAEASRHSVRLIEYLAKNWADGVDLYSVNVPLEPGVSQSKVLYTDML DNRWTSGSCFRAVDASVPNANPELQEHNVRHQNQKAGEELSANANGITSRRSRIQHKH FQWAPNFSDVYRSVEESAPGNDGWVVKEGMTSVTPLRANFMHQSGIQGEIKLPDNDEP TLYSIVDSDVPYVQDLMQQALQRRLGQGRYKTISSLSDLPSRSAPLFQYREYERLDFE HVMLHSSTSLANAYIIRKALIRKHYLSNTISNWVTKHPDSILGKHFKFAFDFELDYAE FLDDALLEAYELRESLQKNEERPDNEKEWWILKPGMSDRGQGIRLFSSEDQLREIFEE WEVDESDIESGSERAEEEDDDEGENGTGVVTSQLRHFIAQPYIDPPLLLPSSSNRKFH IRTYVLAVGSLKVYVFKEMLALFAAKPYCPPSEDEDEVTDLARHLTNTCFQEGGSANE GTVRRFWKLDPHVPGLSPDWKEKVFDQICAVSGEAFEAAARGMMVHFQTLPNAFELFG VDFLVDSDGTAWLLEMNAYPDFAQTGEELKEEVVGRLFEETVEVAVKPFFGGEAPVNG TDHLRLVADLDLGRKA AOR_1_976154 MESEYTSEEDSGHKPTLYHNAQNALVKYSRPFFSKQAQKAYLGT LLFVGAGLFMLFGSALAYGIFYYRFVPQVGVGRVVHLQFGDGHPWGTASLGSELVSLQ PYDINVEIELPRTPSNLAAGNFMLDLTLLSHPSTSALTGTNSSTYPISRSRRPAMLTY ASPLVDTASKLSLMPFYVFGWSREAEKLVVPMMERVEFARGRRNLPESLRLEIHSKEE MQIYKATVEFRARFTGLRWMMYNWKITSFFIFSSLFWSICMTSASISWVIIASLSSTG TREPEVKEEAGDETPIKEEPSEETSSLMEAPSASSTNPEGKRRIKREDEYEADDDESD GSPRDRGTLPSEEVGAGTGLESAEARGVQRRRSRLFSDGHS AOR_1_978154 MADDESTIKREDRTTNDITEDGLVTWDGPNDPENPKNWATHRKW LAVISISGFVLMSPLPTTIVAPALDIITEELNITATVLKPMILSIFLLGYAIGPMFIS PLSEIWGRTVVLQTFNFLFLVFNSACGVARTIEQLLVFRFFAGLFGSCTVGIGAGTLG DLFNASERGKAMAIYSIFPLVGQVLGPIAGGFLSERVSWRWAFYVTSIVDGCVQLFGL FFLDESYTPVLLRRKRDRLTKAGATGLYTEHDFPNSSKLDMMRTTMIRPIKLLTTQPI IQVMAIYQGYLYGNIYILYASIAVLWTSRYHERLDIASLHYLALGLGTVFAAEVATHI NDRIFRILAKRNNGNGLPEFRIPIMIPATVILAIGLFWYGWSAEARLFWLMPDIGIAL FAAAAYICTVSNNIYVVDTYGRYSASALAATSMLRCLAGFVFPLFSPYAYERLGYGFP SDKSGSAAAAHANSITEKEGREKWDTKAAQIHKLVESNGMEVFSKYFRRLLTGNAPQI FPGVNKSVENAGNYPLLVQEMQKVSQDIEQAQKIAETVDTSEGDIFRDFDLSTFLDHF KLDPILKVSLALAFKMANKSDLRAKADAILSNSIPPFLQSLATPSEITKDYKNACIGM TIERFILYPPRNFTDEVKAKLVYAANLRYTRLGVEMPFEIASALQMFNFINPRYTLVR QLHSKGPKATSNPDAVTEAINSAGSECWNEEHLASALLFLVLSQYWQEFSLETFLAAV KSHYGEKQISWPLIFRNFDREGLRLDAKQFAKLYSALLAAASEDPTLDVQKLWGGDWE HRDTQLSFLTAFLVSRTDVSQIPNLRATFPPDFFADGPELVRLQGERAAKSPLRSLDA MRALFDLSLFSQASWAVAESQLLIKAIVQYDLPVFLCSALTLPQPWTTVQQSFVLRTL VVFILKQEEGYQLALHGAWRQDKQWVAEQLFTTFTQDPTSTAAIYEHAVEYNWLDFLL GYTNGLAMDLACYAHRKGPFDLEQWVRNAAQKGPMDMGSLLSKFLRIKAEDELHVQRK EQPAPQMVSLSVKTVYTLLSVLEEYVGDRENLTPVQRICIQTYPRLINYGEGFDDIID ANGENGNSLPETVDKQMQELFGKMYHEELSLREILELMRRYKSSREPAEQDLFACMVH GLIDEYHCYHEYPLEALTKTAVMFGGIINFRLVDGITLKVGLGMILEAVREHDMHDPM YKFGVEAIEQLINRLPEWAGFCHLLLQIPTLQGSPIFQKAEEVLREQGSQARDSDTGR LDNASAGSITNGNVVDETTAADGTSRKFISVHVDPPLRPEVYNDPDEDVQDKILFVLN NVSEQNIEEKLQDLTDVLRDQHHQWFASYLVEERAKLQPNFQQLYLDLLDRINDRVLW AEVLRETYVSVSKLLNSEATLNNSTDRGHLKNLGLWLGSLTIAKDKPIKHKNVYFKGL LLEGYDSQRLTIVIPFTCKVLVQATKSTVFNPPNPWLMDILALLMELYHFAELKLNLK FEIEVLCKDLDLDHKAIEPSVIIRDRSAHIEDALSTANIPEGLEAFEDMALSSINQGI RHERLSPAAIMSTLPSLDKILVLPSSASSMVDPNVLRQIVHSSVERAIAEIITPVVER SVTIASISTVQLVSKDFAMEPDEEKVRHAAGIMVRQLAGSLALVTCKEPLKVSMTNYI RMIQQEYSDQPMPEGLILMCVNDNLDAACGIVEKAAEEKSLPEIEKVIEPQLEARRRH RAARPNEPFIDPSMNRWGLFIPEPYRQAPGGLNKEQLAIYEEFARQSRGPGTAHIPNV STDSARIQDVLQDPYTAIPNLSTPAEQPAVPHRTPQAQQDARLQQSGLVSAQSQLNGF LEAQSPREKVESIVSDLQQAARNASEERVRDLGRDSGVLQEYNQALRAILASPNGEEL ARLTSLKICTSLFSQTQGTLEIEVLVHLLAKLCDMSSLVARYTWAVLSEVDDEHMFNV PVTVALIDAGLLDIRRVDMILTRLILQKNTSALDVLANLMDRVLFSEEPSALRSDFSG SLEAMSQWLAEDSGLSTASDIINKLRESGIPEVVNPLLSDKARSKRDQMEYIFSEWIG IYKAPGAIDRTYYSFLKDIHERQVMDNQEDSALFFRLSIDISVAMFEHESQNPNGSLD EAYLYIDALAKLVVLLVKFQGETPGATKTSKSVYFNSILSLLVLVLNHHHVMRGEAFN QRVFFRLFSSILCEYSLNGLQQSEQHQEMMFALANIFLSLQPKYCPAFVYGWLALVSH RFFMSGMLNMPERTGWGPYCEIMQALLAYIGEQLKPANISYVIKDMYKGVLRILLILH HDFPEFVAENHFQFCNVIPAHCAQLRNLVLSAYPSSFHKLPDPFREGLKVERLEEMRE APKIAGDTAAPLQQANIKSVVDSSLQGGNASEAALQQICEAVYNPTTKETGLFYTPIN VNVVLLNALVLYIGQSAGSANAPKGNTRAAFDNFPHSALLERLAKALRPEARYYLLSA MANQLRYPNSHTYFFSFAILRLFGSDYSEQDESDIRQQIIRVLLERLIVHRPHPWGLI ITLQELLQNRSYTFFRLPFIQAAPEIGRLFDALLQHIQQQSPRALA AOR_1_980154 MPGTVADGPTVAMSFANNFWGKDDAGVGPMLERMHTAKVSCDEL KTFYNIRAAIEEEYARKLLALCRKPLGSTELGSLRSSFDVVRGETEAIAKAHGAIAGQ MKRELEEPLVAFAGGSKERRKIIQTGIERLLKTKMQQTQTVNKTRDRYEQDCLRIKGY LAQGHMVMGQEERKNKAKLEKTQIQLASSSSEYEAAIKVLEETTGRWNKEWKSACDKF QDLEEERLDFTKSSLWAYANIASTVCVSDDASCEKIRLSLENCEVEKDIVYFIKERGT GQDIPDPPRFINFCRGDINDTSSEVSEEDGFSVAQFQRTINPAFRSSSPQPSTYESHH DPQSDLANQMAHNNPPTPTSRETTVTPQKPTQQPAPLDLRRGGQLPPNYDPSEHGEIG SVPHNAYPTDGMTMFCRTGPPSERSSGTNSAYRPSSRDSQSEVSNPTSMSSQEPPSAR QSPTKPTNGVPLHGMGTDKQIQKKRSAFFSNSPFRRKSRHDKERNSGPSQTPSRGTWD SKPSSPTKAPQPQSPIAAPGNDQLSNSPEPVDPRANFQLNVGNNVFDVASPDKDKKKA PQAAKSAEEELDPIARALADLKVAGKQPTTRISADRYHGIATPNPSAPSSNYSSASVA TPPPAYNDSSVKRLDAPQPAFTSAQMQKTTQKYTGQTHNMLRGSGNTSGLATRNRAQS DAPRARSPTPRRSASPQVNSPRVDTRMTRAMYSYTAAIPEELGFTKGDVLSVIRLQDD GWWEAEVTTTRGRTGLVPSNYLQII AOR_1_982154 MNFAPYQDESPEVERAMSPALGDANRVKSPIIRSPVGSPPVPGL ASNALPSPSHFAGSGQPGATGFGNSGYGGDVESGRWNMGAFDTSLPIRMDFEAMLAYL LLPPAGGVFLLLVEHKSDYVRFHAWQSSMLFTVMFILHLIFAWSSFFSWTLFLCDLAM IGFLSMRAYRDVDTLDHYEVPIFGRLANSFVDDE AOR_1_984154 MTFRSTAEEMERLQLSDEDTDDLWDSPSKRGTRKVNRTPVKEES TTPPPISSHDGETLFDRQEAREAALRNELQTVRNINQVIESLLSSLDRAKGNMDTVSR TVDSASTLLNTWTRILSQTEHNQRLILNPNWQGAVQDVADLENEERLKQQAAERRERE LQEQREAAARRAAEEEKRRTQAAARGTRGRVRSSGLGRTPSVSYSRTNPSATRTTSTA TRGSTTTTTRRPVSGIARGPSVTRGRGRT AOR_1_986154 MATTPPPPSTLRVPPTPRHGPGYDQYEPYSTRHSARLASQRASK ERHTTPPPNFPPSQSSKSTKKQHKELGAMSPPESARRSPRKKTSDRIGSFLAANSLDG ASELDDSDPFGISEPSNSTHPLHAFQTTMSQGMLPTPAKTPKKKAVGDIGNTARVLFP PPSGRTKKSKKYTGFSLDSFDDNARGGSDIQIYTDSRDRIPEPDQSEDNPFCKKPTVP TRFSRRRAEQSKRDKEVDESVKRDDGMTYVFRGKKIFRKFVDPVDSDGDDDDDDLGLL AARPDLLDEDITANVRPLTRSSIKPRVLFPTANDRAPPSNHVSDGDEEAATDIEDHML VPDVAETVDRPVDVEMKQRPVTPPPNTVETPPSPGATIRSLRSRTKRDDPEHRTPTVP ETKKKRVSPFDGWLRRKPTPVPAGSKAKKRDAAETAGSPGGPATKRTRGSRAAVTPS AOR_1_988154 MTSMVDISWPPKSPREALLSSPSGRKKYEEMQRRRENFGSPLKR STTTPDLRTRSEQLLEDGLEDEDEDDDEETLKLKLAAIEARLKLKQLQKNRGRPGTSG SDTHDRDGALSRPGSAVSASSRTQDNIPRMKGARDATSRLEPNDVQVPLSPTRRPVVA APPASPRRYILGIDKGLKGSDVSLKRPPSSRTTGRPTSGGGIRDGMTLHSHTALTQAL AGEPGNRPKSFSERMAESRSADKLRRERAERLQVNRSSAFQFDKAEVDAFKAAAEARK GSPTRSPTRNRQTESFSREDILRSCNNLKPAGLKRSQTLPSVRRNLDQDEPGSYLHRR NQKSESEAQAASSTSFEHTGSDESREGSVLDKTPDASKFEAFSSLHLSNRILPHSFLT RTLADKKVLRIPDLLRTVKGPAFELPETINGDYVVFGIVASKSEPRDIKESKKVSAKE ADPFDEGLNNNSRYMCIQLTDLKWTIDLFLFDTAFPRYYRLSEGILIAILNPTILPPP KHKLDTNKFSLAISSSDDKVLEIGYAQDIGFCKAVRKDGKTCQAWVDARKTEFCDFHI DIQVRRTQSQRMGVNGGTGMFGPGGRSGPRTGFFGGGKGKGEGPRKGLKQNGAQYDFQ SQSLYYVAPAPKSRAGNSSSFVMPGGQSAARLIDADDEDPFIAAGRMGRGMENKEERF RRRLMEQQRERDIAQKLTSRGIGMGAEYLRARNSGNSSSPLPENITPAKTNQNAAETP SSHSGLLGFRKANTVKLGPLKRAHDGTHGSSVKKTRFITAKGIKEAGRDSLGMSEATK TGFDDDDDDELDII AOR_1_990154 MRTRSSAPSSEQSKPEQPSKPPLTTEKPGKTFILPSSASDEARF LQLPNPQTGELTRYFFCPKLGIYEFTVVTSSSQSPRSVLFSPKSENNTAPDRKPSKPG NASIAKTAQLLIATPIDVVFFLIPLLCPKSSQAKGLFQPLDDIIDSQDDLPNHFQHVL YDESFKNVLQARAEAICDSMEAGDEKLFRFSEAKLLKELIAKAERMVALGLPASMEER FIRQALSTPLMAVKREDASTNAAPSNSNSDGETAPQEDKETPATTASSTSVPTPSDVS TPATESPPNEPTVSDDVARLLRISTAITFMKDSYLSAALRTKVDELLSSSESPIDFKP MHDHLKRVAELRAEALASRSLGDFSRKRDIDDDEGAESRADKKRRKEEEEKKKKAGES RGVRDLKKVNTTGMKKMSDFFSKAAAKKKS AOR_1_992154 MSTTPQELVPQTENIAEVYATDDASVTSVSPEHQTRFNGLISKF SQLYNHRPDFVARSPGRVNIIGEHIDYNLYDVLPTAVSVDVIMAVKVVPSSGEPFVKI ANVQPEKFPSREFTVPRDTDIEIDPKQHEWVNYFRAGLLGALKFLRKTKQDGSFVPAS VEVLVDGNVPPGGGISSSAAFVCSSALAVMKANNHNVSKQDLLDLAVVSERAVGVYSG GMDQAASIFSRRGYLLYTQFFPNFSVQHVPIPKASEEITFLMAQSFVTSNKAETAPRH YNLRVAECTLASVVLAAQHGLTLPKDNSSLGYSLRNFHEELMRKEGRLGDPLEYQIDS VIQTTMELLTQEQGYTREEIAKLLGITVADLEAKYLSSFPVQAERFLLRQRALHCFTE ARRVLDFKACLAKATTLDERRIEYLGQLLNESQASCRTQYECSAPEVDDICAIARRAG TWGSRLTGAGWGGCTVHMLPQSKVDAVIKALKEYYLKKFPDISEEKLAQAMVISKPSN GSFVVTGEAIAQVNV AOR_1_994154 MMPLRPSQGAMRAMHYQRYMTSGRRCFTSSSVAAAVSPHRFSAQ KRSQSTATAATTKSRPAPSPAFNLEPQRSEVSPLQNRNVPELDDSFVGLSGGEIFHEM MLRLGVEHVFGYPGGAILPVFDAIYNSKHFDFILPRHEQGAGHMAEGYARASGKPGVV LVTSGPGATNVITPMQDALSDGTPMVVFCGQVPTSAIGTDSFQEADVIGISRACTKWN VMVKSVAELPRRIHEAFEIATSGRPGPVLVDLPKDITAGILRKPIPMNSTIPSLPSAA SIAARELSMKQLESTIGRVARLVNVAKKPVLYVGQGLLANPEGPKLLKELADKACIPV TTTLQGLGGFDELDSKALHMLGMHGSAYANMAMQEADLIIAVGARFDDRVTGNITKFA PQAKLAASENRGGIVHFEIMPKNINKVVQANEAVEGDCAENIGHLLPHVNKVSERPEW FAQINDWKARFPFSLYEKQAPEGPIKPQTLIEKLSDLTAHMKDRTLIATGVGQHQMWA AQHFRWRHPRSMITSGGLGTMGYGLPAAIGAKVACPDALVVDIDGDASFNMTLTELST AAQFNIGVKVLLLNNEEQGMVTQWQNLFYEDRYSHTHQKNPDFVPLAKSMGVAADKLV NPAEMEEKLKWLIESDGPALLEVITDRKVPVLPMVPAGSALHEFLVYDEAKEQERKAL MRKRKVIV AOR_1_996154 MANGWILEPRRQGVGLIEQLEAVTSVIEAVEVVTNATPLHAYGV DSLITVEMRNWFMQTSRVDETVFEILGGAAAATLGRTIFDKRKPVT AOR_1_998154 MAIESFPIPSLDRPFGVHLWPHFSRAFELVAGYPADEFKFVVGT TPMSTLRETSIFVAVYYTIIFGGREVMRNRAPFKLRSLFLVHNFYLTAISAILLALYI EELVPTVFRRGIFYAICHRDGGWTNRLVVLYYLTYLTKYLELLDTIFLFLKKKPLTFL HCYHHGATAVLCYTQLIGNTAVSWVPITLNLLVHVVMYWYYFESARGIRIWWKEWVTR LQIIQFVIDLGFVYFASYTYFTSEYFPWIPNAGHCAGEEFAAFAGIIVISSYLALFIL FYFATYNKDGKPPSTRRTLRRMSQAEVNPKATGSKTGNASVRARKVPA AOR_1_1000154 MESIPGYSASAGRPRSSDNASTRHEGASRPDTSASPTTESMEDN ETVFLNKPSSSEGNVSGAAPIQTSHPVDTSNDEPRKCWICYTDETEDSPLNIEWRSPC PCALTAHEACLLDWLADLENPRSRKRNGRNAKMMCPQCKSEIVVSRPRSYIVDTVRML ERVAGRLVLPGMVFTLAGTVWAGCCAHGVYSMYFVFGPEDARQLLEESADGPWNSSLN LGLPLIPLVLIFSRTRYAEGLLPAIPVLFFATHNPGHDPDFDLWPPSAAMTFAALPYV KSFYSTIYERLFGKLERRWIAEVQPRQSDVNEFDDNAPPEHPEADPPRDDGDGHILME IDLELQVGMGDNGAGDQGQNQGAAQNGQMGLGRRDDLIHETSNLADIILGALAFPAIS ASMGGLLKYVLPKAWTAAPSALERSRPGLLQTRWGRSVVGGCAFVLLKDALVLYCRWK LAQTHRRRRVLNYDKAKKQVVGKR AOR_1_1002154 MPTTLAIFDFDGTLFDTHESISQTIKLTFDALLPTHAPPQPEIH RLIASGAGLADTFRALHPDPATFTAQENHWIDKYRALYATHGQLLIKAFPGAQELLTE LKAHHVPIAIVSNKGVAAVKTALERNGLAGYVPEELIIGDKTPGAQRKPDPASFVDVL VPVLGERFGINVVADEGVLVVGDTVADIQFARNIGGKVCWCRYGYGDREACEGLEPDY VVDSLADVVGIVKR AOR_1_1004154 MLQPAPIHTLPPTDPTLTTHIPTFLSPTTTPQKMQSTIHALTTE LITTAKTHDPNLTNPTMIPILRGALPMYVAAAPLFPTTTCILARCSKKKGTKEVVVEW PGRRPFPPAEDEGKIVVLDTLVATGDTVVRVCEELWEMSSGTGGARRERSVVVLCCYA APEALERIAACPVVEYVVVAMRAERCDEHGYLVPYTHGDIGDKIYGAAWKGKQEVVVA EGEDVDCVLSGVEGLLVRNGGLWELTGDGLGIEREIRFPSFKKAWAFMQLVADAAAKY RHHPEWTNVYNKVSIRWTTHQPKGLTELDVKLAQLCDSYCET AOR_1_1006154 MATNPTAELKGTVAATGTETPEDTTRHVDHGFRAWTVVAGAWCC LFCGFGWVNAIGIFQDYYQVHQLRTYSASSISWILSLEPFILFAAGLVIGRVFDNYGP KWLLLIGTFLHVFGLMMTSISKEYYQFILAQGICSPLGASFVFYPALSCTATWFDKRR ALAFGIVSSGSSLGGVVFPTMLSRLLPRIGFGWSLRISGFMVLAMLIIANLTVRSRIA PVPRPVKLTDYIGPFSEVPFILLMLAACCGFFAMFVPINYVIVEAQEDGVDRELAGYL LTILNAASLPGRILPGYLGDKLGRFNVMIAMCALSAVVLLVLWLPGTLLAPGSAAIYI VFSLLYGFASGAFVGMVPALLSQISPDVTKTGVRQGVLFTCISIASLTGSPIAGAILS RQNGTYWGLQVFAGAMMVGSVFFFVAARVVLAGFAAGKKV AOR_1_1008154 MESLFTAVQTLIRETLKAPRPKPTHSDGRQSPNKQPHLTSISEI HLTPFEELPPAYEPSTWWLDGYCPAHPHFDNADRIRLRTKEVKRPLLQYILEGCRVLL ERADKKSLQLSFDQHETLWRAAISVHKFGVLYLATRSECREEFLPAVESNLDLFNEMV TFLSHDNNAIRAINYGVHRLVNSNTFTVDYQTKLVPPTAISSIGPNHIAVREKDFEGD STAMLDNSSAIWDLHDFAHLTAASVCPELYGSKYFTHLINLPSKLTALIRSPKMKTTD PTPRFSDGVVFSELLTVLFTSEIEAVQRAEKTHTYVSLVDTLAEDVADYLMGKRELQH LTTGVMLKAKKPISAVQLATLVQNKAYELTASEIEQRVMTRGGPAGDSRDVLDDRSPL ERIRFLAHCRRWLYFEVRNTTKHRAHKLAYRKVAERMLAQGDDGSITNNDRVLLERVV DHIRYEGWESNDVVNLWQAIIDMNMESQAATL AOR_1_1010154 MFSSNLPVQWPGWSTCFYFSFISSFLFYIATRQKKNQFTDRIAS NDQDAVKPNSYPPVEPLPDFDWKTKEPIKIRPFKPKYHLTMSIQEGTVNELIEIDMNY LDRINLRKRIMAEHPETVLAAEDCVKPAVDEFYTWLVGTYLPTRYPRMFQLLSSDGEK SAFLHSLVTDEIFSLSPEGNPLDTLRVMGGLIEDDLLFLMPSDDGDGFTLRGFVTCFP NGFNTSKKLGLKLRDIHKPVPQYKEKLEKSMDRFSQRLGVGRFIKRANWTITTTDQLF TPAGNHLYEGEEIPQEEVDINTARVRVERQFLHRLPQSHALLFSFKTLLYKLPEIKKE GLGEVLAEAIDGLKQGNAPGFHFYKRAAVWGESAKSYLRS AOR_1_1012154 MPNQVITELVQQFLADTASYNATSPGGHILIWPFFIVGAECSSE QDREFVTMQLQNLWDCTGFGSPLYAIKLLGDIWQEPPGTNWTQTLVDKVEGFIM AOR_1_1014154 MTKEYVNPLFRLLVFHNRESKDRHITGNLVLLSALTFVAYVCFT NRKRLLVMFSRLGHSSSRVRQPPLNAEKKISSTPGQVSYKSVVPPSRRQAASPLLDAR ATSLEEIAPSTTEILQKHIPVDSNIKDLHGTFCSPTGFSNDDMISLGRFPDYATLSGV RLPEPQPDLNIHTAIARPYRPIRWPYNQTMDPDHRLELDRNDTLGIQQRQELYMRHGK SVLDYLPGSEHACRELMEMSIVRNDIIGTETDLRLIHPLNILLNNVPEDFAIMLRDPE TGAYVLRAGIICSSLGWSLGLKLGKNIDEIHEPVPDYGEKLQFSMNRFFAKMPTDGLI QRGSWGIEIDQPIHIPPGDPIALRHETQDPDIALDRYHLRVDWQTLRRLPVSAAMVFS FRVLFTPVTQFQNEPYIPSLFLKNYREVKPNLLKHKNIWHTEATILPALELWEREQKE QGIIPEDWQPATLDNYPYYPGWEERWNANQSLKETQ AOR_1_1016154 MVEGLIGDLQLSLDGCKSLLEIFSEQATQVMKEQNTQGWIEKIK LVWNETDLTQYRDMLRDQVQALSLLLQVVQLPTQSEQTSALAVPETQKITQRARDDST SRFAS AOR_1_1018154 MSNSQPALDVFFYFQKQELKLSFNSPNQAAFYQNRNRESRIFAN EPNAVYLPLAPSMVYLRDSSKGLVIGFAKAEDADLWCRTSILGQKHGHYEVHIGRGWT DEQLNQALQVQHQPYVPKSNSRPQMLKDYRFPGTNLRLPVSLLELRIYRLH AOR_1_1020154 MRSLSPVLASLANVFKIPISQTSTRPSVTRVCNETLLKKSTSGP VTSAVQAPAARSFSTTNALLKRKGGGPRGDRRVTLIRYFLHHPKTPRPLRFSRTRYLR HWTIHRAWQLFQAQQRRSEQLELQRQWQAMNAACEELRTGAGDGGKLFRKSMNKRGVF RDLFPIEYGRLQTEAPSQEGWNHEWKRMEKK AOR_1_1022154 MAQQQNDNVMRRKLVIIGDGACGKTSLLSVFTLGYFPTHYVPTV FENYVTDCRVDGRSVQLALWDTAGQEDYERLRPLAYSKAHVILIGFSVDTPDSLENVK HKWIEEANERCPGVPIILVGLKKDLREDPLAIEEMRKKSLKFVTSKEGSDISTQIGAR KYLECSSLTGEGVDDVFEAVTRAALLTFDKRKSSCCIVL AOR_1_1024154 MPDHMLSAPLRPTNGDTHTPNENLPPSSLDTKPMSVNRKKQKRR QKQAARLAAERQFENGVIHPDAADRNGLGPAGPGSHISDEPEIDHPANGDAYHDDELD AYSAHQDFQLDTHGPDDQKSTSRKSKKKKGKKNRANSQTLGDESSTPQSTPSVCMTHP LPPPLPPHLGPRTLVKPSKDRSIWNTSTQEERENIKTFWLELGEEERRQLVKVEKDAV LKKMKEQQKHSCSCTVCGRKRTAIEEELEVLYDAYYEELEQYANNNQGSFEKGAPIVP PPRLYQPPLRSPGQHTRTHGQYHPSRGRIHELPEDGEDLEEDYDEDDEDDEPYSDDDF EDEETRAARADFFAFGNSLTVKVADDLLKNDGKHFIDMMEQLAERRMQREEDTQYGIA AAHQSLHSGHNHGPYDDEDYDDEEDDDYDSQEEEDYEEDEMDAMTEEQRMEEGRRMFQ IFAARMFEQRVLTAYREKVAEQRQQKLIEELMEEQTRNEQRNAKKAREAEKRKEKKRL QKQAKDEEKARREAEKAAEEAAAKAEHEKKLEEQRKKREEQRKKREAERKAQEEERAK KEADRQRRLREERERQADAERKQREQKEQEKKRREEARRKEREERESREKKAKEERER KAREEQAKKAGQDPQERKRLSQQGPVPIASNLHYPGLPGHLQSPHYQAATPVVPQAPT PVKARQPSQQDSHTSSPRSQPASTEPSQVSISPRSMAPSQSSGASSVTSKQGHAQQPM LHHPQPSTPLSPLGSIGRSFPPGFSNGLPPNPPGLAGIVPRPPIGHELPTYPPHSTPL MSQLRGFTAPNGIPVPPPGINGARPIPPGRGFPLDPGHGLPFHSQQPMSPFSTQPGGL AHGHTRQPSGSFERSPLDSHAQPFSISRPSPIKRPSSTQQEKGDANHAMQRHMDSLSA QLGSSALLDDADIPFTSNLSQSLPGATAPGSLPGPARASFAAPSLFPDPLSAPKHTNF PVNPGVGGSTWGAQLPFGASAFPGASTWGTVHGGGWSNNAFGSGGHHRAHTSRPVAIR LLVIQACKQLNTMSPSKGAAGYHDVNHVLRQVDQLRPPNEPSISLKEMLDICDTEGNT QNGGGSFLTKSDETGEYVKFESDTNSAASGHRGSIVPGEIGSPVPSSSIPAFGGIGST ASVLRQFSSPPTGF AOR_1_1026154 MATPITPSEDQSRLLEEALGVVRQQSQMMRKCLETPGKLMDALK CGSTLVSELRTPSLGPKQYYELYMAVFDALRHLSVYLKENHPVNHLADLYELVQYAGN IIPRLYLMITVGTVYMSVEDAPIKEIMKDMMEMSRGVQHPIRGLFLRYYLSGQARDHL PTGSGDGPEGNMQDSINFVLTNFVEMNKLWVRLQHQGPSREREKRMQERRELELLVGS NVVRLSQLVDLEGYKSGILQALLEQVVQCRDVLAQEYLLEVITKVFPDEFHLHTLDLL LSAIARLNPHVDLKKIVIGLMDRLSAYAARETESSADPESRKQSEEEAVTKLLENLKV AEESKKEVPADADADADTNAAQENGVEQTSKESDEAATTKEAEAEPSASTNGDKDEKA KIPTDVKLYDVFYGQVVNLIKSRGLPIQDTMALLVSLVNLALNTYPNQLEYVDQILDF ATRETAEYADHADLHSAPTQQNLLHLLLAPLRSYVSIFTALALPHYLPLLTAQSYTTR RSVAGEIARSILKNRTLITTTENLDRVLQALRVLIKEGTQQAMGLGLQAQRRGETDET IEEQGWLGRLIHFIQAPENDTQLKLLQATRKAYADGNERIRYTTPALITASIRLARKL KSREHYDDNWQSQSSALYRFMHQCVNNLYQRVNPGCADLALRLFVMCGEVADQTGFEE FSYEFFAQAFTIYEDSISDSRAQFQAVCIIAGALHGSRGFCKENYDTLITKAALHGSK LLKKPDQCRAVYLASHLWWVVENPQRGEDDPKNLYRDGKRVLECLQRALRVADACMDT AVSVELFVEILNRYVYYFDQQNETVTTKYLNGLIELIHSNLQTNEDEPNPSLEGPIRH FQRTLDYIRSREYEGVVTEFKQ AOR_1_1028154 MSRARRSTRLTGGKTKYTNDPFEAAGVSDESDNGSKVPKGRKEQ ASDESSDEEFQANDEQEEEEDDEEGSEEDVAGENDGEEADEEEYTSDRGRGAQKTVVS RPRHQKKRLGNDLAMLSKDEMHSRGTHSSMEHMGKMLHMTITFGTDEKDLLSIVYARE RWYRGVDSGFPSRASLNEAPDVPDCGFGPTFGVEPEDMKRERTRGWDWYYDGDVGERF RKRQRLEAITEKEVYQKFIPQAKEKKHTVLIGPVDDQKVFTLGHHESFNFGEAFGETK AKAKAKPGTGGKSKGKAFAQEDSTGRTRKTREGWIINLGQKVQCMAWAPNQPGLTQYL AVSTPISKGEKEKYPDPFKDRGARAFRPSPPYPCALQLWMFKAEREESLTKHIDMNFK PKLRLALCTNWGDLRRMAWCPVRRDPREEDDDDVLKSVGLLAGIWGDGYVRVLDVKLS RDPNKTEYYAVQSPVFEAKPPSTLFLSSLGAQAIPLLRPKANDILARREVPYSVVNVG GQPSAEATPVVETVTVGSPPQTPVTITITHTPSSSPSSTPSPSSWSAGPLPTGIPSGE SPVVARGLNATARRVRRSSSANSTEVHDLAARHNGTVSHLDSRSNNTASLVSRSNSTE SKLTARSNSTTILTARGNSTEFKIAARGNGTASGLGARSNIVNSRLAARTNNTASAVV ARSNSTEANTITARSNSTNSHIKARGLNLTDRAVLYLRDALNSTRVHDSNAVAKRSSN GTSLDA AOR_1_1030154 MSQSHSGILHEYAPRLTAFEFNPGPKKQHSLLFVGGLTDGLLTV PYVSALAKAFESTEWTVFNVLLSSSYLGWGVESLDKDVTELVQCVNFVRGLKPQGKVV LMGHSTGSQDVLHYLHSPNPLPGQENSRPVLDGAIMQAPVSDREHILHLAHSNQEVRG AYEQLVNFARMQAPQSLLPLNLTAVVGWPDNTGISCRRFLSLASPESPEKPAEDDLFS SDLKDQRLKETFGAIAKRGLVKGRLAALYSGNDEYALPSVDKEALLRRWKEATNAGGV EKWSEYSGVIPGATHNVKDEGQDWLVERVLQYLNSV AOR_1_1032154 MGAAKNKYTVILPTYNERKNLPIICWLLERTFRENNLDWEVVIV DDGSPDGTLEVAKQLQELWGPEHINLKPREGKLGLGTAYVHGLQYATGNFVIIMDADF SHHPKFIPEMIRIQKETEADIVTGTRYANRDNIKGGVYGWDLFRKFTSRTANLIADVM LMPGVSDLTGSFRLYKKSVLEKVIHSTQSKGYSFQMEMMVRAKAMGYKVQECPITFVD RLYGESKLGGSEIVEYLKGVFTLWLKV AOR_1_1034154 MAEKGRLKLNSRIILGIPQPGTLNIQGHLPQMSARARQHLQALS LDLLPLPKKIEIRKIQKVDPFECHPPTTQNQRLLTDAIPPMLTLKAT AOR_1_1036154 MASQVPALLRFLSQDAKMPLAAAMGKVMELQKAGLTSPEQISKS EFKVLQEIFKDDKLAKQVWNAAKKVSKKREASTGSTESPRKKPRGLDRRDNTTPFDIE CALSLPTTSATEDELSKVVLLTNRAPLVLAFAVCVLKHTMPEQPISSRLSLAQAVVSA NSRSKAASLGIESENSADQEGWGEGQPVVRVLGREVKVLKRWDYNPREGKPEGVASSE QDEDIHHADNDMLGQDVSDSDNSNGMPPLWGIDLEALRSAHRDNSIGASNANEPLPIF TPGAARSYLLKSFTEASKDNNPASDKPSRKRLSQTDAEKETCLRNLLRSIDLVCQSWA PFLSREDLDRRAWACPSVSSIASSNTLRSSLPQQQKAYNTTPNDLGVTENPFGDDARV SFDESPNRSSLPPKGVDFTNRNSTASTNTAPSIAQRSQSTSSRFSMPPRALSPYTGAT GPSHPYAMYPQVGVSRSPSIATTSTVRPMDRPLGDANAPQHPYAMYPQNVVPEEEMDN TMIPPVGFPGHPQAYQRPPNRADDDVGDLIGPDGHTEQLPPYSRYPDPVIPKVEGTFD PTPDAGVTLHDNSHSPNEHPPPPVSEVSSRTLVAENMANRRDNDEEREAAPVTGVMAF EEKLKTKGKKKACCGLPVWTLVLVGVVMLVGACIGGVIGGVLGAKKAANEENQHPKGP KIVTKTETPRMDATPISTIPTNLPAAPTGNYWIPADPKNSSKFCIVDQDYNPSWSCMK SGKIPVSVTGTESSRNITFSNEPISSSFTYGAQAPYFSDPTQSLSLMMDSSDLSLGPA LTFFSLFDKLVIVPQDTFSSSAVSKRAISEDDVMAGAFRRLHTAQAGDKPWFCWWNST VMEFFLYLNQSTKDAQYSSTSTHLPSSQSTDSLSSSVSNYPLRIKMDEKRDYPEAQSP YCQQMQVLDNGSVSPISQQTLQIKELEPTPTTTLKYSASATQTYTATAQYEHVCYCVA LTD AOR_1_1036154 MPGRFSSDHSRSLSRSSQSPSQQYNLTQDADSMHQRSMSGHSSN PNIFSDEYSLEQIDSEQATLTPRSPSVSSIASSNTLRSSLPQQQKAYNTTPNDLGVTE NPFGDDARVSFDESPNRSSLPPKGVDFTNRNSTASTNTAPSIAQRSQSTSSRFSMPPR ALSPYTGATGPSHPYAMYPQVGVSRSPSIATTSTVRPMDRPLGDANAPQHPYAMYPQN VVPEEEMDNTMIPPVGFPGHPQAYQRPPNRADDDVGDLIGPDGHTEQLPPYSRYPDPV IPKVEGTFDPTPDAGVTLHDNSHSPNEHPPPPVSEVSSRTLVAENMANRRDNDEEREA APVTGVMAFEEKLKTKGKKKACCGLPVWTLVLVGVVMLVGACIGGVIGGVLGAKKAAN EENQHPKGPKIVTKTETPRMDATPISTIPTNLPAAPTGNYWIPADPKNSSKFCIVDQD YNPSWSCMKSGKIPVSVTGTESSRNITFSNEPISSSFTYGAQAPYFSDPTQSLSLMMD SSDLSLGPALTFFSLFDKLVIVPQDTFSSSAVSKRAISEDDVMAGAFRRLHTAQAGDK PWFCWWNSTVMEFFLYLNQSTKDAQYSSTSTHLPSSQSTDSLSSSVSNYPLRIKMDEK RDYPEAQSPYCQQMQVLDNGSVSPISQQTLQIKELEPTPTTTLKYSASATQTYTATAQ YEHVCYCVALTD AOR_1_1038154 MSDDSKLKGFPDVSAKLSALPKKSLFERQKAEAEAKRARERAET AAVYEDFVKSFEDDSPAPDRPSADGRLNRFNPKSSGFGGGPAKRHFTSSGPRMSGPGT LGPPPPSLSRKRTHEGFQPLHRNRDFAHGVLGFENTASPATAFRTSDDEEDATVDTKE AERAAAKPTLYLASLPPGTSPSVIKSLIPSVLSVDNVKLLRPSGQPSDRKSMSAIVTL ANESAASDIDSTVSALQNKYLGWGYYLSISRHLSSAAISSTMPVTVGLSSTSSLPFGA KSIASEVQGRLNRAPPPGLHRGGFAPPASYGPSFGRSGPNTQVEVKAPADLKQLRLIH KTLENLLNYGPEFEALLMSRPEVQREEKWAWIWDARSAGGVFYRWKLWEVLTNSSSRG NQRGKPRNSLSIFEGGAVWTPPEGNIKFEYTTQMDEFVSDEDYDSSDEDLSDVEDERR QHSGAPPADSLGASNDGLGYMNPLQKAKLTHLLARLPTTHAKLRKGDVARVTAFAIEH AGAGAEEVVEMIVSNIKEPFAYTGANPDREMEKGAARREQAADISNDAEEARLQSKGN LDTSSAKLVGLYLISDILSSSATSGVRHAWRYRQLFESSLKAHQVFEHLGRLEKDYSW GRLKAEKWKRSVGTLLHLWEGWCVFPQSSQEHFYEVFEKPPLTEEELREEKEKAEAER AANAFSKSKSRWKSVEEDTTTQKFDPGRPPEADRSRMDIDQEHIAPGREFDGEPMSDL DGEPMEDSDLEVPDGDPMEEDSAFVEESGSKEQPEKQPEPSAQPEPQRPVRKPRPKAE DMFADSDSE AOR_1_1040154 MADFQSIAQQFVEFYYKTFDENRGQLSGLYRDQSMLTFETSSVQ GVRDITEKLTSLPFQKVVHQVSTLDAQPSNEAGGILVMVTGALLVDDQQNPMNYTQTF QLLPDGAGSYFVFNDIFRLVYGS AOR_1_1042154 MDLLSNAQCQHLVSPDYINFILSILILFGILLSYLPQHYRIISL RSSYGISPYFVLLGTISGSSSLANVVSQQQSLQDVSCCSDVNGLACFAGLLGILQIGT QCLCFFIILFLYILYFPRDVQSSPSTSKQRAQGPTYRTALIVGAVCILHISVMLIATI TIGLKRPASLQSWSNLCGILAAVLSSIQYFPQIYTTVKLRCVGSLSIPMMCIQTPGGF VWAASLAARLGAKGWSTWGVLLVTASLQGLLLVLSVFFEYLGPNKGHSHGEVESVDND ERDEQDSRPSEETPLLQNQ AOR_1_1044154 MKLNFASGLVLALATVEAVGAHSWFSKAVYDKWHESELERWLSD HDIPYPSPADRKDLESAVKVNWNSKVQKPLGQAADQATDQWHQAKDWIFDTWSDSHLK AFLDRHGIPAPQPRKRDVLLKTARENYEAIAKRLGEAASYPGNWVYEQWTESDLKEWL DERGWPVPQPTTRDKLIAMVRRNARLASLQARSLAASASKSADAAQATLSEALFNAWS DSDLKKFLDEHNVKVPQGSKRNELVALARKHRASLVSQASSVASTASQSATSKASELY GAATTKAGNEYARATDAAQLKGEEAFEAAVATWSDSRLKAFLDARGVPVPQSNKRDEL LAKVRLNKHKAATGWSAWTFDTWDTEHLKKYLSSMNAKAAHRADLTRDELVKQAQDTY AKASKAGGANLASATSYMAQATDAAKSSTFDTWSHSELKAYLDSYGIPVYQGSSPNEL RAAVRRNAEYFRYGTSTPQGTIYAKLQDATNWLLDQLKIGAASGRAQGQRAAEKAQEK AADAAEEMRAEL AOR_1_1046154 MSSPQDGAVPPPAEVMSIASPINLLLLSLFAVLVYMQFRPKAPV TLPKGPAPIVFRTFTPTTLLEFNGVDGKPVYLAVRGRVFDVSPGRNFYGPGGPYENFA GRDASRGLACQSFDEEMLTKDLKAPLDDLKDLDAEALENLQSWEERFLEKYLVVGKLV AEGDPEAPKA AOR_1_1048154 MWLKVSSNFHRSKKPVIGTPTLVGKTLDDNEYQSFPLVSGVQKE NNKYHAKTLPTPPKNPLDPRRSDTVGIAGNSYSQDTMADSRRTPSISLTQYLPQDSGT PGRTDSLSISPPDSPVFLGHGPASLGSSRVSSLEDEFEQYFGGGQTEKTFTSHIPVLR KHADNQREDPTPSFTRPATGWDTFSREPNNMDTFAPAAPGCTFETHISSDARPIESRS SDVLNWGREQKRKLSGARSRPKESDLFLPPSSRVPWKGASGRSPIVEPLQEKPRARSS SRVHLSRSSSQLRGRESPSPSGAYLGGYPSVVTTITGGEANTKVPEMYVPSKNIHRTV FEDPTPPATSASSRAPPRVNLPEPDLTNTLADLKLTNEDDFGLPSSRFSVTTYEPTEA GSSTATGSPRGSIDAASQSTEYQSSIMSRKRPVPSAVAPGKKPSRKPTPSQTTNELLP CTPEQHTQNRIEMLEARRDYLTRRKASINTMIYELTQVIQPSPIAYDLAARDEVKKTV ASLNNELADINKEEHDVGMKLFRAWRKRDEQECNGGSSGLWVKRVTS AOR_1_1050154 MGGASREGGKVKPLKAAKKEKKELDEDDLAFKEKQRAEAKAKKE LLDKAKGKGPLNTGSQGIKKSGKK AOR_1_1052154 MASRRSRSPSTPSEGEIIESGSETKATTSHFPLNGNSVDRPTRA STSPAPRSPASLSSRSSRRRRSRTRSRSRSRSPYRSYRGHKRRLDDDFDDRRFRNDIS RRGGYPYDDRYYDRGSSHRRPRPYHDYDREEDYGGGLRYSDDYDRRRDKRPRTRSRTP PYREVRKPRQYSGDEWETPREESVASKDARRRSSTEQLVQQGSGDTMSLTEESTNATV QDAHREPQPAEPIDEATQLEARRKRREAIRAKYRGQATPLRLQALHIAGDGASSTPNS EPVATNNAASDSQLSASETPNDSTGEAFSDFKIGNVADLVNDDAPVDGTDKDEPSAAD YDPTLDMKAERERHTSADVSAASYDETQTTKQDVLIPDAVPVQQEQPKAKDPYDMFAE DDDDMFAEETQDTTQPAHASAVPAVPQPQELDISMMDNWDDPEGYYNVRLGELINGRY HVQQNLGKGMFSSVVRATDSKTGKLVAVKIIRQNDTMRKAGMKEIGILEQLREADPDD KKHIIKFERYFDHKGHLCMVFENLSMNLREVLKKFGRDVGLNLMAIRAYAQQIFLGLS LLRKCNILHADLKPDNLLVNEQRNILKVCDLGSASSTTENEITPYLVSRFYRAPEIIL GIPYDQAIDVWSIGCTLFELYTGKILFTGRNNNQMLRSIMECRGKYPPKLLRKGSLTH MHFDDMLNFHSTEEDKITGRLVTRVVDFKKPTRDLKTRLMGKGTRGMTDSEAKELALF VDLLDRCLSLNPEKRCTPAEALKHPFISRPKA AOR_1_1054154 MLAPSPPLSMYPPMLPTPPPSPPITRCYAPEDRLGLLLANRLEL IGILGVGAYGVVYTAIDIHTNVMYAVKALNKAGLDPRQLKFQQREIKLHHMASQHPNV VSLVRIMDSVDCTYVVIEFCPEGDLFSSITEKGNFVHNDPLVRRVFLQILDAVQYCHN IGIYHRDLKPENILVTDQGLTVKLADFGLATTDACTSDFGCGSTFYMSPECQQPNPRP MSWYESAPNDVWSLGVILVNLTCGRNPWKRASPEDSTFRAYLKDPYFLKSILPLTDEM ICILSRIFECDPRKRITIPELRTMILECPQFTIPPWGSMNGPMPVGFVNNPQVPVHHI PSDVYDSQSSVSSGSSHYSDSLQSAVSDASSFTEGYPDVDSVSSMSSVGLDCEADCKN TFAPTESITCSDFVEPLLMPFPQPIPVSAY AOR_1_1056154 MAHRLAQISSHLNYPQGLLANQVAIITGAGQGIGAETAKLFANE GAKVVIADIDAEKATNTANAINAASPNRAIAVTGDILDDAYIESLVKKAAEFGNGKIH VIVNNAGFTWDGVIHKITDKQWDTMLAVHNTAPFKLVRAAAKYFRVKDGEPRVIINIS STSGIHGNAGQANYALAKAGVVGLTRTIAKEWGPAFGVRSNTIAFGHVQTRLTAAKEK GAFITTPDGTKVALGIPGKQLESRQGGVGEQKQTYPDIPLGRPASPEEAAKSVLAVAS PLFSYVTGETIRVTGGRNM AOR_1_1058154 MPSRQRRPTEKAQESKASTPAAMAATVMKQPPQVLKKLLHWDDL PHWQRDNHHIHSGYRPASFSFLVSFQSLTYIHNETVNIYTHLLPSLLAIPAAVWLHRA LAPRYETATRADIISFACFFVGAAVCLGMSATYHTISNHSPTVARIGNALDYAGIVAL IVGSFVPSVFYGFYCDKNRATLRKLGQLQETSAAQVSGRSTKRRALQATEPLCADQEL NKSENETREPSQHLTDSPLSQASTANTCLPLSESPADYVTSYRDPLPDSTHAASMSPT FLQKEFITKGWTSFPLAVHIPNVLQPVCDCGETIEFHANRLQHIAADPVHTRFDQGLQ AVKDALSVCRRFLQCHSCHKDNTHVLLSVTTLGLALQLFDYWIAYQCAAHVCASNDGL GYGEYELAPEETRRVRRVLIRGRLQQCKEVVTSLKGTIDIWLQQIIRGYEGTVEAYLQ AMGCICI AOR_1_1060154 MSQRGKRPASRLKPVAVDSLEMVGFVSKGDRKLLDHKAQNDYFS KIVERYMAFCARHSEDLDAAWSSLPTSASGDATKNPPAALPQPRETQTKINTVSASTE LSTLLLSLRKLREAVLATASTIPVSFSQRVHVFSVKISIQAKHPPSYFPSLRYLLEKL HSPSHPLPESELRDLISYLILDYACRQDDLVAAFELRAKARREYAFQSPTIDRVLTAL AHDNWVIFWQVRKEVDSSIRVLMNWAEDRVRRHALKAVGSAYLNVGVQWITEGCTGDS RWTWDRLVETEKLGWQKEGDKVIIRKPKPKPQSVLGSTKSNA AOR_1_1062154 MDPTSSKHNRNISRSSRPRSSTKGPLDDPNDPLNAQGALQPQNT ADAGGYTGASFTELDPLAPDDLPQTLGKDLSFLLRYDIYHSLSQVDIPHTLRSEFIGL TSEESLSSCLSNLERLLAEGHFLLAAYLSATILTSSLISSSDIKMIFSLFYTRLACLE LSGNTIIAAQESKALEDLSSTFYYVDQASATSDVENEEKHTNYPRHIVPWPLRVLAVR LQSIGFGDSRRGIGGLYEIGLEARREIMRPDLSPAERSIWKERLSDLGIRSVNALIEM GDLSTARRSLHNLQTSGSDETNKLRKVLLFLLIGDIDAAKQLSGESDETGISISKPLL SMAEGHYDDAVTEWQALLESGSKGTDTAIISQNMAARQVLESLVHGGQSFGGLIFNLS TVYELCSDKSGQLKAGLVDLVAKEPATGHTNLDRPNADFKL AOR_1_1062154 MDPTSSKHNRNISRSSRPRSSTKGPLDDPNDPLNAQGALQPQNT ADAGGYTGASFTELDPLAPDDLPQTLGKDLSFLLRYDIYHSLSQVDIPHTLRSEFIGL TSEESLSSCLSNLERLLAEGHFLLAAYLSATILTSSLISSSDIKMIFSLFYTRLACLE LSGNTIIAAQESKALEDLSSTFYYVDQASATSDVENEEKHTNYPRHIVPWPLRVLAVR LQSIGFGDSRRGIGGLYEIGLEARREIMRPDLSPAERSIWKERLSDLGIRSVNALIEM GDLSTARRSLHNLQTSGSDETNKLRKVLLFLLIGDIDAAKQLSGESDETGISISKPLL SMAEGHYDDAVTEWQALLESGSKGTDTAIISQNMAVCLLYTGRSNEARQVLESLVHGG QSFGGLIFNLSTVYELCSDKSGQLKAGLVDLVAKEPATGHTNLDRPNADFKL AOR_1_1064154 MTFAEEFRSRNFSIYGQWTGVVCIVLCIALGIANIFSFNAVRIV FSILCLVSGFVLVFIEVPFLLRICPTSEKFDTFIRRFTTNWMRAAMYVVMSALQWVSL VSGASSLIAAAVLLLLAGLFYALAGLKSQEFVGSKTLGGQGLAQMIV AOR_1_1066154 MTTQSPPVIPPRPSRSPNQQGLAPADVPKIPPRPTHRFDRSVSP LRDSYAPSPLNEPPNGSSSSRTISQDVPQRPPSVTIPSIGEEGNEYEALNMDDISDSH RENHHSTPAEMRNVGSDLKLHAPRPSLPSSSAKAKVQAVTRTDSRQAAAAGLGGTSSP APDDHERPTRSLQSRTSYSRADSSTSIDRRLSMNGDEHGIRVPMYPNAGDVQAPSPSP YHLEQNPGQRSGRSHNRTRSGRDASLPPGSYGLHGHGVQTNDKFEKAWYEKHPEEYVK EEQGQYGPGVGSPRPDWALSSDDLNKIVRGSAVTGSGLGTSPAVIGTPEEEVGYIAAD EYTHRLSSPPPESRRGSRLVAESPLRKESVPSAETEGQQQAAASEDTAHKSEEPGVIH VDEPYHHLHHPDGFAQTPGPEELSGKHGEGEVDEDEPILAADEVRPESAFQHPAVSPT FDRRESMEVDRSHTPSVNHSRSNSRTAGHRNSLPTLARYNSRDEREETHTPLEDVEEY EPLFPEDDDAEKKTLSTTDRFKQRPDMLKHRFPSQDIWEDSPNSLQLHATVSTPDVPK NENFETPEQESFRRSQANRVDPHKVASQILQSEGYLDEKSVSRPDIVKQRFPSRDIWE DAPESQKLVTTVEPAEEKEVKSPDVPAKPSIPARPQKRPQQAPPVDASTKPVTSPTEK RQPPSIPDRPKPQVPTRPAKPVFPGNGGEPKDAAAKPKPAVPARPGGSKIAALKAGFL SDLNSRLQLGPQAPPKPQEKKTEEPPAEKAPLSDARKGRARGPARRRPAAENVAAKLP TISEVRITETWNVWEVNEAGNLVVGSEKQVDKNEVGALDTTSSEHNTMAPPIAKNTAG ESTDPQPTVSPKEDPVSSSDSTPSETQPPTFTEAAHTVTSSLDGEGPVVAPTTKRDEP DASSEAISAETDVDKTPSTPSAESGVEDVAEVAAATADGKRPSEGN AOR_1_1068154 MATAPPQARPEPGQWKKNLSHHLICPECKEVPPNLEFPGSHETV CGSCGLVLADREIDMHSEWRTFSNDDQNNDDPSRVGDASNPLLNGDQLETQIASGGSG RVRDLYRAQNKQSSEKANKSLLAAYKEIGALCDGFSIQKNVADTAKYLFKIVDDAKAF KGKSQDVIIAGCIFIACRQCKVPRTFTEIFAVTKVSRKEIGRIYKALEKFFTAQNLER NNAVVSNGGVPDPNDTYTATTSTKPSDLCNRFCNLLDLPFQVTSVSSALSDRVTTMGD LAGRSPLSIVAACIYMASYLMGHGKTAKEISQVAHVSDGTIRGAYKQLYAERERLIDP EWIKDGKGDLKNLPAS AOR_1_1070154 MMSQSLRASRSLFARVSRQQVSSASRRTFLTSAVRQADPVQDLY LRELRAFKPTPVKPGDAEAHVQKFSVPAAPKSPEEANLANELKSYETQEVEVEGQAAA GEAAPAEESWFEEDEEAPAAH AOR_1_1072154 MSPLSLETPNNHRDGEHVLLPHSASYNHLPDLVASESASPTLRR TFSDLTYPKDSTSLSKEDVAAGKDILRRTSLRNKDKSTITVSRFSVSTEDVTDPASTE LSGAPAKAPETTKVPETRAPEPVARPSKARSMSGRLVNLARKPWKSSSPSRSPSPPAK GSRGRTLRAEEQSLSSSPASQSKGLTPLDTVTESDPAVPTRRRTILNKRPRLPMVAVV TQSQADSPTTPNSNTPSPFTLTAKNSLEKLTSSLNVTTPVLPPMPKTAVASAGIDFAR KKDELWGVFRGLEADFQKFQSKSSALKANVIRSSLLPFLNRNHSHPSFKSLRPEDLDR RVNILNKWWIGLLEMLNGKHNQSISGTDRPVYLEAVVGIMTRPEWRIPFPSPPSDGNS PKPLQHASTSISESSEGSSGSSDFLVESIHHNIRNIFTQNLLSQMAFVVERMSMRHAP ASLVAFCGKACAYAFFFCPGVADILVRLWNTPPNIFRRVLTQSNVDGSGNARAFTQDL ALNFPAALRSLSFHGHTPLVRYLRRKPDVPLSTAQIRWQSPWVSRWGGRDSDLFFVFV KYIHILYADALPAEIDKDKRVLAPGLLPIHAQLLVVLEDTLYKQSVPQAPTNSHTAAA ITFDDFIEGADASVSALPLGAANSHRSMAENRLIILLRDFLSESSVEPNHARLLYAES FAMVMKTAAGKTSLFDHNACFLLCDFVEEVIPIITRYSQPVKSDLFDWKFWLEVCDRM MQSHNSLTEVRVFSFLFCIWSTWTASEERKADLCLGFLLKESLFYHYFCHWSPMVRAY FHRLLCWRLGRFNGDPSPLDSTIYEVLGSRLQSLWEYYLTFQAKAEKELTVPLSSAPC TPAPGRRIIIIRCDNHFTPPSLFVSFDRVIPAAPSEQSAASISGSSAKTESTSDTQPP KKRWNLLKAMFGSSSSKSNGEASPASSSEESLDINGSDSAASADKRMDEISRSNNSSG ELSRPKTAHQPYSFKFSLEWMDRPQWPSKNKRLFTPCLPVAAQLHLQLRRSADADDES EIDSEEEAGSDDAREGSPSAKETETSKNTNTGSESGKGSSKPAPEPAISHPLVASKYV GRALAEWAQIVSECDSFFARRRDEGVPCDRMVETPTLGVESFRK AOR_1_1074154 MGNDSKFEYEALPIPSYEEAVGARPSSSRSNLGPEANDESERQG LLHNVDDVTARESARPRPHGYQPPTVESVRDSLDGLDSTGADSERGSLEELQRELHEM DVEDGDQQSSQRSRLLRSRFSKRISSLTRSLSAIHLPIRRFLPSFRFTIDLNGARTNM RTHGCMIMLRLFGLFLVVLVVYIFFVSDLFNMNSRFIMGQSYSAASVENFVQGHVNET NIAENLKRVTNFTHMAGTEGSYALAEWVKQEFKIAGLDDIEMEEFQVYLNYPRDDGRR VAIVDPPDLFWEATLEETNEETPVFHGHSKTGNVTGPIVYANYGSREDFRYLADQGVT VEGSIALVRYYGSESDRALKIKAAELAGAVGCIIYSDPSEDGFVRGPAYPDGRFMPED GVQRGGVSLMSWVVGDVLSPGFASTPDEKKRLKPEESQGLTSIPSLPIAWRDAQRLLQ VIKGHGSQVPAKWVGGVPNVDQWWTGDGTSPKVNLMNLQDEEERQPIYNVLGRIIGLE QPEKKIIVGNHRDSWCLGSADPGSGTAVFLEVVRVFGELRTFGWRPLRTIEFVSWDAE EYNLIGSTEHVEKELEALRDNAYAYMNVDVGVSGNEFEASGCPLFERVVTQILGRISD PVANETLKEIWEKKQKKLGPLGAGSDYVAFQDIAGTSSVDFGFVGEPYPYHSCYENWD WMVRFGDPGFQYHKILAQFWGLLILQFADTPVLPFDLEVYADHIASYVIDLEKYAKSQ NVPIAHDASSGKSNREVTVTFKPLYDAAAKVKDDAAQFQQWARVWHDTVLGTGGFENN VIAAQRMDHNARMARFDTHLLDDRIDGGVPNRTQFKHVLFAPELWSGYDATFFPAIRD SIDSRNWTLTQEWVDRVSDILRTAGDKLLHG AOR_1_1076154 MANINPFRSRRLAYRAVEDTPEDDDFIHSIQSDPLSYATSNSTL LKPQTKRDTLNGYKKHLMEDALLAVIILLPTQNVHDQPGTAGPPQEIWTSIGIIALKK DEPGHGHHRKSSISIDIAKPYQNHGYGSEAIEWVVDWGFRKAGLHRIAVEAFSYNPGA TRLYERLGFQFEGRQREAIWYDGDWHDLLIFGMLDREWKTQQQKKSKKGSSEQF AOR_1_1078154 MSARPQNIGVKAIEVYFPKQCVEQTELEKFDGVSEGKYTIGLGQ TKMSFCDDREDIYSVALTTLSSLFRKYNVDPKSVGRLEVGTETLLDKSKSVKSVLMQL FAESGNFNVEGVDNVNACYGGTNAVFNSINWLESSAWDGRDAVVVCGDIALYAEGPAR PTGGAGCVALLIGPDAPIVFEPGLRGSYVTHTYDFYKPDLTSEYPVVDGQHSLQCYTE AVDACYKAYAAREKTLKEKTQNGTNGVAHDESKTPLDRFDYILFHSPTCKLVQKSYGR MLYNDFLENPTHPAFAEVAPELRDLDYSKSLTDKNVEKTFMGLTKKRFAERVKPSLDV ATLCGNMYTATVYAGLASLLSNVTFDPSQPKRIGLFSYGSGLAASMFSAKIVGDVSYM AEKLDLHNRLNARDVLAPQAYVEMCALRKQAHLKKNFKPSGNTETLFPNTYYLTEVDD MFRRKYEVKA AOR_1_1080154 MALEYSYYRPSTPPGLPYEGIVRMTHSRNASDSSIYSNDSSPWS AVTSTTSPSTDSPPRYHHGPALLPKIRDQDVVIEPAPASGPQRHRKVLSNTRNPPGFL PYPPNRAAVQRHSTECVDYYLVASPVSPMYADSALASPVSITSSHKRRVSSAHSRSSS VDEATLAQYGYSTYRQLSKYSGQPQGSPTAPVTPVTPNIIVYPPYSQPSPVGHFSRTS RARTQAPPVLPVSPYSYSQVSSAQCSPVGFAHGVDRISPSSTTLLSYLTGPTQAINLV RNVSVIPTRGMHDYFWWDIRNLRSWSSFSLSTFHSINGLTKLLKTAIPSHLTPTAVVS GSRLCPDSEFTLVDLIQDIYAPRVNAALAVSQGPDHLALYATPVPRIHANRNYGGPHF LANYTSDTERTSSGLPRGRLVGIVKTFDRWNTSMRTEAPHRRVEYLNGLAHLQRCMRE HSCRYGFIITEIELVCVRAGCDEGDDVPYFGFLEVSAPIPTKLAASSDEGYLKPCSAP YGHPHSPTPSSDSSLASQSPILDAYSSPAPEELGVPMTASLALYFLLMLSKSVPLPSQ PSSHLNVGGPGALTRQRVLPEGKDKWIPEPQIGEKRDAKRVRGWIWPQDAWHRREGGG APRSRATGVESKPKKWHK AOR_1_1082154 MVSKGSTPSFSDLLDVIIVGAGPCGLAVAARLKEETPSALFTDD EHQRYHWINKHSGRMALVQARHGKQKKVKAEKWHGYTPRQSFSSTHSESIAGSPPSLS SSASTASEETETGAVEDGSPSILVLDSTGDKWMERWNRAFKTLEIQQLRSPMFFHVDP SDRDGMLAYTQEVGRDCDLWEISGCVGKELSKHKKKKKMRSKAVAIGEVEIDERDRKD YFSPSTGLFEDYCSSIIARYGLNTPGMIQQREVINVQYDYHDERSPSEKIFTVTTNDG AVFYSRTVVLAIGPGRTKVFPFKLTDEEANGACHSTEIRSFPSPNVKRKIQQRQQTNL MVVGGGLSSAQIVDMAIRKGVSKVWFLLRSNFKVKHFDIDLTWMGKFKNYEKAAFWSA DTDEERLEMIKTARNGGSITPRYQKILKQHAARHRVSIHSRTVILSREYCPMSQTWCL TTDPPIPDLPRIDYIYFATGMQADVNELPLLQQMNREYPIETKQGLPCITDDLMWKAN LPLFVTGRLAALRLGPGAPNLEGARLGAERIAWGMEEVLGRGESEDTPAERSKECFCG LGNRYAGLADVDW AOR_1_2820154 MASTLSPNRLSVQNAPPRSSHSSSPSLGGETLRSRADSTISCAD TVVRSRANSAATTTKAVYDDVSVADALNPDARNEDDFIVQDNKFAFSPGQLNKMQNPK SLAAFQALGGLHGLERGLRTDLTAGLSVDEGHLEGTISFQEATSSENSHSKQQLSSIT ETPTSETDSQFQDHIRIFSQNRLPARKSTGFLKLLWLAYNDKIIILLTIAAIVSLSLG IYETVSGGSGVDWVEGVAICVAILIVTVVTAANDWQKERQFAKLNKRNNDREVKAVRS GKVSMISVFDITVGDVLHLEPGDSIPADGVLISGHGIKCDESSATGESDQMKKTDGYE AWRQITNGTATKKLDPFMISGGKVLEGVGTFLVTSVGRYSTYGRILLSLQENNDPTPL QVKLGKLANWIGWLGSGAAIVLFFALLFRFIAQLPDNPGSPAHKGKEFVDILIVAVTV IVVAIPEGLPLAVTLALAFATTRMVKENNLVRVFRACETMGNATVICSDKTGTLTQNK MTVVAGTLGSKSFGQDDNSSSSVTATETFKQLSSRTRDLIIKSIALNSTAFEEERDGS KEFIGSKTEVALLQLAKDYLGMDVTAERGSAEIVQLIPFDSARKCMGVVYREPTVGYR LLVKGAAEIMAGACSTKIADTDGLNGIAVDQFTQEDSRKVLNTIESYANKSLRTIGLV YRDFSNLSSWPPSYIKPSEEDSDVAQFEELFRDMTWVGVVGIQDPLRPEVPAAIEKCR TAGVQVKMVTGDNIATATAIASSCGIKTEDGIVMEGPRFRQLSDDEMDEVLPRLQVLA RSSPEDKRILVARLKHLGETVAVTGDGTNDGPALKTADVGFSMGIAGTEVAKEASSII LLDDNFSSIVTAIAWGRAVNDAVAKFLQFQITVNITAVVLTFVSSLYSNDNQSVLSAV QLLWVNLIMDTFAALALATDAPTEKILHRKPAPKSASLFTVVMWKMILGQAVYQLAVT FMLYFAGSHILKDHLSAENGKKELATIVFNTFVWMQIFNEFNNRRLDNKFNIFEGMLK NY AOR_1_1086154 MAQINYRTINIDVLDPESSVNFPMETLLPPTLPAPTTSSEAANV AAQVRQLLRSGDPEGALRAVLDTAPLGGDDRAKEVHLATVIEVLQGIRQGEMTKVLEG VCNGQGGSERADCLMKYLYKGMAAPGPSSGAQSPRKSVSPQNTGFSQIQARNLGEGGG GQQMSVLLSWHEKLVEVAGTGSIVRVMTDRRTV AOR_1_1088154 MSLRQIFRPAVFSPRTFTYRATRTYASQTPGNPVLEIFNRKVKH VQKDRAAQNVEESRKTDYIKDEVAMRLCERLLDIKRDIPNVLDLGANSCNIARALTMP DIDPVNPNSPPLATRISNLTCVDTSHALLHRDADEPFNKEISIKREVIPDLESLPYAE NTFDAVLSSLSIHWINDLPSLLAQVNSILKPDCPFIAAMFGGDTLFELRTSLQLADME RRGGVSPHVSPLADVRDVGGLLNKAGFKMLTVDVEDIVVEYPDTFALMQDLQSMGENN AILHRELGPMSRDVLLANEAIYRELHKEEESRGIPATFRLIYMIGWKEGEGQAQPLAR GSGEVNLKDILGGGDFSNR AOR_1_1090154 MSRPFPYAYISCPCADTPVPDPARKRRSRETSHKSNPEDPNAPV PQDYDFEDERTFDPRSPRSNFSLYPPEQLLYCEDCHQIKCPRCITEEIVCWYCPNCLF ETPSSMVRSEGNRCARNCFNCPICTAPLAVTTLENVTGGGSQQGPWVLSCGYCMWTTL DIGVKFDKPTNIRTQLSKMTDSSRGRQMSKTFSDLKSPLSTYSSIDDQFPSPSEMRGD DASPANEQSSGPLNPEARFQALKGFYKSQIASTSSLPNDPLADFGAGFSSPGALNRIM SLYTSSSRLGNLYGGTNKKPKSKPPVMREALTTSEGLRVPAPDAENALIRRMASDDCG WDGMASIEQRTFQSPDARFVEDLLPLPVLLRTKRSKRCKSCKHILVKPEFKPQSTRFR IRLIALSYIPLPTLKPLVPSPFAGLPSVTSGAAPNLDALSPLKTVQLQLTLKNHMFDP VRVTLATPSVTPGRVASKVTILCPQFDIGANSDVWDEALQGSTAPGDARASRSGTLGS TEKVAEAGKVWDKGRNWTTVVLEVVPGTLPGGGATGRNRMKQHYDDNDDDNDDSDADS DLQPDEDVLEIPVFVRMEWDSENQIDQEQSVGKGQNSDTVKRELAYWMVLGVGRISPE MGF AOR_1_1092154 MAAINKIALNSPSRQNPSELENAIAGALFDLESNTQDLKATLRP LQFVSAREVEVGHGKKAVIIFVPVPLLQGFHKIQQRLTRELEKKFSDRHVLFVAQRRI LPKPKRSVNSRTNQKQKRPRSRTLTAVHDAILGDLVYPVEIVGKRIRTKEDGSKTLKV ILDEKERGGVDHRLDAYGEVYRRLTGRNVVFEFPQSSASDF AOR_1_1094154 MSESTSTNTPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENK EPTIGAAFLTQKCSLPTRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDVTKPSS LTKAKHWVAELQRQASPGIVIALVGNKLDLTNDGNEASGEPQADGEQQPPAATADGDD AAGEPQEEQDATPGDARKVSTREASSYADEEGLLFFETSAKTGVNVVEVFTAIANAIP ESSLKSGRGAGAGTGQTTLGGGRPAEDSRVNLGDRSTATAKEGCAC AOR_1_1096154 MSRFNAPDSAASNMTPKSILSVNAGSSSVKITFYTFEQPPKAVA NAQISGITAPPATLKYTRGSTNHKEQLKEKLSTPQDAFKFLLQRCFSDPNLSEVTSTD DLAFICHRVVHGGEYERSVVITNETYHHLEKLEDLAPLHNFSALEIIRLCRKELPSVK SITFFDSAFHQTLPDYVKTYPINQDTAKANGLRKYGFHGISYSFILRSVAQFLGKPVE KTNVIAMHIGSGASVCAIKEGRSIDTSMGLTPLAGLPGATRSGDIDPSLVFHYTSEAG KLSPASTKEMHISTAEEILNKKSGWKALTGTTDFAQIAVENPPSPEHKLAFDILVDRI LGYIGNYFVKLEGKVDALVFAGGIGEKSALLRKTVIEKCQCLGVAIDASANDKGPSDD QTVMDISKGDGSGPRVIVCQTDEQFEMAYNCILTHGSDNGS AOR_1_1098154 MPGEIIDRPNPKAEPSHIPDVVEQLQVQLDQASLDQSTSDAFLK FRRAAAYIAAAMIFLQDNVLLKRDLQHDDIKPRLLGHWGTCPGLILVYSHLNYIVRKQ NLDMLYVVGPGHGAPGLLASLWLEGSLGRFYPQYSRDMEGLKNLISTFSTSGGLPSHI NAETPGAIHEGGELGYALAVSFGAVMDNPDLIVTCVVGDGEAETGPTATSWHAIKYID PAESGAVLPILHVNGFKISERTIFGCMDNKELISLFTGYGYQVRIVENLDDIDTDLHC SMNWAVGEIHKIQQAARSGKPIMKPRWPMIVLRTPKGWSGPKELHGQFIEGSFHSHQV PLPNAKKDKEELQALQTWLSSYNPHELFTETGDVIDEVKSIIPSDDSKKLGQRFEAYK AYEPPNLPDWRTFCVEKGAQESSMKTIGKFIDKVFTQNPHSVRLFSPDELESNKLDAA LAHTGRNFQWDQYSNAKGGRVIEVLSEHMCQGFLQGYTLTGRVGLFPSYESFLGIVHT MMVQYAKFMKMARETGWHKDVASINYIETSTWTRQEHNGFSHQNPSFIGNVLKLKPNA ARVYLPPDANTFLTTVHHCLKSKNYINLMVGSKQPTPVYLSPEEAESHCRAGASIWKF CSTNDGLDPDVVLVGVGVEVMFEVIYAAAILRQRCPELRVRVINVTDLMILENEGAHP HALTTESFDNLFTSDKPIHFNYHGYVTELQGLLFGRPRLERVSIAGYIEEGSTTTPFD MMLVNKTSRFHVAQAAIKGAAKRNEKVQLREQELSTELNHNIVETRKYIHANRKDPDD MYEMPQFR AOR_1_1100154 MRLTHDDYTVAWICALPLEMTAAKAMLDTLHHLLPQAENDYNSY TLGSIHGHNIVVACLPSGVYGTIAASTVISQLCSTFPKIQFGLMVGIGGGVPSTGADI RLGDVVVSKPTPTSCGVIQYDYGKFTHNGRFQRTGSLNKPPRVLLTAISQMQSDNMMG NRPVHGIISRALLKNQAMQEQFSRPQEDLLFHAQYNHQPGALDCSNCDRGKVLARALR PIDDPYIHYGLIASGDQVIKDATTRDTIAQESGMGVLCFEMEAAGLMDQLPSLVIRGI CDYCDSHKNKSWQGYAAIVAAAYAKVLLSVVPVHRHHHHKLELHKMKEKAWMVPFRKN TRFIGRESEIAELEGRIENTKGPSKIAICGLGGVGKTQIALELAYRLQSRNSDYSVFW IPCTSHESVEQAYMTLAQIVGIHNVQPAEAKNSVKAFLSQSTGRWLLIFDNADDIDMW IQSCAGNPNPVLVDFLPQSDQGHILFTTRNRKLAVKLASSDVIDISEPDIETASKILG NLLIKKDLLDDSDTTMALIEQLAFLPLAITQAAAYINENDIGFTEYVMLLQEPEPEVI ELLSEGFGDGEQYKSANPVATTWLISFQQIQRLSQLAADYLSFMACISPRDIPQSLLP SAISKKKKVEAIGLLKAFSFINEQAEGRLNLHRLVYYATRNWMRKNRQLDSYIRKTAD QLNHVFPTEDHTNRKLWRQYLPHAVALISQREFLEQQKVYATFLEKVGMCLYRDGRYG EAEDPVLRAMDINVQILGREHTSTLDSMARLAWIHMKQGRWKDAEQLQVHVLAASKRV LGPKHLDTLASMNDLACTYHEQGRQEESENLQIQALAALKETLGLEHAVTLTSMNNLA SVYRYQMRWKEAEDLELQVIKLRKRVLGSDHPDTVTAMNNMALIYQGQERWKEAEALG AQVIEARRMIIGEEHPDTLASMIVLALGYRDQKRWKEAEDLMLKVIKTQKQAIGPEHL DTLNVLEWLASTYWSQGRWRDAEKIYSDLVETHKRVLGPKHPKTVSNMAWLAHIYWHQ QRWKDAEELEIHILETRKCVLGPEHPDTLAIMHDLAHTLMKFQQKVGDALALMEQCVS LRDKVLGPDHPDTLRSSHKLSEFKKADHLPDTNQQMSDQVESDQSMREISTALVITAP DTKHINRAKSLATPIRWPFENHPLLIASRKISSVSQGHDLREVD AOR_1_1102154 MSADQEFEYKKWLSTSCHPIIDGMDTAYGYQPSLAAGVVFLVLF GLSMIVHTIQFTWKRTWWCAVFSIGCLTEVLGWAGRTWSSECPYNMTAFLMQISTLII APTFFTAGIYVLLGRFIQILGRDSSILSPKMYLWIFCTCDVISLVIQAIGGGIASAET NKEDGDTAPGTHIMVAGIVFQLFSITVFVACAADFVRRVLRRRLLQNMSGSITPLFAA MVFSVLCIYVRSIYRTIELSQGWSGYLITREKYFIALDGAMMVAAVGVFNIFHPGWLM PSTKAMQYDREIMSEDGYGHTTELR AOR_1_1104154 MTPRKKEVLSAVTATADTRADEPAIELRQKTRSERIPQSARFML VVLSSLALSAGFFSLTSGDTLGELGDVSRHLEAWWEVGGLTAWKAVEVGLAWILGFDG RDVSSFIFLTHLPTYALLASFYNIRPTTVLISYAIILFSTSVPFVLLRKPTCVHDLSH APSDAVRNRSILQDRATTIYTTIAATSILTVVLYLSYATWLPTQLVLHFENIPDISAV NAGPAGLPTLFLALLPAGWAARDLLFVSSTGAAASKRTESTEKNPTPREGEYLACAVY RKTWGALSPKTRVLISRTVLLAAMLLSNTIVQLAGTIEGISIEGASAWGSIWAVAILA VGATFGWIEAVDGV AOR_1_1106154 MPVYRHVPRSLPIFSSGRFSLSRPLRANGVAPYKSGMHFSQGSY QAAPNPLEQDAGDDGARSNGNDSEPNFRSTILKMMETAATTFASIAVLGAAGYSYHRY YKYLILEKMENAFKPGDPALEVAGVESGKHQYHHEEHWVVRDEQPRIDRIIAGGAGGR YFLLIGEKGTGKTSMLLEAMRKIDGEGCAMFEAHGDLEIFRVRLGKALDYEFHEDYIG SLFSIKGPRDTTPLLDIERAFNKLEKVALTRRRQGLPALILIINSTHLVRDDHDGQDL LEMIQQRAEQWAASNLVTTVLNSDDYWVYERLKRYATRMEVIPVSDLPKGRAMDALRR YRRQYFGEELSHEVLEEIYDKVGGRLSFLNRVAKAKDYMKLCDSICEAEKRWFLNKCW ILGPEMDDDVMDEQKYSSAAMVLAKALVDKEKEMEKTYDPEIGHILPQIPLHKAREIM TRADFIQSYDHENIFTIDSRAMVRADSVPMQNAFRDICSWEGFDKHLEGTLERIGDIE SLGRTRELTIKDLWNQGKYQLAMRDPKGRDNGVAEFSVVEGQKDDEDD AOR_1_1108154 MAQTNGELEHSKETPEQLTNGNHPEETQEEEQNGGLFQISVKLP HEPYKIQVMVSSQEQVQDVRQSIVELPSTFQYTCFHLEFNGKRINDFVELSEVPDLKA DSEIVLVEDPYTEKESRMHVIRMRELVGAAGDRVDNLQGISAGLSLHDSISEEAAAGE TTEKEHSLSKYDIAGSPSLNTILPKAEAPLPKTVKSISLSPWNPVPYHLRQKGHLLYL QVTTNEGEQFQITSHVSGFFVNKCSNARFDPFPKPMPKKGSAHSLLTLISHLSPSFTT SFEALQEANNKKDLLTTFPFQNAIPNSPWLVAPPSSSVNAHQPDITRSQENYLISGVD NAETLRDWNEEFQTTRELPRDTVQDRVFRERLTSKLFADYNEAAARGAVLVAKGEVAP LNPTEDRDAQIFVYNNIFYSFGADGVGTFASEGGDEAARVAVGKDVVGIKAVNQLDIN GLFTPGTVVVDYLGKRIVGQSIVPGIFKQREPGEHQIDYGGVEGKDVVATHPDFVPVF EKLSKALRIKKHAVWDKDGKRHDLEGSVETKGLLGTDGRKYVLDLYRVTPLDVMWQEE EGSDAYPHNMSVLRLELVESYWRHKMSQYVKAEVERRRAAKAVETASKEKSEENAESK EEGSEEKSEEALDQERVDISGFSLALNPDVCSGQIPQTDEEKEQWAQDEKEVRETCDF LRSKVMPELIQDLHDGDVGFPMDGQSLSQLLHKRGINIRYLGKLAQMSKEKGARLDAL TLLLVQEMIARAFKHIANSYLRNVAAPFTASCIAHLLNCLLGADVNSNPQADIDASLR EIYPEADFSFEKVTPTTLRAEIEKHVSTRYRYTPEPEWFNSLRHLQLLRDISIKLGLQ LSAREYAFAKSQLPAKVPATNGASQEEGKKKKKKGGDSKSPARAASPEKPAVSIVPDD IVNIVPLVKDASPRSSLAEEALEAGRISLMQNQKQLGQELILESLSLHEQIYGILHPE VAKLYHQLSMLYYQTDEKEAAVELARKAVIVTERTLGVDSADTILSYLNLSLFEHASG NTKTALVYIKHAMDLWKIIYGPNHPDSITTMNNAAVMLQHLKQYSDSRKWFEASLTVC ESLFGRQSINTATILFQLAQALALDQDSKGAVGKMRDAYNIFLSQLGPEDRNTKEAET WLEQLTQNAVSIAKHAKDIQARRLRRINMNTRTLGTKVQPQVGQSAPSASGASSANPS LDSRSIDELLKFIEGGDTSSSRTKQKKRAAASNPKLRGSKKSSA AOR_1_1110154 MEDIRPTAKWANRMLRPLSSIYHRLEKHNEILTSIAHSKLKERE DAGTRRRIRPSRVTCAEERCSYSDEEPGDPAWIPGRPIRRRIRHNYSSRGQRNGARRR SRLSIHSPERQKTLPGAIEIATPLITGKSKQPLEPSSFRKQLFRNSLPTNNIGATDQR RATRTGNSSYPAYQGSWKEVLDLSGDTGLVDIAHFLDRIFIKFLSKTRHNPVPSPNQQ PSRGARSLLSTAVRCLPDFIAEEQRIQDELEEDCDVDMCDAYFTELEAHYAPSGNGWL PLREAVRAQGIRLVSEMIHKGWITRVAACRLLEECVSHGEIDAFELLLSKQLTTVNTY GYPTAFDPHKPSTHCDDPVHILGTYYAKLIGSRSFIFDELAKLLSRGAMPPEWMVTNL WKCCVDEAIKALSTENANSAAATRMLEAVILSAAGISRASNALVSHGKVLGTLRGRLK DTRASAANTASLPKDQSPCPVPIQDALNNLTSSLITALCGMYIARSQPAGAGDNMIGV KFRDTLRQIAFTIQRSIELGQSCEAGRTTLHSLRRGYVLVGDCMLQCGEVSSLEPIGP SDSISGKNLEMFFLSLACQHDMVKELAELAQQVVKFYERMRKSDQTRVSSGVRSKVSQ LAGLTNLHGFSSLLAKVAAETAMGLAESTLDVDDHTWAIEVQQNAVRLQQGQNPKQHP APGRETLGDSVDLYRWEESIGEWVASTPAAKPKSTQSNIAKGYSTRSPTIACSTSSIS SSSSPSQDAASSVTSSAPSVSAKRAFTAAGIGSKSCKRLRSTPVESQTRDSPMATKLP FAGSPIAARTRAARGALGDLVQPKVLKALPINTSFPTTRVEVVIVNKNVSALDPMTRR RHCRTTDERTDSRRRKSLSASLEYRGDGKQASAPRITRRTIPCSQDEDSDDELSFL AOR_1_1112154 MSHLLFCLSLVGLSSATVLDKRGGDWAIYEIVNDDLARISLMVL GLMAAFIYVWKMGFRISHHLRRLASFNNSGQRYFRSPHETLSFVKNHVIYAPLFRTRH NREFQLSRAVNMGTLPSRFHAFILIGIIAMNVTVCVVTVPYKKEEDSVAGVIRNRTGT MATVNLIPLVLLAGRNNPLIKLLEVPFDTYNLIHRWLARIVVCETLAHVFAWAIPKAQ KIGWSAVGKALGHSNFLLVGLIATAAFVGLVVHSPSPIRHAFYETFLHLHIVMAALSM GFLWVHLNGLPAQTYLLVAIIFWALERASRLAILLYRNCGRKSTTALVEALPGDAMRI TLRMARPWTFQPGQHIYLYIPTVGWWSSHPFSVGWSEAEELVSDEKGLPVTRQDMFGK KHTSLSLLVRRRTGFTNKLFQRALSSPNSQVTLRAFAEGPYGSIHSMDSYGTVVLFAG GVGITHQVPFVRHLVQGYAEGTVAARRVTLVWIIQSPEHLEWIRPWMTSILAMDRRRE VLRIMLFVTRPRNTKEIQSPSSTVQMFPGRPNIDTLIGMEVESQVGAMGVLVCGNGGL SDDVRRVCRKRQNQTQVDYIEESFTW AOR_1_1114154 MSQPDITLYTCQTPNGIKISIALEELGLPYKVEKIDISKNTQKE PWFLEINPNGRIPALTDTFSDGQKIRLFESGGILTYLAEQYDKDYKISYPKGTREYYE MTNWLYFQNAGVGPMQGQANHFVRYAPERIEYGMTRYTNETRRLYGVLDKHLASSKSG YLVGDHISLADISHWGWVAAAGWAGVDIEEFPHLKAWEERMAAREGVEKGRHVPSPHT IKERLKDQKTMEEDAAQARQWIMQGMKADAKH AOR_1_1116154 MAWRNQGVTGSNNVPLGRRRFGGDDAAEEESRTATPSSVVGEHK RGRSPVRADPPVDGVKKRKKRNRWGDAQENKAAGLMGLPTMIMANFTNEQLEAYTLHL RIEEISQKLRINDVVPADGDRSPSPPPQYDNFGRRVNTREYRYRKRLEDERHKLVEKA MKTIPNYHPPSDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKKMETESGAKI AIRGKGSVKEGKGRSDAAHASNQEEDLHCLIMADTEEKVNKAKKLVHNVIETAASIPE GQNELKRNQLRELAALNGTLRDDENQACQNCGQIGHRKYDCPEQRNFTANIICRVCGN AGHMARDCPDRQRGTDWRNNGGYGGGGGRRAIGQGDAVDREMEQLMQELSGGAPGEDG GIPRRIEAGPDQGYDDRDAKPWQRGPPPSDVAPWQQRGRDNRSRDDYGSRDQGSAPPW AQSSRGGDYGYGSQGGYGAPGAAPWQQQQQAPPPPPGGQAAYGYGAYGGYAPPVPGMG APGASSSSMGVPPPPPGMPPMYYGSGGSPPPPPPPPGEGPPPPPPSEQPPPPPPPA AOR_1_1118154 MAASRSPEPVSDGSPELSESSDVEQTELQNRAPKRRRLSESSVD SYVAPAPLPTLSRIKKKDAKDDKPATTTENDNPVLIRDALEIGLQDEESSFKALNVSP WLVGSLTTMAVRKPTAIQKACIPEILKGRDCIGGSRTGSGKTIAFAVPILQKWAQDPF GIFAVVLTPTRELALQIYEQIKAISAPQSMKPLLITGGTDMRSQALALSQRPHVVIAT PGRLADHINTSGEDTVCGLKRVRMVVLDEADRLLAPGPGSMLPDVETCLSALPPSSER QTLLFTATLTPEVRALKSMPRAENKPPVFVTEISTENNGAIPPTLKQTYLKVPMTHRE AFLHVLLSTERNSTKPAIIFCNHTKTADLLERMLRRLSHRVTSLHSLLPQSERNSNLA RFRASAARILVATDVASRGLDIPSVSLVINFDVPRNPDDYVHRVGRTARAGRHGEAVT LVGQRDVQLVLAIEERVERRMEEWSEEGVSIEGRVVRGGVLKEVGEAKREASGEIEEG RDVLGRKRNKLKKVR AOR_1_1120154 MVSMVDTSNPNPNDTAMDTVVPKTEPAVLEGSISSAVSTPEAEG EILTQDVAQTQKRKGGRKPIYATSEERKQRNRQAQAAFRERRTEYIRQLESTIKRNEE SLQTLQQNHRTAADECLMLRYKNSLLERILLEKGIDVQAELRLKAGAPGPKPNPMAGK PPSTLERAALNRNSAQRHPPGIAPKGEPFGMPQPRDGAYGIPSPQFQATPQSHVSSPS HAKSPGFAFQGAMSPAGVDPQQAAQHSRLSHSRNLSQTSPPMSVAQSDTTDPKSALSG GAGPRGPRVASAYYPSPFQKHYDQLEQEYDAQADLIDEEHESSVGASPYVSGFNNAAS VPGSHSMGHHSLPQFNPHSGEGSNGAYNNTNQLLGNYEPMLDADPFGLSASMHFQTPF SYEQNNTRH AOR_1_1122154 MADVRSKNLYELLGNDPELDPSRPPAPPTKAIDKPAPRVGKRDA PKEAPSQPRAGQNSRRGNFSGNEAAFRDRNAGRNQNREKPTDEREGGARRGGRPRGDR QSRTGQTDTGKKVNQGWGGQSGEKELDDERAGEKIAQADENEPQTPAEEAEPAEKAKS YNDYLAEKAAAGDFSAKPVRAANEGTKADSKWANAKEFKREEDENYIKGSSEKAKREK ARKEKNILEVDMRFVEAPRGNSGPRGRGGRGGRGARGGRGNGPRSERTERTAPVTVDE KNFPSLGGK AOR_1_1124154 MNTLTPSTLYILLQSCSTPSTFHWSLYTTNTHGPLTRGTKHDLT DEKAPRRLWSYNRAPANLLELQSQPVIAAVKVDVIDDVEMLRGALEECLGNVPRTAYS SLFREEMSCRVWVKEALWGLDQGGFIDLGDRGNVSGIQGVEMEVVRAGLVAMWRGVFG VYELGEDGFVVREVG AOR_1_1126154 MRLSFTVLTAALQAAVSTASTLTPPVLPLIVRNPYLSTWFGNAR EAPWSKWPMFYTGEEVGLSLMAHVPSTGTVYPLLGKPHESLPSDSDSPEVAFPVYLGA NYDASTTNLTYRINSDTSASTPLNITVSFLSPITPTSTLRQSIPASYVTIHVHGDVAV NVYMDVNGRWVSGDAGSKITWQYDGFDAKGGKPTLQRWRFKRETELLLSEIRDRAEWG TLHFTGPANVQFQSGEALAVRRGFAAEGALRNENDGAFRAVGDREPVFAFSKSFIPSK KSGPASDSVTFTLALIQDPVVQYASSRGLTLMRPLWRSWFSNEEALLSFHYHDLANAG TLASDYSEQLAQDAYQSGAYDYVDIVALSARQVMGATTFAGTPENPILFLKEISSNGN FQTIDVIFPSFPFFLYTNPRWLAYLLEPLIEHMLSGQYPNKYAMHDLGTHFPNATGHP DGNDEYMPVEECGNILIMGLAVVNSLRYSADSTAASVWSTRGTAAQTSDKNSGYFPLD NLQALGGIDKQDGRWGGGAQGEHLAEKWVQRSYKLWTQWTSYLVEFSLEPANQLSTDD FAGWLALQTNLALKGIIGINAMSKLAEVAGHKANASYYKNIADTYIAKWEEFGMSRDG THAKLAYDWYGSWTTIYNLYADAQLCFHLEGTDISPAHGSQKSLHSHSGKSGFVPHHI YQKQSIWYHYVRQKYGLPLDSRHLYTKTDWEFFSMAVASEDVRSEILESVARWVNETV TDLPFTDLHNTEGKGEFPGPNFFARPVIGGHFAFLALQRACEGRAMEGLAFLNGKPGF GDDSVASAETLSQWEAMAAKAAEKFKFDGYESERVEL AOR_1_1128154 MYPTTLHPSPVKDGRRVLGEKTANACLSPARHRPDVSPSKRSLL EAPSPKKLLPSPLFAGQKRTIDQVNDDQVNNDNLQAQHGESRAETQAVHGVHDEALTH STAHKNDWPDQQQADALEPDVAPRESDKQQSQQPQSPTHATLLARSSSQEREAGLARI VPEDPETRKLFIQEKASLLRNRIQNAMRHVKDPQFDRRLSELEAHSRKFPRLSLPGTL TPSHQKEAVTPRRQDEDIVPSSTTPRALQPAVEFRPRTEPSSIPLGLSSPPLSAENDS SQDPMKTPTQSHRRTDTAESLVQLSSPPATVSRTGRDRMVGEVDGQEDDIEGNQSQKQ AVTPSQRGDAVDGLLKLMNTADKREAANTWTG AOR_1_1130154 MAPATMKAIQIQQYNEPYHISDVPIPKPKPHQVLVRIQAAGFCH TDLMALNNEFNTKLPFIGSHEPAGIIEEVGSEVTGFQRGDRVGCINFDSVCGKCADCK AGLPIYCDAPLMKGITTDGGWAEYMVADARFLVKLPDDMEFKVAAPLMCAGISIYGGI VRADVPKGGSVGIVGIGGLGHLGTQIAKCMGYKVAAIDVKQSALDAVASYEHYPDVLI LATDTVEKSLGKIDGVTSSEYSGLDATVLATDHPAAFELAAALTRKHGTMVLLGQPEK GITMSYQTVIYKDIKLVGSLVADTAQAQELVELFHRNRLHVEITEWKMEEAEQMRQWY CSGASSGKNVIVMD AOR_1_1132154 MSTPSALPVNAGDPQPNDSAPATTPAENVKTATTSATPAPETPA QSADKPQAQPQQPKQAIDDDDDDDESDLDELDDVLDDFNKPKPAPAPAPAPSASSQPA IAPEANDFDEETFMKLLEKDMANMMGHAAKESGTSDDKGFEDTINQGADAFTKQLEES GIPPGDFIKQLLADVMAEEEGGDATAKAAGAAPSTGSAGSSSGGAGARAPPPESFNDA IQQTMNRMKESGDKATAAASEDDPNDLMAQLMKAVALNVDGEEEDGGFMNLVSSLMEQ LSNKEMLYEPMKELNGKFGPWLLENKGNKKFTDEEWERFEKQAAISSQIVAKFEEPGY TDEDPKCREYVWQKMQEMQAAGSPPEELVANPFGEENTGPGGMPDCPQQ AOR_1_1134154 MYKVTNTGDSERPYGVDGDTFTDYESAASRSCNNQFDSCQKIAN TDQSSTFSLQDCQDQLMRYRARTTVSTLTSQFAGAPDALAILLAVSLLPSIRKPIQHT RHVKNRVRKSILGIPGLPFAFEGRGNGSASSSPSAREARRNVFLNRIKQGRDDARFAN RGEQLVLMEHVAEQKKWGESMRRRTDGILQGYLRDLEEGVHDMLDEADIQALDEYLSQ EQAMEMELLENVDAQTPSGQDTGNASKDPGSSFSDDEYEDIFMDLADQTALSQDMDMS G AOR_1_1136154 MRVSSLSFLLYSLSLLAAVSGQSVAATGGDVATSKDAAPTKTDA TTTSTSSTSSTSSTSSESTESTSTTSETKPTTTKADPTTTSESDSSTSTTDPPKTTAN QPTKTSASTTSGPTTDSNNNNDNNSQTTADNSSKTTQTPVVKTLTTIQTVSGTPVHTT LTTTSSAPVGATDSPSLNGEEKDSKSSGLSSNQKKTIIGVVVGVGGAILIGALGVVAW RIHARKRNAHDNDEATDLMSGTAVGSGLREKAPSPGAGGTPFKSTLDQYHNPGPVNAA SNF AOR_1_1138154 MVFRMFWLRTFEVWLTARLLASPAFHRMVGRVHQKVQHLKHGVP PEEMGGTHRDNNGSGLSKFVEYFKEEIKDQLKGKPPNKF AOR_1_1140154 MAHPTIKIDTNVQATKRALPEDNDEGFDVLDGPDQDDPGLEAGD TESVPPFLCQSVLEIHQKFEELEWIQRTRLAEGMLANDPSHRWALEADPEVKARNRYV NVQAWANSRIHLRVNDGECDFINASPITLKDSVSQEERRYIATQGPKVGNISHFWHMV FHETKEVGVIVMLTQTFESGREKCSQYFPLNSDNPLVLLREDERDPFVNNENHPTGDG SVVGAVALLETTFDEKSRSEIRKLRLTLGAESKIVWHFLFAGWADYSKPEGDDRSALL HLIEQSGSKSTPDNPRIVHCSAGVGRTGTFIALDHLLLELQSDQLLQVTDPEVDPVFE TVNQMREQRMMMVYNEMQLQFIYEVLREQTDRKLGKVPEITGRRSDERSSKMAKLSTE SEYLPSSKPELEPVSDRTFTPTRSWSGTPEVSDNE AOR_1_1142154 MRIRYPFAGAFVFLLLLAAYIGLLPHSASSSVPSQLQPNDKLLH VVTFFLLSLIFYWIPDTTRRRTLQLTLIVCTAVLGIGSEIVQGILPNGRSFDPFDLLA NIVGSLGAVGLCSWYHRRMLERRRKARFGALGDATDDVELGVGPGHSETDHDQEGLGP QETGVTNLEREVDNWDENAVDNWDSDDGVDEPSGLIAEGSKPSAPAVNGDKGEGKKRN D AOR_1_1144154 MSLGHHHAWLPPGHLRPPDDHHDSRSINGYSKSFSGPRTPQMRA SVDADGSHAGNLISEADMAAEEDPRIAIFRDLYRRSEAKINNLFAGQEIAEDLVGAAV ADADEPDTKNERADEPAPPPVPAKKPARKLDDDDYDDYDDDDDGDAPSPPKPKPLTPS QESSAPPPLSRYTSGTTSAGGDVSKETKKESLEDIRKKLEEDKKATEEAAKRSFHTIF YTLENDRDAMLDQQRLEESERQVEAEMSSQANAGNNATSASNGYGSLSNANLGASSLT LKNLIARIDMKRTMVQASDAELRSLMSEVRKNRSKWASEDKIGQEELYEAAEKVLSEL KAMTEHSSAFLTRVNKRDAPDYYTIIKHPMDLGTMTKKLKALQYKSKQEFVDDINLIW SNCFKYNTNPEHFLRKHALYMKKETEKLVPLIPEIVIRDRAEVEAEERRLQLAELDGA EESDDEPIMSSRGRKAPGKSSKKGAAPARNTPSGSEPPAGASSQPSAPARADSDVPAD GTQNGFATPPPGTQTPSDPAGAAAGVSGSHGDSMEIDGLAPSTLALSALSAPGAEAED PEYKVWKQVTKKDRALIAAERHRLFKGDKLNSDEPALLRTKAGMRRWLRNQKQVNAEG DKSNESSAQAMEPGPAGGSLAEGIEVEEDKVIPDYYDVMSGVPDLPGQLLWREDSDGN IVDASEEFLRILPKGTFTQPESKLSRKMDANMRQMQETRKICSKIGIVKQMQLQSQMY QNQFQKYQPEPFVEHDVPPHVMNDEGPVVSPWVCKAALQRSVAKIFYHTGFEEYQPSA LDAVTDIASDFFQKIGETFKSYIEAPKVPTSDPTDIVSSSNQWKKAYTEPEIVLHTLN SVGIGVEDIESYIKDDVERLGTKLATVHDRLRSLLSELLRPALADGGEDGSSAFADGS EQFVGGDFAEDIDEDFFGFKELGLDKEFGLATLSVPLHLLQNRMYNAAQSQNTSSTQT VTLFPPPPPYPRITSETLPSQIGLVQAFFGAKLQASNNEGLVEDLELPPKQRPMAAKP RLPASGKILPPSAPAGPTSSPQKRPLPPTAPSQQPNAIKPGPSEPSKKKVKKNSGMAL EIPGSIADGDEAAANLDGTKTTDDPTSTNMDSKDSAAEVSGGPVTKDTAMPDVTAAGE GAGSIGDATGDPNKSNDSTAPLTNGTAGVTS AOR_1_1146154 MEEFEVVNKLTGGLRHLRVREADEVVKYSVPVETVPRPGFNTTG KEVDISLNAYPITKFPSRNVYQYDVNIGNGDEKNIVCKKVWNSNSRKTALKQIIYDGR KLAWSMNNYSNGLNIIVDLDAEQGRTGGRTPNAFRLVVRPTKTVNLAVLNAWLQGRAA FGESVLEALNFLDHVIREWPSGRFLAIRRSFFDENGEHKDLGNGVLAFKGVYEAIRPA INRGLIVNVDVSNTCFWARTSFLGAAMAVLDCRDHQHLMHELRPVPDGHGGMTESTAF YEVHRRLKKLVVQAHYRGCPCTNVNFTVKGLINAGASKYIIELKDKATGVIEKITVEQ YFKRKYNLSLTYPDLPMVEMTKKGIVYPMEYLTIHGLHKYPWKLNEYQTSQMIKYAAA RPADRLNSIHKSKKMLDHSKDPVLQTFGLQIDENMIRTKARLLPNPDIQFGGNQRHNP GTNGRWDLRGKKFYQPNKQPLSCWGVGFIPGKRNVINRTQVEHFVDGFMKTYAGHGGN ITQRPLIAELTEDTGEAIKRLFNSTGNKFQKEPQLLLIIVPDKNSFTYLRIKKSCDCR WGVPSQVLQSAHVAKANPQYISNVLMKVNAKLGGTTARIIPKVNDASLKPMTMIIGAD VTHPTIGVWSPSMAAVSVCMDTFGGRYWGACETNGDRVEVIARANMEHMLTPLVREWM STVGQGRAPENVYYFRDGVSEGEREKILKQEVLDIKSIFMKLTQDTWKGKFTVVIANK RHHIRAFPRPTDRNAADKNGNPLPGLLIEKDVTSPHDWDFFLYSHIALQGTSRPVHYH VILDQIGHKAHQLENMIYDHCYQYIRSTTSVSLFPAVYYAHLIAARARHHEDVPASSG PRSGREVPMTNPKPKDKPVDPRLLPIHGTPNRLPFAVWYI AOR_1_1148154 MAEDDAEKAFFQAQAMNADSVDYKAVEDQGASSDSDDYDPSKTL QDQYSASILDSKQSEIAPSSASPSDPNPPTQSIPPETDPSQPADSAYPSQTPSRADSQ ASVSAPASGTSVPLKTRTIGGFVVEDEDEDDAGDADYEPPAVLGVEDMNTISMNVPQQ PISGNANEDTPTPDVSMDGAVQASADAKNFPNSSYTPASAAASKSDTPALLSQDMYNS RTLQSENMQDSAAATPVPDSPSTSKGRLPHDRVGILEDRIQEDPRGDIPAWLELINEH RNRNRIDSAREVYERFLTAFPFSAEQWVAYATMESELNELYRLEQIFNRTLLTIPDVQ LWTVYLDYVRRRNPLTTDTTGQSRRIISSAYDLALQYVGVDKDSGSIWTDYVQFIRSG PGNVGGSGWQDQQKMDLLRKAYQKAICVPTQAVNNLWKEYDQFEMGLNKLTGRKFLQE QSPAYMTARSSYTELQNITRDLNRTTLPRLPPVLGSDGDIEFGQQVDIWKRWIKWEKG DPLVLKEEDQAAFKARVIYVYKQALMALRFLPEIWFEAAEFCFLNDMENEGNEFLKNG IEANPESCLLAFKRADRLEITSESEQDPIKRGAKVREPYDKLLNALYDLIAKARTRES QDVARLEETFAKINPDTQPSKTDDDDDDQSDSKARESMKNAQIEALRNAHAIQIGILS KTVSFAWIALMRAMRRIQGKGKPGEMPGSRQVFADARKRGRITSDVYIASALIEYHCY KDPAATKIFERGAKLFPEDENFALEYLKHLIDINDVINARAVFEMTVRKLASNPENVH KTKPIFAFLHEYESRYGDLVQVINLENRMRELFPEDPTLEQFAHRYSSPAFDPTVVRP IISPSQTRPKTAFPTEQPVSRHGTPSSRYPDASVTNSPKRPLEDFDDEMNRPRKFIRA DSPLKTTQRRQLDPPKRTQQVISNQTGSQFRSQGSPAPLPRDIVYLLSIIPSASAYNA GRFSPEKLVDLIRRIDMPTSISQIPLPPSVRGLGFPGAYRPQ AOR_1_1150154 MSVVSLLGVKIQNNPAPFLAPYQFEITFECLEQLQKDLEWKLTY VGSATSSEYDQELDSLFVGPIPVGVNKFIFEAEAPDLKRIPTSEILGVTVILLTCSYD GREFVRVGYYVNNEYDSEDLSAEPPAKPIIERIRRNILAEKPRVTRFAIKWDSEESAP AEYPPDQPEADILEDDSAAYGAEEAELEAALVRELADAERDVKSEDHEMEGAEPAIKE EEEEDISDAESEDIEDESDDDEEDLDEEEAGDGDEDVEMGDDSEQKDDGPKADSTNQH SHQPEVMVH AOR_1_1152154 MALTFEVTSTCQYPIRMEPPRRSSTSRAAPERPLVGDTTSDDGF VEEYLRAGGPNLCEISEYAYPFPKTTARDGSTFTCKGYCFDDVRAIGKKWNIGIINIS FIGRKAYPNKFVVPIPTLSVGARWEVSSKGRWLEAAREIYHSLQENGIDSISVEIVDR RLEMGPSISPCKPTDAIYPLWGAVAEKILTSVDTSGFRSLGCHRIGYETSAEDCSPTI FLTLYRKCRREWQEVKQGIRNVLDEFKLSTVDVMIRKDNPIPYTYSTERYDQCWDEED NINHGKHISIDNCSQPVTLGDSVSAHNSTKHGTFGGWLELKNPTSGVWEPVGITCAHC IFTPEHQSKLPSNVRRLYKIGRKTGLTHGVYNGLKTAVFDDKENNSETWMHTITGFRD RVADFGDSGALFFTRTGDVAGMCTGGSVRGGLVYFTHIHDLVDDIKQVTGAKGIRLKQ D AOR_1_1154154 MAHFLRGKQAGIQKDLSDGLSPDLFALDDFARYGINSQISAIAY DPVQSLIAVGTSDTQFGSGQIYVFGQRRVSVVFSLPRKASAKFLQFCADKLVSVDSKS EICVFSLETRQTLFSYAPPNHVSALLTDPSLDYAFIGLQNGDIIAYDLDRETLTPFKV PNLWAQRNPRARFCPVIALSFSPRDIGKILVGYPEGAVTFSFKQNLAQKYFEYEVPPG ALGGNCDVPSQEPRRPRLTKAVWHPNGIFVLTVHDDNSLVLWDSKDGRKIAARSITTP NIDQPGASRERPLSAGSAVGLRDPITHIAWCVKGNGDDSGLLIAGGKPKAEANKGLTF IDLGPTPNYQTSSWAMISNYFESPKQITDLSTPPGAEVVDFCLIPRASPYYAGGHDPI ALIAVLSSGELITLSFPSGHPITPTNMIHPSLSFVHPFVNKMTLTPVDRSAWLGLRER RSQGPKFLLGGAEGKKVLKRFEDRNVITTAHADGTIRLWDVGHDEIENGDVIQVDLAR AVGRVSNVEVTEMALSGSTGELSVGLRSGEVVIFRWGSNGSFGHEEPAGANEGPGKLT KVAHRTDPGLKQGLLPLTLLDMQQGSVTALKHSQVGFVAAGFEGGSLVIIDLRGPAVI HTARLSELTKPSKRSSFLRHRSSDDAPPEWPTSIEFGVLTLEGEDYSSICCFVGTNRG NFATFKILPTDNGGYTASFAGATLLDDKVISIIPINAETGDLALATPNAVGGLRNGVQ VHGVVVAVTVSGCRIFKPATSKGAHKSWEDYLCDSAAVVKVEGRGYSLVGLFGDGNVR AFSIPGLKEMGCKEINYMADMKRLSESTICSNGTVLTWTGPSEVGLFNVWGAGTGLRH SEDQLYNIQAAIPPRPTITNMQWISGTQYISPADMDILIGGPDRPPSKKMQEQMKLED QERRRLAREGRTMSNLSQEQGSQEGYWSYMQRQVQQRTENLNLAGDQMERLEENSSNW ARDVNKYVQNQKKKAVLGVLGSKFGL AOR_1_1156154 MSKPVSIIADSLPFKPERYIMSPSFSNHYSRGVEVSEKLCTIVQ RIFTSAVHISNISPLNGHLHPLCLISLSNGAHVLLKCTPTPMTPLLRREQFILDTEAR ALALLKESGIPDIPQIFYYNSRGNLRDSAFLMRHYVTGATLHEMKPWLTPQNRKDIDR RLGVLAQNIGQHVSDSFGTLEQVASGAGRRSWREAFVILFESILRDSEDVFVNLPYAE IRHQLSRLSPALEEITSPQLVVIDFGHPTQVLVDPESKKLSGVVDLGKTLWGDIYMAE MFEEPSSSMLDGFGQSRIVGSEMERIRQLLYACYRSVERVTVQYYRNRDMIAENDARR RLTTILNKMAAI AOR_1_1158154 MAGTGKRSMPDNSSQSSKKRKGGGNWHKHQNGKAGIESGDWGVF VTCEIGKEGKCISEVLDLFSQSIETPEAGGQDGDSGSEDEDDIEAQIRKEVAGLKPSS AKPRQFQAIRLDIPCVSFIRFDKSIDPEKLVHQICVDAHANPDRKRSRYIQRMTPVKS IRKTLSVDLEAFAREILKPHFHSGGGPKKFAIRPAMRSNQKFDRDNLIKTIASVVGPE HSVDLKNYDLIILVDIIKNVIGMSVAGSDYEKLKRYNLAELYKPAPSSQEVEPKPSTD AOR_1_1160154 MATDIATRDLRKPIDVAEYLFRRLREVGVRAVHGVPGDYNLVAL DYLPKCDLHWVGNCNELNAGYAADGYARINGMSALVTTFGVGELSALNAIAGAYSEFV PIVHIVGQPHTKSQKDGMLLHHTLGNGDFNVFTRMSADISCTLGCLNSTHEVATLIDN AIRECWIRSRPVYISLPTDMVTKKIEGERLDTPLDLSLPPNDPEKEDYVVDVVLKYLH AAKKPVILVDACAIRHRVLDEVHEFVEKSGLPTFVAPMGKGAVDETHKNYGGVYAGTG SNPGVREQVESSDLILSIGAIKSDFNTTGFSYRIGQLNTIDFHSTYVRVRYSEYPDIN MKGVLQKIVQRMGNLNVGPVSPPSNLLPDNEKASTEQAITHAWLWPTVGQWLKEKDVV ITETGTANFGIWDTRFPAGVTAISQVLWGSIGYSVGACQGAALAAKEQGRRTVLFVGD GSFQLTLQEVSTMIRNNLNPIIFVICNEGYTIERYIHGWEAVYNDIQPWDFLNIPVAF GAKDKYKGYKVTTRDELRELFANEEFASAPCLQLVELHMPRDDCPASLKLTAESAAER NKSL AOR_1_1162154 MDGQRQQQYIPVQPPPSAPASQSHILLPPPPPRYQPTQTQGVVP PPPPGPPPGTGYGAAKITNPQVQAQNTIGWQQKWAARQALSQGYLPPPPPPPLVPANQ QLYNRTHLSIPSGEGRTSATYVPQPGTFGPGVGIPAFDSHTLSAYENQATLMDSDRQR PQVNQLYEYPSPDTAYKRDGTIPPTPSARTIPSALAANDGAHEQLPNHGQTTELTKSS SHRHNSSNTLPGGMSPSEAAAQWPLERVLLWLAKNGFSKDWQETFKALELQGADFIEL GHGLNGRPNLGKLHNVVYPQLAKECESSGTGWDQTREREEGKRMRKLIRQIQEDGGYD AGIPIPKQRDSQTLPGSAPEGVLEASPMLTHEPLSAGPAANSPNLKTLQPAYPQRQSV QTRSFTTPIPASHDHLSSEPNSNDGTNWTRSDISRAALSSIAGDHRRQSPSVSSDGGH FFPPARPYEGSPKSGSPATQHATLAHQGASSSTSDLGVRFEHSRGNSSDSTTGRRYYE SIRQDNTRPSPYDPYNRQLSGDNPSSYSKDHSNRLLHFFKKRSRTGDSNHPSPEEQFL ESPTSPVNMRQNASHLPYTKPNFSSSDMSLGERPSSSSVSDHDRLALRAKSGHKGRKW AFATLDGWNYRLVDITETESVEVLRATICQNLGLSDWTGAQIFLTEPGQTEHDEPLND PSLTLCRKTKSDSLGSLKFFVRGSHTYAGASSSPHVAGLGVSFPDKHTASPTSGQHQL HRKPLDDEALNRIAKPTSPQVASRQQLRTPAVKLPARDISHTPLSASPVDGGQDAGHL DPDKADLLARHEEHQREVERKQKAYRISKVSSVPQPRKDAYGETGYRREGVIDFDSPR ISPYEDKKAEPLVPLRKPPTAPHESHTLTKVNSLRKKDADRPRVHQPVQTHGLGAVLA SVGRMTSAIGTPTPSVSTSQAGTNNHANIEAPSESNIPDETKSTSQSPAGQDSQETPT SHTNQSPAKTAVPEEPRPTVQSRKSFGPEFDFEETNVSFQRSPMPQEDSDDDDSDDGL FAIPLRKGLSVSFRSPSATRETFASSSGDPDPRTGSLSDLTASPEEEKPPRRDSFARG DIWASRPPVEGVIEYLDDFFPNIDLDAPYLDGQGASPPSSPASRVAAESELSQKERPQ PPPNPSESTLGSSEPTIKPQEANIVARRNVNRSGGLTRMKSIREVARGANQTSRNRSV TSSTGNQKSGDILRRKSTKMFGARIMQISPKPGSRLSQLDPIPQNNAPTTSVPQRQPT FRIIRGQLIGKGTYGRVYLGMNADNGEVLAVKQVEINPRIAGQDKDKMKDMVAAMDQE IDTMQHLEHPNIVQYLGCERGELSISIYLEYISGGSIGSCLRKHGKFEESVVKSLTQQ TLSGLAYLHNQGILHRDLKADNILLDLDGTCKISDFGISKKSDNIYGNDSTNSMQGSV FWMAPEVIQSQGQGYSAKVDIWSLGCVVLEMFAGRRPWSREEAIGAIFKLGSLSQAPP IPEDVSMNISPAALAFMYDCFTIDSLDRPTAETLLTRHPFCEPDPKYNFLDTELYAKI RHVL AOR_1_1164154 MRQQNAGRRSRRQTVPSRPPLELTGKETEEAEAVPDGLDSIEGI HNELEFTQWYNELEDSLLESSYDEYQACLHELQMSKSHLDTLLSDTSSTLDLLSNLSK DFKAVEAQTSNFQNQCEGLLSAQKRDSELATDIQDNLQYYDFLDPASRKLNAPGAGNT VRGQEFSDMLRRLDECLDYMEIHADQKEAGVYRSRYRLLMTRALTLIRGHFVSALRDV YLSVSKKIADKQLNDTTMSALLYAKFRVGAPELKQIGLEIQKRAVPPLDPEQGTEAEY QSLLNELHANYAAIRGKLIVPLVRKKLNEIAQAPSTSTDLVAFARGSISYIRGVCLDE FDLWGEWFHGQGGLYDFLETICEPLYDHLQPRIIHEDKIIKLCQLCALLQTRYLFDQE EETEPTDANQLDFSTLIQPVLEDVQTRLVFRAQAFLRDEIERYKPRPDDLDYPARNKQ ASISVTDGQISGRKVTATDALANLPKPAKQPEDGADSPSEQDSKWDFESQVSPSNWYP TLRKAIWLLSRIYRLVNSTVFDDLAHQIVHQTNISLHHASSLIASKSVTDSQLFLMSH LLILKQQIVAFDIEYVAPEVSFDFSGVTNTFWELRERGGLFNPRNLMRLVGHGLLPRV VENMLDAKVELDGRLRTVINDFISGFATTMTASLPSKFVDTRNLQRGELIYPTCRNIE KEVPSLRTILNDYLDDVRMKETLVGAVQERVIQIYEEFFDKYMSSEKSKGHFVSTKGK GREDAVWDVDTFADWCESIFRVGISDADDEATNRSRSGSLESRSQ AOR_1_1166154 MWTSRVRQPVTNIVTVSRRLPRSARPAVIPWRRGYASNGPNASE QSGSSSRWLKTSLGLAGTGAAAFLVYTYATLDKSQAGSQADTKGLSQATEQLDSQYVQ HKRSLKSPGVYLWGTNSHRVVDPNSKETVIKTPRRLHYFDGQVLRDLKLSDKSGAAIA ENGDLIQWGKGYSESDFKPTKTLTGKNLTSLCMSNDRILALSSDGSVYSLPIAKDDQL SGRKLKESSWVPFWSGKSGVSYRLLQPSLKLGEKVTMLRGGLEHALLLTNHGRVFSVA SSTESYPSFGQLGVPGLTWATRPNGPVDMCHEIEAFKGIKITQIASGDYHSLALSKDG SLFTFGDNSFGQLGMAFDAALPFSDTPTSLPIKNLYKGNTTFPKVTGIAAGGANSFFT VDAQRIVGPGENPSTVRDLDRITADTWTCGRGIWGALGTGKWTHMQDAPTKVKSLSGL FEYDERKKKLTPIRLRDLSVGTTHVSAVMDNDAHIDPSPSNSLDDATNFGFDVLFWGG NEHFQLGTGKRSNQSKPTHINAPPEDKGELAEQEARLQVMPRHKGKVGPRTVNMEQRV ECGRHISAIYSSV AOR_1_1168154 MPRENQKRGRRAAEKAEKDAAKRKREEVPEDSLPKRLKPSTDES TEINQGADYIPFDENYNENYDGNYDENQADAPAGDMPFYGLLDPEEQEYFSRANEVLE LNQFQDAEERRIFIDSVYKEANGKELKIACSQGCSRLMEKLISMSDMRQIHRLFNKFI GHFMNLVQHRFASHCCETLFINAAPGVTQKVSKSKSDKMDVDEEEGEEPEPELSLAEM FIKVVEELEGNWGYLLTERFASHTIRVLLLVLAGEPVDVSANDSVVASRKKEKLGLPQ GETQDGDVSAQKRSVPDVFEATLKKIMKDIVSVLDDTYLRALATHPVGNPVLQVLVSL ELSHFGKSSAKDPNSITRRLIPDESFEEGSETTTFVRGLLYDPVGSRLLETIVRCMPG KAFKGLYKNFIRDQITSLARNITAGYVVLRVLERLGKDDLQNALERIVPQVPSLLERS RMVVPKVLIERCLVRGVDTAPLARALEEAYDKDPARRLEQILRLESTTQEDLEESEQK PKGANAAPSQSSTGEKLHGSLLAQTMLTAPGPISGLIYSSLLAQSSESLVKIAKDPTA SRVLQQALTVPTSSAQFRRQFAPRFTSHLKELALDSSGSHVVDALWPATKDIFFIKER MAQELTQHEMALRDSFVGRAVWRNWAMDLYKRRRGEWAMKAKGIDNNNGSGERPKSRI ELARAKFAAKAEEDAKKGAQKGVTA AOR_1_1170154 MAVSPPSRRAEEVRSLLSAVEDLLIPFIRSADEDPLGQKALENG VNGANGTHNDLKPSGTSLVDHKKPEELQSILQLELPEQGTGQDGLVEALQKVLRYSVN TWHQGFLDKLYASTNAPGVASELILAALNTNVHVYQVSPALTVIEKFTGKQLASLFGL KGPRAGGISVQGGSASNTTSIVIARNNLFPATKRDGNGDYRFVLFTSAHGHYSIEKAA QMLGLGSSSVWSVPIDKQGRMIPAELENLVRKALKENRTPFYVNATAGTTVMGSFDPF DEIAAICKKYNLWFHVDGSWGGSFVFSKRQRQKLAGAEKADSIAINPHKMLGVPVTCS FLLAADLRRFHRANTLPAGYLFHNEDTELPEANGCNGAVESELSVDSPEVWDLADLTL QCGRRADSLKLFLGWTYYGTAGYEKQIDAACDIAAHLATLVAENPNFILVSENPPPCL QVCFYYAPGGQFLHPRGVVSDEAERGKANSKVTEQVTHAIVSKGFMVDFAPPSGDDDV VGNGKFFRCVVNVQTTKETVEALLQAIEEVGPGIVENMKVQKAQRKFNRPGERGHGPV VHHP AOR_1_1172154 MATPTSALSPHERTRVEDYLNDKIQVSADFESLDSLLTSLRSQH ELQRKQLAEAQEALSKATKASSDHAEATRKRAEAFNEQQADIDRRLKALTGSDASDEA AKRFEASIEKLRRLELSKGYVSLLKEAEELSKEALTSIQSSPKSAIKPYTRLRTIVQS LKEAQPAAEGAAPHLVDYVGKLASALRDHMKTDFTKRLQGTLEEMKWPSKDLYLPDDL RAQWREYVELLLDLQTPELHGRDTSKEKPVKPPILLPLEVMVHPLELRFKYHFSGDRP TNRLDKPEYFLAHVMDLINNFGGFFASSLQPIFDEKAQTVGPDLEWNFYNASHAYITA LLPILRHKITTYLPQISSHPQLLSHFVHELMNFDNETRESWNYLPDPYTDDNWKGMTW EVLTEQGWFERWLQVEKEFALARYKEIVDAPDSGHIDYDGVDRSATKPTKAAIRVNDL LETITERYQPLSSFSQKLRFLIDIQITIFDQFHERLHSALEAYLAMTSTIGRTVQGAD GASVEGVAGLERLSRVFGSAEYLEKKMEDWSNEVFFVELWSELQERVRQNKDGGKNVA GSMSVADVASRTSQAVANGNDHGEASEGALFDETASAYRRLRLRSESVITSTLTSNIR SALKPYSRVSTWATISAGLASPLSPTSDLAPAMRTLSTEISFLSRTLGIAPLRRIIRQ VLLSIQTYIWNNVLTRNMFSAAGATQLISDVEHLCNVVDVALGPAAQVSSSANVLRKL NEGLTLLGLSISGSKAAEDGSASQNSDRQGTAPLGLWEVEKRLFKDNESARVVLGELN IETLSEAEARSVLERRVEIGS AOR_1_1174154 MSSPAKKRKRDVPVSSPYRNRSIASFFQGQAAKQADNAEQTPST VSEDTDQTLSDEALARKLQEEWNRQDAAPASDTQAPTGEPFATPSNTKDDELEPPNKT QKRNTLSLQSSTGTEDTISLAVPFDQSPLTFDSTKCAEELKGHWAATGGDASYALLTR AFVLANATTSRIKIVDTLVNFLRVLIEADPSSVLPAVWLATNSISPPYDELELGLGGS SISKALKKIYGLNSQGLKSLYDKLGDAGDVAFEAKKRQSFTLMKPKPLTVKGVYQSLQ KIAMSKGTGSQETKQRIVEKLLQDTRGAEESRYIVRTLVQNLRIGAVKITMLIALARA FLYSKPEGADFAVRSQQELACLKKEDLAEIYNNAEEIVKASYARHPDYNDLVPCLLEI GVTEELLVRCGLQLHIPLRPMLGSITRDLSEMLTKLQGRDFTCEYKYDGQRAQVHCDE NGKVSIFSRHLENMTEKYPDLVSLVPQIRGESVSSFILEGEVVAVDQETGELQAFQIL TNRAKKNVDIGTIKINVCLFSFDLMYLNGTPLLDRPFRERRELLRSLFVEIPNRFTWV KSFDATSADSEAVLEFFKGATDTKCEGIMVKVLDNVSKSNNPEPSETPNNDIDKPDPK PAKGGRRKALLSTYEPDKRLESWLKVKKDYSTSSETLDLIPVGGWHGQGRKAKWWSPI LLAVRNPETGSLEAVTKCMSGFTDKFYQANKDKYTEGSPNVISRPSYVEYYGEPDVWF EPQEVWEMAFADITLSPTYTAAIGLVSDERGLSLRFPRFLRVREDKSIDEATTSDYLA LLWRKQFERSQKEEAKPPAPDAELGWQEE AOR_1_1176154 MALPQPSKSEKTIRKIDIAQVSLSLQDRLGLAKVKYQNGRLHGL DQNGGRNSLAGSDRPSDSSSDISHSRCETPMTSPPLRTSMYSKELPRSSRNRHAVTFD SRVMQPMLSASRKRLRSDSIADHPAKIPRSSWKSSYQLPESSPGFNRHPLNRHLPFVS ETATIPELSSPAYHHQSDDDNDPDLPIHSFQNVSSMVSSSPPRTPPPKHARLSRNDRT ARHEDGADLLLYLANSPTPASVAAKTHGREFPPSTPPSQHAVLPSLTPTPGGGGVFPN FSTPNQQFNFADFVNVTPSPAQPAWGGRTPGGPGKTPLTARDRRRSHLENLLPPGVDS PKTRAKQAGVVLQLGGELRP AOR_1_1178154 MPFFSQLRRRSRPSFRATKSNESKSNESQSNGEMTSGKSSSTLD TASYSSLTPPSSIKPTTSSPNLPSLSETNGSTTGNNSSLSVPPQRPAPHTSPSQRNSV VGSINGGVRTPTPSSPYAPRIISIADNSWVHQKVLLVYGQIGDPRQHPLDGSVTVYHH QDGFPPVSWPVTSSHFKALVHLTPGPNRLRLDFVSTKLSAGSSHPAAHSSWICINYLP LVNAPPLHLVVLLGKDSDGTFDATPAEIQREGNGLETAIRKYRMAAYLWQAFTGEQMF RNNFGRRCFRFEEEWQSGTLSRRDTANGQMRNEAKVHVIRTDKTVAELRDLNIAQQHG PATKKDELFNIAKDAVKGYLHLQPGQKQYVSVLLLDSHWDTGSQTITGHAALGSGGDD VKMAIFGSHSLHSYPSSLEEVVDAFSDCTRTDTDFVANDCSEAGSRWESANIGIGAHL HEVGHLFGCPHQESGIMLRDYVRFNRSFMTREPFSTRTKTQGLKLCLPQDECGWHRLD ALRFRFHPCFRLPGDAPMSSDDSVQVWPVENGKILITATTSIAFLELYAEGDNVCHNF IEYINTESSSNGLPRQVTVTENELRQRVFGTDKEKKKDIKLTAFSGALGSHTVNSIMD LKSKQSVVKLPKGHTGYKGSKLGFSQMEGSQPDQLLLDCAFASTKLLTSIRVYHGAAV DGLEFFYEDATSQLFGKRGGKPGGDDFVLDTRRGEILLGFYIRAGAWIDGIEILTSQG RKSGVFGNAHGGSGHTLIPPLGYKIAGISGSHGPWVDGFSLIIMH AOR_1_1180154 MFRNRKNSQKPGDELIQRFQKTFSDVVPQVPNSQTASFGQIPAG PITPAKLSMESGDLKLHDATPRPGQDMRYAPSYVDPNSLSFINPLTQPHGYYTPNSGG LSAVFHSQAGDLHTPMGMNMITPLTLPQQLASATINADTTAMGLDQFNQPYIAPHFQN PQPFAQQAPFAPTFVHRDSGYDAMDESVDELSLNDVDMQGNAHPHMIPSMLQRDQVDV QTPGEKFRYHVTLRAPTAMVRDQNEVPVSYLNKGQAYSVSVVDSAPPPPMNGQPVKYR TYIRVSFQDKEQRSKPAACWQLWKEGRGLNEAHQRGGKLQAVEYVDPIQGGVDDQKNR QVQLECSSFDGFCVTWTTSPTTGASECAISVRFNFLSTDFSHSKGVKGIPVRLCAKTE MVSPGNADPAQGKEAEVCYCKVKLFRDHGAERKLSNDVAHVKKSIEKLRQQIAQAEMG AGNYGKRKRSGGSIALKGSDARPAKITKHKRTWSMGSQDGDRLSMEDDLHIKLALMQE MFTSTRPVSILGLQGDEQDDPDLYPVQLPEPRDFVKKEGKSGPRFSIDTSAGFPTLSP TNSCISLSSTCHTPTVQSQTGLYYDSGYQCSVNTSAENSRPGSEVCGDKVVLKHPIKI QRVANGDGDMPMGFIEAVDIDPTYRPPAERQPKPIACFYIRFPRNDQAKDDYYRAVYL TERTVRDLMEKISVKQRIDPQRIVRVLRVNKNGLKIMVDDDVVRELPDGQDMVVEISE AAALDDATAIDSDKSSGVELKLSY AOR_1_1182154 MDAPGATEATDDIRQDGSDLEVSAGQNVHKSSTHPNHPDRLAGN EHEHEHNEDEGQDDNEHEDNSEEEEEIEDEEEEEEPHLKYAYLTKHLGAVYRNGDATS SFLAAGDKLIIGTHNGNIHALSLPLFQSLRVYHAHSASVTSISVSPFPPPLPNIKPDS IRLHEAQDHNSRPSSGASSLRGRPRPNTHPALSSTPSNSIYIATSSIDGNVCVASLVD PKDVLLRNFGRPVQAVALSPEYKSDRTFLSGGRAGDLILTTGGRVGASTNSTTMGGAA AAATSWLGSIGLGSNTGKDTILHSGEGAISTIKWSLSGKFVVWVNEEGIKIMRSNLHL DSSDSEYAWKRISHIDRPNRPGWEEMSSVWKARAEWVDDAALDSEETPKHKGETSLHD HTTVTPTKERVEKLVVGWGGTVWVIEVYPDRPSKNNRDQRIGSVEVSTILRTDCVISG ISLYTPSLLVVLAYIEAEGDASGDERSKHGVLHPRGRHRPRGLEPELRIIDIETKEEL SADTLSVSRYENLTSSDYHMCVLPPWKTTVSVSQKGTLEALGSGIWDATMYPARLFSS GASIRSSTSSGDKGSSRAPSTYASRRVPVEEPLSKEIQDISGNVGTKIFIHSPYDCVV ALKRDLADRLSWLDAHEKYEEAWKLIDEHPEAAGSASEQSDAMFAPLARAPTTLGEFL ADDRSSTTTTGRGIISVAEQEKRRIGELWIQQLVEADNWKEAAEVCVKALHTAPRWEH WAWTFIKRDKLDEISPAIPTDMHPPLSSAIYETILGHYATQDRHRFSELIDSWPFDLF DVAGIISVIKEQLGSGSIIPDSDEWRILMNSLAKLYLAGGHYGEALRCYVRLQDADTA MALTREHRLLDAVSDDIPAFIMIRVSKEQLKSAPKSELEELTAEPIKLLVSEAYTGIV RPETVVNQLKAANRLLFLYFYLRALWRGESLPHGATKPRRGHFAHIRDAASKLAADEG KALVDTFADTAVELFANYDRALLMEFLQTSTAYTFDLAVTICEGRRFTHELIYLLSKM GQTKRALNLILSELKDVSQAISFAKSQGEPDLWEDLLDYSMDKPRFIHGLLVEAGTAI DPIKLVRRIPSGLEIEGLREGLSHLIREHDLQASISQGAAKVLQSEVAVGMDTLRRGQ RRGIKFNVIEEEKRPASSAATLSGVTEKDEVKSDAGTEKTSVPAHTPTQVGRCAGCQL PFHANEKEILVGFACGHIFHLSHIHASEPSDDTTPDSRSATQTPKSFRQPRTPTLDEP SMSTSRTVGPKVTTARLLRDRIGDGCRICALAKEIEAIGETDT AOR_1_1184154 MFAKPFPRSQYLHATSPFSLPRRKDFFSSNAYLTQKQSKAGDVS EEPGQEFQKQRRRGGRSPAAPTSLRRVAVEAQRSKDGILSKAMLKEQGLYQTKVVTAY AVAEQFNIRKAREILQEKGYEPDPFDTGLYPQVVHVQVPLDSIRRVSNPATTDLAPNE VGDIFVFPSGTVVAWSLPEGFTSFLATRTLLPAAERPHLDSLETEDLEYVEDPQRDSS SIKGDTIILGTKPSNNETSPQLDRQPVDTVLTKVAFSSGLARSTKLAVLETLLSNYFE STRSIPTLLSQGSRLPYTRDFILRKTGQLLSVRAQLNLYSELTDSLPDLFWDSRHELG LEGYYEQVGRALDVGIRIKLLNEKMDYAQEIASVLRERLSETHGLRLEWIIILLIAVE VCFEVLRMWKERAHEQELQKEKAIDAVKS AOR_1_1186154 MLQGIPGPQQVLSALTGTLGLSSLLGPGIESSQNSFQHCSKAEL SCATPYHGQDKCCFNYPGGQFLQSQFWDADPAIGPEDSWTIHGLWPDYCNGGYPQFCD SKRRYSNISLILTDSGRGDLLDEMRIFWKDWKGDDPNLWEHEWNKHGTCISTLETHCY DIYYPQQEVVDYFDKTVELFHGLPTHEILAGAGIVPSYTETYSLSEIHDALVKAHGAE VVVRCRHHNLNEAWYFFNVAGPLQTGKFIPANPDGQTSNCPSKGIRYQPKTPRKDEPT KTRGPSEPTSTGTPNSKRGNLIVTTQGQQRGCIISRGTWFTAGTCATFRIEKASDETF TLQSSKGKCSFEKDTFSCGPRIRNPVEFSFQDGKLSYRGNTTFFADKAPKGPTKSKIY ASEEEHPIELTISWRGSH AOR_1_1188154 MLSNPHRNLQERHRQHRRQISTPSALDAAKVPSLPAQAMHRYHA HRRGQSLDQRSLHMQRSQTVQDGNLLNTNATGPLVMQQQHYARSAQPTPMPMMPECQT FSPEELQTQPSMGYMSPAFAKAETPALESRPMNLHLNLIQQQQLQQAQLMENGAWDFY PHDNLPTGLPHQTNAIPADMRRLSVQSDVSPAQRPHTPKPAHYLPITPATTPFKKTVD LVQYGGDMQPTPTKEQRLSVPVSAQPSYMQRAKSLQGVAGTTFSQQKIDMPSPPNTAS FEVDSFDVFNCQQGSSFEMSKSESFSSSHSSTSSSSATSPFNSSPDLASMPHLADSGK AQKIPIYPATPSRMTPKKTPSAPPSSAKPKLSPRVASIDSLNLDARVHASIKETGVTI DEIASYIHGPDPEDGKWVCLHPGCERRFGRKENIKSHVQTHLGDRQYKCDHCDKCFVR GHDLKRHAKIHTGDKPYECLCGNVFARHDALTRHRQRGMCIGGYKGIVRKTTKRGRPR KHRPEMDERQEKSSRTRQRIAEKSSFDSSGSDTSHNSPPSEVFENMSLQGSSPVGEMP MFSNVNYSLPPEVLTFTPPASPGGSIRNRPSPAHSQRSITPSTEDEMPPLSPSKRPLE RIIEESGLPLISDPEACPYTNATNSTTHALSSPHTVPTLTESSNGSDLDIFINQDPST SFSKHEFPGLTDPDMAAFPDYVNGPAFDNGMDLFQSKGFSNGPSMSDDFFAFQFQMDE QPSDVMTREFFLE AOR_1_1192154 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGGKKRKKKVYTTPKKIKHKRKKTKLAV LKYYKVDGDGKIERLRRECPAPECGAGVFMAAMHNRQYCGKCHLTYVFDESK AOR_1_1190154 MSSGLKSVAYFVNWAIYGRNHNPQDLPADKLTHILYAFANVRPE SGEVYLTDTWSDIEKHYPTDSWNDVGTNVYGCIKQLFLLKQQNRQLKVLLSIGGWTYS ANFAQPASTEAGRTRFAETATRLVLDLGLDGFDIDWEYPKDDNEAYNFVLLLQKCRET LDRAAGPQRKFYLTIACPAGKEHYSKLRLREMTPYLDFYNLMAYDYAGSWDSVAGHQA NIYPSPDRPGSTPFSTVDALTYYETAGLVPRSKIVVGMPIYGRAFTNTDGPGTSFSGV GEGSWENGVWDYKALPKPGATEYVDPSIGASWSYDPATRTMVSYDNVRMSEIKATFIR HHGLAGGMWWETSGDKGGKTANKADGSLIGTFVEGVGGPAALDQTPNALAYPESKYDN LRAGFA AOR_1_1190154 MSSGLKSVAYFVNWAIYGRNHNPQDLPADKLTHILYAFANVRPE SGEVYLTDTWSDIEKHYPTDSWNDVGTNVYGCIKQLFLLKQQNRQLKVLLSIGGWTYS ANFAQPASTEAGRTRFAETATRLVLDLGLDGFDIDWEYPKDDNEAYNFVLLLQKCRET LDRAAGPQRKFYLTIACPAGKEHYSKLRLREMTPYLDFYNLMAYDYAGSWDSVAGHQA NIYPSPDRPGSTPFSTVDALTYYETAGLVPRSKIVVGMPIYGRAFTNTDGPGTSFSGV GEGSWENGVWDYKALPKPGATEYVDPSIGASWSYDPATRTMVSYDNVRMSEIKATFIR HHGLAGGMWWETSGDKGGKTANKADGSLIGTFVEGVGGPAALDQTPNALAYPESKYDN LRAGFA AOR_1_1194154 MADGLNDARALRVAEIINDYRTLLVHISQQDIPVPAEDIHEPGY EVIRESLTAAQALMSSNYTPVPPTGRNDAETEKAELRRVILDGCARRFQAHKIYLRAA AGRRWSINRANVLRGQRPNQTHTAGLKLVNDTFRQELAQVTDEYVVADLRAADIRAGH WLNDDPSLQEMRSWIERHP AOR_1_1196154 MSGSAYDRHITIFSDQGRLYQVEYAFKAITSANITSLGVRGKNC AVVLSQKKVADKLIDPSSVSHIFRLSPSVGCVMTGSIADARASVDRARGEAAEFRYKY GYEMPCDVLAKRLANINQVYTQRAYMRPLGVAMTLISVDSENGPQVYKCDPAGYYVGY KATASGPKQQEAITYLEKKLKNKDYAEGSWEEVVELGITALSNVLSVDFKKHELEIGI VGGPRTDGKEGTDVNFRALTEDEIDERLQAIAEKD AOR_1_1198154 MSGEESSQPSHVPAWKKLGLKLKYAKDPLEEEKENTDKVEKREK KEKKKDSKKKKRSETEAEAEPEDKKPRKNKKRRLDDDDNDERDEKEQPAAEKQEDESR KKKKKKVSFSTEVEEKEVPSRDALGDVDMDMDMDMDADADAEDGGKKQKKKKEKKKKN KDQAAAGDSASDASRKIHETPILSYLSLYYKHRSAWKFQKNRETHLFKHVLSLEQVPT QYNAALLVYLQGLKSEGAKQRLREIAEEAVKAEIEEASSDSKEESTADESAEHVPSEK ENYDSAVGAFRECLSQGKQDELNTTGSTDKLEGDALKKLEMRQRAELVLYAVNGTLFN FQKPKPLTQKGKGAKNQNQANKKKKKKNRTAIVEISSSSESESSSDSDSDDDAAASKK KNETPRDSSSDSSSDSDSESTSSSDSSSSSSSSS AOR_1_1200154 MSARHSTRPRRIEDALSQLVDNLTHRFSYAATEDELPDEEYEAL AVRQQENLEHSWRILDAYSNSSNDPTSPNGGAGLGITRRGSLAGGENINNASDLIKRK LLRENASPDKAMRFSNLYSRLLTQPVLSQKWAILYLLYRLSSLDDYDESFEEEGGSRS PAVEPGNIQNLLWKGQRARQGLGPMSDEEGPAISSSASQIPARLERKASQRRPEREIR DMGEDEELEMAQEHQRYRAMSDAAARDIQMEEQRTAQPQLAPDDQQKLARPAENGLLR DLPFVLQGLSSSNLEFTSSTLKLPPTLPIPLVSLLNTLAEPGLLYKGLSAFVESSSGG LLNQSLRAALSNELRSYLGLVATLEGEIRRALAAPGASTSPASVAKTGVTLKRCVVWT RDATMALRLMSVIVEEAQNKKGGQLISMIHGFSTSHGDPFVCALAEKLLTHVTRPFYD MLRLWIYDGELSDPYQEFFVVEPEVSPSTDPRRLATSVWEDKYKLDDDLVPSIITQDF AKKVFLIGKSLNFIRYGCGDSGWVEAYSKESSKELRYGDTASLETSIDEAYKSTMARL IYLMDEKFKLFDHLRALKKYLLLGQGDFIALLMESLASNLDRPANSQYRHTLTAQLEH AIRASNAQYDSSDVLRRLDARMLELSHGEIGWDCFTLEYKIDAPVDVVITPWGSTQYL KVFNFLWRVKRVEFALGSTWRRCMTGARGVLRSVDDKVGPDWKGARCAIAEMIHFVCQ LQYYILFEVIEASWDQLQASISKPGCTLDDLIEAHTKYLESITHKGLLGSSSSSKSSS SNKHQEESFLTQLHQILKIMLAYKDAVDGLYSFSVAEFTRRQELSAKIETRTAQGRWG VTERDLLSSRRTRGHQNSVSSMSTPNVGNSADDIGTPSSLMGQDLSADDHMLASLRVR LRDLSAEFRSRLNTLLGDLAYQPDVDMRFLAVVMNFNDFYEPVRKRRTATSSRDKERL RRKAAEGNAQKEMKKERRDPTGPESASGSGAQAP AOR_1_1202154 MSAAVASAVTGTLTHRADTINTSPMDAKKNALETEDSQAPSSET KNIKSDVDPQTSLAPPPRPAITSAADTPDYFNSVHNPFSLEPNPFEQSFGGGTSGGSS GETPGKSILPPVASLTSPALPGTSSAGGGYSWSNSLRSGPLSPAMLAGPTGSSDYFDS IGRGFPTPNESSLRTGLTPGGGGSMFPAPSPNSQALLQQLQSGGATPSTIEFHRTALN AAKKNALNGPTSNPTSDPEQASQNTNMDMKPNQPDPFGHHDAADAANGLFMLAKGGQA NPNQFAVSNQSSIPPQNIQNNDQARDSDRRTSNGGRETSGDVSDVQGEQAKPATKGKK KNTATKTSGAANNRRKADDAPVKGSNKKAKLSSGSTEPPSDAGDSEEEEEQKKKSQSD SKKMTDEEKRKNFLERNRVAALKCRQRKKQWLANLQAKVELFTSENDALTATVTQLRE EIVNLKTLLLAHKDCPVSQAQGLGPLMMNGMSAGFDPHPYNIPNNMGMQPGAPIPTQG LRRQ AOR_1_1204154 MAKLQDIPEIILLCMEEKFITAFNEALPTQWPSFAENPKVKITV LNMGLHAVPATTKFQLVVSPANSYGRLDGAFDDAISRKFCRPHHPYDTLTRAAQQVLY EKWRGFAPPGSCTLVPFPKDMEGTNAWGCKWVAICPTMRAPDNVTWDREVVYEIDSIL MTPLATGVGRVSPQRWASQFILAMKHFVDALERPERWSQLGWRDIEEGVLEVEETWKE AOR_1_1206154 MDMRSSEQLARTKDRLSLYQQQLLPPPASSTAVNNSIAPNTSAT MSRDPITCHVLNTLSGTPAANLPVTLTLLSAPSSSQSPITFTATTDADGRVKNWTPAT NCPAPVPAILASLPAADSKTHWSVRFEVGPWYEAQGVESFWPEVEVKFTVKGRGREGE EGWRHYHVPVLLGPWNYSTYRGS AOR_1_1208154 MSTAVVQADDLMEPSLQSIVSQDTLRWIFVGGKGGVGKTTTSCS LAIQLAKARKSVLLISTDPAHNLSDAFGQKFGKEARLVDGYTNLSAMEIDPNGSIQDL LASGEGQGDDPMAGLGVGNMMQDLAFSIPGVDEAMSFAEVLKQVKSLSYEVIVFDTAP TGHTLRFLQFPTVLEKALAKLSQLSSQFGPMLNSILGSRGGLPGGQNIDELLQKMESL RETISEVNTQFKNPDMTTFVCVCIAEFLSLYETERMIQELTSYNIDTHAIVVNQLLFP KQGSECEQCNARRKMQKKYLEQIEELYEDFNVVRMPLLVEEVRGKEKLEKFSDMLIHP YVPPQ AOR_1_1210154 MVGTMLDAYNPKYLVDIRSHRYPHRRQLTSAPINPTHTYTSLPK TRNISMRMSQVQQYWLPGYGLSRHIVLGHIQYFLGPSASVRPYTYQGREGYLIVGVPL TREQIDDLAAMSREYERQETLRMAGDSPNEVSTRHAEPYINEIVPVRASGPRRRPFDY ETRRGR AOR_1_1210154 MSQVQQYWLPGYGLSRHIVLGHIQYFLGPSASVRPYTYQEQIDD LAAMSREYERQETLRMAGDSPNEVSTRHAEPYINEIVPVRASGPRRRPFDYETRRGR AOR_1_1212154 MAANVPPSAETLLSGAAAHPPKTAEEIANQYDLLPKLIPFLDRH LVFPLLEFSSGQDDDKEIVRAKYELLKHTNMTDYVANLWQEINNSDTIPDEFVKKREE VLAKLQHYQEESAKITELLQDEDVVGNLRSDKVANLKFLEEQHGVTPEMVNSLFDYGR FQYSCGSYGNAAELLYQFRVLSTDNDKVASATWGKLASEILTTSWEAAMEEVQKAKES IETRLFNNPLGQLQNRSWLIHWSLFPFFNYDPARDVLTDLFFSPAYINTIQTHCPWIL RYLAAAVITNRGRAHKSSSLYQKQLKDLIRVVRQEGYEYSDPITDFVKALYIDFDFEE AQKKLGEAEDVLRSDFFLVSAADAFVEAARHLISESYCKIHQRIDIKDLSTRLGLNQD EGEKWIVNLIRDTRVDAKIDYKEGTVIMNHPPQSVYQQVIEKTKGAFFRTQVLSAAVA K AOR_1_1214154 MASLLQSRPVCSTVRKQSTVLPTYLQNASQSVASTSRTCSSFST LSSSSRLINSQHCLRSLQRFNPRSAAPTQQCRTFLVQLKRQSQTLKENAPSTATPLPS SANLQLTNLPYFIRRTASNQLPVYLVTKAGGTKQQTKIQKTEGDLDALRNDLARYLGL ESGEPRSPKSSDITINRLNGHIIVKGWRKPEIQKFLLERNF AOR_1_1216154 MNAWLADASNLPNHDNGAFHQATIDPSTAFLHASPTPDPNQFQR MFNGVPRNASPGFHNPNQVIPSKRPRPEDGISMSPRQAPGGLAASRSQTPHQVPFPGY QGPTNGATQFAPHPAQYQHLQQGTSPNVSQSPIIQDFDQHGVQRMGTASPSPFSPAGP HVGPHMSPSQPDHPSRVNTPQNSSFIPGQPFPQGMGGQFSPATAMTSAGVQAPMQAHF SGMPQGYQAMAAQQQQQQQRIHALQMQNQGRPINMNPALAGRPVAAGMNAMANPQQMA AIRQMQQTMSKPPNPEGFMRSLQKFMMSRNLPLDPNPIVCGRPINLVQLYATVMKLGG SKKINAANMWPVIAQQLQYPPMQFPMAVQEIREHYQRNLAAYEQAFLSTQQKQYADQM QQSSLPRQPSDPSGMQFQSPSVKPSPSFDASQQLGQPSPSNAPAPNNMSNSVPNGFAP PTPVKAPNKQQQQQQQQQQQQQQQQHRLSVSRQSQPPATPHDSTGQLSGQSPAPSAKG PGSVSGKVSEQFDQTPDQPLKHPIEDPFKPMVLPESKLHGPVAVDEIYQLSEEIARFK PNVPAFSELGVIDIHALTMSIKSGIHAEMRLALDTLATISCEPAIQISLDNCEDLVDS LVECAEDQAEFLAEHAAEVSDVMLLPSYEEVTRGCQSEWTSLADIPEFGSLDYDLDRA VDRLICITTILRNFSFTESNFGVLSTPPVVQFISTIVRYLGTRNMLLRNNQNTLDFMK DTVIYLSNLAHTIQLPSKEEALSLLHFLLSFAPFPGPSQGADGVMFTAYSASVHKYTP AAVDSLAKLLARDEPNRTFFKAIFSGDGSGIQHELLTRAFGLAICPVPDQPRKPLAIA DARKVFLMQGLLAADILSGFADGNLAKSWLESVDGFAIHLLRLSCLLSTERVPPTNNN PRQSHAARGQAEAEAAAYSSIINRGLAILRRLAEKSKHADSNSALRFPSGIIPKKESL LGALLMHNVDPGVVRQLITYARLAE AOR_1_1218154 MGDVAVENPANNVTPHTKPAPLDTIPNIDSIEGTGTDGGDEYAT LKKLQRHLEYIQLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTG IVQSSTGSNYVVRILSTLDREKLKPSSSVALHRHSNALVDILPPEADSSIAMLGENEK PDVTYADVGGLDMQKQEIREAVELPLTHFDLYRQIGIDPPRGVLLYGPPGTGKTMLVK AVANSTTASFIRVNGSEFVQKYLGEGPRMVRDVFRMARENAPAIIFIDEIDAIATKRF DAQTGADREVQRILLELLNQMDGFEQSSNVKVIMATNRADTLDPALLRPGRLDRKIEF PSLRDRRERRLIFTTIASKMSLSPEVDLDSLIVRNEPLSGAVIAAIMQEAGLRAVRKN RYNIIQSDLEDAYAAQVKTGQEADRLEFYR AOR_1_1220154 MKSTLVTASVLLGCASAEVHKLKLNKVPVSEQFNLHNIDTHVQA LGQKYMGIRPNIKQDLLNENPINDMGRHDVLVDNFLNAQYFSEIEIGTPPQKFKVVLD TGSSNLWVPSSECGSIACYLHNKYDSSSSSTYQKNGSEFAIKYGSGSLSGFVSQDTLK IGDLKVKDQLFAEATSEPGLAFAFGRFDGILGLGFDTISVNKIPPPFYSMLDQGLLDE PVFAFYLGDTNKEGDDSVATFGGVDKDHYTGELVKIPLRRKAYWEVDLDAIALGDSVA ELDNTGVILDTGTSLIALPTTLAELINKEIGAKKGFTGQYSVDCDKRDSLPDLTFTLS GYNFTIGPYDYTLEVQGSCISAFMGMDFPEPVGPLAILGDAFLRKWYSVYDLGNGAVG LAKAK AOR_1_1222154 MTALESTDWTVGFSHFEKEACAIIQPPQESRRPSLVDVGGGHGH QCIQLIKKYPNLSGWLTLQDLPQAVDQLPKIDGVETMVHDIFQTQIVKGKSYIYTQHN CPSILPSSADMKINTQGAKFYYLRRILHDYPDAQGIKILKNLRAVMAHDSQILIDEMV LPGTNVPWQATLADLSLMVSMGGKERSKEQWEAAC AOR_1_1224154 MESIIAQAQSLAGEADGADQAKIRDALRQLLLELEMPKDMLMGI FNGVSLIPLTFFQHLQIAAVRLGIESGLFRSLSQSETPLQVDQIAQEIRFDNCGPAIQ EFPSFFAETHYQEITSNTNTPFQEAFSTDLTCFA AOR_1_1228154 MLLRLPPSLHYPITVTSLLKQPGDSVERDEALFWYVYQTTVTEG DGLGNKIEVKRKFPTKFESTVDGEVVQWKIAKGDIIDEPVEVIEIDEPCAHEVQFGGL CAECGKDMTESTYNTEVTDSMRATIQMVHDNTALTVSEKEAARVEEDAKRRLLSNRKL SLVVDLDQTIIHATVDPTVGEWMEDKDNPNHQALSDLRPGLESFLQNVSELFELHIYT MGTRAYAQHIASIIDPDRKLFGDRILSRDESGSLTAKNLHRLFPVDTKMVVIIDDRGD VWRWSPNLIKVSPYDFFVGIGDINSSFLPKKQELGAVGKSGEKVGTRHTKPPPLEHHV NGTTAKPEGEVSALEQLVTMGGGDNPRLLQEQTDAQEETIMHQVEDRPLLQKQKELDA EEDSAESIDSSSSMDESQDSSKHRHHLLEDNDRELFQLEERLEQVHKQFFEEYDLRRT RGLGGRVAALRGEKTPSKDKDVDLKLVPDIKDIMPQIKRQILGGVILVFSGVLPLGTD TQNADISLWAKSFGAVISQKINVKTTHLVAGRNRTAKVREATRYTNVKIVTTQWLLDC LTQWKWLDEEPYLLPVHPDDRGEPISPGSKEMESGWLSSSEDTGDFLTEEEDASESTE DLLKSTGLDEHSPIGYDEDQQAAVHEELKEFLGSDDESESDSEVSSWAEEATPNKKRK REEGSEGGDDEESQEEGDQPGSRLSQRIKRSYERSTGLKEVATAATDDPAQESHVATE SNDESAQKESDEPASQDLNANLPEDPAEDDDELEREMMAAFEDGDYDAKAEEDIAAGN G AOR_1_1226154 MAVVYRRRRYHWPELQLNIWILIVLSASAICMGIFAWLMSVQSE MRLGTPWLFPFMVVSGALGIFFIILILILAAQRFLLPGIIMLGSFILFVLWLTGLIET SLQLYGVVGNVDDNCQIYIVDNRAGGNNMQTLAWLTQKTICDCWKTAFAFELVNTIFF LWMMIMSWQVNRDVYD AOR_1_1230154 MTQPSTITQRFLSKPGNLGVVAVGFNGGQCKLGVEAAPMALVEA GLLDQLRDDLGYTLDYDGTVHYYENQIPAEDPDHRGMKKPRAVSAVTEALSAQVYEKA KQGQMVLTLGGDHSIAIGSISGSAKATRERLGRELAVIWVDAHADINIPEMSPSGNIH GMPMAFLTRLAREEQKDIFGWLQDEHIVSTRKLVYIGLRDVDRGEKQILREHGIKAFS MHDIDRYGIGRVVEMALAHIGNDTPIHLSFDVDALDPQWAPSTGTPVRGGLTLREGDF ICECVHETGNLVAMDLVEVNPSLESVGASETIRTGCSLVRSALGDTLL AOR_1_1232154 MLRPWLRQSTRAARSLPCCQCPRPYSSRLPTLTSPSSSVRRLQT SASESQDRVPLRKQLKQNAKALKAEKRQRRESEEASRQKWELTVGIEIHAQLNTETKL FSRASTSSTDLPNSNVALFDLAFPGSQPEFQVPTLLPALRAALALNCDIQPPAGYQIT QYYEPFARNGYVDLFGYDGIAPEDGDHVRIGIKQVQLEQDTAKSQEYHPSTQLLDFNR VSHPLVEIITMPQIHTPATAAACVRKIQAILQSCSAVTTGMELGGLRADVNVSIRQRG DTEGVHQYGGIGGLGQRTEIKNLSSFKAVEDAIIAEKNRQIAVLESGGVVEGETRGWT IGSTETRRLRGKEGEVDYRYMPDPDLPPLLIGADLVSELANTLPTSSDELIGLLTGKE YGLSIEDAKPLVELEDGARLEYYQDVVDILRDLQQDQDPKSRGGLARVAGNWVLHELG GLLTKADLPWDAERVSALSLAQIIDHVQRKQITGPTAKQVLAMVFDGDTRAIPQLLEE ENLLLRPLSREEYVALAEAAISQNPQMVEQIRTKNQLGKLGWFVGQMMRMGEKGRVEA PKADAILRELILG AOR_1_1234154 MLLYSGSRRSKKASKDGGNKASTASVVSGKSHSTSKTSRSSGDA AKKKSTPEQSAGPKNNVSSSKKAQNTQPARNLNFKAAGPEKKVPDVFEYLESDSDTDS DDSYVEDDDIPNSNPRRSNGSFMNPHVRLARQANMMAQGMGAPSRTSSVRSKGSMDSY QVPGLFELSTRNSTARRKMSMEGYLTEASNNSDKRKLRLSPMPESYEPSRDPTSFHPP LPPSPPQSPEEEPHCINRKSRRNTKTSSVPSGYGLLSWQLSASVEKEEPPLPPLYRRF EDLNHRVLLYLQDEIAQMEEELHVLDEYEEMHRVATAEQEGTSIVPASRRMDVAQAQA YSSLHYRREEVMAALVQKTQQYNNSLSAYSKVTQTLPSASDKDIDTYRTWMKENVPVA AAETRFLEHKKDLISLTMRSTSNSTSAFSAIIIASAAILLPLLAFSMIAEFSGRLLVV AMVGGAASAIASNSAAGAEKLVASHDGWRIATLYFGFMAIAAMFIP AOR_1_1236154 MSADEGGGTASIPATNGDLHATTPDPPSLATPAKRKRVSSHDDK VAQDAGSSASQAQEKIKLQETLRNLVEILSKNDTDLQLLSCPLPSSPTKPRLKRAKVS GEKDEASTIQSRVASNRYNTLSEFLSDIEKASAAVIERNKAQASGLQADGSPVTETVN RIAAFKKLLNSLVRQAHTSQSNIKTETSEEDAETPAKSNTSNVEARNENLVLTLFGNP ANPKQLYSSLQKSVKVPLPSDDPKAEKYVEVQAPLREVGLPNGITTTKIEPYNLDENP AEPKRTFGEVFAPRSTLPQLEMPRKTKSSSRNALNGWIDPFDAITDFKAFRGDRNNYC LAPLPSGQWLQYGGVTSSPSYWNRRDKHQPSQQHSDEKYTDDPSLWVDEDSTVLQGVY SSFAPSFDSSGAVVQADSKDLVWWSKRGARRLETLLSLPYQEAAEETTSERPGNIGEL DESTLEEMVKNFKPEEFADNVTCSEASKADKEEEAKSRDVEGLLHDISDLLETLSSYQ KIRNLDVSAPNVQGAEPRETGSDSRSSDDPSTEELGVYETLRSSLAALISNLPPYAVA KLNGDQLDELNISQKIVIENPDYRGTMEKDDFTAHQERSAAMAPMSGAANRTSTPSRY NSRAYSSGSRAQSQGGFAQQPYYSGRQPSTSGPYTPGHPQQYAGPRPPVTPSQRPGYL SGYSQPTPQFNQQFQRPGQNGYASYSGQQGPAAQASPQPYTPRPAQPGAYNASYAAGR SASPQKPASYAAPRTPYMTPGSNNPQQRFIPQQQQQPQQQPQPQPQQQQQPPPYGNYP SNQAPPQSAAYSNSAAAMTYARSAAEQAALMERNRTQLAAHARQSSTPQPPTEGSSQD RSVTPGNRQNGNAVPS AOR_1_1238154 MFSFFNFKELYYNRLSYPGYLTALSSSSANVFLGPRTLSRSLSS AGTLVSPRYEPTRDQIHQVGDDDQHASCEFWCGLRLQSQLNQSYVPPGPDREQQQREQ SSKSSPREQTPSKPKPLPQKASKSTPLPPTRNTLMSCDAHGHRLREKPQNELHNPRRK SKKLAVSFNPTPTEYLIDTLQNVVHCPDSTTRQNWTFTSRNPNDRLMPPLDRKQRIKF KIEHRVSLQEIAADYIYHVDRLLDLVGEEGTQGNSMELDVALRKVFLNKEYRKYLRAR QYSVKDVVSWAWILKSRTPYEAILRVFALEIQSDPKEKGGSAARIIPPFIPLLLLRQG LDTKSFRLLLIYSLRLISGQPHPKLGTPLSSAKDYNLFDELRLSHDAKPLIDPNTCAT FVVRLLHHARQLWPQAQLPIVRTFAFYLTLLEPEGTGTVASATPRNIQVLAGKCNTFL RLLSLPCRQGPFTSASIQQQAQFELLRAMARNQPVLPVTRGGYQGIIAVQLAHKKTSA ERQSAELKAPSWPPWKEEKLGLDSQRGIEGLRSRAMRVMSQMKEAGYAHSRWEEVSSI LAGWDTDKSPTIQTRTLARQPWRLRGRIGNADHHAIWEARIRATRTVREAWACFLSYR DQGLPPRGSIYTAMAEKLIYRRKALHANFDQTSHALPGDALETFAEPLSARDLIYVHT EPPTLDELLTQMLSEGIRPQARFLSLLLRYAPTFKSGLEYLSCSDMSNEQIRALCTVW AHESIYDEQSRKVVNELPAHLFSSFVYFLCKFSTFGELSARHARDAFPIILGSSQMAN GETSTLFSQDEYLRNGDEYRHPKTLAHAIELLRVRHPRSPQAWVFVLSALNKDRVTGR FRKMDRDIQRVIAWHEILEIAGRMEHHDIQLGLQGFQILCSGFSRAVSSGIKNVDAVE EALEVVGNAAHQGKLAYIGLPCPRFEDMVQYGLRGLKDQFDRLVLPDFRTPSLFGSGK PYSENVTDAQVILPPLLHVPSPAVLHAFVRSLGLAEDYDGLLSLLRWMSQYATPLKEA SDEYLNGDMMMRRTLVAMRLFLEGYRERQSWGSLRWTASAAQARGLGGSEYTSEVSPS DSERMSFSDPNLQEAYDIVTATHVWGPWPSDEEVQEYYAVHWEEHEVQ AOR_1_1240154 MPPRLPLSHTLRQPSVLSVRVRVTRFSTSADDAVIQTQQIPAPG SGNIRVLLLNRPKARNAISKNLLDGLSKHVQSISAEGGNGPTRALVIASNVDSAFCAG ADLKERVNMTKQETNGFLSKLRGTFRDLAGLPVPTISAVSSMALGGGLELALCTHLRV FGSSSIVGLPETRLAIIPGAGGTYRLPGIVGVNRARDLILTGRRVSGPESYFMGLCDR LVEILPEEEQKEGAAREKVLRESIKLAMDICEGGPIAIKQALQAVNDFQKGEVAENEA YDGVVETEDRFEALRAFAEKRKPAFRGR AOR_1_1242154 MPELAARVKQIGLTQVYCSKEKPLVDIVLVHGLNGHPYNTWATQ GNPPVFWPADLLPEVLESSRVRILTYGYNANVASFTDGASRDRIHHHAETLASGLAAN RNLRSCSDRPIIFVCHSLGGLVVKRALIYCKNVSDAKIQHLRSIYVSTYGILFLGTPH NGSDIAKWGLLLQNICSAVLPKKYMESSSQLVKALRTNNETLQNINSLFADMMSRYHI YFFHETLSTDVKGTRELIVDESSAAPYAEGVERMGIEADHRHMCKFEDDNAPGYEAVA EALLRYSRDAPATILDRWAEEEQTRRAATQNKLKDLLRNERPDSTSQMEGSEPDLRKI GRTQFLPANTSATSSVTMREYEVEEPPEHLYASKHI AOR_1_1244154 MSYHISLPPNSGRQSPVSDMAGSVTLTVPKRELFVVPPGFHPNA SFFGMQKELEILHSRLYKAKNRAERLMAVLVCGVPGSGKSHLARQYIWSQRKKYPGGV FWVDAKTRESTAKCFWDIAQAAMLTEAQDLQQPQKYVEAVRNWLQVREEWLLIFDGIS FDHDDDLNNFRQFLPFNKNCSIIYTSVDKTLRKKQRLYEPYCLQIKPLQVEDACKLLF KDLGIRKPTPSQIRKATELVTHYECLPLAIHAISHRLSATSKSIDKYHVNSHLTDEKL AEPFLSIMHDLYRIGHFEALNLINILSFFGHHVPVGLINLGKAALETWHVDILTSSRP GEQGDIDTTLGILIRYGLIERHTDAYALHPKALSPRSEKDEILDIAAVAPDLSESQTE SSQDASFSVYQSSGSIDLIKIHSVVQGFCRDELKIMDEERRKSFSTNATNSDAGFYDS WLVVATRVFCMSYEHAKKRMDRLDDYGLVKDYREYEMHASKLLDNFPKKSSKEPKTLR EVRHDLGQVMRSISNELEKISPSSSQESVRKQRSVFDRSSSSSSSAPESATDEGPSRT LTWEFSDMVERKAESPEEMPMSPPHFNLKPFLPHIFRWSKRDDEKGYESDGEGLQAIH RTSPALSQVSQATERPKSSRSSSAAHTTYDQEWQVVEKSPRLKASRDRRPKQRPKFPR SAWGIKPAAPILRIFPVEGRSASSSILEKGSRSSSIISASEALTAVHNASPPSAFGKA VNDRLMLHKENVPTYATVAARRTQDAAASSKQRSSSTPGGQTRPKLLRLKSKSSGGSL HSRLGNAPLLPLPPDLKSDPMSRSTYSEPDQAYLTHQLNALDLRTPHDSRYRSRHLST VRAMGAVDMSASTPSVLTYLPPLPYDNNIEVSYSRRVSATTQAATVSQPLASFNPITH PSAIMPGASPPPSVAAEAPTGYASDPAPEPMSRGGSAQSHQSWATEPVRYPPRLSPMP SNAQTAIPPGMSHILPQQQTLANAGSWIRDAHTQGIASALQADIITCAPPTQANLRPN PRLDERLGAGTAWDPEPPPTLHFGAHRVDVRDARQRLEEYGVYGTQTVQHAVPYSLYH ANRSGPLVHHELGLRPQELHGMRARSGSSPPRPGSDGLGVNW AOR_1_1246154 MASSRPQGRRRTTLLALGLVAIFIWLVSHYGSHSGEHNHVSSAA HGEFWRQFQPLLIQWRPNCDPPERLGKAESVGFDPKTTEQPPNLTSMPDEDVLKMKHA HSKFVHAIAKEPPALAYEPGTRGIVSTAGGSYLPVLVISLRMLRQTGSNLPMEVFLAD WEEYDGFICQVVLPSLNAKCVLLSEILDTVPDSKTKIEKYQYKPFAMLFSSFEEILFL DADAFPLQKPELAFTSEPFKSKGLITWPDFWGPTASPLFYTISSQERPAPNIRQSTES GEVFISKRSHLRTLLLVAYYNYWGPGYYYPLLSQGAAGEGDKETFIAAAMVFNEPFYQ VSEPIRALGRHTNDGFAGSTMVQYSPMEDYALTKKGEWRIKGASVPAPKPFFVHINFP KFNPATVFSDNGPVVKEDGSYTLAWTAPDDVIESFEPDLQRQLWKEIRWTACALEGKS ISWKGQTGICEKVEDYWNTIFR AOR_1_1248154 MDQPVQLLSYQRLKSKSTFIISLQHGNGTATPIYEVACLSSKPN LSISRLQPAYQQQPPPPPQYGYPPAPNPYYQQPYPPPNPFPPQQYPMHPQYPINNPPP TKTTIGTVSLSSMSSKITISIHNIPELKMKRPDFLASGHQFTHPRYGTLEWKESDLLE KRFKLVDSNKTVLARFDKWKLPDHQRQESKSSMWGGSSSSTKKKKKAWAFQIFVNADP ELLDWIVVSGLGVVEYRITSDKEWEEELLGNEDGWSALLG AOR_1_1250154 MSFITRVAPRAGSLNSIVRSTATPSLFVGGARSISVTAAKQKGP VEAAKDTLKKTDDVLSGAAVKGIEKGEQVAEAIRGTANKNTGELKGDAAELAGQGKSK AEETLGSAKGKTEETLGSAKGKAKETLGEAKGKAKETVGNF AOR_1_1252154 MTTNTRAVRDVVTIVEALDEMAPNAGHPAVLQAGVAAKETMIIA AESGGNERTIRTTIGEDVDIVHRKSVGIDAIATGIHTTIKRGRQEAIGLHPDLAHLQG ARAVHPQKDPSAPPPEKEKPNFANTGRLAAESNTVNVSGGGTVVLKYHEPPEARNPPA KDPWRLYVFKGDDLLEVVELNERSCWLIGRENLVVDFPLEHPSCSKQHAALQFRYVEK RNEFGDRIGRVRPYLIDLESANGSAVNGDKIPGGRYVEVRDKDVLKFGLSTREYVLML ARTE AOR_1_1254154 MFKKPQVFSFGGLHLLNPSCHSSPAHPRPWQLSPHRGFATAHGF REDDLSWPSSSSFTPYDVFKQDRNAPYSKHRFYELVKIYHPDRPCNDHPLCRDLSPET RVHRYHVVVTAHEILSDPSRRAAYDLSGAGWNLHPQGSHPPWARPGSRDWSPIYANAT WEDWERWQNRYNGKQQTMVDHRTFARLIILLTLLGGALQASWINKLSIGHEDRLQQLN EETMRLLAGRRENTVKQMPSSEAKVQHFLIRRDPSGVGLKGQEQQVYQKVLYPRESSS EEAHPVKILGNTTTDAKEPDPTS AOR_1_1256154 MSTLDSPIALLKAMVVRIPLILKTLFLHTIRLSPVSGKQDLRTE LTVAIIRSFIAFTTTPVGKQQKGSMRDPGIKGPMWISKVTLPPPENDVQEAVFKAFED LKLGGETYDIPGVVPVEAEWTSYRSGVDKNAPQPDISEEDKYKELRKEAQSDTVILYF HGGAYFLMDPCTHRIPVAQLSKRTGAPILSVRYRLAPQHPFPSALVDALVAYLSLIAP PPGSLHEPVPAKKIIFSGDSAGGNLCLVLLQTLLTLRRISPTIRFHGQDIPIELPAGL AMSSPWCDVTRSMPSVVNNALYDYLAPPSQVPETLYQPPSIPADTIWPCKPPRVDLFS NANAAIHPLVSPLAARKELWKGSPPIYMNMGEEGLSDEGLLLARKMCQVGVPVVVEQF EGMPHCFGLLMVKTPAGKRFFDGMSKFCSDAIAGRVEPSSCLTYIGYKLRSAREIPLD KAVSLTDEEVDERLRKSAEWRIKGEEELQKQYYEKAKL AOR_1_1258154 MLATVFLIVASALTANAKLGINCRGSAKCSVLWGPSDAAQQLTN VIQHIDTNRWYMNGEHIACVGNQAGNGGGYCAFLQKTGGTNGGVIKNLAHYITDHGCK QCGSVPYYFPQGNNNVDDGELTYNYVDDPCATAGTQLC AOR_1_1260154 MYTPSIIQGLLLAITLVSSSSAKLGINCRGSANCNTFGNTQMAF QLKRAIDGIDPNRWYNNGEHIACVGSGARITGNGGFCAFLQNTGGTNGAVIKSLAHYI PEHGCKVCGSVPYFYPQGNNNVDDGELTFNYVDNACTKDEAKLC AOR_1_1262154 MATPDRRTARTGLSRRNYEQQQRSPFHRAYLDSHATDRFDASQI PNPAGEPRARRRRNLSQSGTLRGAFEAVSRYPTMSENVTGDPYASGTPNRRKQSFTHT SPDSNPPNELAETYRQIDDAGSLVDQDEEDFRFSINRFRDNRNARSSSGSRTRGNSLF SSADADFLNEVSDESLRRKLADHIKDEQRLKRATANRSPVLSKAGTPAALTSENLQRR DEEEQDVFQEEEEEDHLRPSLNVPSNWGSRGTHRRDWLRNITRRTESESGRTEEGRKE ASPRNLKTDVRSHTGFQDRASEETRNALEEPPSNRYNRIPPTDAKPKLFPEQKDENLG EGSQIPNTPIMVYKNSTFSKRSPTKRDSHDLLRKLSRTESPPQNQAEFKTPENSKLPE RRIYDKTPVVTGAWIDTPMTERMKELPKPRLNNLRPPASGNKGLEAPSGLGVPTIFEE PNSSASQQNSETEKESKREDDKQKARENERKMEMDKSEKERESMANVKPVDTSQKQDK EKGKKTENQAEKAKDKKRPPLVKPDLPKSALETVMQDFKADKDSLDVGDDTLESLQQI LDEKPSDLKTEAEDDAEYEKSILQKLELESSGSSDGVDLDRLNKKLESLTENINKVKK GLNGLEDQVLRDAATLAAIPASPKGKLPSSHTCDNWISDGYVDDTPPRALNLSGEIRI RGTRVEGFPAFATSKYGFSAPKKQQQTTAQKPTPIAVPELDLGPGVRQGGMANLEDDS MDDDEFI AOR_1_1264154 MAKSARRAGKAKASVTPSVSSSGASTPSSQPGPLPPFTKAPASL QPFLEQLSPKEVYLVHIDTSPKDLKTQTFFAPAVLNVVIAALLAFRTYMVRNFYPALL APLIGLTSTVAVDTSTMSWGEMANVTLRRTGNIVIDYFLVTVFLSWPIGFVKGPVKWR SKIGFRDQEIIVRRSQPTLSQGLDRSRWIKDDEEMRDKIVAAVTPDRIKKTGYLLVDA DWNLDYDAMIKAHELVDKAKKDDGLPLDEFRTAVIVNTDADGWLIWHVEDEDTPEGRE RSGQRDQILAFKDKLTAMGKEDLFFRWVELIQYESTRPEGFTPERQQSAMVQAKKLFE DENVDFARFWEEVGGLQGFTEQLD AOR_1_1266154 MSLHIYIAHTGEHLLADPVSFASPDALRSWISRNTSIPSQRQIL MTARGKNVKIQTLATEDEIFVYDRRFVNEPNEQDIPTLPSPQPLDLENPPDTLTDQND LQAWRNLYMARRTWAEGLTERCELIHKDIQERNERTDIINRAISVALENLKTHVGTLE HRFTEAQTWANDLLKEQHVALDGWERTFATLGNIPARKDFPFLGRPSTPTKGSDNSTG TLRDYLDTDEVHRAGSEAVDVSSRFARQVEDVEKAVGGIAADTQHLVDAAVPAGVDGV EGLLQEVETISRKIQSDYEHVLALPNNQKTLANISRLALSHTKDLLPSLLEVSAEIQT NLEEAARQYNAAVKAAFNHMRQISLIESRLADVQSQINNLNFQSDAFDVLYTVFHMPF VYGSILIESVRRREFSDKMKSDSLTLAEEMSVFQDEEQRRRKKWIKNMGDFVSMSDTT TPGIEVNLRGQEYEWPVVSRKEIEAYIEELKTKPGMASPVQELTQLYKELDAPTRLQR RRAKAFKQGSVFDLSRSSLLLRSDDMVRSLRDEKSKLEEKVKGSESRIRKLEDLLHRQ SHMGRPASGNFSIDFPSSPASPHPDTLSRRSSVSSRRMSSNQSSEEKALVQRIVHLEA ELAAERETVQKLQKDAHAERQSNTDKIQEVQSTKNDLIGNLEARQREFDDERRFLEGE MKKCRIRAEELEEELDRIMESREHEKQDADERIHQLELELQDAHARAEEEIQKATDLT AYTQTLKDAEESLRIRIEELEKQESERRERERESNQALQAAFMNLSPGGSVPVDTPSI VKAIEVLSEGLSIHAKNAEESSAKAVAESKELGERLSQLESEAEELRKTSEMRASELS LVKEELAQEKTRLENVASDLDDERSKFIALQSKLASGETGSDALQERVIEEERKLADL SQRLNEVEAQARKAEGEVLVWKERVEAMAETEQHAAGRVETCGTRSQELSKQLFRQVE KVEHMLEQLGFTVVRQNGDIVVQRSSKVTALSSTAESLSQSGVVSVRPDPTLLDWMHA DTSQEETDRFMAFMESLYQFDVDIFSDAIVKRVKDIEVLARKWQKEARGYRDKYHRTQ SEAHDKIAYRSFKEGDLALFLPTRNQAIRSWAAFNVGAPHYFLREQDVHKLHTRDWLL ARITKIEERVVDLSKSMNGANPDRRSIGEASDGTSFDDENPFELSDGLRWYLLDAMEE KPGAPATPGLGKSTVAPAHVDARGSIRLKRTSAGGNVARTLSKSLDSRRNSSNSKKGP ATPSQRGNDSTTDLARQADADSTTATAGSQPREAAPTSEEGLEQTQSALGLGQQRQTG GVRSPLSSRFDYSGAVSPSRSTPSGRQAARYRPWEKLWSVDYRLEGGSR AOR_1_1268154 MASAKARSLPHLDPGEVSLLDFAEDDPRDAVPFSDKEALILQLY HQLQEQELEKALLEQDAEILSGDNAEEQLATAERELLEARATYTVRRKALGAVLMTDP TLRAVHLKAIYPAEQTLLRLINRRDVLSLAHENLNTALSSTLKKLSNAEVENLQLHQK NKALVRELLDLTKNDESWREELDDMSIKELLEQVKADHKRSKAKWETMKSITSAIVVG SGVNWAEDEELVALVLDDSDD AOR_1_1270154 MPKEKTTRKTKGTRVERKKKDPNAPKRGLSAYMFFANDNREKVR EENPGISFGQVGKMLGEKWKALSEADRRPYEDKAAADKKRYEEEKAQYAAAAEEDEDE SS AOR_1_1272154 MALRTSADNAEDVAAGFREFREHLPGHEAEITGLIADLFAISSA LKRLDNLTNDRRHQHNLAIVQPDLELVRTSLKITLEDIVDFFGDLEVRRGSARDVYRR TWIELCEFFRDEGKDSPPTRLARYKTYLNELEDHMTDKFPDPSFMASLRTGLKGLLAQ QCSRLPHQLGSMSLSTPSSPSSHSTEPGSPVSDRRPRNRRSYERARPSHSSPHSPLSP ASGNFSDVPPSAPDAPGSPFTSSATSHSLGSNTISDHWAKSVFKEARATTRIPYVGES SKCLGDVTPGVKRWLNETGFEELFQLAFNGDSDLRVYLYVREDDHRARIVCKTFRSPR SSDYHCLPLNMLEIVRVGSCLQLCRRRRGGQELVLWANLKFSTLEHMVLFFCTFLALR SQDCGRPVDRIRDYELDDEEELFGGPIVDDNFLHALRIYKDRTSGAIRLQASVHKGEM KRAPVWTAFITNHIGTRGWIRQADSRVVLLRELHRTIFTFDHYDPPRTSRGEHIIKFS NRSDAEGFMQTIAELAGR AOR_1_1274154 MSNPNSLYGIPRSKLASQSQSNAPSSSTLAFTTALSSLINKDAD TSTRGRPRPSKTNKSDIFARPNKGAQKRAAADLRDDDTHQTHQRSQDIGGVDTATLHR SKRRMEEKARMYEDLKKGMYLAAGSDSEEETQDEYLARLRRREKEGLVDFDQKWADAQ RGKGSGSEGEEEDEEDDGNASIVSYEDELGRTRRGTRAEAAHAARLKEEESERGDAKE RWRPSRPANLIYGAAVQAEAFNPDAGLAAQMSYLAKRRDRSPTPEETHYDADAEVRNR GTGFYAFSKDENVRRQQMEELMNARDETQREREIRRERKAERERVKDERRKKIGELRS KRQAEMFLAKLGDVGV AOR_1_1276154 MSNPVYLGVIGVGGVGTAFLSQLARLPNAPKLVLLARSSQTLQS PTPAYSPAIPAADWKTAVETPSLIKSGALSVDEIASYLSSAPGRSILVDNTSDLTLAS SYPVFLRKGISIVTPNKKGFSSDLSLWKDIFAAAAEGKALVYHESTVGAGLPVISTLR DLVSTGDEVTRIEGVFSGTLSFLFNTFAPVSGPSGAKWSEVVAKAKELGYTEPDPRDD LNGMDVARKLTILARIAGLEVQSPDSFPIESLIPKELESVPSTADGIKEFMTRLPEFD GQMSAIKEAAEKEGKVVRYVGSVDVGKKEVRVGLQYFDKDSSIAGLKGSDNIISFYTK RYGGNPLVVQGSGAGGDVTAMGVSADLIKVVQRLQ AOR_1_1278154 MGLYMVEAIATYRERVPLLFLISLLGFGIAIWKYKPAVSNNCTP NPTSDNESQKTYPPIEPLPDFNWEATEPLKFRPFKPKYHLTMALSNLDPSTLIQMDKT YKDRLAIRSSLLQKHPDVVIGINNETDPRIYAAIRELYIFVVGTYLPTRYPRMFRLTS QPSDNNKKTGTETKTILESMVTGAKIPLHFDEPEPGSKTGRKELETLGTLVDEEFLIL LPESSPTDSSSNQGESEKYILEAYTTFFPSGFDTRKKLGLRLASIHTPVPGYKEKLER SMDRFFARVEVGQFVQRVNWSITTDSELFAAFGGVHGDKGESGKTLDLGELDVDSTVL RCERQTLHRLPQSKGLVFAFHTYTYPIQMIKDEGLGEDLAAAIDGLKEGNVPEMHWYK RGPVWGEAVKEFLRS AOR_1_1280154 MAWYSLFPADLIYVENWIARLFLVLGLITIAPWATLIIFDLVLY VWRMSTYELPIIGGRARGRQRPRAPSLNERPNGQRRAFVLASYEATGVEKEQNNGLKR R AOR_1_1282154 MDTHALWRREDTTEQFLKLIQDPFKSAFQINAVWASLATSAGCS VLLALLFSLFRPRHTVVYAPKVKHADRKHSPPPVGKGLFAWVKPVLRTREPELVDCVG LDATVFLRFTKMCRNIFIFLSIIGCGVMIPLNLTQSNQDSKATLSAFVTMTPLYVSVQ AIWGQVVCAWAFDLIVAFFLWRNYKAVYALRRRYFQSSDYQRSLHARTLMVTDIPSAA RSDEGVMRLVDDVNPTAALPRAAIGRNVKGLPKLIKEHEEAVRQLESVLAKYLKNPDR LPAKRPTIRPPRKQKGDETPAKVDAIDYLTDRIQLLEEEIRHVRASIDKRNAMPFGFV SWEKIEHAHAVAYTARKKRPQGTTIRLAPRPNDLIWENLPLSKKARKWKRFVNVIWVS ILTVLWIAPNAMIAVFLSNLNNLGLVWPAFQTSLNGNPHVWAAVQGILSPAITSLVYI ILPIIFRRLSIQAGDVTKTSRERHVLHHLYSFFVFNNLVVFSLFSAAWTFIAAVIDKK EDENAWQALIDGGFYSKAVSALCNVSPFWVTYLLQRNLGAAIDLVQLVPLVWVWFSKT FLAPTPRQAIEWTAPPPFEYASYYNYFLFYATVAMCFATLQPIVLPVTALYFGLDAMM KKYLLLYVLVTKNESGGQFWRVVFNRMIFAAILSNAVVALVATARGTWTMVFCVIPLP FLLLGFKWYCVRTFDIDMKYYNRANLSDAEALETGKSSKKASDRLSSKFGHPALTKPL ITPMVHAKAADALKRIYRGRIGTSEVEGEYTDIAMDPMSASHPGKSKMEASESAPFEV VPENHLDFSYYKDRPDFRDEFGGGIYGRPDDLITERSQTPRTGLGEWSPTSSRAASPT PSLPSISGLRQYDGYDTSTNDLVHPAFRTPLSHSESGLVGNGLYQHGDESEARLLSQA QGPAMTDSAHPFNRWRPGGYGPVEQEDPRTSYDYYRRPRQL AOR_1_1284154 MGVGVLEKLSRKTGVIVGDDVLRLFEHAQQNNYAIPAVNVTSSS TVVASLEAARDQNCPIVLQLSQGGAAYFAGKGVSNDGQQASIAGGIAAAHYIRSLAPA YGIPVVLHTDHCAKKLLPWLDGLLDEDERYFKLHGEPLFSSHMIDLSEEPVDYNIQTT AAYLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDILAIHKALSPISPY FSIAAGFGNVHGVYKPGNVKLHPELLKKHQAYVKEKIGSNKDKPVFFVFHGGSGSSKE EYKEAISYGVVKVNVDTDMQFAYMSGIRDYILKKKDYLMTAVGNPEGEDKPNKKSFDP RVWVREGEKTMSQRVKVALEDFNTAGQL AOR_1_2826154 MAAFGANLDLIHSPSGKITPTLIPSMIRHAEEVSRSDGYYFTNQ FKNRDALAGYETIGRELVQQVPEIDAFCGAVGTAGMVMGVARVPKAKRPETLISVLEP AFSPTITQGRPGTHHVEGIGIGIIPPLLDRQLYDEALAISEDEGRRMCRRLARQEGLL VGTSTGLNVVAAIKLARKLGSGKTVVTVAADTGLKYLMGDLFTDE AOR_1_1286154 MAARNKYVNKLHGKHIVIFGGTSGVGFCVAEASIEHGANVTVLS SDPSKVDAAQVRLLSSYPEAKDRVRGLTIDLGAPDVEDQLVSTFEQVQPFDHIIFTAG TFEFDSEDWIQPRADLDRIRNTANVRIIAPFLIAKHAPRYMASNSPACSITLTSGATA ERPMGRGMAMHTMYSTGLYGLTKNLCLDIAPVRVNLVSPGPIDTELWDTIPEKEAMFA HLKEKLPIKEIPTPENVAEAYIYCMKDRGLTGAIISTHGGGIFV AOR_1_1288154 MQEKSTTVAAYAAGASLAAVALFYVFGPNYTIDGDESSDSNRKK SIVGLSNPANDCFINSVLQALAGLGDLRVYLIRELHRRELDGPEVYNSLPDVKELASG EKSEKIRELQQGTITRALKDMLDRLNERPIYKKTISARPFIQALEYAYRTRISRNQQD AQEFLQIVAERLCDEYHAGVKARQRLQGPIGLPTGSEISKSTEDVTSAKSPSEIEVRI DDGSENGLPAIIDTKLKEIDNEYGFPFEGKLESQIECQFCHYKYKPNQTSFVNLTLQV PQKSSTTLSACFDGLLKTEHIDDFRCDRCRLQHALEAKMQDLKQTRGVKEQQLLQAEI QKIQNALSTDPEGPLEGVTLPPAEAAPKRKIARHMRITVFPKIIGIHLSRSIFDRSSS TKNAAKVAFPERLPLGGILNQKWFKLLAIVCHKGSHNSGHYESFRRNHLYPPFSTPDV FSSYAQSRVTSENPSQVPSPRMGPRSLDAEPPALSISTSTSSPSSSSLSPAPSRSPSR KKSASQLNPPASPAPRPSTSSSSRISFQSSRTKSKQNLSPTSDPQSPATDGGRWSKSS SRPSFMSDRITPGTSAETSTGPTSRLRRRRKANDRWWRISDEKVKECKTSDVLGMQKE VYLLFYEMEKPTNGSQVP AOR_1_1290154 MSSNKIWLRAETKPAEARSALTPTTAKALMDAGYEVTVERSTQR IFDDEEFAKIGAPLVEEGSWAKDAPKDAYVLGLKELPEDDFPLEHVHITFAHCYKQQG GWEKVLRRWPRGGGTLLDLEFLTDEVGRRVAAFGWSAGYAGSALAVKNWAWQLTHPEG EPLPGEVPYANQDLLTQSVKESLEAGKKQSGRSPKILVIGALGRCGNGAVQLAKDVGI PESDIIRWDIEETKKGGPFQEIIDADIFVNCIYLSSESIPPFVNVESLSTPNRRLSVI CDVSADTTNPNNPIPVYDITTTFDKPTVPVTLPAGTQGPPLSVISIDHLPSLLPRESS EMFSQALLPSLLQLKNRKDARVWTQAEDLFKQKVATLP AOR_1_1292154 MAPEPDSSVNAPPTPEASNISGAGTNTNTTDAAPKQTNGESTPP KSVDANDQPEKNKSPEAAGSSKEHKPAEEAKSGGHASISQHDGLKESTAENGIAQPSA GDKREHDPTSTTPNAAKANVENSAEPTNKKRRTTGTRTRDGNTTAPATNGGKPKASRS KKTKDDVKKVIPTDGIGSRTRSRTKAIS AOR_1_1294154 MKGTSSLSLKAWSKIHPPLPRTPRESQQLLKALTSSFRRQLDRE YPPSAPSDRDGSNDRTPENPHSSVHATDRHLRAILDNPLFRVVPSKSAAARNGSGTAS RLQQRIAKEPMVVFDELVASGSVTLPTLRDCLSSQMLLASRHIGNGLIQAMKDARAGS KVVSWWFASDSATRQMLFKSRAATTTLVKFLVAEGRQGVVLDWLRMLANHDIGGRNGQ LPEKIAQQVFGHLLVNLVTAEIQYGQGLSLAMRYYLQTCKSQMFKDNVVLNLSWQPVL LPAGVHLCESLMQSSPSRSKELNGAMYNEYIEVLSKLAPNSCLLATAPLYHPTHPDVK PFLDFVDTLPPGRVDSSTGLKRESFVRAGFDALRLLVDQDKRRDVLYLARFLQQQLPE KPDSANASNSNHGAYTEREDLLSQLDLALA AOR_1_1296154 MSEAQTRSSASRGRVSARGGRGGYSSRGGRGGSRSAKADNSDST PATFEDEGEIGQMKKKYANTLPMLKELFPDWTDEDLVFALEDADGDLEEAIDRITEGN VSQWGEVKKKTTDRSRPKPKEAQSTPTESTTAPIRGGRGRGGFESRGGRARGDRGRGG RGGRAGTHTNGSRPEKPAAPATVETATPEVTKTEKPADAEPTAPELADASKETPKDAS KSNVIPEGTKKGWASLFAKPAPPPQQKPAAPAPVPAPAPAPAPAAAPAPAPAPAAAPV PEKPVAESVPEQKEQQEQKEPKEQKAPEPAPVPIPPPIPVPMEKAPEPAIPQPLEKPA VPAPATEVAAPKDDLTKTNLAQIPDVSPPAPTATAASTVGSALDANNAAAATPTRPAP AYPTSALKQSVRAAGAQRRVMEQQEAVVMPGNHAVDRAAVQFGSMGLNGDAADVDIDE NREDAETRAQPPQHSPVAPRASLPPSTQAQAQASTESPAVSRPAPGLPPVPQASAADS SFNDFARYTDAHKPYDPFSQQVTQPQPQIQEPFANQAPVQPTVTTGSEYSPFYAVDQR LPYNYYGTYGQSQDATVAQRAAAGFGVSGAEVQPHIPTTQPPSRYGHVDAPNSGHNTP NPTLPGATQTPTAQHMPGQGAYGYGYPYFSNPHYASYMSQMSGHQYGRNRPMYDDARR YDDHYMPHTAQYGYGSQYGPYGKAGMYGQPHGFSYDHSSSPATTGSFTQAMPGRDTVY GRTGSAQPSESQQSTAGSNTFGSGMSDVFGRSQGGFGQNQPISQQPPVTTEETKTFDT PKASGPSPSLAQANRPGSATNSVPGQPQAQTGLPPLQGQQGQQGFGTYPHLNPQYGGL GGLSGHQGAANQTHHQATGYGNYGGAGFTNYYGNTGRGGWGGNYGH AOR_1_1298154 MHHSRDTLYAVHLDPKSAFFEGCGSTTTIIMPRRTHKKSRNGCL ECKRRHIKCDEKRPTCTNCITSERFCEYADIFLSATRSRTNISPASSPAVAVTRDQPS DVSSPRSDSLLQDAPVNMLHMQLLHHLMTETRNTFHDNFNKAISSPEIQQICMSSPYL MNELLALSALHLSALHPAEREFYRHHAAQLQTHALTILNGMKLEVNQETCIPLFLFAG LLNVHLLYDVLINKDQDFDHFLDQLVSSFRLHRGIRAITTDSWGMLRESPLKPLILDG EKRFSKITGLDPECARLLALIKAAKLGPSITNTYKQAIESLQHAIVSCSYGTPGAGIS EITAWPILVSPEYIDLLSMRCPEALAVLAYYAACLHTRRDVWGFGDGGRFLIESIITY LGPNWAEWLDWPARALGNHHQSSQRVKISNQSN AOR_1_1300154 MAQLEPYAGDYYLWAYLPSVPAAVIFLLLFLGATIYHFWKLWKM RVGFCLAFAIGGIFEVIGYGARAAAYNRTGEIMPYCIQNVFILLGPVLFAASVYMTLG RIIRSVRAEHHSLIRVGWLTKVFVLGDVLSFVIQGSAAGLMATGSNAKMGKNIVIVGL LVQVIMFGLFIVTSIVFQKRMHQHPTIQAFDQAIPWKSHLHTLYAVSVLIMVRSIFRV IEYAMGQDGYLLSHEWPMYVFDTLLMFAVMVIWGVWYPGNLDFLIQKPASDTMMNLER STSA AOR_1_1302154 MSSSKDAIPASSKGSWSSFLKSIASFNGDLSSLTAPPFILSSTS LTEYSAYWAEHPNLFVAPATEADPEKRALAVLKWFISTLHQQYCTRSEKLGSEKKPLN PFLGELFLGKWNTDENVGETSLISEQVSHHPPATAYAIRNEKHGVELQGYNAQKASFS STIQIKQIGHALLTVTPPNADKNDPAQKEQYLITLPSLHIESLIYGTPFVELEKTTRI VSSTGYVAKIDYSGKGWLSGKKNTFNAILYKESEGEKKPLYTVDGQWSDKFTIKNART KDEVETYVVKDNKTTPLQLAPLEDQDLYESRRAWQDVASGIERGDMDAVSVAKSKIEN AQRELRRVEKSEGREWERRFFNRVDENEDQGLLQLARKAGLTSLESDKTGGVWRFNPA SADGAKPPYHKTGGEGLGVSA AOR_1_1304154 MLKSASVKSVSVHEREIPTMSDRSSLNSATTQIATEEHLALRED FGQPPGTPNTLVPVQSKQGPDLQKEAVADDDDFEEADAEQYKRFSPARKIIIVSILSY CAFLAPISSTAILAAVPEISKTFNTTGDIINASNALYLTSMGVASLVWGPLSQVWGRR PIFVVSGVLFFIFTIATALSPNLPAYFVFRILTAFQGTSFLVVGSSAIGDVYEPRSRA SAMVWLLSGSMTGPAAGPFLGGVIVTFRSWRVIFWLLSAMSGFSALMLIFLFPETIHS KTDGDLAGKSLPEKSKLLWQRVSPVRVITLTFSYPNILITGLAAGALVWNQYALLTPI RYILNPRFHLNSPIECGLFYLAPGAGYLAGTFVGGRWADYFVRKYIKRRNGLRIPEDR LRSCLAFVCVVAPGCILVYGWTLDQEVGGIPVPVIAMFLQGVAQLFCFPSINTYCLDV MHDKGRSAEVIAGNYLFRYVFAALGTGVVLPATKAMGVGWFNTVSALFLVIAGALVWL TAEYGPKWREAIDSNYEQKQTRAKEAPCENV AOR_1_1306154 MSHDDRSGNQDVSSMDLSVTINEPAQESPDSTNKANVIVQGLVP QQERLPSRDELDHLFRAEEDYDQPTTTRKELWSYYLYYNGDNGVGPGSYSQALFQWAL TGAGWQPGTEPHEPCTASSACVVPWAGGTLSVSSVVLIANGLCFTFMTVIFVWLGSAA DYGSFGRWLLLVLTVVCWALQYGMMAIKHPNQWPAAMGMYVVAYVAYGATLVFYAAVF PRLARFMPHARKAREEDLREDKITLDEYDAIESLEKNHISNVSTAHSNIGYLLTLALN LSVLLPLQGNNYSNNLALCLTNSYWVVLGLWWFIFQQKRPGPPVPKGSSYATIGFKQL WVALREVRSLPQTFLYFLAYFLLADGLNTTGTLVSIIQNNEVSFSFLQLTYLGIVQAV TSTISTFGFWYIQKYFKISTKRMFLVTNFFSVFIPFWGMLGLWTTRIGYHHRWEFYFY NVVFGLFQAPYYAYAQTMISELMPQGYDNMFFALFGITNRASSIIGPNVIQAIINDTQ NNWMGFPFLFSICTAAMIMISFVDVEKGREDGRKFVQKKKMLRGLG AOR_1_1308154 MQSTDLRKKVGQLFAVGFHGLTPSPEIKTLIHEYGLGGIVLFKR NISDAAQLQSLTHSLQEEARLAGHDYPLFIGIDQENGLVTRISPPIAAQLPGPMALGA TYASELAKEVGTVTGETLRLFGINMNYAPVCDINSEPLNPVIGVRSFGDHPGLVGRLA CATAQGLREQKVVPSVKHFPGHGDTAVDSHYGLPVISKTREQLDKCELRPFRRAIAEG IEAVMTAHISLPSVDDSHLPATLSAKALNILRKDMNYDGMVITDCLEMDGIRASYGTE QGAVLALGAGCDSIMVCHTYDVQVGSIDKICEAVESGKVPTSRLEEACRRVTALKARF LSWDAALKSQGLNGLTSLKQKGAKLAKEAYSSSVTLVRDTQSILPLSPSSKIAFLFPG DKTPAGGAVDGEGLGRKGSYNASIYLDILKQWNNQAFEIQYGPMGLSTEQLSLVDAAD VVIFASINARESAYQRTLGLELPRHNRPMVAMALCNPYDFLEDSFIQTYVATYEPTIE AFTVAVELLFRPHLAKGSLPVGPEKPAPRWLEVQQYAAATDFSQVYDVWLAALPSYRV SADNLTEAITPPPHVLPVESHHLVARTSYPESKVVGFCLLFVAAQQDTVCVQLAALAV DPKLQGRGVGTALLAECRAWMEKTFKKSRLELGSTFPRFWPGLPIDLPTEVQEFFVHR GFQLNPPVPRSVDLYQDIKEFQSPELYVTRAKERGYTFRPLETADYQECLVGQEKNFS YNQAWVQMFHKLDPSKYPSSVMTAFDPNGKQVGWTLMLSHESPMLKPHWAFPSLCGPK TGLIGCVGVDADYRKEGVGLALLCHAIEDMKQRGVEGVFVDWVSLEGWYEKLGFKVWW SCRTGAMQLDA AOR_1_1310154 MDDSKVKSDGDTPPGDLMSQVEQRLSDDHGTSEKGHVEHINLNK NTSAKIKNPLADLTPAQVLQDVEHFANEHGLRDILDHLKKGALIARDPDNFETVENMT DDDITVIARETTHKWRQPWPLYFTIGLCSIGAAVQGWDQTGSNGANLSFPDALGIPEK GALKARNQWLVGILNSAPYLATAFGGCWISDPLNKYLGRRGVIFISAIFCLLTPIGSA VAQTWPQLFVTRLLMGIGMGLKGATIPIYCAENTPANIRGGLVMSWQLWTAFGIFLGT SANLAVKDTGAISWRLQLGSAFIPALPLTLGVFLCPESPRWYLKKGQVRKAYQSLCKL RNSNLQAARDLYYIYTQIKIEEELVGKHDFLMCGINIVAFYSSTIFAQAGANVTEALL ASWGFGLINFLFAFPAVFTIDTYGRRALLLFTFPQMAWTLLAAGFSFYIPQEQTAHLA CISLFVFMFAAFYSVGEGPVPFAYSAEVFPLSHREVGMSFAVATNLFWAAVLGITFPR MLAVMSPTGAFAFYAGLNVTACIMIFFLVPETKQRTLEELDYVFAVPVRMHSGYQLKK ALPYWVKRYIFRRNVKLDPLYQFDHVATHT AOR_1_1312154 MEFSQSNIPSAQDLLSSGELFVPFSEFPVQTIYETPGWFEKKLQ EGKPFVIRGLNQIDCWDASTLNNGCLVTSSSSGAIPVRNCQTGRDVRMRLRDLIPTDH SRAGHVRESLYAKDLQCPAEWIRALKAVLPSYLIQLGSFDLFRVLPKEITPEVLMAYV GTKLSLKSDIVADVEVPHSLPMRGSSTGSFNSKGQLRISDLVEDRSSPDKSFHRSPHV QTHISLSSTPDNTAHRYPHEPNNHNENIPNPIDDENIPSLERKLGALRQYADGLLELS LIDSHAKVLDKISSLEAQIERKRRQKAELLFSGLNRDFPDLADIAMEEARRRGI AOR_1_1314154 MPCFKGLAVSIHTPDGPISEYSIQRQSRASRIACYIPVPPPKLP DSAIGKPEQSTFAVSITLLNPGQDVPYSTPKSTPENPTPKPKVVGGLPGQTAERGQYS SMVAPYQPLTNSPNETVAAYIYFDGRQKEEVATLLRRGEETWVNSRWVSVPESEGGGL AEREFLFREVGLERWLNGLDLEGKDVAAKIERRRQKMEKRRLKRASVDDTGDLDMDAK ADKHDKGIMRYGNDAKSPLEDVSDDDMSFSDSDDDPIPESAGQIKVALFRVLASGEIK RGEYSPQFDAHDDDDEAQQGGNGGTDADIDHTTSFAKPKTLDPKTISTQTVTGIDPSD KPYAIFTFMYRGERQLQKMGMLKDPKSQETPGSAKRRSLQPDFANIGPLKPGGTVGFL NFRDSTENKQKGKKNKTAGDDDMDSDDDDDDSILGKADDEEAKEDDQHLSPDDIRRQG ELAEGVRKIKLKRQHSSASLGTSTPKTDTASPQPSGETPAASSISTTPPTAPAVLAGI PEVPKPAANSLNGEFVGSPLKKQRASVSQADENALRRRIESGLSQPVSGALDSAASEG HQTAGASSNPFEAQPQKPDPRENEDEEL AOR_1_1316154 MPLARSFDPLVWIDCEMTGLDSEKDQIIQVCCFVTDANLQLLDP HGFETVIHASKTTMDNMSQWCIDTHGRTGLTAAVLASTVTPESAASELLAYIQRYVPQ PRTALLAGNSVHADKAFLSRGPYAKVLEWLHYRILDVSTLKEAARRWAADELLAAVPR KKEVHLAKDDILESIEEMRFYKERLFGSEGK AOR_1_1318154 MSIQTVSFQSFTDQKPGTSGLRKKVKVFQQPNYSESFITSILLS IPEGAKDAFLVIGGDGRYYNPEAIQKIAKISAAYGVKKLLVGQNGILSTPAASNLIRV RKATGGILLTASHNPGGPNADFGIKYNLSNGAPAPETVTNKIYETSKTLTSYNYAEIP ELDLSSIGSKTYGPLEVEVVHSTSDYVKMMKEIFDFDLIKEFLNTHKDFKVLFDGMHG VTGPYGVDIFVNELGLPSSSTMNCVPSPDFNGGHPDPNLVYAHELVEAVDKNGIHFGA ASDGDGDRNMIYGANTFVSPGDSLAIISHHAKLIPYFQKQGVYGLARSMPTSGAVDLV AKAQGLQSYEVPTGWKFFCNLFDNKKISICGEESFGTGSNHIREKDGLWAIVAWLNII AGVAKEKPDQTPSIASIQNDFWQAYGRTFFTRYDYENVDSDGANKVIAILSDKVANKD SFVGSTVSGRKVTDVGNFSYTDLDGSVSKNQGLYAKFDDGSRIIVRLSGTGSSGATIR LYIEKYESDKSKFGLTASEYLKDNVALALSLLNFKEFIGREEPDVRT AOR_1_1320154 MGDPNPPTSHDLSLSSPSPPHAHSAKSLRFLVIGAGSRGNAYAR AVTNATSGMIHAIAEPHPFKRQEFGRNYIWGDSGTPKDGQEFKDWRDWLRWEVKRRAQ SSTTVTNGTNCATLGVDGVFICTLDETHVEILQAIAPLQLHILCEKPLALSLSDCLTV YRALLPKEEGGLSPSSSPSTIFSIGHVLRYSPHNILLRKLLLEDRTIGDIVSMEHCEP VGWWHFAHSYVRGNWRRATPEGDGSLLTKSCHDLDFILWLLCSPPPLPADANLSAQQQ QEYFKRQQPHLPRTISSSGSLTQFRKSRKPRAATTATNCLSCPAERQCNYSAIKIYRD MHVVRADYEWPVNIVCPDIEDVVRSTSSLSEEEQIRAAEAHLLRRLEEDYSPETEDKD IAARPWYGRCVYESDNNVCDDQVVTLTWDDEPLDFNTTINDYYPRTSKTAIFHMIAPT EKQCERRGRVYGTQGEITYDSRNIDIYSFATRSTRSIEVPRQPPEEKESHGGGDYGLA RSFVRAVDAVINQGWEVERAQRCFVGCTLEEAVRSHAVVFAAEEARREEKVVRWKDWW EGKLRDSLRTRSACD AOR_1_1322154 MAHHGNRHTRYSRLMKRTNRSTAVADVTGRTNDGQSSIHGKVVN DPNDRMKRQAQDGSQPQTDGAISQEDQVSVQVTIAATDNPQTTPDATAVTASPTTEPT APDVTTAQSSPAVPTASEENLTASSASPTATADTSSETTSHDFSTSPTSLPVISSASD TFASQIPLSPVSSSEMPQSSSSTIVSTTTSSSSSSSSQTSSTSSSSSGSSSSTTTKSS TSTYSTTSTTSELTTTSLPSGTGGGASYGWDGGSGPTATEQTPLTTGPTTSATSPPSQ GSGALDSQTKGKIAGGVVGGVAGAMVLVVLVFLLLRRRRAYQNRAPEVLPPGDMTGTA VGEGCVTRSADVVSRRSSNDPLFTASYFAPAFMKRWRQSRLSTHTESTLDSSTSERGF QKISGRKIPSVLRSGGDGYGGGFSEGSPTMSEPSVSFPPGSPVLPRSPTSQPPPSTPY GMPLDVSYTREAEETNPIVIFRPSPARTPIPGSADASLSNEPSVSRIVPLAQGALSPT IPKRPDVLGRSHPSFDGSRGSRFTESI AOR_1_1324154 MGESRQELLAWLNNLLQLNLTKVEQCGTGAALCQVFDSIFMDVP MSRVKFNVNAEYGYLQNFKVLQNVFARHQVDKPIPVQQLTKCRMQDNLEFLQWTKKYW DQHFPGGDYDAVARRKASGAPPAAAGSRAGAASAGATRRGATPTGAVARPRVAAASGP NVSALQQEIATQKEAIGGLEKERDFYFAKLRDIELLLQSAIEADPELEKDDDSLVKHI QGILYSTEEGFEIPAEEGAADELETF AOR_1_1326154 MSPREAATLPSRRRPSLSFRPRPRTATSSTHQTTRLENDVWGGG SAVDAADDEGQVLEEMNHFPEPVPRRREARSFSSLRHPVDGLRALGRRLSVTIRNKSS RHSAHHHQDDLGDLNHEPEFTPRNRHSWCKGTNIDRRFSHHSVSGLHGFYAPTAPVRA PIPGNGSEPPILPDDIYAGAAARAAAVVQNELFRIERDGAKYSDMGLTRDSESGIGID LRDRSELSDTDLAFLRLDPVTHLPPEVMSHIFTYLDPQSLMQCESVSHAWSEQASSRH IWRHVFRHTYGHSRPVGASKKKRSAGLGKSLPDQDWKRMFLVRRALEQRWKEGKAAAI YLHGHKDSVYCAQFDEDKIITGSRDRTIRVWDAHYPWPCRKIIGPPPGDIAGIGPVNN MSQQSSGKPPFLTICPPPTLSAGITTPVEQASEYHSASILCLQFDDEIMVTGSSDYTC IVWDIQNDYQPIRRLEGHRAGVLDVCFDDRYIVSCSKDTTICVWDRQTGALRQRLVGH RGPVNAVQLRGDLIVSASGDGVAKLWNITSGHCVKEFHSKDRGLACVEFSEDARTILT GGNDQVIYQFDANTGDMVNELKGHEGLVRSLHLDSAGQRIVSGSYDMSVKVFDAQTGE LSIDLPGWTTSWMLSVKSDYRRILATSQDSRAVIMDFGYGLDGIELLEE AOR_1_1328154 MPYPEEAEGFQVDSPDTYTNFNRRFFKLKPFGDYDVDIKIEACG VCGSDLHTISGGWGDQKFPLCVGHEIIGRAIRVGPKVTLIQEGQRVGVGAQSYSCGEC KQCKNDNETYCPVLMMDTYGSEWPETGIVSQGGYSSHVRTHEHWVFPIPEQLETNLVA PMLCAGLTAYSPLVRNGAGPGKKVGIVGLGGIGHFGIMFAKALGAETWAISRSRAKEA DARKLGADGYIATAEEGWEKDHLCSFDLIINCASSSQGFDLAKYLSLMDVHGRWISVG LPEEDGQVIKAQNLIANGVLIGASHLGSRREMLDMLKLAADKGLRGWVEELQIGEEGL KEAMVRMKKGDVHYRFTMTGYDKVFA AOR_1_1330154 MASRTPLRPGVYAPTMTFFNPDTEDLDTPTIRRHAVRLAKAGLV GLVTMGSNGEAVHLTREERKTVIRETRSALVEAGFSNVPVITGASEQSIRGTIDLCKE SAEAGAEYALIVPPSYYRYAVGNDETLYEYFTAVADGSPLPLILYNYPGAVSGIDMDS DLIIRISQHPNIVGTKFTCANTGKLTRVATALNAITPESPLTPKRKNVSTKKVENHPY VAFGGIADFSLQTLASGGSAILAGGANVLPRLCVQIFNLWSAGRFTEAMETQQLLSAA DWVLTKTAIPGTKGAIQSYYGYGGYPRRPLGRLSEAKTQEVADNIKEAMEVERSLPDI A AOR_1_1332154 MAPPSVLMVGTGEYTTGYVGGTASTSDKKVGVVGLTLFDLRRRG KVGNLSMVGVSGRKFPGIRSHLQKNISDVYNGLDVSFTSYPADDQTDPDAYKAAIDAL DPGSAITIFTPDPTHFPIALYAIQRKIHVLITKPATQLLSDHLTLLEEARKNGVFVFI EHHKRFDPAYSDARAKARNLGDFNYFYSYMSQPKSQLETFKAWAGKDSDISYYLNSHH IDINESMVPEYAPVKVTASASKGTALDLGCVNETEDTITLLVEWRKKSDPSRVATGVY TASWTAPQKAGVHSNQYFHYMGSKGEIRINQAKRGYDVTEDEQGLIWLNPFYMRYAPD EDGNFSGQTGYGYISFEKFIDAVTAVNEGRVTLDQLDARPLPTLKNTIATTAILHAGR ISLDERRPVEIVTEGDKWELK AOR_1_1334154 MMADYDDDDAGGVILDGPFDPDAQATVTDFIDYTEYLPADIIRS LTLIRGLDERYLEATQGVHELTKTYGQLPELPPDGRPDARNLRKDISSQLDRAINARE SAYAEACRLYDVVDRHFNRLDCIKQKLEALPKPPPAETTAPQAVPVTKRGRPTKKGDD VVAPTTRITLRLDSHDHTKPTSTLKSRTRRSVLSAEHLAGLHPDSPIASTEHSDVEEP KAIPSESPAEAAVAPGRKEKQGRRSRTSLGTHTHSGVANISTSNALAMLKPPPEDAKP GSEDMPWLRLTEWEMTKLRKKMKKNAVWQPSEVMIHRELALRGRGWEAYRAAKAQAEA NGSEFIDCDDIMNNYIPGKLTKRSEATKDTEGTFETKLSNRGMKLNEAKKLKRENQAR EQAAAAAAEAELAAKRQGQAASPTKAPVPSIDQAQTSKPSRAAKKRKPDESPVMDATT APLAGAETRAALRSPSKRRKTSDTPMESSVSVPSASTTTPSANVPTPAAEVTETKPTP PPASPVGSKRSVPPSGAVVAPPALEGTTRTPPVTRPPSRRRSAAASAEPVPSIGLITA GRELRRKSATPARKTPVPDVTRAASLSAPRRRKRPAPGPVSSGQDGGAAVSYGRRKAK PGKKRFREHGPKDGDIRIDEDGVLEEIDPNEPRYCLCGDVSFGTMICCENTDCDREWF HLDCVGLSEVPSRTAKWYCPECRVKFNKGSDGIVKIGLRR AOR_1_1336154 MQLEYIHATRRPDVRDIPCFSNYVVVSLLVCFIGKRTFCFSVTH ILRIMDRITRLNLLFSQDEALLRLDTQLRTVPEVQSNRDSVPINDNVNIDREPSTTTI SQPVQSLGPSKPATGDEQRENVREKGSESVAPIPGSFIQTTPNDTIPSPSLEGPNVPH DGTFSPLVTISRYAYKYVKGPLSQKLASEFFDGGKFWNRCWDLYYIKLRPLTGPRHLI LVPTTQVRAFFQEINRALQCSFTLSEEGLVLPLNKEGFPQPIFIGRSTCRETKDRLES QIPASSEAPRPSPGMEEQFTAFEQMIEAAWETTRNKKKVSKAKQQQRLENQQRLVEGL RRVQSYLGLRSSETDDLIDDAAWEEKKAQEPVPETPRPLHMDQPAPFPFWMEPVFISI DVESNEYHHKQITEVGISILDTLDLVGMSPAEAGAHWRTKIQSRHLRVEEYAHHVNQH FVAGCPGNFDFGASEWVSADDLGAVVQNAFQIPSSSSSTRAPRHLVLVGHAVSSDVQY LRQIGVRMERKPEGTAGFIGVVDTAEFFRIIRGEPTTRKLADILQEFNMTGWHLHNAG NDARYTMEVMLCMMLEHSR AOR_1_1338154 MPEGLTTHLKTHNPSTYHRATTHPFLLAAGKGQLPKSTLSKWLS QDRLYAQSYVRFIGLLLSKCQLPHAPDNAETALERRIVAVLIDALVNIQREIGFFEEV AREYGLDLAVVPDGEEKFGPGTITQAYIDMFMSAGSPAVSLLEGLVVLWATEICYLES WEGAKRVMGSVGEGGERDLDGGALRERFIPNWTSMEFREFVEGIAEVVDALASRVLNE EGEVVARCERWWRQVKWLEERFWPDVTVTDQE AOR_1_1340154 MPLSNRRRARRKEIQLQETSDAEAPDSSPTRPSNKKRKVERTSP HTRPIKTGESADEADHSSPEHELSENQDLVDMVISYLDAAREEVRVIRDHSNTKTENK QSIRAYAKIAGRNWTYYVKTLHVNIGREPDREQKLDEQSSPVTIAARALPEVNVDLGP SKFVSRLHAEIFYDGEETASWHIRVNGRNGVRLNNAILKRGTDAILSCGDIIEIANTQ MMFVTPGDKANIHPSFVQRAQRLANGEEDVAAWDASQHAHPHPAPSGAPETTRPPTGQ PSLAPAPHFLKRQVTPPPRSPDTVGARTAKQSPLYNRGMMMESTEEIDYSKDSAKDLK PPYSYATLIAQAIFSSEEEKLTLNNIYNWIMDKYAFYRHSQSGWQNSIRHNLSLNKAF QKVPRRTDEPGKGMKWQIAPEYREEYWKKQLRKGTQSSAPSSPATRDPATRGANGMEA VFSSKKSPPVSSPGFSSFPVAPVEAYTPERGSRASRNGPQDHPLRPPVRDYEEPSPLP ARSAIKNSSNGTSLRYGLSDNVASPPILSSSYYDEGPSSMITPAPQRQQPRLPPPSTA QIPSKFMPMSSPAQFWKFADIGSTPARPVPDMSPLKGDPGDGLGSIPSSSPPPPNLVS PSKPGTANGLGTGRSLPPRREHDSAVRSSANGAGRDLGDDEDEDDGGGFDLARGFQPI GSYHRQLSNAARTSAATS AOR_1_1342154 MSEPQDTTSPSTAAAPIAASTSHEQPQTQSPPQVSATTTSSVTA TAAAATAAVASPPVNGAARPTEELSCLWQGCSEKCPTPESLYEHVCERHVGRKSTNNL NLTCQWGSCRTTTVKRDHITSHIRVHVPLKPHKCDFCGKAFKRPQDLKKHVKTHADDS VLVRSPEPGSRNPDIMFGGNPAKGYATATHYFEPALNPVPSQGYAHGAPQYYQSHHPP QPANPSYGNVYYALNHGHEAGHASYESKKRGYDALNEFFGDLKRRQFDPNSYAAVGQR LLGLQSLSLPILSGGPLPEYQPMPAPVAVGGGGYSPGGHPPAPAYHLPPMSNVRTKND LINIDQFLQQMQDTIYENDDNVAAAGVAQPGAHYVHGGMSYRTTHSPPSQLPPSHATA TTSAGPIMANPATHSPTGTPALTPPSSAQSYTSGRSPISLPSTSRVSPPHHEGGSSMY PRLPSATMSDSMAAGYPTTSSAAPPSTLGGIFDHDDRRRYTGGTLQRARPEERHLPEP MDLSHDNKDDGERTPPAKPRQAPSSPGRISASLIDPALSGSANEAETMRTAQAATEVA ERSDVQWVEKVRLIEYLRNYIASRLERGEYDGDSGMTRESRTPEAGPDGHMEGVETEP VSHPAKCESPVKPEAGGDTVMYPTLRGVDEDGDSKMPN AOR_1_1344154 MPDKVKVVIVGDEGVGKSALILRLCLDHFSGTHEATADDSIRKS TVVDGQECILDIIDTAGREQYATLIEEWIRQGEVFVLVFDVASRESFTHVRKYYDQVR KIKQVVDDHSINPPATHPGAPFFAPLILVGNKSDLQHKRAVSETEGMELGKELCGEYV EASARDNVNVEAAFNKAVRNIRERRYEAEHSLFPQADGVATTPKRYRPFHPGSCRCVV L AOR_1_1346154 MPTPTADPEFLLPDPTVQQRRLQNTTQNQAAASRLQDTSRSAGE SREEDLTSRMTLQEFLQTGMDKTGKPVSDPVAFTDGAKMQQGSRDLDEADVVAATWLD DFD AOR_1_1348154 MKVTYFASILTAGLASVAYAVEAPIPGYGVEDLSWEVQTTPGGP KVNLNGTVQEVHEQLLAINPNYEQEFAALNADKKRELTFEKRDTVTCYQYPQANHKYV ESGIKYLRSVPGQPTNGPGPNNCGRVSCSYNAAIWWCNDNTFSKTLPSFNNIADGAQV VENHCWRGGNFFSGKCDHADHWSVIVKGERC AOR_1_1350154 MLKLSTILGSLFYLLPIYIFLIAPALRQFFPPAEEDLTFDPDDD AADLEGPILNDTILSLDDGIEPTCAPDNYRVHLLRRDPLVIYIEDFLSSEEADHLVEL GQETYTPSIIYDGTTEKVDPNTRLSDRALLPRTNTVRCLENRAKAFQGWRPHLYIERM WAQRYNASGHYRHHYDWAGSSARGGDRASTFMVYLGDECTGGGTNFPRFKRPRDEKWC RFVECENEAEGVTFRPVKGNAIFWENLRPDGSGYLETWHAAFPVTEGTKVGLNIWSWY QAPRRRRV AOR_1_1352154 MHSLYRIQLCLHLTHSPSVECTYDQPSNRRRNPAPQYVEALEAR LHKAEALLRVVLPDINLDDPRFDEHATEQMLAVVKREKQQPQQPPVTTNGSNSSTVAT GPAEAPSDNCCGEESLLESMVDNSGYLDLDDQGHWDYHGHTSGITFLRRLRKQLGAVD ISAPALRSRPFSQMLDSPKSASESPQDALLPPTHDLPSREVARRLCHNALEDGCSLMR FVHEPSFYAMLDRIYDTPPEQYTNEEHAFLPLLYIVMAVGCLFSDDGTGTLDLSGYES AIGQGFQYFKAGRQLLEITDCRDLTSLQAICFMVLFLQSSAKLSTCYSFVGIALRSAL RLGLHRSVSANFNPLEQELRKRIFWVIRKMDVYVSTLLGLPQMLSDDDIDQEYPMSID GEFITSDGILPTPPDYTPLMAGANAHTRLSSIMLKVIREIERDLQAWMEELPAALRPG TEVSPQLERVRQLLRISYAHVQVVMYRPFLHYVSSGSQARGVDRRSYACAAACVSVSR NIVHITTGMHKRGLLNGSYWFTMYTTYFAILSLLFFVLENPDSPTAKDGVLKDAMEGK NTLTGLAKKSLAADRCSQSLICLFKNLPDLLKNRQSKVNPVNLKRPAPSSSNKLGNAK SPTAPPAMPPPQRASTFPIQLLNRSTKEASNLPKSLDDNHPRHSRSNSRPANTPSPWF SSTPEPPTETISTPSETQATESIAASSNTSPLPMSMSTQDMPTSPFVAQQFSNPTNLP DLMPIMFPSDDPFAYPTQPMSTLENDHFRQDSGAMQFGRDLTTQRSAPPSTDPTNTIG VSTPALDGLGNFPLFSNNNTPTLMNAALPMRLANPPSVSQSRLQSPVSHASTPASGEA VNSPDLVSLPNNNFMWQGYNFQPQNFPTEQSAQPLMPSGNVQNFGMGVEDNSMGMGID LGISLDDIFGNTDACRAGNGLPSDDWIQWMNVGN AOR_1_1354154 MAYYLVTLCCVFTTLGSFLFGYDSGVISSTLDQEDFQNRFNHPS DAATGGIVASYNGGAILGSALVSYISDPYGRRPVIFIGGLLGSLGAALQAGAVTVAML IAGRLIAGLAVGLMSSAIPVYCSEVSPPRIRGFLGSMQQWMIGLGFVVAQWTGYGCSL HTGAITWRLPLAIQAVPAVILCFGVWLLPESPRWLIEKGRAEAGREILARLHSNRDRS NIHMVEAEIAQINDSIAEERRSAVHSWRELLSKARWRHRLLLACGIQAFTQCSGTNII SNYNPGLYRTLGLKGTTPLMLQGIWGALAQFWNTVFMLFIDRVGRRKLLIPSLLGMGA TMCIEAALAQANGGFRDPSANPDAVRAAIAMFFVFSIFFTSLGLISWIYPSEIFPTAI RARGSSLATATNWSLNLVFAQCTPIARSTMGFNYFYCFFAFNWVAAAITWAFYPETAG KSLEDVEHIFSSSSRDDFPHPVPDLKNDVVAVANPADSDWSRENLELSCHAKMS AOR_1_1356154 MSNESENTDSTKPATEWQFIDASNNSRSNLTQVKRHVMQQYMRQ KRASGQSSNDVEQTAVESHNAPRKATRRPRKARASAGDTAQKGKKEDLNRQRQKNASA EKSDVQVVPNQELTDDVVEEIERDFIPGVMSYTSAENASLPANSFFQLQGYPISPYLL DKYASGSQSSGSESSSLSPWSSTPTSPSDVTLSPKTILSAARTDPFNTLPMDLDAEGQ RLFDFYVNEMPACSYGSHFRSAKAHNWYTAVFVPEGMKGAVTFQNTILVHAANTWAWV RNEEETDYTLVHRNRAISMLRDHMTRHPGDISDVAIIACLSAAGLEDFDPRPGHKEIS WVHMRAAREMIRARGGPAAFENTRLGMLINWQDYILSGYETNGLSFFFDPGYSLSFLS PEDEIRHQCDEFIDFLKRCEELSVSHRSKHANMPALVRYSAFQETSLLYSILAAPPGL RFTASGNRKQFVARLVALIMLNAALWDYRNSVQHSETFLWTLEQAVLASEVDTSGSVE ALLQIMLECRDGITITDMSSTSSGSQGMPDFTQYSPTAKTQYGRPWFAGRMLKVAKRL SLNSWMIVHDFLFSCLTLRLETPVCLWERELRQEILSAPLTSYIMPALAE AOR_1_1358154 MAGGAATSAGVSQGSGSNGDDATAISPYETNPDNIPQDDPFLKQ SPHYGRYAPRDDDFKPRYDHWWQSDPDAISHWENIVKENLSPENSLNLQEGRQAYSVG SVIIRVDKDDVVDTTAERYSCANANELSAARKAEDALKALDITVPVIHFCGTVDGNNV TVESRIPGVSLEVAWRYLSTEQINKFKQECRQILEHMASIDPAPNSPSYVCSGLNSQL PPEIQEMEKDVLFQEKMEDEILCLVHNNMTPSNIIVNDDRVVGIIGWRHSGYFGFRRA NTIHRRFRMPTWSSLEAAGGNVEAWADIYENLLNAKVGSKLEASQDTPGPQVKTEPSL VTLDKVPESDEIDNKSISSQIDGVNTPGEHPTPKKIADLKHGRGSRASSSDRSSPANS VKAASGRKSTPGGAKKGTAKKSTAKKRKITEEDTESVDGHQSNTPSSRTSKTPGVKKQ GSASVAGSPAPESRTKRKKGAKKRKAPKKAAAKEEEHEEEEEEEASTDENELFCICRK PDNHTWMIACDGECDDWFHGKCVNIDPKDADLIDKYICPNCKEKGKGCTTWKPMCRVP SCRKPARFNNNILSKYCSDEHGREFMRLKTQHLKMSPAPENKMEDLGSRGGILTAGDL KAVIMDVSSAAEFRKLGERIVSLPPDDPETDTKEKDKKKLGLDFAPDDLTYSPDEASK IEELRKRRDELLHRRGMLNARNTFVNLVRQRSKSVLEKLKQAEPKGGWKDICGFDSRL AWSDEEFDEWRLSEVGAKTLEDGTPEALASSYPDTTDIDGDTVMNGVKAEEDDISSLS RGICTKKRCERHKQWVKVQQQEILFEEDTVNQDLAKCEKEAQNVVERAVLRMWAEKEN AQNGCAPSSNTVAYPVFDNFNHVCGLDSRQQKMSIDSLLQGSHKKTRKQLLACVEYGV KTILARKQQVVPWISPLLHLNWGNMRIGCHRPDPASIDKHHG AOR_1_1360154 MPHAIMVPQGSSLEALPNELLDEIISNLSYPPPSLAKIHQPPSS TIVKSGTRDLKNLSCTSSRLLEVTRPRLFTHVCFDLRDVDEFLSFISASSLARHVSST VVKGQHPSDDREDPFWWRRALSYLDPQRITVIAPPSFIGKMTGAQIFEEHSWAFQAPL QILQLEQETRSFDPPPLSHLEKRSTLLEARSWSSLLFNESSSLKAYNHYEYFLFQVPS LFNTWGSLAYVRPRPQKLTSLRALSNLTSFRYTAVFPFYNHVKLVLNAVELMENLRSL SVQLAPCEGDKATELEQRGSMDPSDPWMEIATGYSLIGHSVSDLGSRGSLVEFCACDY AFDPLRTELSPILEDILDDSVWAHDGQGTWTKKSICSTAYYDAGFQDALGKLGFRNRA S AOR_1_1362154 MAHQLHHNPPFRAEHLGSLLRTDELLKTKTAFEQKQVSQADLDA IENKDIKEIVETQKKLGYAAVSDGEYRRHMFWGSFFPGLDGFEEVTDVDADVFRPYAP DVAAFLEAGHKPGETVICTGKIKHVGSTYIKEFKYLASIVPPEEVKNIKLTLAAPNWY HLRYKEGKAYPKDVYASDDEYFGDIAKAYQDELQILYDAGCRNVQFDDPNLAYFCSDK MLEGWKKDSLNVITADETFEKYIKLYNDLLSKRPADFHVGVHICRGNFVGSRHFSEGG YDRIATKLFKELNVDTYYLEYDTPRAGGFEPLKELPTHKNVILGVVTSKFPQLEDKEE MKKRVYDAAKFIAEGNNISVEKALKQVGVSPQCGFASHREGNAIDRDGMFNKLKLVRD IANDIWPGEL AOR_1_1364154 MGGQVSKLMGKIFGTKEMRILMLGLDAAGKTTILYKLKLTNQEV TTIPTVGFNVESVTYKNVKFNVWDVGGQDKIRPLWRHYYSGTQGLIFVVDSSDTARME EARSELHKIINDREMKDALLLVFANKQDIQGHMSPEDVTNALQLNKLKDKLWYVAPSV ATEGTGIFEGLAWLSNNVKTPAQK AOR_1_1366154 MADDSNAILRRRSSLRQQRRLSLQYENNSWAAPPSGTIYAGLST LREDDTLTIAIAIRDTTYLLDFIQESLTLKDDQSLCSVLEKFVIDQLQEWSDAHMEKF IGLAMPERIAKQYPSLCSRLWAELDIIPLVLPEGSRREGDDKFTFGIPDSTTWKIRGI DEQAESMGRKCVRLFGPENIPLLQVGFLGIVEVDTAFHVRLTNLEDFQKTVYPKTWKA VQHYATDLKERKTKIAFFSATPQGGGVALMRHSLVRFSYSLGTDITWYVPKPRPGVFR VTKNNHNILQGVASPEERLSEEDWAQVTEWVNENAKRYWLIPGGPLQHPKDGGADVVI IDDPQMPALIPIAKQIAPDRPVIFRSHIQLRSDLIDKPGTPQAEAWGRLWETIKLADI YISHPVKSFVPKTVPREKVGYMPASTDWLDGLNKNMREWDIAYYGRVFNSFCRNSGMP TIDYPEDEYIAQIARFDPSKGIPEVVESYVKFHRRFTAAFPDRRAPKLLICGHGSVDD PDGTVIYDAVVTHIEENLPDLAEQICVVRLGPSDQLLNALLSKAKVALQLSTQEGFEV KVSEAIHKGTPVIATRAGGIPLQVADKQNGFLVEVGDTDAVAQHLLDLCTDDELYERM HKFALNNVCDEVSTVGNALNWLYLASKLSKSEDIKPNERWINDMARAGAGQEYTSDES RLVRELCPQNGVNGHQN AOR_1_1368154 MPPKVAKGEYIETDTGNKISRRSQIHGTQHIILGGKTVIQGEAV IRGDLYRTSTSSGASGDQGGQQPATSTPSVAITIGRYSYISKQAVLRPPSRLHRGVHS FYPLKIGDHVFVGERAVVEAASVGNHVHIGRDAVIGSMAILKDFAYVLDGAVVAPGMV VPSWCVVGGAPARIVGEVGEGYGVEGAEGGMARERYRLVGR AOR_1_2828154 MYPYYISRSLQWHELCPAAVLCRAWIFEKGDVQMDGRTTAIRYR TTDKHVFNYRVKINQA AOR_1_1372154 MLRRISTNFKKSKNDRETKQNGTQNGAQNGTQVNGDKRQSKVSP ARKSADQEPSRKAANGASVFGKYAQVLHASQSPLPNQTGDGATFEQRHGSLVQDLKSL HLEDAATLKQLSMNKIKGVPVDDKTMLMEKIIQIASSLPDNSENRTKATNLFLNQLWD SLPHPPLSYVGPEYSYRSADGSNNNPTLPWLGAANTPYARSIAPLTIQPGGLPDAGLV FDSLFAREKFNPHPNKVSSLFFDWASLIIHDIFQTDHANPHINKTSGYLDLSILYGDV QEEQDLIRTHRDGKLKPDSFSEPRLQAFPAACCVMLVMLNRFHNYVVEQLAEINENGR FTKPSPDLSEEKAKKAWAKYDEDLFQTGRLITCGLYINITLYDYLRTIVNLNRVNSTW CLDPRAQMEGNDPTPSGLGNQCSVEFNLAYRWHSAISANDEKWTEQIYEELMGKPAKD VTVLDLKKGLGKYAMGLSKDPSERTFAHLKRQEDGTFKDEELVSILANAIEDVAGSFG ARNVPKCLRAVEIMGIEQARSWNVGSLNEFRKFFDLKPYERFEDINSDEEVVEALRHL YGHPDYVELYPGIVAEDAKQPMVPGVGIAPTYTISRAVLSDAVALVRGDRFYTVDYNP RNLTNWGYNEVRYDLNVNQGCVFYKLATRAFPNWFKSDSIYAHYPMTIPSENRNIMKD LGRESDFSYERPSFTPPHVNLVSYPNVKLALEREEDFRVVWNGNTPLASAKGGDDFWS KSLDNDQWRNSIKEFYEDITAKLLQEKSGNLAGLKQVDITRDIGNLAPVHFASKLFSL PLKTKENSRGVFTDNETFMSMAVIFTSIFFDVDKTKSFSLHHAARAVAEQLGHSVENH VKSINSPSFLSGIIGNRRNDHNALKEYGDQLIKKLLESGLGVSDVTYSQVLPAAVAMV HNQAQMFTQIIDYYLSEGKKHLPEINRLSKEDSKDSEDKLMRYCLEGFRLNGTFGSYR EAQTDLSMTEETGNVNIKRGDRVFVGAVKANRDPQVFPDPNEVHLDRPLESYIQYGLG PHTGLGKETTLLALTSMLRVVGGLDNLRRAPGPQGELKKIHREGGYYVYLREDWGSYS PFPTTFKVHFDGAIPAPKKRLTYLGN AOR_1_1374154 MNRDAAVLGPAPVPKQFVLCFDGTGNKFAGDKSDSNVLKIFRML DRSESHQFHYYQPGIGTYVTSKSLSSSGRFHRIRSAYLKAKDSAVGSSFADHVMGGYK FLMRYYNPGDEISFIGFSRGAYIARFLAEMLDSIGLLEAGNEELVRFAWKTFAKWQMR RDTHKDTDKTNKLFNYMVAFRETFCRPITPRIKFMGLFDTVNSVPAFESAWMQRSKFP YTARSSAKVIRHAVGIDERRAKFRQDLISEIKPCCEEKKSTYWKDHWPRFHRSPKKSS APKKSPGLPQIVLNGGNNEDSPFQQRPGETESVHHSVRSSNQSVYSTSHRYRARRRRS QRKLSLAVPMAAASTEDVASIKSEYSGLSLQVPQERIGGEDYDEDEDSPQDIQEVWFP GGHADIGGGWQQEEDAWPLSHAPLVWMVQEARRAGLQFDPSKMEHFECLEEYDEDYSP IRENIHWNPDISVGQDGHPLMPIPSQQLATQVAAVYGEGIQMESAIGSSSTFLKALQE SLAYHMHRFSHGE AOR_1_1376154 METSHYLSPEGILLPPSPVESVDSPEPTAPGSAEDRENDVMITL SRPPAPSPSRSPFARSHFRSRSLAEVSGLPPMTRAHSTPGLDSRGRYIFVHGREAPTS SPENAAKRHLPFQVPMGDSLETRMIPLNISEPILEYAELDTTMPSSSSTQGEPRTASP VLSNTFPRMTRRRPSSPLHFNPMNSTGQSASSPSSAHSSPIILNSRFNESFPGYSTSS ASSMPSTPTSLRSRSPSISSLETIPDIPDAEAAAIEADRIAALKAAADRADEADVATN GNRRRGASDASGPSSSLMNMRTVSGGYGLRTDKRKRWSVCGAERRQDLDLETIWED AOR_1_2830154 MSDPEPSAAPCSSSPPTAQASESTAALNYAFLVHSQKTLTQNLP PRVDNKLLARQKRRRTSPEDHAVLEAEYQRNPKPDKTARASIVSRVSLGEKEVQIWFQ NRRQNDRRKSKPLQPHELLAPRSDASKQSFSDESVPAEPGSSSGAEQYDDPSNESNAA AQALENDSFTSDMVRGSQEEPEQPVLSSQTSVATSEAPENKEGTQENSRSEFDLNKEH ASVPSDTPHQNSAKRKRSITDLRQGRSEAQQPLTPCGVQGMRSPPSLRISLSFDGEAM VRKEGELTPSPPKGRNALRIAMSSDGKAVIRADGEPSPSKNRISMFPTRTPRFTGLRR SNSAVVLGTPRGSIERERIFGRSRDPRNWESVFDTDARSALSTPSSSQSGAHTGSPGF FRSGGPRSLTRSLSAKYKNTATFNSSEHLNTPVPQTTREKRRKLSRAVSSLGRLEYGL EVTNDKNTSYSAKMSKSMAGKGNRDIECGDSDKENWIPGTQTSRVRRRAASQHHSSRP KSNPVFHNINMGKTPQVAIIGAGFSGLRCADILMQNGVRVTIFEARNRVGGRVHQSKV GDRLIDLGPNWIHGTGTNPIAAIAELTKTTIEDFEGNQAFISMDGSPIDDNTATKISE FVWTTIDEAFKYSNTYKDTIPPERSLFDFFLDKVEKADFTPQEKKWCLETCRLWGAYV GDPIERQSLKFFCLEECIDGNNYFVASTYKDILAHVSRAALQNADIRFNEPVTNINSI VQADSNAPHKTTLTTATGETHTFDEVVVTCPLGWLKRNKSAFTPELPPRLVQAIDNIS YGRLEKVYITFPRAFWHKDPSDPTTSGTTSYSAYERPTFTQFLDPTYTKGPEGILWNQ ECISLAALSADCAHPTLLFYTYGPCATYIVSKVANLDPSSQEYYNFLDDFLRPLYSRL YGFRKSSPDCKPLAVMATQWQSDPYAGNGSYCNFQVGLNQGDRDIEILRAGLGPDRGV WFAGEHTAPFVALGTTTGAYWSGERAAGQICQWYGLGRQGLGFERDDSLPSAGGKRVV ASVD AOR_1_1380154 MAWISRSDTMPQSSPPSSPALSSSYHSIRPRRPSLEIDGEILST RGQRLASLIRRRSISTQSPEPWDDTTRHDELPPWERAKKLIGDVKSVYNWQLYYRDPK SLEGMSKKLREYYERNNDLIAQYVYIDRLLDSSLPHRLIGDYHHNRDVIPETESGNSG NEHEPDNNLTTAADGDGNGNGNGEPQQKQDRIKRTPRNLYRIPDESTPLLPQDGNESA PSLSDGGPKDDDFVDSSARIVTIAIYVNFVANVVLLLAKIVVMSMTNSLSVLASLVDG ALDFLSTAIVWVTTTLIQKDDRYQYPISRRRLEPLSVLVFAVVMMTSFVQVAITSFTR LISNDTTLVNLTIPSIAVMASTVVVKLACWFWCRLIKNSSVQALAQDAETDVVFNLFS ILFPLIGSFFKLWWVDSLGGLLLSVYIIWNWSGTAGEHIRHLTGAAASPIDQSVLLYM TMRFSKAILKIQNLRAYYAGDLLNVEVDIILEGKTRLRDAHDIGESLQYMIESVPTVD RAFVHMDYDPWNIPTHLNQQAH AOR_1_1382154 MSQANIAIPTGSLILVTGANGFIASHIVDQFLGSGYRVRGTVRS EKPWLDDYFATRHGAGHFESVLLPELGDKETLDKLLDGVAGVVHVASDVSLRPDPEII SKSVATTLSVLEAAAKHDTVTRFVLTSSASAASFPQPDQPGIIIDSNTWNDSAVRSAR DPSVPVAQKSYFVYAASKTESEREAWKWVKQNKPGFDFNTVLPDTNFGKILHPEIGGS TMGLVRKLLSGNRVGIDYISPQWFVDVEDTARLHVAAVLDGRVKSERLFAFATPYNWT DIVDILRKAFPVNSSIPQPPENEPRDLSQVGPSVRAESLIKEFWGRDGWTSLEESILG GTGDLEGFRG AOR_1_1384154 MVGQHEAAILPQKGGPLSLGKRPTPEPGPNEVLIEVKAVALNPC DHFQRDYGMPPVPIYPAIIGSDTAGVVVKLGSDVTTIPGPGSRVIAFASSFYQNGSPD HGAFQKYTLAQSEAVIPLPDNLSFEEGAVFPMAVLTALTAWTTIGIPLDTKYTPADKQ AVLIWGASSSVGTLAVQSAKTLGFTVYATASPKHHDLVKRLGAHAVFDYRASDIVSQI VNAVKKDGVKLHTAHCVVDGALQPTLDILKETKGDAHTKVAHSPLLPEGHPTLDNTQI TFNFPPIDETARSKHMHEVFHGWLKAGLQSGEVIPSPTIQTEGGGLGGVHAALDKLKV GVSGTKIVVPV AOR_1_2832154 MGRGFTIGLAAFAATGSFLFGYDSGVMTDVIESKNFLAFFNTVQ TSPIIGAINSTFSGGAALGALQGGLTMDRFGRKFTIQMGAFICLVGAILQTAAQNLAM MLVGRILAGWAVGLLSMSVPVYQAECAHPRSRGFIIGLSQQMIGIGFIVSTWVGFGSL HAPETSEFQWRFPLAFQTVPCLLLAVGMFFMPESPRYLVEKERYEEGMKILRKLHYDG TNDEWIQTEFNEIRTTIEAEKAVTVSGWLIMFQVPQWRTRLLHGIAVQAFAQMTAVNV IGYYQTILYNSLGITGGRNILVAGIYNCVGPVCNLIFIVFLLDKVGRRKPMLFGSIAV TIVLICEAALTSVNEDGSRTSYSIAGVFFIFCITVIFSFSYGSCAWVYMAEVMPMQIR GRGNAVATSLGNWVVSTIWNQVSPIAFGKIHWRFYLVFILFNVCITIPTVFFFFKETK QKSLEEIDLLFGGRALGTLPENVKRKPPKPK AOR_1_1386154 MGLSSDKTSFDHNTHMAIVLGVGLGVVSLIIMCLLFTMFVNRRD QRPCSKSKKKGSSDKLRKLDAVSPARTLEEWRSKSKGPLLPTEGVDGQFVCVVCLESV LPSQEIRELKCLHVFHKECLEKWYLQDHFNCPLCHRAYYFQETHPSNDFVWMV AOR_1_1388154 MESSRLGANLEPTPELPGYLGATSYSAILTEHRSDLPFEMDNST VTGTSVRALDSDRLRAGVELLKLIYDFPIYDVLVRKLYSKKAIVVVPMVITDAIVESI RSAFDNLDIGSDIEAQFQALVYQISHNTSRPLTIHRSMTVHDYCASFTGKSLRWESLG IVLSISGISLMSTSDNDPDLVQAAPSSEARERLRAQIVEASSICLNFCDQASSINELL GFAQYNDIILKTQHFGDTSYQAWRRLGDLTSMIRLRFIFSVYRDQALEIALGTCEDWD LVQKSNQIIEKARAALEAAPAFIRYDAHGQNEDAESYASSFPSLHMYLDYLYTIFLLQ RVLLKRTNTGQDALIHTSREALSIVIRIVSKCEASMDLNRHYSWLILYYGVPSASVLT LELLHQTQEIGPHSVMLPRAEIIRNLSVFLSCLSWVPRPTYGNYQTCKEAEKKLSHIL DQIIDPQPIQRDVFNDVTSGLDSFLDWYNPSNWDFNTDFLSSSDGFGLARD AOR_1_1390154 MASVESSPVDTSMIKDADVTVSNWAGLKGRESTVESSEDVEAGQ SGKSSGVLNVFISGLALFSDGYNAQIREIFGMLFFGVLIDRLGRRTGVVAATTFLVLG IALAAAAHGKSELGMFWMMIIARGVAGFGAGGEYPVCATSATEAADETTKLRKNRGFL VASTTDFAVDLGFVSAGIVALIVLACYGQETRSGVWRVSFGLGFVLPLVICFFRIRMI NSTQYQKHSIKSRYPYGLILKRYWKPMLGTSLAWFCYDFVTYPFGIFSSTIIQQLMTD NSTVQNIGYGTVINCFYLPGCLLGGYLMDKIGRKQNMTLGFMLWAIWGFILGGALHPI QSVFPLFVVMYGIFMALGEMGPGVSTFLCAAESFPTPLRGHFLGFAAAVGKAGASIGT EVFTPIQNSFDTTAKGQQAVFLIASAFTVVGGLIAWFLIPDMSRELEDEDARFKAYLE ENGYDVSHYGEALQVDRKSGH AOR_1_1392154 MENSHFPLTEDQIQSYNEKGYLVIQGFFNAPETKLLQRWTQEVH DLPRTPDASYMPYEEVNAQGKRVLCRTENYANSHAGFNSFLRGQRMLSVLEQLAAEPM LLFKEKINYKLAGSGGFSPHIDANAYTHVKNIKHLTVLAAVDEMTPENGGLDVVNGSH RTEIKLGEDRCIDPAWVESQKWTSCTLQPGDIMVFGSYLAHRSGANTSSKDRRAIYAT YNCKAEGDLHDSYYEDRRKLWPATHMRKKGETYEEGRLRYGYGSPMLTIEGQPQPVA AOR_1_1394154 MAAQSPKERAEYLVSSLEQHGQGDYIGESISQLEHCLQAAHNAQ KSSARNELIIAALLHDIGQIIPLESTKEVRMNLKNSAENVGRVGHERIGSEYLRSLGF NPSVCQLVESHVAAKRYLTAVNHSYYDSLSSASKKSLEFQGGPFKGADLAAFEQDPLR DEMVALRLWDDAAKVPGIEDSTPRAREYLNLIAAHLEAQEVA AOR_1_1396154 MAGNMGDYGQIIEYIQDRLYLASYDDAPDARTPFPYPSEQPKSP SKRSARAQPNTPSRKRRSPVYFTVDDTLLYNSFHADFGPLHIGHLYRFAVHFHEILGD PANSDRPVVFYSKPDARSRANAACLVACYMVLIQSWPPHLALAPIAQADPPYMPFRDA GYSQADFTLTIQDVVYGVWKAKEQSLCGLREFSLEEYEKYERVDMGDFNWITPQFLAF ASPQHEPIAPIPPNTPEYAALPSTISQVQASKLPLPFKNVLAHFASRDIGLVVRLNSE LYSPSYFTALGITHIDMIFEDGTCPPLPLVRRFIKMAHDMITKKKGIAVHCKAGLGRT GCLIGAYLIYRYGFTANEIIAFMRFMRPGMVVGPQQHWLHLNQGAFREWWFEDSMKEK LAQMQTAPVTPGRPSAKQRANNGPVATPPNNSHSKRAALGEIDHNEAAGAQHDENLPA PTPGQPRKSHRKDSRHHPYARTTSGSIVVDKDTRAREHSAHRSQRLSSDNSESEEEIQ LRMLAKRSSKSPMTSPSQRSVSYSATLTTSYTLNDGIHEDRENWGDAAYAAPKTPVSS KTGVSVAKVRTSPRRVTDSRSETRGVRKASGRIGSAGSPTRVK AOR_1_1398154 MKFTGLIASLAAVSAASAAAIPTAALQPTLTQLSGVLGNIDGAL GNVLSGADVTDLVQVQTALKQIQGELSKLTGTVSQRSVVGNELNTVGTVTAPVTSTVG GAVKPVVDTANSAVGSVEGLVDGTLPDTTKAVGVKRQVGQLTGVATNLVSQVTDGTLD AAGVEHILGLVQGNDLSLVSAILGL AOR_1_1400154 MASTQSTKTEFPYTLTISLPLPSNRLATSALHAIEVDTELSPFV RRDMAVTAPKGIQADTEEAKTVLETTYCATTNRMLRVAVNGFMESLGVVLGVMEELDV DVLEAEGVEQ AOR_1_1402154 MNLKYPMAMWWRKTVYGPPTPGGNTLPYRVNGLLSWGITVGAVF LAACIGGAEVVASLAQNWAAVLAAANVYGLAVPALAVAKGHIWPTFKNDRRFSGSVFH DYMVGVELNPRLGQHWDLKMFQVGRLGMNSWVVIDLSFMAQQYLRYGSVSNSILIVVI LHVIYVVDFFINEDWYLATIDIAHDHFGFTLAWGTAVWLPMVYTVQAQYLALHPVDLS SLAFSAILITGLVSYVLFRLANHQKHLFRQTRGNCQIAGSKPRTIRAQYTTAKGKVHE TSLLCSGCWGIVRHPNYVGDIVFSFCTCVCCGWSHVLPYMYFIYMTILLIHRCYRDEK RCLAKYGSKWEEYQRVVRWRMIPGLF AOR_1_1404154 MVSAVVFGYHNVGVRCLSVLLAQNIEVKLVVTHSDDDDENIWFD SVSNLASLHGIPVVTPDSPNTEEMLTRLRSLNPDLIFSFYYRKILSVPVLETARRGCY NMHGSLLPHYRGRAPVNWALLHGETQTGATLHEMVRKPDAGAIVGQMAVPILPNDTAS DVFSKVLVAAELVLCQTLPEIVRGTVVARKMDLRSGSYFGGRKPQDGLIDWGTMGARQ IHNLVRAVTHPYPGAFMDTARGRITIWRTLVLDDSPTSSARPSLLQEGGQLRAIACDG GVLRIVHAELEGRLLDQETFTKVFGSSYPLPCILPNKAMPCGVVHTTRGRT AOR_1_1406154 MSGQGVPENKHSKILVAGAAGFLGSHLVDLLLEKGHEVIGLDNF QTGFPNNLKHLISNAKFTLVRHDVRAPLPELPIVDQIYHLACPASPIQYQKDHIGTLD TCYRGTKSLLEFATQRKIRILFTSTSEVYGDPKVCPQPETYWGNVNPFGPRSCYDEGK RVGEALMYGYREQHGTDIRIARIFNTYGPRMAASDGRVVSSFIASALSGQPIQVTGDG SATRSFQYVSDCANGLYRLMNSNYAKGPVNIGNDNENTILQLAEMVAELVASTTSQQP KVSIKFLPSPVDDPTTRRPDNSLALRELGWKPIVSLEQGLRHTIRWHIEEMSRGGHRV ARL AOR_1_2834154 MIPFSVPTVAGSELQYVEQAVRKGTLSGDGEYTVLCQNWLERKL PAAKVFLTPSGTAALDLAALVLNIQHGDEVIVPSYTYVSTANAFLLRGASLVFVDIAP ETMNMDMEKLQDAITDKTRVIVPVHYAGVACDMDALLHIATERGIYIVEDAAQGLFST YKGRALGTIGHIGCFSFHESKNVTAGGQGGAILINDPGLVEQAEIIKDKGTNRQKFLR GDVAHYTWQQAGASYTLSEIQAAYLWGQLEASDCIQSQRLEIWNFYYRELSKLGDDVP IVLPSVPSYCQHNAHIFFIRVKDGAQRREFITAMKDAGITVLAHYSPLHSTVPGAQGR HVLDRQDLATRESERLVRLPIFYTMTMEQARKVVETIKWYFMRRLTRI AOR_1_2836154 MSILQTASRFLSTPTHLQKQLQSTRAESTESLAVPTCENLYHHP KRVILQHRGEDCDPWGVRTMNLTKKIHHSHILKKVQHKFYRVHRAEDGTVSLRRFRNP AKEQNVLQMIRYFSDQLEWGR AOR_1_1410154 MPGDLKTKIGHGAAKALGIKIPYRDPLGVHADPVTRGESMFSVG TIDTYSYLEPEPTPAEWLKEVCPSWHQVGRYFYNLFPFLSWITRYNLQWLLGDMIAGV TVGAVVVPQGMAYAKLANLPVEYGLYSSFMGVLIYWFFATSKDITIGPVAVMSTLTGK IVAEAQTKLPDVEGHVIASCLAIICGAVVCAMGLLRLGFIVDFIPLPAISAFMTGSAI NICSGQVKDMLGETADFSTKDSTYLVIINTLKHLPSAKIDAAMGVSALAMLYIIRSGC NYGAKKFPRHAKVWFFVSTLRTVFVILFYTMISAAVNLHRRSNPRFKLLGKVPRGFQH AAVPQVNSRIISAFASELPASIIVLLIEHIAISKSFGRVNNYTIDPSQELVAIGVSNL LGPFLGGYPATGSFSRTAIKSKAGVRTPLAGVITAVVVLLAIYALPAVFFYIPKASLA GVIIHAVGDLITPPNTVYQFWRVSPLDAIIFFIGVIVTVFTTIEIGIYCTVCVSVAIL LFRVAKARGQFLGRVTIHSVIGDHLVQDDGKYGSANSPNAASDDKDELSRSIFLPINH TDGSNPDVEVQQPYPGIFIYRFSEGFNYPNANHYTDYLVQTIFKHTRRTNPFSYGKPG DRPWNNPGPRRGKSEDDESHLPLLQAVILDFSSVNNVDVTSVQNLIDVRNQLDLYASP KTVQWHFAHINNRWTKRALAAAGFGFPSPDSDEGFQRWKPIFSVAEIEGSASAAAHAE MVNNRHTQHNIKSEDLEHGLKHDSETTERETHGIEESSDASSTREDKLQRDLKDSKAY RSRRRVAMVQGLNRPFFHIDLTSALQSALANAGEQPDPKMNVLDA AOR_1_1412154 MVKETKFYDVLGVAPTATEAQLKTAYKKGALKYHPDKNANNPDA AEKFKELSRAYEILSDSQKRSIYDQLGEEGLENGGGAGGMGAEDLFAQFFGGGGGFGG MFGGGMREQGPKKARTIHHVHKVNLEDIYRGKVSKLALQKSVICPGCDGRGGKEGAVK SCGGCNGTGMKTMMRQMGPMIQRFQTVCPDCSGEGETIRERDRCKRCNGKKTVVERKV LHVHVDKGVRNGHKIEFRGEGDQMPGVLPGDVVFEIEQKPHPRFQRKEDDLFYHAEID LLTALAGGTINIEHLDDRWLTVNIAPGEVVTPGAIKVIKGQGMPSFRHHDFGNLYIQF DVKFPEKDQLNNLNLLEQVLPPRMEQPQPPTDSMVEDFELEDIDSSEYSQARAHGAAG SMDEDDDDVPPGAERVQCASQ AOR_1_1416154 MESDPGFVAALEEAKQGYAEGGVPIGAALVSKDGKILGRGHNMR VQKGSATLHAEMSALENSGRLPASAYEGATMYTTLSPCDMCTGACILYKVKRVVIGEN KSFMGGEEYLKNRGKELVVLNNEECKQLMEKFMKEKPELWNEDIAV AOR_1_1414154 MQEQFASLKNDLLLRAARGEKVERPPIWVMRQAGRYLPEYHEAK GGRDFFECCRSPEIASTLTIQPVERYAGLIDAAIIFSDILVIPQAMGMQVEMVDKKGP HFPEPLKSPDDGQYEKVMQKQVDVKEELDYVYKAIRLTRHKLQGRVPLIGFCGAPWTL LCYMVEGGGSKMFVQSKTWVYKYPKESQALLQKIAEICVEYLALQVAAGAQLVQVFDS WAGELSPASFKSFSLPYLRHISANLPKRLKEMGLEPVPMTVFAKGAWYALDDLCESGY NVVGLDWLHDPAEARRIANGRVTIQGNADPGMLYGGRAAITETVETMVKGFEKGKQGW IANLGHGVTPFVKPDDLKFFFEEIHRLTA AOR_1_1418154 MAANFQLPLQCLQYLEKRGAESQRFLIASSGGKIYSYAAETGQR LSSWPQDVDASNANNSKATETETGSEDQAPPEKKRKVSPSEEGPAETSKSTVKASTWS SIPCLVAHSNGDYVIALTAEDKCVRVLRLKDDGTLEQLSERCMPKRPCSIALTDDGNT ILCGDKFGDVYSLPLLPGNEPYVAPKLPNRPKVPSATPLTVHSKRNLESLEQQLRYSQ KNSTEEKNSLNFQHQLLLGHVSLLTDVAFVTVPQDDNFGKKRSYILTGDRDEHIRVSR YPQAHIIEGYCLGHTAFVTKLCIPQYAPGYLISGGGDDYLLVWKWSEGRILQKVPLVK QESETTQVTVRGIWATSIGGSNIVLVALEGSSNLQCFVLGSDGTLKPQDPIEMSGNVL DVAIMEKDSTIVVSVDCIREKGSTHEWRASPTSPSNLIESFRVKPGTENLEWEPVTES LVTNINMGGSSGIPADADTKQRKELNDVLYSLGNLRKKHGEDD AOR_1_1420154 MGGKSATKAAYFEKLKSLLDEYKTVFIVGVDNVSSQQMHEIRVS LRGEGVVLMGKNTMVRRAIKGFVTDNPEYERLLPHVKGNVGFIFTNGDLKATKEKILA NRVAAPARAGAIAPLDVYVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITTDLKLVE AGAKVGPSEATLLNMLNISPFTYGMTISQVYQEGQTFGADVLDIEEEQLLKAFSSAIQ TVTALSLATGFPTLPAVMHYLVNSYKKVLAVAVSTEISWPEIEELKDRIANPDAYAAA APVAGAGAAAGGDAPAEEKKEEEEEESDDDMGFGLFD AOR_1_1422154 MSTTTSSSSSGHMPSNGAADLDPLDAVDYDPIDHLNTIFSHPST LSSVSHVSQSLLDYEDELDDEIGALVEEQVTSNAESVERIQAAQADLTELFKKIDDVR DRASKTELAITEMTADIKQLDNAKKNLTQSMTALKRLQMLTTAYDQLRVLGKTRQYRD CAQLLQAVIQLMAHFKSYRSIDQIALLSRNVADIQRELLEQVCEDFELAFAKGEVGQK RVVLSEGCLVMDALGEHAKSRLVTWYCNFQLREYRQVFRNNEEAGSLDNISRRYSWFR RILKIYDEEYAAIWPISWRVDEILANIFCEGTREDFRGILSHSVRNGQTIDVNLLLSC LQETLDFEHTLERRFVNPSRPSTDTFTSAEAPVFGQAISEAFEPYLSVWVEAQDKQLA ALIPKYRQQPIRPPDEDFDSNIVIASSTELFTFYRLSLQQCAKLSTGGSLADLAKVFA KYLDQYAQQVLLFYISERPTGTTPSKVPSLEDLISVLNTADYCYTTCNQLEEKIKGRL DKNLKQSVDLQSQADSFMGIASAAVRCLVRMVEVELEPSWREMRNTPWNRLESVSDQS TYVSELLTKLNAKSSEILQLLHKQQYARAFADHVVELISNVFVSNIFLCKPVSETGAE QMLLDGYTLKTGLSSLLPAPAPASFVKRVNNSFMKIETLLKTLQVQPSPPEALVQAYL IHIKDSSNTNFRKILELKGIRSRQEQNQLVELFQIHRASDRHAPNLQQSNPILTAFQT TPTSSSNQGLGLGTAAASIGASNLPTRFDPSMLGSAIISAAKDGVDRFGTPMSSTGNP GAGGAPGSTSTTPVSPGPFAQLQSTAENATAGNLNENLKNIGKFFRRDLGGFGGRFGR GGDDGS AOR_1_1424154 MKGGKGSRGRSGGSDRGGIRKKGAPKRVDRDGDLAMDAGSTQGR VKKARGDSSRSVATGPRAHTRDRALDAIQKAISSNTSSQANVRQGGRGSNLEQVSIRG WKQSKAASNRDGGIESLITFLEKKLNSSDSKAGSRARITKSRVEGDAFIVSIRSELLD RMLKMNGFSFAGAPLTIQTYDPSANGMDQTMLSEVSRNSGAPSTADTKSKMTAILSKR YFQQQKLLNLSKLGSDPDLLAMGIFDSTSTESKFFPALMKVWEMNFDSSTARREAVES VSLADNQLANITVVTTLAQSFPDLKNLDLSNNNFKDSQSLIGWRWKFRNLEFLDLTGT PFSADPTFKDTMLKWYPKLRFLNNTEVRTAEEVAAQKKTPIPVQAPHFQDESQIGENF VKAFFVGYDNNRTDLLNGVYDNNSTFSLNVNTTAPRAQQTETAAWDPYIKKSRNLLKI NHLPARMSRSFVGADKIREMWNSLPQTRHPDIGAQPEEWLIECFPIPGLPDPSGQSPT GVGGLLIMVHGKFEEINGPKVDLRSFDRTFILGPGGGMGGIRVINDILCLRAHGGHEA WMLEQPIVQATQPGQPPVQPPVAPPVAPDGYGMPAPGKPDAQVQQEQLVMQISTKTGM TLPYSEMALSGNGWNLDAALKNFEELKAQGQLPPDAFLPGAV AOR_1_1426154 MPAKSRFTRLDAFAKTVEDARIRTTSGGIITIASLLAILWLVWG EWVDYRRVVVLPELVVDKSRGEKMEIHLNMTFPRLPCELLTLDVMDVSGEQQTGVVHG INKVRLSSPAEGGHVIDVKALELHSEQEAAKHLDPNYCGDCGGVPQPGGEKRCCNTCE EVREAYAQQQWAFGKGENIEQCEREGYAQRLDAQRREGCRLEGVLRVNKVVGNFHIAP GRSFTSGNVHVHDLENYFEGDLPDAEKHTMTHIIHQLRFGPQLPDELSDRWQWTDHHH TNPLDSTQQETSDPAYNFMYFVKVVSTSYLPLGWDPLFSSAVHSAYEDSPLGSHGIAY GSQSSIETHQYSVTSHKRSLRGGDASDEGHKERLHAANGIPGVFFNYDISPMKVINKE ARPKTFTGFLTGVCAIIGGTLTVAAALDRGLYEGALRVKKLHSS AOR_1_1428154 MGRSREISQDTAPELQPIFTFLNSHANKLYHEGYFLKLNDLDNH GRPCPDRQWVECYAQLIGTALSLWDAAALDAAGEKESPPTFINLADASIKVIETLPTR NSETPTVKNVLSICSAGQNRYLLHFNSFHSLVQWTSAIRLSLFEHTSLYEAYTGAIIA GKGKSLNGIRTILERNRFKHEDWARVRFGAGTPWRRCWFVITPPNEKEFQKAQKSLKK KSAYDRAPKLVTGNIMFYETKKTKKAKPIATITNAYSAYAIYPQSEALIDQSTLVKIE GDVTIHSQQQSSSEGFVFVMPESHPAVSGFETMIRFLMPTFDTFNLYGRPTRLIAATN HIKSIMFAFPKQRRYGYLDILDIANLMQTAGSQDWSEAEWRKQLKEATARRMAAAGSR TSSISSKPRFRASLPGRHSNIHAGLRRNETFPESEPGYNQSTDAIVQEESNDANFSPI YHARGASDTAGLSAIRKPAQASVVESSPSSSARDLIQAGNERPSTNEFNDQSSSDSDW RQRLDSHTVPEAEAIREHFREPSPPSPVSNPPAFTHGPGEMPSARPHPSPDLRKANNR MSNATLAQLAAASGRMNLLGAMSSEAQEVREADNQKPQTPTPTDSYRAEFEMPSVPSL LSESSDKRGSPQEQGPPTPEHRTSVFGPPLEKLNGSNLHIDTKRSVRRKPVPGQQYKD SAVSSPIEPSFDDLRHTVDEEALNRVSSYQPSFPSPVRERHQEDESVYDDESTTSPDY ASTHGSVYSKRSTKSIPRPRMGVMKTVGTEPRRDLVIGDAHYSVDEPSQKHNPDIPSV DFGPTMTLMPTTGRPTTSDTLKKLGHQRSDSESTERQRYSQMDRGHSRSPSQDEFRRS VLWQPGMAAARPITPGLTPEQFVQQRAAPSPPLHVHHRTSSATPTTPPARPVSGDWMA HNRSHSQMSIGRESQGRPRSRGANSMINYNDISSHLSAREQEHVARMTGSSFFNLSSG NKKQQQPVNPMGLVGAIDAREREKKSIMEGMSSHMVQHAIAQRQQQWQQHPIPPASSY GIQSGTQNSVYNIPAASHTWDALNQSYRPEEPRRQSWYGQLQTPQPQAYQQSQYFGQQ PGHFANAHAMHY AOR_1_1430154 MELFRLCPTPPPEHSEEDNSASAIEIPMNTHGYGLLDEKAKEIT DTEKRRVEELMQQFTKGFDPGSFPREVMDSLPLLSDNLFPGSYAHLSIILLENCGKMQ GIQANLENWIRERYKRWAITFPDDTSITDETFFGAEFEMLRKLLAPLRGEDAARVYWM NSRYPHIVKVCQQLEASVKVIEEFKQDILGAIKSKDEHKTIYSPLPVSQARAAMMSMR DIRLRACRASLSWDGFSESDIELTVFHQYYKNHLARSDPAKARASGWLPPSSPKTPRA SAEEAEPGDLAHWPSEDSNNVSLNLMLKTALWLSVARGLAAVHLFCDIARHFTVHKPS ARANDSYISYLLHLTQIRISVDGVRSRRSTPFPYRDEALSRCNPDYFGDVWRSNQSLT ADLVGNVSFYKGSDENFKLADAPPPRRSFRKEMRTLEQTVAIQGSLAGTSSPVDVRPR GRHVLGSGMIGRIEAPQPTHLPATVPALTRAIERITLAEAHERPLIKQATSMHGKKP AOR_1_1432154 MGQKRKASKGFDTPKKILKQTALDDTDPIYEEAHSDYDYESSDE GSIDSNSLHETPATPISTISARYPSELKTHRCPFDGCTKAFNRPARLQEHLRSHNNER LFKCTHDNCDKTFLRASHLNHHIKSAHTGVRDYVCDRPGCGKSFVTGSRLRRHLAAHD GKEKYRCTEYPPCNETFRKHSTLQKHITTVHLKRKPFQCPNIDPSTGQQCSMAFDTAG HLRAHESRVHTEKRFSCTECSQHMEVTDPSSNNPSAGMSAVTFPTYALLQAHIKTAHP PQCPNCSITCSTARELRRHLEVAHGDVSLEERKVFPCVVPGCDRSFTKKGNLTVHIRT VHQGEKRFVCGETDLSGSKRVAGWNGDGCGKRYGSKLALEEHIRTAHLGCLNAKAERR QRLGLGKGQQNAQNRPTGISALAALTGEGYAEETGRRISCFFDTCAHRFHRNYDLWVH MGSKHSCTEDEIRDMFMQRALLAEDSEPITGDMLGIYGLEFDNDDPSYEPYILGEASG ASADLSAKDENTLAGLNLQEFPSTLEFDSNFMMQDVNSKTSNADDMAMIDPLLAYNMM DA AOR_1_1434154 MSDYGDHGDEDNYDFDAGQDYDDNEPEDFLNPEDIEGVEGAEAY GEDHYTPAVNGDRVVVSGDPSAGYSGKVMEQVRERKVPNDQRTTTPYMTKYERARVLG TRALQISMNAPVLVDLEGETDPLQIAIKELNQKKIPLIVRRYLPDGWYEDWTCEELL AOR_1_1436154 MGTDADIEEKIVVDPGAGNNLHNGSEQTSDLSDSSSRGKTEEEP TFDTGLTTWLQVLGSFFLFFNSWGVINTWGAFQTYYEQSFLSDMSSDSIAWIGSLQSF LLMLFWHLILAQGIVIGLSAGCLFVPSVAILPQYFQKKRGLANGLAASGSSIGGVIYP IMFNKLQERVGFEWATRAIGFLCFGTCCISCCLMRMRFQPKEKRKLFQLSAYKEPQFV MFSLAMFMGFLGFYNFLFYVQSYAIETGIVDSNLGFYLLAMLNAGSTFGRVLPNFVAD HAGPLNVLTPAATATAILAFAWIGVHNVPGIIVLAILYGLTSGGFVSLPPVVMASMTK DIRNLGTRLGMVFSTTSFGLLIGTPIGGAILDSTHKYLGVQLFTACCLITASSIFAAL RLSRTGFHLAVKA AOR_1_1438154 MSSIRRMSPTDLLSLNLTNLDPLTENYDLGFYLNYLMRWPSLFS SVQDRREGIVGYIMGKTEEQHPSMRHSEHYTPWHGHITVLTVAPAWRRLGHARRLTER LERGSDINDAWFVDLYVRSGNKIAVDMYKGMGYSVFRRVVNYYSDDPTGMSDSGEDAF DMRKPCSRDKNLQHVRENGEDFLVSPEDVS AOR_1_1440154 MPSIPPSPLRLSHRHNQSQSSIDFDPISPGTYAPNGFSHSPPRS PMSPRFSAPSSPARGHHAQSINGSHYRMSGDFGSAADMGGGGLGNLADELADAWEQEE GGYGYASGQEVEHIPADTQHMDRSDSEDGYHMGTKTPSSGYSSERASLQPPKPKTRNG GHRHRRTESQYDGSDYGPDSDLEEAADISPSLEMQMAEVESLARRGLENNGSESDHAI ARVVEALRDLGGQSGIENNAMRLITAHSSITSHLTHQTRTLQTLTHPLLFSPFPLLSE DAIDSLIPLIDDELLPNLPYPFPVQSRHSSRPATPSHSPALNPLYSLQSLVSQTSEIT LSLQSLSDTLYESRQLTATASRRLRSARELVSELRREEEGREEGTRWIEKGDWDRRLR DREAGRECGDVVSGFEAVCGEWRERLFGAAGAEAAAAAA AOR_1_1442154 MPPPPHIKPENVLKRAQELIAVGQAPAALNVLHEHVTSKRTRSS PIVSLEPVMLLFVELCVDLRKGKAAKDGLYQYKNIAQNTNVATIEVVLKKFIELAEKK VTEAQAKADEIQSSLESAAPSSNVEDLEAIETPETILLATVSGEQSRDRTDRAVVTPW LKFLWETYRTVLEILKNNARLEVMYQTTALQAFQFCLKYTRKTEFRRLCELLRNHVQN AAKYSAQMHAINLSDPDTLQRHLDTRFQQLNVAVELELWQEAFRSIEDIHTLLSLSKR PAKNVMMANYYEKLARIFLVSENYLFHAAAWSRYYNLLRQSAATLAAGQGTKKENPSV TDADMTKAVSFVLLSALAIPVISTSRSRGALVDVDEVRKNKNTRLTNLLGMAQSPTRA VLFKDALNKGLLKRARPEIRDLYNILEVDFHPLSICKKITPILKQIGADPEMEKYVVP LQQVILTRLFQQLSQVYESVSLKFVYELAQFPDPFQVTPAMIEKFIMNGCKKGDLAIR VDHISGVLTFDTDVFSSAKALHSGSAAGSAESEVGSVQRMQNTPAEIARLQLTRLAKT LHVSCMYVDPSYHEARLQAKQAAQTRAAAGAAKEHEETLARRVIIDKKKEAATDALQR KQREEETRKRIRTQQLQEAEKQRLLDEQREREKKRIKDEQDRIREQELKKQIEELKSG VKGIDLSEVDLKDLDANRLRAMKLAQLEKEKNELNDRIRTTGKRIDHLERAFRREELK HIPADYEAQKKRDMELYEALKAETLKEAEDKHKEAVALKHRLSRLVPVFNNFRKEVSE KRHEEFERRRKAAERDFEAKKKQRIKEVQDRRRRERAEREEAERRQKEEEERIKREEE ERAAKEEERRRVLAEEKAKREEERKKLDEIALKQKQREEEAEARRASRKTGFPEPPAR AEPERTAPRLNLAPRTGGGPSWRERQAAKEAAGGAAPEPAKEEPAAQPPRRTGGYVPP HLRGASAAAPAAPPSNGAAPSRYVPPSARDSGSSTPPSRTQTPATTSEEPKSAGKWVP RWKQQQGQ AOR_1_1444154 MPAYELRSGGDVKNKKQSVADLKYRRLTELNARLKEDLDRPRVK VSEAAMSLINYCNNTRDFMVPSVWGQVDKRDDPYAPQQQGGCCTVM AOR_1_1446154 MTTPEPQPKTSVATEKVHLPRITIKYCTQCKWMLRAAYFAQELL STFSTDLGEVALIPATGGIFTVTIYHSSSEVVETQETILWDRKTNGGFPEVKVLKSLV RNVIDPSRDLGHTDRALKAGNVSVKEGGAARVSSSEGKGGEKVDGEKKECEDCR AOR_1_1448154 MGVPNPVDSMDGVECSAVYIDRRVVQERWVYQSPTDSSNTSSSD ASTFPDEPDSLRSDVEVLLGVCKRIYLCNVGPSLASKLAELSDDAITTCTPIFAFFDV DLSGEDAGLSRRKSGRGSWPEVSPSPASLRRGFTFSSQSEGAHDLKLLSGLSADIQVQ ESPNLIVPVAVLRSSAQPPSSVPVDPQRNGAIPEFQQISKCLDAGAVDVLASPLSKAR MQGLVVHAFRARKSALKEQSRFMSRKKLRKYSWVGVHDEQPYAYLREAMVSKLMKGIC NPEEVIEEFQDQSELDVSPEREELIKEQIGNWNFTAHGFSDDELVLGASEILHHAFTM PNLEQWQLTPGELRTFLLACRASYNSFVLYHNFRHAIDVLQSVFCFLLHIGALPPYGS VTRDTDSKSPIASLLTPFDTLTLLISAIGHDVGHPGVNNFFLVKLNAPLAQLYNDNSV LEAFHCAAFSQILRRYWPSAFKDKQIRKLLISSILATDMGVHQKFMERLGSLQEKFYE NGQTVDGWKPQDLDMYKTLLCGLLIKCADISNVARPWDVAEKWTKILQEEFANQGEME KEVGMETALFGGPPELGNVYKLAIGQIGFMSIFALPLFEGVADILPDMRFIVEHIRNN QSRWHHLADLEKRKQILLDESGPDDVVSPCTQSPAVSLKGFKGLRAPLTTSGPDTPAK QSPPPNENSGRRETDYFGAVHSSVDSGTSRGSLNENTISPVVSPDTAGPSMDITGSPL PSQTPSRKSSNAVPDLTMVSIAGASPDRNRIRTDSGVSNGAADGHSSLDTNHSSRDPT VLAAVIFSNSREDDSQDHSSVRDSTGDITGERPSSSRNGPQNYRRHHANTNSSGRTSG PSNSQRNSCTRTHSISNYSNNMTPISPATNATSFLTVDDGEDKGFSQESSSRSDRESD RGDARPASSNIDRESEGRPPQSSHTSHSQSDEMNKNHLMAPVKGNGNGYSKQSAHPPG SPKHDGNGQQWDGSSGGEQPSRKLLKRRSRLRLAFWKRKTHHSHHHELSGES AOR_1_1450154 MASDRSPPQKSQQHHVRPNSSSSAAATAAGQRRMPTPGQSSRTG SADSPTPQGLATLNERQSQPMTTSTSASEVAGTEPPSASATPAPYGTRSRGRNAAPRP NYAEDRDIDMDLEIAQPATKAAKRTNGVPNQSANGTKTDGEKSAPSSNSRKSQTAVNG TSPASAAKDSIPGTSSFSAKLEEANGASNSRKRKQPASATTSSSANGSASKKLFTTPP GASQGHNDSNSNMVSFENRGAHLEDGKLTADDGTTFSINDHVYLICEPPGEPYYLARI MEFIPNKDVPSGPIEAVRVNWYYRPRDIQRKVADTRLCQIKHLSEIDDLEEYRKTRDC FWYDKMFDRYIHRYYEVIPTKRVINVPANVKRVLDDRWKFVLVEIGKRKELTSAVKTC KRCSLYAASTDSVDCAVCHDTYHMYCVRPVLTKKPARGFAWACAACSRAQERKLEARN TPILGESQAEVEEEVVEEEEEEPNGANGTSSSTPAIVEEEAPRPATEEQVAQARMWPY RYLGIHCRVEDALDYDDRIYPRASSRLGPRFQAIVNPWPGRPVEYVKPTDIKKKYMKS SGGRKDSKLSKEALAALEAAKQEKANRPKWVMDEPQGYVRRGEDEPVTVNGKQVRTAE LMFKMPTATQIPSRGEDDAPGADLSAADRERFIDDYMARAKEIAPDLGVEKYSTNFLD KALELLYANSFDVETALSKLKQMNKYKDLKEPHLRPEEVKAFEQGVAKYGSEWRNLTK HVGTVPHYQIVRFYYMWKKTARGHQIWDHYEGRRGKKEAKRNHTAKLVDDVADDHDDS AYDNEKAVEKKRGFQCKFCSTRTSRQWRRAPGIPPGTTTPSEPSSKQRDKGPQLTVAL CLRCALLWRKYGIQWENVDEVAKKISQSGNKSWRRRVDEELLTQLLISTETPISINSA TAATAASIGVPVAANPQVQETTKKKGRTNDKDSGATSTATSVEPAPKKKPAPEKAPEP APIVPDPPKAKTLPCAVCNKMEPMGDQHLSCRDCRLTVHRSCYGVSPSRNCVKWLCDM CTNDRNPLFSTCYECVLCPVTWTEHELMEAPRSTHKKKTERDREKERLEKEMVSEAIK LYRQRQEAVGKPIGPREPLKRTDGNNWVHVACAVWTPEIKFANAKELEPAEGFALISA DKYREVCKICKSNNGACVPCHFSGCNVQFHVGCAFQAQYTFGFDITPVKSSRRDTVQS VRLKDEVGVASAGIWCPHHTVPSVVHAVGEPTEEEGINALQRFVQNYKQADLSLTGTL RRAAYVQQSIIASQHSATSAGHRRASAVNGVTAPPPTTKDTSKNTGVPPEEATDEMAI DSENHAPTQVTGTDATRKCARCSTAYTPRWWPIDKSRRTTAADHRPPLLNGAGMNEPR FPPAASASPPYIHRNPSQHSLMKLNGEFNSADKNGLDSYECHKCHLKQIPAQPSPEPR PSPYSAQRPVLPAPRLPEYHNHSYGPHAHPPQTGVLPRPLGPPPSNGPEWYPGYEQRP GDYGDKLRNGIPVATYRGGPPPPPPHHMNGFQPAPSHHAPPHHYTSGAHPPPPPQPFP THQSPYGPVSIPSPHLSHPAGPRPYAPSASPPDVHSTMVRHSPQHSLSALNGGPPARV YSVERVLSAPTQSPPVSQAHVDPRGRTPPGKLDDAPVTAPAGPTSSIRHTNVNGTNGG SGASASPSLKNLLS AOR_1_1452154 MSARSGQPFQFHGFQTTIDVARQQNHDVAPLAGQKRLPDHNPDN AHKVSVLSHPTSTSHLSSRPVDFVRPSVAPLKSRITASEIRSVAASIPEKERETATTE DHVYDPCQYLKDPRYGLSPALVANFASVGVKSIYPWQASCLLVPGLLEGKKHLVYTAP TGGGKSLVADVLMLKRIIENPSRKAILVLPYVALVQEKLKWLRRIVQDVEKRIDDIDD QAAGVEPYHQRWKKLQKSIRVTGFFGGSRTTATWADTDIAVCTIEKANSLINTAIEEC NIGDLGVVVLDELHMLDDEHRGYLLELMVTKILLLQQDIQIIGMSATLSNTELLAEWM NANYYISTYRPIPIDDYLVYENAIYPAATSRQLFQTITRLASMSTVSLTDTMPPHRII QPPAFRELANPTTNAMVALSVDTAAAGYGALVFCGSRQACQLHASIISEAMPVPAAVD PEELSRRLDLLAELRSLPSGLDPVLENTLVRGVGFHHAGMTTEEREFIAQAYDQGVLR VLVATCSLAAGVNLPARRVIINGARMGRELVGPAMLRQMCGRAGRKGKDEAGETYLIC GKSELQAVCDLLEADMPAIESCLAPEKRGLKRAILEAIATGLVSGLEAIKEYVKCTLL YRTMDKKIAYNIMKSALQELVSEDLLHQNDDESYVATQLGQAVVASAFTPEDGLFVYE ELNRALQAFVMDGDMHVFYMFTPLQVAATTQVDWPIFRDQLDNLDESGLRALQFIGVQ PGFVNRMVQSGASLKEDTPEQIKLAVVYRRAYTALQLRDLSNEVPLSVVASRYRTPRG AVQTLAQQCHGFAAGMVKFCQRMGWGMLAAVLDHMRDRLEAGARADLLEMAQVTYVKG WTARLLRDNGFRNLRALAEADAKDLVPILMMVNPRKAQRSQTHTSEAERYAKKLLAKA EVIVASANKIWEREMQVELDE AOR_1_1454154 MPSNKHPILSYILLPLLLALSTIQIVQCAPSACNGQSTFCTRKY SNITQLGAHDSPFVGPLPQHNQNLEVTEQLDLGIRFLQGQTHKALDNANTIQLCHTSC LLEDAGTLESFLGTVKTWLDSHPDEVVTLLLTNGDGFPVSRFDEVFTSARIKDYAFVP SSSPDVLAMDSWPTLGDLISTGKRLVVFLDYGADTKSVPYILDEFGYFFETPYDVTDA SFPNCSIDRPSGASADGRMYIVNHFLDVNVLGVLVPDRIRAPKTNAVSGNGSIGAQSE LCRSLYKRLPNVVLADFVDQGEVMKAQNALNGV AOR_1_1456154 MIFIFPDLRQSRILTQSSIGNILLPTSRIVGNRYSVLCFAPKVS IYRPALGQSSTEALWSEVTVINQNCRSDGHSNILLMSSMIEGSDDRAREDELDLGEED EGDVQPKSNGVSVDEVSPEGYAEGSMAYIEEDLPDVASPNNNSFDRRNPQLDAGTGNV PLSPRIRQLGTPGSVDETASTPDDTPSLHDSLLSSQSSNALVIRGSSRASPSPSHRPF DLRFQSRLSSPSLSGARPSSPFFAHIHSRKSSLTSRLTPGTVDSDAETPLGPWDVMRW TKLRKITGQAFSEVGKRNFGYPTCLAVSTTIVIGTSKGIILVFDYQQSLKAIIGQGTK ATECGAITSLALSADHTTIAGGHVSGDIFTWEIVRPARPFLQIPPLSESQVDTHTADG HVPGSSVIHVGFLGTRRTALVSADKSGMAFSHLATRGLGAMGRTVKTTRILGRYPQHI AHGNRPRKPSSVLAFSPLPLGNVDQPTDSLGLVAMLTPYLLVIVSTTPVAQTQHKAPR PKEVAAHGAMTGALAWFPAIKLKGKDAQTSKTKLVYCWSNVLTILDVSEIEAEESPSR DRPPSLEFKARSRWKAEEAIVAVQWLSRSVLAVLTITQQLLILEDHSMRVTDSIDLLN KHIYHADLFSSQLHSLVEQFNEEDTSMHGVVADAFYMSFRSYKGRLFLLGYSDALVGA LSNWADRLLALMEAGDFIGAIRLATSFYKGSGEKLTIGLPDEDALRQPIVQEKLLEMV SASLKYAFGRNQEANTEQLGNQQLEELAEVSISACVCMDDEDFLWDEVFNWYEEHDSQ GVFLDALESYIVEGTVRTLPPTAVKALISHFATNHTASRLEEIICLLDTATMDIDQVT TLCKHYNLYDAFIYVWNRCVGDYVGPLQELLALVPPQGTWVNGGSVDELKRYTNAMKM FPYLSFVLTGRVYPTGNDMDDDEATQAKAALYEYLFSGNLSGAESGVHTEPNGLFSDL QAILKFDTPSFMSMLNEAFEDSFLNEQEPDETPFKGISINRQYLISILLQVMTPSAFA PSDTIYLDMFLARNLPKYPQYILLSGSTLHQVLERLCRYPSQDMAEDCELSAEYLLSF YHPPDIQSMIPLFQEARFYRILKSTYRSEKQFPELILTYLEDRSGQEAIFTCLQDCLR AGSGLGKRQRRDVIEVIKTHAGQIAGIDVQKAAQTIQDYAPETHAMFLQALENDPYEQ YQYLTVVVDPTTHPGAERRPSKPVDNWMIERYVQLLCKYNPSHVADFVDELRVGDVRL EELLPSMEESGVVDAAVILLARQGQIRAAMDRLIAHLKTLESGLVGILRSKQETPDSA STAEAIDDLVESLNKYVRVGTWLCQGQTKTAQKPRTVERNGTGKHAVDQPLSFDENLW LDLIEAVVRTASSVFALIQKEHAESKLTQLAPMTSRMGNNAAQLMSSVRTLVQQVFTA LLSSTVRVGGASTERNDVAFLRILRAFLTRASHWSPSLLELRAVLASIFSAYTYEKSL LALANGMLDRDLFVHVDEVTRLRQRGWRPRGQVCEVCRQRIWGPGVGSEFWEAWKQRQ ADAHQRRVSRQIEGRLDPAVARGKGKAAAVAEAGQAAHYHDRHADVEPVDDMRGSPEN GSEMPIGPAVVFSCRHLYHRQCLLNLDHSAHTSKPHFRREGSDWELLSCPICPVHNE AOR_1_1458154 MHVLSTLTQALSLWAPLAAAAYTLQDDYGTDTTFFDKFSFFTGS DPTHGFVKYVDRGTAQNTGLIKADGTIYMGVDYTNAAPGGRQSVRISSNKVYNHGLFI LDLAHMPGSICGAWPAYWLLGPNWPNNGEIDVIEGVNDQTNNQVALHTSDSCTINNSG FSGSLLTSNCYVNAPGQANNAGCGIKDNSAQSYGNGFNSAGGGVYATEWTGEAISVWF FPRSSIPGDISSGNPNPSGWGTPSARFAGACNIDSHFKDLQIIFDTTFCGDWAGGVWG SSSCASKGSCNDWVANNPAAFKDAFWRINSLKVYQGGAASVASNVTEWKMDVGIGRRS FIRQRRENWGN AOR_1_1460154 MNLFSAESGLKASVVAFLLSCTFITTSYTTTFAFDHPLESIILA CFLAAISLLITSRFADSFPTISSPAHKYSAIPLTELSLSTAEEPSSPSNHNGNFPSRK ILGSSRWVGVCILSGMGCIRIALYHQVNVNNECAPAGYAYMIPFLIALYDYWRNQRGR PNQKWTAPEGPSNAHLRMLVAVVSRAHSYVCRSRLRNVLSAVFLMAGGLLVTSFDEGR QSTYICPIISGLHPRFRAYMSLGVTLDTLILIGAAELCREGNRSRDGRKKQALVSWGY SFLGVAVICTIAAFILRKVAPGDGGFVNSHYLRSAAGQGILVAFTVLSAFQLMPFYGA VGISILAGSVSINFMLASALFNGQAFPLILASRAFAALLLTFLGVMLYLYGQTASEEE PQSLYGFNVFMRIFFSVIFGIVLILVAHQPSVANVHPIDLLIYEGRQHHDRWKSSANG SKNLAGAVAQYRARYNQHPPPGFDKWYEYATSRSSVVIDEFDQIYDNLLPFRALPPEK IRELTHQLATNPYNDIGAISIRNGTARVQEGIKPTHAWMVIGAAKIIEKFSEHLPDMD LAFNLNDEPRVSVPWEKMSVLRAQARSQAPPPSEGLTNGWSSDRSKGWAPIEPADQTT ETMFTDSSFVNIFDRYVGALCPHSSKARSRRMWDRHHICIGCIRPHSMGQFPSNWTVA TDICHQPDLASFHGFFVSPASFKVTQDLAPVFSQSTISGFGDIIFPSPWNYVDKIKYE PSEEHPDLDYVEKENRLFWIGGTSEGVSRDGQWQGMPRQRLTHLVNNNTYNKVSVLLP ADNPGTYSYQILDGLAPTEKLGLNASVHVTDPIVRCRKDCEDQKQELGTAGRVDFQSH WNYRFLFDADGAGFSGRFLPFLQSHSLPFKTGLFRQWFDSRVTAWLHFVPIDVRLHGM WSTLAYFGGVNIPVGVDDNGQPKAMMEPHNLQGRWIAEEGRKWAERALRKEDMEIYFF RLLLEWGRLTDDQRDILGYTE AOR_1_1462154 MPRKAIDSRIPALIRNNLQEKKRSFFVVVGDRAKDAIVNLHYIM SSHDVKQNKSVLWAYKKDLLGFTSHRKKREAKIKKEVKRGIREPNQEDPFELFITLNQ IRYVYYKETEKILGNTYGMCILQDFEAITPNLLARTIETVEGGGIVLLLLKGMSSLKQ LYTLSMDIHSRYRTEAHDDVVARFNERFILSLGSCDSCLVVDDELNVLPISGGKNVKP LPPPDSIDTSNTGTKKELKEIKESLADSQPVGSLISLARTVDQAKALLTFVDAIAEKT LRSTVALTAGRGRGKSAALGVAIAAAVAHGYSNIFITSPSPENLKTLFEFVFKGFDAL GYLDHVDYTILQSTNPDFNKAIVRVNIHRQHRQTIQYIQPQDAHVLGQAELLVIDEAA AIPLPLVRKLMGPYLVFMASTINGYEGTGRSLSLKLIQQLREQSRGGLKASGGEDIDV ADRATGKAAKNTDKSLGGRSLREITLSEPIRYAPGDSVEKWLNKVLCLDATLPKSKMN TQGCPHPSQCQLLQVNRDTLFSFHPVSEKFLQQMMALYVASHYKNTPNDLQLMSDAPA HQLYVLVPPIDEDATKLPEPLCVIQVALEGRISRQSVLNSLSRGQRGGGDLIPWLVSQ QYQDEDFAGLSGARIVRIATNPEYLNMGYGSRALELLVDFYEGKFTSLSEDINEAQEE MVRVTDEELTNSNLLDDNIHVRDIRSMPPLFGKLSERRPDALDYLGVSYGLTPSLHKF WKRSAFAPVYLRQTPNDLTGEHSCVMLRTLSSGPNDSSWLGAFARDFHKRFLALLSYQ FREFPSVLSLSICESANAGAKLDPSITSAPLTKSDLDSAFSPFDLKRIDSYANNLLDY HVILDMVPTIAEYYFSGRLNGKVSLSGVQQSILLAVGLQHKSMDDLEKELSLPSSQLL AMFLKIIRKMSTHFRSVIEGAVAETMPSEQVPVTQASTDAHDDVVADERFKPLETGLD EELREGGQQVDAELREKQRALIDALPLDKYEINNGSAAWEDAEKQIRAGGASTVSIKS SKPSKRKKGESAREIYDQEIDSKRQKIIKKGTEGKKKH AOR_1_1464154 MWQPSSALLLVASLLTALPVNADGLYTKKSPVLQVDHKSYDRLI AKSNHASIVEFYAPWCGHCQNLKPAYEKAAKNLDGLANVAAVNCDDDENKPLCGRLGI QGFPTLKIVTPSKKPGAPRVEDYQGQRTAKAIVDAVVDRIPNHVKRVTDKDLDKWLSE DEKAPKAILFTEKGTTSALIRALAIDFLGSIKVAQIRSKESDAVERFGIEEFPKLVLL PRGEKEHIVYDGELKKKPMVEFLSQVAAPNAAPSATSSKSSKPSKSSSARSQSTTVLD DEAENLKPTESPDPKVVPDNAQESKPAQVPIQAPPITSLPTVEALESACLTPKSGTCI LALLPESTEADADIPSSAKEAVVSLSEIVHKHAVRQAHLFPFYSIPAINSGAATLRAG LGLPEDSKSVEIIALNGRRGWWRRYDPSDNADYSLARVESWVDAIRLGEGSKSKLPEG VIVTEVEPEAEPEKPTADHDEL AOR_1_1466154 MAGGMVDTPATGQAREALGMSMLPREIFWMILNYLSPRDVVRCR RVSQSWSQSFGNPANLIPLLRTFFPLAKEVRELHGKDIDCVLETIEDEIYWCRLFDQL ASRLCDDFGISGEREWFHVQPWENHASHLMQRVDCPFPESFWSYEDGLVVYSSADHSC LVLLDLDSDRRFMVPFIITGKVIRRIRLQKRVLVVEWAEPKAFHWLNDSDGVHRHFAS SFDVTETASGWSVKFRNEWKIMFLGHPLSERDRFYSTHSETHYAIYIGQPNRSLYTAD DDAPIESLSVWDISKPSDYRPSLDPTGRLREAGQDNGPSIITRFGFRELGFYSVRQRG FPGVQCLNISEDNQSIDIVENLCTGPVDRLVAPTEWTSQVQITSIPISGEGPCWRRFD GQVLPPYRGNNSLQTQPLSFAICDEPWYAVVSEAFDEKAEVGFCLHLSPTTWPFDLKM FLSIRTPSSIKTLKHEEIFELIGKGRICGNERHLIGENANRELVIYRFDR AOR_1_2840154 MTLTVHHLNISQSERVVWLCEELAIDYELKTYKRAPLLAPPEYK ALHPQGTAPIIQDGDLTLAESGACIEYICHKHGGGKLFLPPTHPAYADFLYWWHWSNG TFMPTIMQTMSLRALNASRDSFQMTLTNSRFKKAFAALNERLRGNQWLAGSNFTVADV MVVFVLTTVRYWVPYSLEEYENVVKYLKRVSEREGYQKAMKKCEPELELALGVEPPTK E AOR_1_1468154 MTTSPYPLSSSLAQPQPVIVVGSGLAGLSAATQLISHQVPVIML DRAEKPGGNSIKASSGINGAPTKFQPEDTNDSQELFLTDTIKSAGDVFASSPAEEQKR RESLISTLTASSAEAVYWLTDEKGVDLSKVCRLGGHSRPRTHRGAGQRPPGISIVSTL LDSLETSSLFQLRSGARVTKVLREADEVLGVQYTGGEKTETEDNTTTTLNGPVVFASG GFAGDAHGLLAKYRPDLAGIPSTNQAVEGTQPLLENIGAGLVDMEQVQIHPTGFVDEK EPSASVKILAAEALRGEGGILLLDNGNRFVNELETREHITNVIMSSAKPLETDARQWD VSLLLDEGTAAALGSHMGFYLWKGLMRKTTVHELDPPVLETIKTYADIVSGKQQDEFG RAAFGNWTLKDVTPDSVVYIGKVTPVTHFTMGGATIDKRSQVLDKNGAPIKGLWAAGE VTGGLHGQNRLGGSSLLECVVFGRIAGNEAAAFYKKHYAS AOR_1_1470154 MDLTDQSEPYLTSKASTLWLMLTLSDEHTQFNEFDELNWIVTAF TLTSTTFIPMFGQFADVFGRGETLHLSLFLMIIGSVLCAAAQTWGMLLLGRALQGVSD AGLMNVVMIILSDKVSLKESAKTKSLFTLVGGIGYAVGPTVGGYLTDANWRYCFVISI PIAVIAHILVFILLRNELVEGTMFKKGSRLSGILPALATVDIIGSILFIFGVGLIILA TAWGGATYSWSAPQVLAPLVVGSICFVLFFVYEYFLEPGRIFARIFPKQVAMLPYSMF ARRDTIWLAIVQFSTGAAMYSIFYYIGIYFSLVEAYPASKAGVQLLYYIPGMGVGVYI AVFLCNVWPAQSFFPLNIGTIVSTVGLAMVVYAIHTQNTSLINGMMAITGAGTGLRFM PATLHMVGVWPEKIAPAQSLMRFAQPFGGTLSLTIMGSVFNNKFARASVVSGGGGLDV HDTNSLAFIADLPEEAQRSVRLVGRDAIMWAYIAVLPIMGLSLVTGLFIGNVWIKPKS KVDEEQREGLEDEGSHSEVIYVPYLWALLKGNIDSYKKTNRIIPESNSKSADLNNS AOR_1_1472154 MPPTKQEISLLINPLVPENVQHNNRILANLHSITSFLLGLTAGI LALQSATGFLFYLAGTVLVSGVFHIFLLQSSKGQGAGVFFPGPNVGEIEGINDKGMVW ASGRQMRRKDSWRDVWLGGGVFGEALSGFVLGWAGVGGVLR AOR_1_1474154 MKPSQLSLLVLLFQASSIQAKTYKKNVPTFEVGPDVVITDNKIE YDDPDCDPGFTCRTSKTCAAPGTVPTLTGDKKYFSCCLKGLNLLGSPETAFDCCAEGH DLAGSGEVGYRCCPTGQIYDGLICKPVCANGKVLVDGKCVCPKDTVEGLDGACHEQIC TSGLTSGKCYTFTAPNGNTLGSGADGIYYAKPDDMNFHYGKFQLCLDEKCEGNLPINP QDGVYIRDLYGDVKTGANKGQWLNNAKDGAHIGKTKDFAAAGKFSLSKWPCGKYCLGG VEWGVGPACPSLTPAITFFSQDPQMCTAFDLTEIPCDIKAPANNCIWKSGKNQCCGKV DCGL AOR_1_1476154 MRLLHTLTFETRTFPDGETPPYAILSHTWEEEEVTYADLQDLQG THATEKKGFEKIRSSCYTANTNGFDYIWIDTCCIDKTSRNADLTEIVGAINSMYRWYG EATICYAYLADIVSRDEMEGSRWFTRGWTLQELIAPRNMVFLNKHWKVLGTKSELRNE ISKRTRIPIDILMGKMDLEQTSVAQRMAWAVGRQTSRMEDRAYSLLGIFGVNMPLLYG EGQMAFIRLQEEIMKATEDESLFAWTSRSDIHNRLLAASPDDFNESSDVIRKPEGYSM TPKSPWDVSNRGVRLELPFLATSECGIGLAILNCTRRGKESQSVAIYIRDIFLTFERF QRIDCKRLALVDLSLFRPNQYPSRLMTFQHQRVAGARMPDLNWKPCPDLSLYLQLNAR SEVLSMEVVAPIPQSPRLKFIDHKRLLHAVETENAGQLLDLLSYVNVKVNPTYNEGRT LLSYAAEKGNMEIAWLLLSRRDIRADKEDDQGRTPLSYAAEAGHINIVWLLLSRSDVN VSSNDASGSTPLSYAARSGNVPLTKILLSQSEIRRHIKDGNGRTPLSHAAECGRHDLV RMLLDMSDIDADEPCKSGQTPICLAAANGHANIVDLLITHGVDVYSSSNGISAAKLAA DHGHLEVENVLIKRGAYLPPDLARIHALRDFFL AOR_1_1478154 MASHIIGNRNSTPDASKSTLRPPSSSRNLGSHQLRASADMSGFP SPLSSRSIRPSSEVYFNQQSQASNNAEDPLDRAAQQWLADIDQYETTLEEMAAATLDQ DFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMAKSHPMSGLLS PANFGEKDAMSNRLNDAMSKLNVDSSRNSLGRPPPSPGAKRNSGLDSSTINAMFPDAA AAIAKKKAEFTQQTGNAPPSNRNSAVFGDRTSFVAPTISAPDNNTDNLGQPPVSPWAQ RGPDSQPPIARPKSSSGHQPMGQFSQASSGLRSPLPQTATIPAPEIEAPLLSPYNVGN ASWASMTNTPMTATFAQQQQQQQQQNSQADMVANATAMKLAALSTVNNRIALDDARKY RRARSNDGQGKNANAHNANQNMPQGGLASPGLPGPNHLVAGQLLNAQQLAALQAQQQA AMAGRRSRPTSPGIAMQGGSLGPMGFTSPQNNGFLAAYDPNNPLMGNGLGALGIGQFG LGGHEGYLSDHSEVTRGRSPRGRRGSSKPPEDPTDPALLKDIPSWLRSLRLHKYTENL KDLKWTELVELDDKALEERGVNALGARNKMLKVFEQVREAKTDGKLDNIL AOR_1_1480154 MDYLDQSNHDTQAGEVAYPDIDETIHYPWQGPQQTAQSDPIHSV LDPRLYKDLFPSNSSQLPEEILDEDEGAEEYAEIDDSAEDSTYEFSPEESSTDEELTD EGDEGDDDSYSRRRRRRRGTGPFSGRFGARGGKGIKRGPRKPLEPSPEFKILHSEATS AFIDGDYDRAIELVKQAIQINPEMFAAHSLLSEIWLAQGHKDKALTALFSGAHTRPKD PTVWAKVARMILERAGEDRQSALNDVVYCYSRVIDVNPKNFNVRFQRAAIYRELGYNG RAATEYERILKELPHNARALRHIAEIYIDLNDVQKAVDHWADSVEYFLSLDPEEAPEF SWSDVNIYAELYGYLSQPEKGLWALKTLSRWLLGRKNDTMWEDFDEDDREWDVSDSPR RIKADGYEPGRWPRDSYGLGLPLELRIKLGLFRLRMGYQHKDEALHHFGWLNPDDTSE GARLYDYGDLFREVADALKEVGLFEDALRFYMPLQQTEEYADVSFFMATGDCFRHLDR LEDAENCYLTVAEHDARNIESRVQLAKLYEGIGMTEQALKYVNEAVLLGRQETRGNRR RKDTRLEQLVMEFKLADGEIAAPGLSSALPHPVGNDGTAPTLTTKPAAVFGRKDSPES ENERTESIQFLYTKLLQLQPKVKGGDLEATEDWLDIADALLREFRSNRVFYPLQRNAM FLGYSRDAHKKAGKKTMMDEMQEMAGRLHESLGTITEEPLQGAIPTDYHGITFDEWLD LFLQYALVVAGQGEPEEAYDSLAAAADASIWYHSKPSTRLIHVCWFTCALRAQDEETL ANEARWFIKEYQFVTDTYRLFSMLSRLCGDPHRSLFHSSANMKFMLRQIKAMDYTIPD GTTGLRSSKPVRESIYQERARLSTRDENGEVIPAEAMDVALLVLYGHILYSGNSFLPA LNYFFRAYALDDQNPTVLLSIALSYIHHSLKRQSENRHFMIMQGLAFMEEYRRVRERP GSLLQERQEMEFNYARVWHMLGLSNLAVEGYQRVLELGKQIQAESRGAKPVVQITRHV DDDVEMRDAEMDKEPFVEDFSPEAALALQTLYALSGDLHLAKDVTANWLVI AOR_1_1482154 MPSPSSLLRTLCAIALLGLTAAAPSVQTTLTNGPRPIYAIAHRV LRTEAVTAAISHGANALEVDLHGTDEWWADHDCKKNSAGDTARELFQFIAEERRNGAN ITFIWLDIKNPDECPQHEPCSIQALRDLVRETLEPVGIRALYGFYQTEESQGYKEILH SLNENEAISLSGSARDVFEMYFTTSRSLPVKQRIMDHGDVNIQKNFGDCHERGGTTCS ELRNGRSARNRGQLGKIFAWTSTEGDTRYVSDLLSVAQVDGIIYGSQKHDYKDEARTR NAFWDILDFVKANPDAVRMATADDAPW AOR_1_1484154 MGTESSKIIAYFSDTITGMTRICGELYVQAVLMKDRLDALLDNE LFDWDIGNVADGRDLITTNAIQGVTADKDEIAVSLSLQTKLPWIIGEFPFLWYR AOR_1_1486154 MNSPEKSAACDIYDPKSIPDYDREFIDPDDLRQFENALNDNESN SLVALNDWRPIYQRVRKNRGRRKKPRRTTDETREGVLYTVLKWPFLFIVFGWITVLGF AYALTRFYIVLYERWVSWRGKKESLRRELWKQTDYNNWLKAAQALDNHLGNQQWKEID EYAYYDHLTINKLVNQLRKARTDVELQMRNGVSSSTVIPATEELCALLEGCVKNNFAG VENPRLYSETYSGTKNLVQEYIDEVEKCIQVVSNNKWVSNEDKYHHFKHLDTNFGRTA LCLSGGATFAYYHFGVARALLDNGVLPEIITGTSGGALVAALIATRTDEELKQLLVPA LAHRIRASSEGMASWIWRWWRTGARFDTITWARECSWFCRGSTTFKEAYERTGRILNV SCVPSDPHSPTILANYLTSPNCVIWSAVLASAAVPGILNPVVLMTKKRDGTLAPYSFG HKWKDGSLRTDIPIRALNLHFNVNFPIVSQVNPHINLFFFSSRGSVGRPVTHRRGRGW RGGFLGSAIEQYIKLDLNKWLRVLRHLELLPRPLGQDWSEIWLQKFSGTITIWPKTIP SDFYYILSDPTPERLARMLNVGQQSAFPMIQFIKNRLKIENAILKGLHQYSPAVSPAQ SRRKRGHAGKPSDPMVERLDHNLPDRQPDNKEDLSDSSGIDSNVSSRDSCLQPSSNRR NRRRSTGNIFQEMRRQSAVFFDDSDLYAEDDKKVE AOR_1_1488154 MEKLNNEDGQLFIKNLASFVRTHEKALANALQLKRQPSKNASTQ SSSSTSSASSALAAALSFGALKFTSQTIKPAKLTLTPHHLFYLLSRFEDLSIAVGPMN VRLENIHTDVSQSYVSFLNKPQRSRGDRDSIHSVSSVRSVMSGMSALWSSFGLGSKDS VSKSEKAKAALEADLKYLYSAFTKIPCLRLAPDRRARLIRGYEEFPFDTAVPLHSFKN LGGLEIIDIDFRSFFGWDRLSEQLRTLTVKRAKIEDPADLLTGIVLDDIDKRRRRSSK NQQSPVLGWSGNTYPQPVYKSDIPGSLSAPGSPVADTAFGTSTSPQAVPMLRVGSEGA RGHARTGSISPTRPTSSKHSSHRHSRRIRRTGSGSSNSSDNTGHRNGSSSNLAAGGLP PSKWQFLRHLGLPDNSLTSVTAAGLAPVANTLYSLDLSANLFTEVPDSLATLVALRAL NLSNCMIESLHSLSRNPLPAITALNLRGNRLRSLAGIERLLSLERLDLRDNDLTDPTE IARLTSLPEIREIWVSGNPFVKTHSGYRIVIFNLFRRTPGYSEDIIIDGSGPGYTERK QLVDRVAEPEGTPIIRSAAADHSAVVSKPATTAVPGAAAAGPAEGEDGYARRTPQNEY GVGSTRRKKGHRRRIVNTSVEKASTDGKETPGAMVPSVLPIQHIQLPVDPFVTPSSDR QRRSDGGPQVGPAKVRSPERVDSDPDLPSQPLNESLVIPRVVQELDWNADGQFYRRQL EALKHDVGNTWLHALGDRGWDQPPRDINIPRTGVNLGDSAIIPAEALTRANNLPILSG GRP AOR_1_1490154 MADQEVDLDSIIDRLLEVRGSRPGKQVQLLESEIRYLCTKAREI FISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLET ICLLLAYKIKYPENFFVLRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPI AAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSEN DRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFD NAGAMMSVDESLLCSFQILKPAEKKQNRFGRR AOR_1_1492154 MWIINWFYDVLASLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAVLQPTAHPTSEELAIGNNRFTTFDLGGHQQARRLWKDYFPEVSGIVFLVDAKDHER FPESKAELDALLAMEELAKVPFLILGNKIDHPDAVSEDELRHQLGLYQTTGKGKVPLE GIRPIEVFMCSVVMRQGYGEGIRWLSQYV AOR_1_1494154 MANFRGGDRPFSSIQHILKMLLSPHQSNKSAPQESTMTEDIDEP PDHRFLNTAPMNVDDGVVYVKSTTAMSDKKESLLTRALRHSPSEELPSPRDRSFYRSY PHSNVSGVSTAELTSDEGLSSPSLSSTPSPPLPAQLSSKAPSMGSSKKLTVQTSESTV EANLGRKRCISFACGRKTEESKAQPPTPQRPTAQKNDTNPTSTEPAKRRTVLTFVCPA RDPETKRERSPCRGISVRSRPRGSPAPIARKASPEKAMVPVAQNQEKPATEKRGVPTS GLGKFEESEATRFHEFASSEEEDDEWVTKSGDYTDKITMSDCMKKEIAIRKLGEEAEE EALEDEEEDDDEEDDDEDDDDDESTLSSDDGNESDNEAGFADSDESDDGSEYEFWAPA STTAATSPLTLEVPPTVLPRRDSNTSFDSGHDDLSRRWPPALSGKGINRAKPMKMRPR TPNLPDSTDFVCGTFDEDRPLEAAYKSCIEQRRLSKQIIIPQDIDPSFPTSDLDEEDD DEDDDLAESMVDEPARGRSGADQMRKQSPRLSPKRMVSPPPPRRHGRSSPRRLRSPPP PMKLKSPVRVERTASDEATHLQAPGMNISELVQRPHVARTKSLPRTPNPFFAKQDGSY RWTGIVPLRESPERELSRTREVHTRGPIDIVEGLEKKRQKRKEKYWRQHCRKAAKEQM ERRPIPGKGAERMKDLGLAVAERCRAYGVGEDAQLVLSV AOR_1_1496154 MSGLLGEVGTPCPSRFSRFDRGSGGDSSSNKLWEDSLENCDETA NIEFTTEIKAPLLTGVKPRRRTKTGSSFAIHHDGEQNLVQTANPRRRETRPAVAPSGR KMSLLAQPAQRFRPKASSTASPPRNSKPLGEPEKRLRNTKLDAQKNRELLMQINENGR KTPSKNALRTDVRRNTVYIPTDDTTVASLFMGISSPAKSNNVQYYVPEDTRVNTLESQ IARKRQAKRSLASSAQRIPLQPSAKVKQEPCIHVDIAGKNGGKENVPPGTCLLDKEKG KVSQSMKINDEFENPRTASKPAQTQLRGYPSTKSMNPLAARPVNGTIKRTVTGENRNN AKTPSVHARGSKVEKRRTNVNRTPSVSKNSTFANTLRNSEMRANPSKDSVVRPKSKHI DDKYPLVKEDITNPELYEEDWLSHQEIMITQLANGLFDQANESLSFKEPTVLRQELLK LYQTTSFAHLYKRVQASLLYGAMSIPKDVLVRNDRLQQDVGMKRRFLDFWMQTYDPRA LRAAVETVTGRRMTDNKLDQETSHSHPDMDSGNGRALKRGLENFLNTFLLQNQDMERY AREFSSSDLEVGTTYHRTVLRSIMIVILLDKGMSSPGTVLPRCLFLRSSQLKSSAAVI QALARFLLPSSGDITKTLGHLDCQLVYEQSALQEYEYQISNLAVDMRDGVRLTRIVEL LLYPTDLSLADGERLGPLSQGLKLPCLSRTVKLFNVRIALDALACSKSSYKLVRRIRA EDIVDGHREKTIALLWGLVSQWSLAGLVDWYELRKEINRLKQKAVTQYGYELVKDEGW FNGDFEGLYGKSDDATSLLRQWASILGCLKGLRLENFSTSFADGKIYESIVDEYESYI LKGDQPLSTELKGLPFSLQSRLRALGCSAQLAYLISPGSSRSHILDSDFTLGALTFLC SRFLSATKRARAATVLQRRWRQVLANRNLQRRTVARDVARQCAAVVQTRDRILWAKDI IILWWRTLKAKQQRHNSTDSRYEEQIPMSKGVPLRGQWSPY AOR_1_1498154 MELIIGLCLLAPLLFLYTPLRRFIGLNASELPLPPGPTLLSGPF PEKDIAKTFQKWNKKYGPIVSAKIGAQQFIILGSRRAAQDLLERRASIYSSRPASKFL DKYLHKGLASAFMPYGAQWRLHRRLGSSLLSERASTAYRQLQDFESKRLLHEFLSTND FSEAFLSYTSDIMFTLVYGKGRGKDDSDHKMLYQINEMATFVLQKASFGTILLDLFPM LDWLPHCFLTWRKKAEELHYKTKEVYTECGNIALGGDCWNWSHEVSQRSEAKELPWED VCYALGELYVAGIHTTKMVLEILIMVCVLHKEVKQKAQAELDSVVGEDRLPSPDDLEK LPYIRAIVSELLRWRPISPIGVPHAVIQDDEYMGYRIPAGATVVANQFGMNMDEATFD NPAAFNPDRYLNNPDLPVSAFGFGRRICPGHRLARGSLLIVTSRLLWAYDITSAQGDA DLGDEYSPSSVKAVFQPRSVKHEQVIKKEWEESDKDEKRILERIRDRI AOR_1_1500154 MESLVYENSPLADYLQGEGEHDPSWPVKETENSDIASESTAADF APRGASKFQERIRNRLPKPLDLRSSHQKAALGRLYDACTSALNSRVGRSDNERFLEQF GYVIVASQLLNEHSAPSYASAADVLSSSRSADLPSISSTFGLQGAVVTASTSFSIAWL LHWSRPRTGSGLNPRKVGVLLVLVPVIGVLFYAFAKRQWLKYLRHQAVDAAGFFIGNA QGFDSAASASVVFIQEVELVSRGYRISTPLPPISRLEDQAQTRRCLRLRRTVSECFYA LLKRYLQAQRSLQPVTDNDNLAKYYDIYDVSEEELAEAELALAERATEDQYSLRALRT LFGRLYIVRKSILCCLLALGADGGGSDIARWTIAIEQMQDLAEVTGKNTEKMTNILNE EDSNQVPRSPLPTASPNKEHIRAQYRKLNSLSQAIRALHAKMHLIREMSSASLEQTDA DENDENEATLASHYESIGTDIKNLLQEWEDGKAAMISNTPDKRLNVDRLSRPLSEWKL PSSPTPSLGGATAVEGSPADALKALNGERPDSSIIHTIDDEEEIFEAVALPARSKRQS LTREERIARVKEDRAKQAAALLEARLEQASLLKRVVDAIKDLVQDCNFDCNDSGIALQ AMDNSHVALVSMLLKAEGFSPYRCDRNIALGINLVSLTKVLRAAQNEDILTLKADDSP DAVNLMFESAETDRLSEYDIKLMDIDQEHLAIPETEYAATVEMPSAEFQRICRDLNAL SESVVIEATKEGVKFSCQGDIGSGSVTIRQHTNVDKPEQNVSIALSEPVALTFSLKYL VNFCKATTLSSKVSLCLSQEVPLLVEYGLGSGHLRFYLAPKIGDEE AOR_1_1500154 MLEARLEQASLLKRVVDAIKDLVQDCNFDCNDSGIALQAMDNSH VALVSMLLKAEGFSPYRCDRNIALGINLVSLTKVLRAAQNEDILTLKADDSPDAVNLM FESAETDRLSEYDIKLMDIDQEHLAIPETEYAATVEMPSAEFQRICRDLNALSESVVI EATKEGVKFSCQGDIGSGSVTIRQHTNVDKPEQNVSIALSEPVALTFSLKYLVNFCKA TTLSSKVSLCLSQEVPLLVEYGLGSGHLRFYLAPKIGDEE AOR_1_1502154 MSGNPTSLETDERAAYLLDAQNKAIQLFDEIERDLLRSGVGEKQ LSNEIYELAQRHGVRTHWHKRVIRSGPNTLKPFAENPPDRIIQPDDILYVDLGPVFEA WEADFGRTFVLGDDPHKKQLRDSLEPVWNKVKSRYLENTDMTGEELYEIACDEAKQSG WDFGADIAGHIVGSFPHERIPKDRVTLYIARGNNRPMTSPGKDGKRHHWILEIHLHDR ARGHGAFMEQLLTVG AOR_1_1504154 MARFWGSIASISLLATAFPVWSQQVSKVQSVGASVWTSSGLIVG HAAPQRSNVSEYLGIPYATPPTGDLRFARPVAYHSNSTVRASAYSPDCPANIGTTPDD YPGFTLQAQKIIQTFAQQLGTPQSEDCLYLNVWTKLTAPTLKPVLVFIHGGRFSLGGA HSPYYDGQVMADEQEVVVVTFNYRLNIFGFSGAPGFPQNVAILDQRLAVEWVHRNIEA FGGDPNRITIFGQSAGGASVDYYSYIWTEKPLVSGFISHSGTALSFKPNTPEESASYF YHVSQTLGCGNSTTATNHIIHCLRQQPYKSILKAVAKVPTASSPVLPQPVFHPTVDNI TIFNNYAERSASGNFTHIPYLVTSNANEAGYYRVSAYAANISHPDPVWNLFNQAAFTC PSGQTAAHRTAAGVPTWQSRYFGDWDNLRLYPFSGAYHGIDLPMVFGTASKISGIADS EKEREFARYMASAWVAFAADPADGLSRFGWPRYDENEETLVGLAYGNSTAARFFVPSE FEWGCKELDGGTMPGKGAF AOR_1_1506154 MADFSEYTTPIEEWLVLEKSLPKFPEDITAEQLKAAANRDREAL AAKAMVEEGLASQVSMQDYKIPTRDGETLEARTYRPSSVPATQRLPVFIYYHGGGFLM GTLSSEDAICSRVVVAQVAAGSPVVVVNVNYRHTPEYTYPTAWNDAEDSFHWVHDHID DINGEAENVVVGGISAGAYLTVSLTLAQNIGKDVSLAQRPKIRGQVLMIPALVTEDCY ASQVARLRDPSVSSYVGCEHAPILPVSRMRLFMKLLKPAVNGSELETDRRVNPGLATA DEVKGLPPTTFGIAGRDPLRDEGLLFAMLLAENG AOR_1_1508154 MYKPNSMWTWSFCIVTLFQAVVTLALECYVFADFQLKLKEIAVN VTASKTIPTFLALYSFGFVYELVLVYDALRLKNTIQIIGLCVCNVGLLIYGAVQVEQI KDAIGVLNDNSAIDPAVWGQIKPFLIIIPCVVAMGTLLMMIVAWKLYDEFAWSIYKHI SADLRMKRRYLTYQIYIALLKFDFFFFLGFTVQFVVIVTNRHDAEFALTLAAIPVTIL ILLAAALFVRRESSVGMIVIILLYFAALAYFLFKLYRIYDKNTYQEYLQAQRSLTFFA VITLVLIVMTIINACMCMHNFHKGLKPHVNRKKARKEAEKTTELSSNITGQVPSRMEI D AOR_1_1510154 MASPRAASPLTSGAESGPDSKASGSGAGAAGSVSSVARTSSPTP PGGPRAALRRRAAADHKESLRNARPSSTRAAGAGGSSGTMLKLYTDESPGLRVDPVVV LVLSLGFIFSVVGLHVIAKITRKFSS AOR_1_1512154 MSETANFVAPLSQGFGYGIIVGLGFAFALVMVFITWALKRYQYE VQTSEMFSTAGRSVKSGLVAAAVVSSWTWAATLLQSSAVAYQYGISGPFFYASGACVQ IILFATLAIELKRRAPNAHTFLEVIRARYGTAVHVVFIVFCLMTNILVTAMLLTGGSA VLTSLTGVNTVAACFLLPIGVVLYTLFGGIKATFITDYMHTVVIIVVIFIYAFSAYAT NDTLGSPSRVYDALVAAADRHPVEGNAQGSYLTMRSKEGGIFWVINLVGNFGTVFLDN GYYNKAIAAHPVHAFPGYVIGGLCWFAIPWLCASTMGISALALEGTQRMSSEDVTAGL VLPFAAVKLLGYSGAVCTTLMIFMAVTSAFSAQLIAVSSILTYDIYQAYINPSAKGKR LVWVSHMSCIFWATAMAGFATGLYYAGIGMGYLYLLMGVIISSAVFPGAMTLVWKGQN WVAAAASPILGLAVSLIAWLVTAKKESGVLTVTTTGANYPMLAGNVAALLSPIIFSPV LTYIFGPQNYDYESMRAIRKVDDTDVAAAAHVDIELIPGENSPATINAAKDQEEERKL NRAALYSRTLTIGMVLCFLLLWPIPMYGSSYVFSKKFFTGWVVVGIIWLFCTTVGVVI FPLYEGRESIIRTARLMALDAVGKKPRFEGQEQASSGAATPMEKVGAKSDV AOR_1_1514154 MAICITFGTHEFTSMLEGYENVRAYCYNCQHYNGHCITRWPWFT VCFIPMIPLATKKYKEVTCYTCRFTQDLRDRPDITPETRPPAGAPAGPAPPPQAYWGP PPQASGGGGYPQPQAGAPPQNYQYK AOR_1_1516154 MSSEKKDKLEPQIKSVDMTEDMQQEAVEVAIEAMEKYHIEKDIA QYIKREFDSRKGATWHCVVGRNFGSFVTHETKHFIYFYLGHCAILLFKTQ AOR_1_1518154 MESTRVFVSGLPPTFSNDQLRKHFASRFQVTDAHVLPKRRIGFV GLKTPEAAKEAAKYFNKTYVKMSKISVDIAKPIDSEPISAAHKFKKGDKPDSAENTLK RKRDGEPTQQDPKLQEYLSLMQHSSHTKTWANDDAVINSVANDSPAKDPQPVEADEVP QELTYAQRKKAKLGDTSGEDTHVSQHDESEPMVVDATVEGDTTEQAMDQEHPAVHEEE QQPVSDTDWLRSKTSRLLGLLDEEEQAEFDSAAQRPTDSAEPAADAEVDTKNAEADPS PAAADAAEPTRAPEVDTNIENIRISARLFVRNLSYDTKESDLEPVFAPFGRIEEIHVA FDTRFSSSKGFAYVQYVESDAAVEAYKALDGKHFQGRLLHILPAAAKKTYKIDDYELS KLPLKKQKQIKRKLESTSSTFSWNSLYMNADAVMSSMAERLGISKADLLDPTSSDAAV KQAHAETHVIQETKAYFTANGVNIDAFKERERGNTAILVKNFSYGVKTDDLRKLFEPF GQLTRLLMPPSGTIAIVEFARPDEAQKAFKGLAYRKLGDSILFLEKAPKNLFDASVAP QKPIVETKAISQGFSTADTFAAEEPEEAIATATLFVKNLNFDTTNARFVEVFQPLDGF VSARIKTKPDPKRPGQTLSMGFGFVDFRTKDQAQAALAAMNGYKLDQHALVVRSSHKG MDAAEERRREDTAKKIAARRTKIIIKNLPFQATKKDVRSLFGAYGQLRSVRVPKKFDR SARGFGFADFVSAREAENAMDALKNTHLLGRKLVLEFANEEAIDAEQEIQQIEKKVGE QMDRMKLQKLTGAGRKKFTVGAQDEED AOR_1_1520154 MAEWQTECMLPPTQPGFEGVGPHPGRVLQNTSGNIQSYSDTLAH TDPTGRDDHFSHYGFKYPHQPPVPTHPMPTTTGLHPQQVINNRFHTKKLRRLQSLGPN LIGPRRTRSYLKSQKYIEYRARPRRDTGKDGEPVWSDELEDAFQQALEANPPMGRRKW SERGKSYGRNELIAEYIYKTTGKRRSRKQVSSHLQVLDSFLKGDPDWERLVREQPADR SNGQPPSAGPRWRNSLELPFSSHYNSHNYPSYHDSLRPVQSYSGELPPPHVVFHPNLH AEATNINKIYGLSFDMWVSAPNQPGGIESAFHLYTRLQGDQRHPAPPKRLENIPNWRT SFPHLNSVMADVNNPLNCDIILLEANLRLMDDFPPSGSKLGIQLELDFTQPPNGDALT NQMENWSCSTYIYEEGQNIYRARQDLPKQQSNKVKPPFESTWWAKRFTELTEIAKDRQ LNELADRQTRDYFRTLTAVQEIRATPSSRRVSNQYPDNSQDDSKRMAILLWQFRQTRS NEVGTTTWRRVTSPSSDRNTIPSPKPVTGIDLPPLSFYANSLARPAPSIYQAPQSHDL VHHNGTSQPQWSMYQPPQDSIFNANGGFDLLNSITKPEGGLHDKTAVTSVLDTYPNLQ PEVSQPTSLNGSNGGPGMLSIPDMSLSHTNLNAYNLSGHDNHYGTPQHPGVSVPDNSH VLNNGIFGSSTQSIDDMSQTHAPWPTPTSSITDVGSSNYSHLQFSDHHVPSVSRESHQ PNHFEVLLGPDDLIVGSMPGDPGINGAAHGHMNHTYTENNAVEAA AOR_1_1522154 MASPTVKLNSGHDMPLVGFGLWKVNNETCADQVYEAIKAGYRLF DGACDYGNEVECGQGVARAIKEGIVKREELFIVSKLWNSFHEGDRVEPICRKQLADWG VDYFDLYIVHFPVALKYVDPAVRYPPGWNSESGKIEFSNATIQETWTAMESLVDKKLA RSIGVSNFSAQLLMDLLRYARVRPATLQIEHHPYLTQPRLVEYAQKEGIAVTAYSSFG PLSFLELEVKNAVDTPPLFEHNTIKSLAEKYGKTPAQVLLRWATQRGIAVIPKSNNPT RLSQNLEVTGWDLEKSELEAISSLDKGLRFNDPIGYGMYVPIF AOR_1_1524154 MAARVAAQSIHITTTPMPRSLTESKQILSALQKFGEVVTFRNLK YDTTNTSQNPTSRSIIAIFESPSSASQAIAASPLTIPLVKPPPSQPQSQSHLPPREPG TPSPDPWASSESAAVQSHITCTIQPSRHNHESALRRNPFHSLFHVDGKSWQAKDLVRT GIPLRELADVPMARKGHEPFRVKRKVQAENERLGATSLMALYEGGLRGGKDGDGDASN SGEREVKGEEMEVD AOR_1_1526154 MKDSKGWDGKLRVGPQATITNPEAIEDPDYSDEDAPPVEEIEAD EDLLEDEDKDTEEIDLVHCRIGSIPALHLERFPKVQRICLRQNQITRIAFPPEVAGSL VELDLYDNLISHVKGLDEFKDLTSLDLSFNKIKHIKNISHLVKLTDLYFVQNKISKIE GLEGLKVLRNLELGANRIREIENLESLTSLEELWLGKNKITEFKNLDALQNLKILSIQ SNRLTSLNGLSSLKNLEELYVSHNAITELSGLESNTKLRVLDFSNNQVSKLEHLSHLE NLEELWGSNNQLSSFDEVEKELKDKEKLQTVYFEGNPLQTKGPAVYRNKVRLAIPHIM QIDATFVRIA AOR_1_1528154 MLGGSSERDSLPSNSDTRTGEASMPVATSQPGSIPESSPQVHVT GTDASPTSNSFESSGTAPAPVESTPIPEGASAASSADVSKKQHLLPVPSRTSLKAERQ STLDNSQDTANDDSENTLRGSRRSILKGRRDRSKGSSMRSRRRNEESTVMEENKTDPD LREPAKVEKKSRVSSRLFAFLSCCSSSNVDPEDTAIPAKRTTRRPSVPSTQPTPEKAE THQGDSSTAESKEPSYYRDEKANTTVTSDQPPSQVDEEQTVSGPDQGSQLDGTASSPA QHESGHEVSQKEKSEARDMVTAQHESSAVPPPTVTEKVEEPSQKPEEHVTFSVPPQRV DDSPAQTDESTAKPAVPDSVGPKDEKYSTHEEEAVDLPAELPPPPPPLVPSGQQPENE SHDRGQQWLLPPPQPHLRDRKCLVLDLDETLVHSSFKVLERADFTIPVEIEGQYHNIY VIKRPGVDQFMKRVGELYEVVVFTASVSKYGDPLLDQLDIHNVVHHRLFRDSCYNHQG NYVKDLSQVGRDLRDTIIIDNSPTSYIFHPQHAIPISSWFSDAHDNELLDLIPVLEDL AGTQVQDVSLVLDIAL AOR_1_1530154 MVCGIVLVALSLALGASALPGAKSRTTTEKRLQFTKNGTFQLSV FEDLHYGEAEATTWGPKQDVETNAVINTVLDNESPQLVILNGDLITGENTFLSNATNY IDEIVAPLVDRKLLWASTYGNHDSGYNLSRSAILEREKTYSNSLTKSMVSGALAGVSN YYLPVYPSDSSKDTPALIMWFFDSRGGNYYQQLKNGSEVPQPCWVDESVVEWFTQTNT ELREKYGRVIPSIAFYHIPVNAMLAFQKQGVNANYEPGINDDDPLDQQGEASGQGGVS GTVFSYTGQDIPFMEAMLNTEGLLATFSGHDHGDDWCFKWDSKLPGMNLTGNGLNLCF GRHSGYGGYGSWTRGSRQILLDETILETQILTWVRLEDGSVSGKVNLNSTYGEDWYPS VETTYT AOR_1_1532154 MAAQSTLRSREDPLATLYHYYLNLFRSRFKRSSKTTKLIATVAL FLSIVTTGYGGYKRLRQRAKERAQGRRLLRRNSGIRGKDGSRTIYVPYKDSLTSKVTI HPTKPTTFDAHRRLFLNPPASARAGDGESNQIPPPTTKPGLNLAFLHQFLSLGSIMVP RWGSKETGLLMGHGVFLLLRTYLSLLIARLDGEIVRDLVAGKGRAFMWGIVKWCGIGT LASYTNAMIKFLQSKVSIAFRTRLTRYIHDLYLTGDDNYYKLMNLDGGIGQGADQFIT QDLTLFCSAAAALYSSMGKPLVDLFVFNYQLYRSLGPLALSGILTGYFSTAIVLRKLS PPFGKLKAVEGKKEGDFRGLHSRLLANAEEISFYGGADIERVFLTRSFKDLQRWLEGI YSLKIRYNMLEDVILKYSWSAFGYLITSLPVFLPAWGGLGGALELADTPESVGRERGR MKEFITNKRLMLSLADAGGRMMYSIKDISELAGYTSRVYTLISTLHRVHADAYYPPRG SHAELYSLADAQGTIHNGFDGVRLENVPIVAPSLYPHGGDELLESLSFVVHSGDHLLI SGPNGVGKSAIARIIAGLWPVYRGLVSRPRGFGLDGIMFLPQRPYLSVGTLRDQVIYP HTEVDMRKGGISDACLQKILDDAHLGYLPTREGGWDSRKEWKDVLSGGEKQRMAMARL YYHEPRYAFLDEGTSAVSSDVEGLLYERAKERGITLITISTRASLKKYHTYNLTLGLG SEGEQWEFERIGTAKEKMNVEKELQELRKRLDKVDEWKQRREEIENELRKVWVEEGEL APPPYTEQPETAESPTVEELSS AOR_1_1534154 MSLSQLPHPVAEGKESENVIIIPIGECISDGDKYTWPTEARFGM PDDSSYREKLAMLWMQKLGAFEEGRRYMIDRLPDGYGLFDRPRGTDPTIRDRFLWGHP IGQYFPSILQFFPHFYHLMTGAVGTCHCMLCDKLAKREKAMLNGSQRGRARGRARGRG SSSIARPIDMPTGGTPGRPPGRPYGRLPGRPPGKPSVRAILDSEGTPDVFKMAVRRLK EQGVLDERIREDGSMDWRAERAALDEYIEQLGMQPSYVPRPGEVVLWAPEYQGELAWN HETKRVEMYAPNQNEWLGTPAWRAGIVGQTPEEDTVLQDLVITAPKKWGVNYSGFRVE TFPDPQSYDKSYSLHYKYVHLLCIKPFNAYELFLQGIPPEELHPSIGYAMTIMSSFSL LDKYHFKGTWPNAAIYCRGIFIGAELLVVGDAVRLKPALPSYSEEVHNAVEDVMVIDE IRLELVNCVNDLMSDQLAERYQVRVAGKVYTNAPQRASAGTHGTSQPLPMKHEEVIDV FQSIGMGGYGSWYRVWEGATVEVSQNMIIGRCYEPDAMNLLFGSCSLGQDLSGVTKAR EYSRKVDERIPEGKDWFWGDFRTQTLAIDTLNGQDVGHYSDARDIKMWRANLHILDGT ADSADLRLAKLPGNVGRPSTKARSNFSEIGKLSRLVSTGLGAADVSNPVSSEEGPNLP DEEDTSESDEVFTLAMNQLPGGTDESEEGDYRPSPEP AOR_1_1536154 MGLDGVPQAQAVTVSLKDLINGTVSFETLTEAFGPSSLGIIVVK DLDPEFQRLRTQVLSNASYLAALPNDELESLTSPSAKYLVGWSCGKETLRSGHFDTLK GSYYVNCAFYQDPTLQGAPADNFPDLSEYTAPNIWPPADRLPTFRPALEELCRLVIDT AALVARACDRYATENIEGYKSGYLEHVVRTSLTTKARLLHYFPAEAGVGERDGEGEGE GDDDWCATHLDHGCLTGLTSAMFVDEVASPPGQGGELVELGASPDPKAGLYIQSRTGK VVKVNIPRDCLAFQTGEALQLITRGKFRAVPHFVKGAKPSAGKRIARNTLAVFTQPNL EEEVESGKSFAEFAREVVARTY AOR_1_1538154 MSVLIQINETVEGDSVVVCGRIRTYRLAGSKLIFFDIVQNGHKV QVMCNLRQLPGITPEAFKKLYRLLRRGDAFSVTGRPHRTGRGELTVVATELPQLLSPC LHDVPLDAKEHENSPYPRHVQFLADQTTTDIIKARSAIIQYLRQFFLDRSFMEVNTPI VNSIAGGAIARPFYTSATEFPDRQLSLRIAPELWLKRLVVGGFDRVFEIGPSFRNEGI DKTHNPEFTTCEFYHAYANLEDLLSMTESLLSGMAQHIRDTNKDGTLKPTEVDFSTPF RRIDFLTGIEEKIGRKLPDLTSPDAVAQVKQLFKDLSLEIPEAPTLPRLLDELCSIYV EPECVNPTFIINPPECLSPLSKSFIHPVTGQRVAARGELFIEGKEVVNTYEEENSPSE QRRKFEDQVRYSKDADESSEIDESYLEALEWGLPATGGWGCGIDRLCMLFTGAKRIAD VLPFGTLRAVTRR AOR_1_1540154 MSFLFGGPPKMSSAEKIAAAETEVEMISDMFNRLTESCTKKCIP NDYREGDLNKGESVCLDRCVSKFFEVNIKVSEKMQGEAANKQGGGMGFGM AOR_1_2842154 MSQSATQHGAQAAPSTTTMQRETTKQSVGPKESPSSHSGPDGQP PLPGHQRIVFTDPVALRYLEEDPSTIVLHRRLILQGYEIYIVEQWACSRIHPTFIITT YTGEPSHKVVAGLLSVPTDESTWSPRLKLYFDAVKECEARRKETPFGTLFVTYLSTFP SALTVIPVPDGDIHKHKDDFIVNENLKRLNCSGRAGLKLQPPTAATEAKFYHLYRTSE RVPLYSAVIELVKQCQIALMMFGNLAPEYVDGILCDVTEAAISDWWTDIGVDLYNIEP SDGVLGPTTVAALLGTLMGARNRLHAFGAPVGKDAFDISNLKRGISSFQKSQKLKRTR RLDRQTLDRLHRVTAKAANAEGWTDAVKSTMAELSGHGGEMVMGMVRGREKGGIADIE TLDVDNFAHLVTGERAKWLWRGKPRKGGVGDSFAGGHPGADMMFTTDDQGGYLWTSRK RSSHDDLIAERSYQGSERPGKPLESGNTLDDKDQNIGRLVRRGVSGKVSDARAGFGRF KDAVGLRSHLPKTKDDADLVGDAAYIPPLESDTEIAPSKIASDGGRQPEPESVPDTEM PTQPHELSVETPLNNLVPKSSDVKLPAITIESVASNEPSDGSQKVSLTREDEESQDLE RWKTRSTDAPAEDQTSEFGIMSLRRPQSSAELYRADDSEHLDNYWPRHLSFSTVEEVI LGWEDLKGKVEDSENPDASLEEAIAEEDMLVSDARIFSSRVQGLSEGTVPWVEKQVGS VDDLNRVFYETHERVNTTYLEKLNHYQGIRERSSDLLSEEHSSLTDHMRRVEMMSAKL DYELNVLGSKVEEVETGLGEFERHIEEIETRVKTLVRGEEEKQNCSWLARLGRFTGFS S AOR_1_1542154 MELVKKEHERLAKKIKAAQGIKNVQTTIDLLQSARDAIASDSSQ TSITLAKLQNSVKSSFDSINDSLKDTHSSLNKYSKALDKLFKDRPLPSTEHDALASQE HLINRAIAMHLLREGQFSVAATFLSEMAEKKAMESQQQASTGASENAATLLDIDEVPS NEVRKQFATMYYILHEMKENNNLLPAIQWSRDNREALEARGSNLEFELCRLQFVWLFH GGPDRQGPIPTGRQEALEYARREFQSFLPRYLREVQQLIGAMAFCPNLQDSPYKAIFN NPSAWEDVAHSFTREFCSLLGLSADSPLYIAATAGAIALPTLLKLQTIMKAKRTEWTT ENELPVEIPLPPSYLFHSIFVCPVSKEQTTDDNPPMMMPCGHVIAEESLKRLCKGSRF KCPYCPMESHPREARKVFL AOR_1_1544154 MTRLPRPLFFYNPYRPTFIRDPRKMLSTKRTRTPPGNPDSLSSK YGAGKIVSRSRKQQLLRDSPSISRRLDRRQGSSSPPNGRSHSPAVITLCVGPQKRLFA AHKDILCVSPFFAAAYTQAQPSDSPNRRVNLPDEQPEVFSCILEYLYKGDYYPQLVHN KQLNSWELEDTGTDKDGQSNGATLFHHAAGAEILRDTAVYCAADKYGLDLLKRLALRK QGLHSGIQCSTILTSARYAYSNTPENESKLRAHYLALIIRSRSTFKRSGTMQMEMEQG GKLFFDLFVAMCNHMDDLTSKAEPSPGY AOR_1_1548154 MATIFEDFVQGQRIGSGPRLAAALTPVAPAEYPQRLQSFYRFSN AARVSSDLRYSLFQANGLKLPKQEQNAWIDIFSTYWTAVGEITKFTDSPSSASWVKVF NSWKDLANILIRGYTNFGLQAWTVPCLYIVGKYLRIFAMKADAELSSQDSVAFGDNFQ DDIAADFEKSAKLEESARIINRMFTLCLSDRAPIEESRKWGIYNTTNLLFKTYFKINS VSLSKNLLRALNASSADLPDMEVFPKSHIVTFKYYVGLIHFLDENYAEAEEHLAYAWN MCHKDAVKNKDKKLLAPFPRLEKLFRPLCNCIMKGDLNGFDNAMTAAEEEFVKRRIYL PLERGRDIALRNLFRKVFIAGGFEEPKDGQPPIRRTRVPVAEFAAALRIGTHADDRSR VDIDEVECLLSNLIYKGLMKGYIARERGMVVLSKGGTAFPGTGV AOR_1_1546154 MPHRRRDRSYQPQWLRAQLGMVSRAKPQGRLGPNRHTSLEQPQQ STKVRRLTEYQVIGRHLPTEANPTPKLYRMRIFAPNTVVAKSRFWYFLTQLRKVKKAN GEIVSLNVIPEKRHLKVKNFGIWIRYDSRSGTHNMYKEFREMSRTEAVEALYQDMAAR HRARFGSIHILKVVEVDNADSIRRPYIKQLLQKDLKFPLPHRAAKSEGKKIFAYSRPA TFA AOR_1_1550154 MFARQTFRCAQPLRQSFRKYSTEAPKAKSLAPIYTAVGLTGLSV GLYRYYYGAGATAEAPVERAKVFTGGDQGWVDLKLSEIEVLSHNTKRLRFEFEDKEAV SGVTIASALLTKFKPVGAEKAVLRPYTPTSDEDQPGYLDLVVKVYPNGPMSEHLHSMN VDQRLSFKGPLPKYQWETNKHEHIALIAGGTGITPMYQLIRQIFKNPDDKTKVTLVYG NVTEDDILLKKELQDLENTYPQRFKAFYLLDKPPKEWTGGKGYINKELLKTVLPEPKE ENQKIFVCGPPGLYNAVSGNKVSPKDQGELSGILKELGYNKDQVYKF AOR_1_1552154 MSTKTTILIYTGSPLDYPEYRHTALHFTFATGTTSTMHVVGTQG LFIFQEDVDLDPHEFGSELSKTVPVGEIDGGVSAETIRRAVSATPVRNGREDLDWNCQ NWVGDALRMLVEKGVLSAEVRERAVDGMVEGCLEARDQ AOR_1_1554154 MVSLTHIFSKALLTLLVGQSAALSFLPGIKANNLQLASVLGIDG HTARFNPEKIAETAISRGSGSEVPARRISIPIDHEDPSMGTYQNRYWVSADFYKPGGP VFVLDAGEGNAYSVAQSYLGGSDNFFAEYLKEFNGLGLVWEHRYYGDSLPFPVNTSTP NEHFKYLTNSQALADLPYFAEKFTLNGTDLSPKSSPWIMLGGSYPGMRAAFTRNEYPD TIFASFAMSAPVEARVNMTIYFEQVYRGMVANGLGGCAKDLKAINDYIDSQLDKKGQA ADAIKTLFLGKEGIHNSNGDFTAALGSIYNLFQSYGVDGGEESLSQLCSYLDKGASPN GIARKIGVKELTEKFAAWPPLLYLINQWGSQVGNGDSNCKGQNNSTETVCELGGQFTD PDTISWTWQYCTEWGYLQADNVGPHSLLSKYQSLEYQQSLCYRQFPGAKESGLLPEHP EANETNAETGGWTIRPSNVFWSAGEFDPWRTLTPLSNETFAPKGVQISTNIPKCGVET PENVLFGYVIPRAEHCFDYDLSYKPADKSRKLFSLALKKWLPCWRSEHAPKGVQRKWM AOR_1_1556154 MSRKDDTVTVNVDDFTRTRDSVIVSLAQLQAAVSKLSEAYINHA NTVLNRGPTVDIGNIASITNSLYESGLLGALGGGARATSPGAKSEVGEKKKRKRAPPD PNAPKRALTPFFLYMQHNRTKISEEMGPSAKPKDVSDEGTRRWAEMPEEEKEHWKKMY ADNLAVYKEKMAAYKAGLPYTDDAKAANQLQQEADRAETTPAEESEEEEEEEEEEEEE EPEPVREPTPPRAGKRRRSEAAKAAKDVASPADTKKASPEKKRTRTPASREKKVQEET PASTRKSAATENKRGKKKRKSEAAAEE AOR_1_1558154 MHLIPKELDKLAISQLGFLAQRRLARGVRLNHAEAVALISSNLQ ELIRDGLYSVADLMSIGKTMLGRRHVLPSVVSTLVELQVEGTFPTGTYLVTVHHPISS DEGDLEKALYGSFLPIPPADAFPDPDPNDFEPEKMPGAIIPVKNERITLNEGRRRIRL KVMSKGDRPIQVGSHYHFIEVNPQLHFDRLRAYGYRLDIPAGTSVRFEPGDTKTVTLV EIAGHQVIKGGNFIASGKVDLSRVDEIMLRLQADGFAHIAEPTADAALVTPFTMDREA YARMFGPTTGDLVRLGLTNLWVRVEKDYTSYGDECSFGGGKTIRDGMGQSSEKSHQHC LDTVITNALIIDWSGIYKADIGIKNGIIVGIGKAGNPDIMDGVHPDMVVGSSTDVVAG ENKIITAGGFDTHIHFICPQQVDEALASGITTFLGGGTGPSTGSNATTCTPGPTHMRQ MIQACDHLPINVGITGKGNDCGGISIEEQIVAGAAGLKLHEDWGSTPAAIDTCLDMCD KYDVQCMIHTDTLNESGFVEQTIEAFKNRTIHTYHTEGAGGGHAPDIISVVEHPNVLP SSTNPTRPFTMNTLDEHLDMLMVCHHLSKNIAEDVAFAESRIRAETIAAEDVLHDLGA ISMMSSDSQAMGRCGEVILRTWNTAHKNKEQRGPLKEDEGTGADNFRVKRYISKYTIN PAIAQGMSHMIGSVEVGKIADLVFWHPSSFGTKPTQIMKSGMITAAQMGDPNGSIPTI EPVIMRPMFGAYVPSTSIMFVSQASIDAGTVQSYGIKKRIEAVKNCRNIGKADMKYND TMPKMHVDAESYAVEADGVLCDAQPAVSLPLTQDFFVY AOR_1_1560154 MSTFDGIVQEFPYIQIDYFRKNPERPPPLAGFLSHVHSDHLQGL ESFRAPFIYCSAATKELLLHIEKYPHRMNFSRGILESRRLHYKHLSKLLRPIPLNTPT EIELNPRLSIRVTLLDANHCTGAVMFLIEGSGKSILYTGDIRAESWWVDSLIRHPVLI PYTLGGRRLDKIYLDSTFARHSSIYRTFPSKANGLAELLQKVASYSEDTTFYFRAWTF GYEEVWMALSAALNSKIHVDRYQIGLYRSLVSAQQGRSGEASALCGFELGNRFVPGCL SEDEGCRIHSCEPGVQCSAISSKNPVYIIPIVSRTNDGSEIPEVGAGGGGGDLYQIHE LEIPNELALEQLEKLCLERIHDSQTLSETREALFKAFKSKSKALQLDSYGMKDVHDIT LENLVNILSRGRFHDKDRSDNNQGSTGRHYKSGSRLPRVIHFPYSRHSSYAELCELVS AFKPKDVYPCTVDPLTWDEDVSMQSLFGHLCSGTEFTHDHHMRDMLENDEDLRSRKRA RYEEPASQPSQLSSTLDSIPRTTMNFATSNPGDDTSPDRRAPDHPNPQRDPTNSQEHP EPQPRLHKAHFAKAIIPSSSHSSSDRIPMPSSLETIQDNNQALNSPLPTPESARAAEK ARRKEIRQAWHFLNNARSDETPFHLGSLPSSWSTEEDKDHEYQGKTTTEEETSHHIVG QLNDNVDITDNNIEEPDPEPESHFSQSHSISSSAFASQEQPLEPASDMSFDGAYYEHI EAREQPEAQAAASSSTDAQVANTLKRNSSSSRVRRAAYLAAKADSYEAWASMGLVSAG DNHTEEEIEL AOR_1_1562154 MHKPSLAQIVHNATFPRPRTSDPATFSAHITRNLVPEVRIETST FYGSLDTVEAQYPGLDYFYGPHRMRLSRFPWHRRLFRVFDELGLTEAEISSLCRWEGT KSARERYEKEEGVKVQDTTANGVRPASPSPLPSVEVHFEDGPERVREPEVKVEAREHF VPVTDTIDDRGVDCDIPQKVEEESSDEEMESCGVELNHRLLAASAARERGANVPLDED WEQWLKEAGERGSYVDIINAIRRGQPLDFLYDMPYLSSRTGARRSVSLSEPLATTLLP HSLVTPTTTMIKAIFYSKFDTQEGPKVVHQVPDGAIVPSATAPSQPLFLTFSDISFFV IPRQELCGNLMQVCTNGYRILGYPICMKSLRYDRNEFIFNFCIVLAEEEDFSTYKSVV QKLADLMHGLEEQGQFLSRDHSKSGEGKVYSLCETLMEDLNNYCECMIPIDELNTLNI KLFPIYPSPPSVKAWHVPLFTVRYQTFMDENWDLTMQRIVPHINGVNSIRIISILADT DFSLTCRAIRHLLYYNCLFLLDIFSFSAIYAPTAQFSSTIASNEDMQRECARYVNTLF ASPAAVSTFANPTRSYDPDAVWPPLGEIVTGTTANVDIASSIGSASSRSPSPAPTITA PGSTAATIEDQPEREVVDGVGLVELYASLKQGQSVKQWYMHHSRQLAHIDIRRFITFG IIKGFLYRVHKYAYATGFPAPPSKYHHHHHYHSQVTSGPSSRGPGTGTNSPYASSVGD EPAPIAAHQHDGPSTSVHSGSRPGTVIEDEDDEDYIDDKTLSKYLDGMHCFDQICTEL EISEKEFTARLKRYPGEVLIIHR AOR_1_1564154 MPSASKGKGKGREARPSRSRNTTPNSSFSAPTAAPVSSYLDNDV SKLLVPVTAQYGEILDRMGGVGPIPDSKSLETLMEHLKTLSQLAEARSDACDAGIREL SQKRKEVVEEPEHDTDRPKMKREADDEEEESKVPKGGKLKKRKERGSSSKEDRPLAHG AHELSRQDGAETKVEGAASPISKKSKSTSSLSPPEPNSPKVKADTEAQAPGSPMSDDS SDSHQPEPAPAVPQIQVFGPNPVKFDDPTIYHIRDVTPDMTDDERKEIYSVTRFPASD LSHMMAGVAPDKDYSNSKPTNQVSANTFLAYVEPYVRPLMEEDIAFLKEKGDRATPFI MPRRGKRHYNEIWAEEDGLMNVDQNGNKERLPLNQGRGNIDQVTDETMETDKVSVGPL VSRLYSLLRYEHRAPDETPGTNGTVNGELPNGSSLGDAMDLDHPIGGGDSESKPQPSA TSFPDASPSGFKVPAAKLDHAQLDERLKAELRHVGFLGAEDNPDYDAHYDDDIAQRLR LLQSELKKQMIVNSARKARLLEIARERMAYQEYMTIHDDLDSQVQQAYLKRTRTLGKS KKGSQAKHRPGGAGGGSHVVSAAGVGRPAIGDVARTLMDRRKRWRDCIGPIFKDCKTS VPRNNESVFDPSLMAEYEKAEVEGWDEEQE AOR_1_1566154 MSPPAAAKSLTTAATLFPGPGRAINTSSSANDSASFRFVVDGTV RTLSSQSTPGNAPIRGLLFVPSLDVEDSCNNLTAPFIPPNVTRHPDVDRFRFQTIGLA PWVNAKCAESFIDASQRVGSDALVFYLPASNNTKPPPAEDPAWSLRDGGDWKNRNILP VYAIPGPAGVTLMNQLAWYSGNTSHAYNGHNASASVTGRPDIRLLSLIDFERDQGKMP SLWGFILAILGTILVLSMIVLLCYQLVQKRRRERLQRRIESGEADMEMLGLHLMKVPQ EILDTLPIYTYPDWSALGDTPESNDKSSLRSKEVQEYTEEKEKTLTNPDAITADGVRD DKQESQEKEEPGDEQSSGDANNSPSAPSRSSSISTCAKGRPPKLKRLSHSQTTCAICL EDFVPHDSTVRELTCSHIFHVECIDASLTRNSCLCPMCKKSVFPPGYYPVPITNRVVH RDFMMRRANSA AOR_1_1568154 MVKPLTFKGDKPKKTKKRSAPYPPSKPTTTKLTQEETAEQENTA EDQSWVSADAPSDIAGPVIIVLPSDPPTCIASDANGKVFASEIENLIEGDPGTAEPHD VRQVWVATRVAGTEGISFKGQHGKYLGCDNYGILSAASSAISHQESFVVIPSEMPGSF CLQTGGGDKETFVSVTEGKSSKAASGRVVEVRGDATSLSFETTMRIRMQARFKPRIKA SKETKAKEKISRKELEEIVGRRLDDDEVRRLKRARKEGNFHEEVLDVRVRGKHDKFA AOR_1_1570154 MSLPQTMKALRYEQPEKHAVVDVPLPKLRDNDVLVKVKACGVCG TDLHIHEGQFIAKFPLVPGHETVGVVAAVGPKVKGFEVGERVVADNSELCGECFYCRR GDELFCEHFEAHGVTMNGGFAEYCAYPAGRVFKIKNLSDVDATLLEPASCAAHGLDKI APKMGSSVLLFGAGPTGLILAQLLRQCGGCRVVVAAPEGLKMDLAKSLEAGDEYVALS RQDPSAQFEKLKKENPYGFDIVVEATGSVKILEDSINYVRRGGKLVVYGVYNNEARVS WPPSKIFGDEITILGSFSETYKFPAAIDYLDSGKVKVKGIVNKVFKLEEWEQCLESMR NKSAIKAAITFD AOR_1_1572154 MANLLYLLGIASAVTAVLWVLRRTKYPPGAQRQLKLPTNQSLIS QKLVEALPHIVLSHSDKDAFRKSIDSYWAQQEREVRQACIVQPREASEVASVINILKR EHGRQRPSTGGCDDVLFAVRGGGQSPVPGGASAKGGVLIDLTLMREVTVSDDRESVAL GAGTRWVDVLRLLDREGLTAVGGRSSDVGVAGYTLGGGISFFTPRFGLACSNVLAYEV VLASGNIVTATAQSHSDLWRALKGGSNNFGVVTRFTVRCFPSTKIWSGFLYAPNSQSA KAIVAFHDSVKRSDPKIGRADVDPHAAPPITCFTYVQPVGMQIVTVHLAYTNLSEEPK GWPDYWKTSRFASLWRLWSTFRPRTVTSAVIEMSSTSPPGQRWSFGTTTIKNDLHTIF AARAAHEKAIGSLRRVKGLIWTIVMQPLLPSWAAKGDTNVLGIHEETDDALVILSFSV YWQRAGDDRHVYATIRETIEAIEAVATANGKGHRFRYLNYCAQWQRPLEGYGEENLRF LTKVSRKYDPDGLFQSGCTGGFKLDPRN AOR_1_2844154 MSPPTRFFGFFQAKQAPQSRLSPAESKQAVDEAYASCLDLHARL GSLDDTQWIELSHRCSDLGFGIVDIPTEVGCPNDCAKHRDNSGGGGNLSIDSSSNPST VPSSCTSSWKPQFERIARQVLDSTGLPHIQSRHGSDTPSSGHAQEHLPLPALTDNGIH DSQSQVDIVTDSFWNCQDSSQIQDNQTSYGPGNAAALSSIQGLQNDLIDFHRSSTLDG INAPPANTNYHSQLISPMIAEVDAVDPSEVVTFPVSHSQRCSTDGSLAGVSGHDRDVD QVMTDGDGAFPWSPTPASMSLAFHLSIHPPCWWLLAQETIIL AOR_1_2846154 MSYDSVPKEEHDSPDAEQLAIKTRDPSGRLCDINIVLALVAVSL CFGMGVFMFFDLAYDQMKPAETTSAPQFQFNCGSSTSEARAAGCRFDLTTFTWVPPAC FDEPLMEEFLGSKNWTWSLDELGIQQVNESFAREGDFESLYTTMDYHVTHCAYAWKKL HRSLFSGDLSHIDGYTASIHHTEHCLGMLLESRDQDQTPALGVTKFASCGQGVIADPD QHGWFRIHNGEKFYTLPS AOR_1_1578154 MPPRTTRNPFHPSTSHSNVVDLNAPLPPLPTERGAPSSLPHEAQ FPPSMVANPATSPPHHFQRRGHSRSISHPFPSPFSSRRRNKSISKHDFLDSDDDDDEV TYLPDPLSSSPRKGAPRLSPGEELTTGKCMTCNCSVRWPRNLKVFRCTECLTVNDMEP HRGSPESSGHAHPGKDDKPLPTIPRKAIPLSVERTKAIIDECTSSYLRQLLDGRGPRV SPVGSQVDRHINGQDENSSRFPGKPSGYLTEPRPIDPRGRSASASSRSGRPDMTNGTA NYLKPNAAPFSLQTEGRDSHMRNRDVQNTPKRDRSPREAGRSCDQAARDKPSRPYIFR AVEEYIITSFKGCDCLNSSFTTVQHALPSTSECSPPKQKPDNSVTHAHPVFEPDAKTL LLGDLAENSSWWMNETEQISSHHLKEKPASSARPVSSRSPRINWAELAHWYQLIMTAG TSWVEQWSGLKPLDVRDGDDCTRNKRWDAADMSLIEREFMESRLHLHRTLMKATENLL KRPRRPLKRPEDVRFLLMLLVNPLIYSSSQHVALHPGATSAARSDRRPSNTKDTGHKP VPPDIKSPSRHRSGGPGHHSGIVKRILGLLANLPNDCHHYLVSWFSRFSAGQFEKLVD FVGSFVTYRLTRQHGRKRSESAQHDDDLIPSFSSAAGNTPAELHAAINGRSTSKQATE KRDQPVVYSDDWQLRAAARVMSLLFTANNANVARKPDGILGQEAGSAAKPQGYRRGQI VPVSAFYNTLLDYSDLVADFEAWESKSTKFSFCQYPFFLNIWAKIHILEHDARRQMEV KAREAFFNSILSRKAISQYLLLRVRRDCLVDDSLRSVSEVVGSSQEEIKKGLRIEFVG EEGVDAGGLRKEWFLLLVREIFDPHHGLFIYDEDSQFCYFNPYCFESSEQFFLVGVLL GLAIYNSTILDINLPPFAFKKLLAAAPQTTGPQPATTRSTYKCNLDDLAEYRPPLAKG LQALLDFEGDVAETFCYDFVAQMDRYGEVVAVPLCTGGDKRPVTNANRREFVDLYVHY LLDTAVTRQFEPFKRGFFTVCGGNALSLFRPEEIELLVRGSDEPLDVASLRAVATYDN WSDPRPEMVPVVQWFWDFFEHTQPQAQRKILSFITGSDRIPAMGATSLIIRVACLGDD SSRFPTARTCFNMLGLYRYTTREQLEQRLWGAVLNSEGFGLK AOR_1_1580154 MGALKYVEEIQKKKQSDVVRFLLRVRCWELRQLNAIHRASRPSR PDKARRLGYKAKQGYVVYRIRVRRGGRKRPAPKGATYGKPTNQGINQLKYQRALRATA EERVGRRCANLRVLNSYWINQDSTYKYFEVILVDPQHKAIRRDARINWICNAVHKHRE ARGLTATGKKSRGINKGHRYNNTKAGRRHTWKLHNTQSYWRYR AOR_1_1582154 MAAQANKPVQATKSSTSSTPNATASVRLEKTHPGVRRSTPDSEA LASSDDDVEHTQVTSTSTIAPIPKPARRTSWLNEVPVNIHRKASLTSSGPLSSGASNP SSPATDQSGWPSTSPVMSSSINWNHVGSGSFPWGTGIWNSESRKEPPPRLAEIVPSPT MSNPSTASNYFNDELLSPTTRTTSGESAIPFSIPLHPTPKTYRSQSYSVGQLDPEFLN LMANKPGATYSGVRSRNGGQFSALQHRSSRPSLLELGHDPATLGQVREDDDGEDGSPN SSDHSLSNYASNQARTIEQLSRENALLRKAAGQMDSSFRDRAMSSASATGGYVVGAGA HNLHRIRGGVPEEADLAVEDLDEVGDIPGYNSIHGSARRRFSEHSANLEKQFPPFTSL ENRALDNVRKAHWQTSLGFGSMVDIPQSRRHSFADIPIRHGSISGESQVAATSRPGIG DREDSYVGVTEPALSNVQGQNQSYFARDQALRAAEAPSAVPTSLHQAYTMPTAYARHQ AGLAHSHQNQLLYIVTFKCHRADVFYIQEDTGLQVKPGDLVIVEADRGTDLGTIQHAN VSMQRARELKQQYAEEHYKWLMMFSRQGQSGAANVISPSGGLNSRSAIGGMGPHGPHG VQESAADIKPKLIKRLAQNHEILTLRDKEGNEAKAKRVCQQKVAEHRLNMEILDAEFQ MDWKKLTFYYFADSYINFNSLVTDLFKIYKTRIWMSAINPASFVTPPTAGLQPPNPLG YNTESQTDRSHHPESRAYGHARDGVDREAITNQMGALRNAYTESYQPFGQGLRQPESG LGALATGDPFSPYPPTAYGGLESSYVDYATSPGTAAGPRIHQSPADWAGRFQGLSLGS AOR_1_1584154 MSVSSVSGTMLRRTVLNSRVTAQRLGVVEPSSRTVIPNVFASYR TIHRSARLPAITASEARHRPTLRPHQQSAVTRVNGPSPVSKRSIFIQTENTPNPDALK FIPNHRVLPEDFPTSFLEYLSPRSTLAPPHPSPLAANLFNVDGVTSIFFGPEFITVTK ASDANWAHIKPEIFSLITQAVTSGEPIVNTVAKSGENAQEGGEEESLSYNEEDDEVVS MIKELLETRIRPAIQEDGGDIELRGFENGIVMLKLRGACRTCDSSTVTLKNGIESMLM HYIEEVQGVEQVMDEEEEISMHEFAKFEEKLRQQKGAAATASTGGKGTLDSAP AOR_1_1586154 MLRSCESSKAAHTKKMPNTCEVCASEPSKYRCPTCGLLSCSLAC TQSHKIYCAPKEPSSKTSEEVNGTGHQPEEVNGHTGENTHESQNDTDPHALGSSPQLK ELFDRYPALRDQLRDIYKATLEEEWVETQVHGGRRPFGRGKGAPRSRGPWTREKGFNR GLGKVRKLREMCEEGSEVGKNAEGYMRFVALVNGERFPQEST AOR_1_1588154 MNSQTPRRERSSSDKAQAMFVPQRPGLPGRTISAPAGGLHKLDS SKVAIETGNKIEPSVIEEEEIMVSSRKNGVPSTRAGDETSSKPNFPLVTIAVVGQDQV GKTTFIQSALDMKQPLSSRATTKKMSLDGTVYLVRLLEMNTNEITIGNDGLLEWPRVG NESPPVDGALVVHDMTRSVGLPELTRLLDTLAAFAFPSVLVASKCDIQAPDGPLEPAL KNYQIHKTSPESPRSQKMCISMVLREVINSKYDSIENPYSFKTPSAQDWHHSRANSEN PTAASTGAAGGPITSTFDPGVDGYGEDRQPTDPSNSSNLASTAQGLRFSRSNTYPVRP HTPPSATRLNPHKITTAEESPGKDDSRQQQLHATWRNSGGSDAFNSFLDMDNGMEGPA SAPSSPESKEKASSEGSSNGSSNDTGFTFDELVDRLVAQPMSKQDSKFASIFLCLYRK FAAPSTLLNALINRFERNEKNFTDQLTRIADQLRLLNIMSTWVSEYPGDLAYPKTRKR ITDFVSTLEKSHFYMFAAKEVGSYLENHAEDDDVGWPFRDGDVDEFDGHETFLNNSGR SSPSLFLGGSTIDEGEDNEEEEDPIYNMSALDLSEGASDPSSKLSNSATFEKPGTVSS QSFTFLSMEAAQKESQNLELTPRLSLSKMQWRQFIEIPDEDFARELTRIDWIMFNSFR PRDLVRHVSISGPDKDKIKSLKHVNRMIKQFNHLAFFVASVILFRDKPKHRAKALEKF MNVAQKLRRLNNYNSLGAVIAGINGTPVHRLSQTRDSVPVQTQKDFMRLVILMGTQKS HFAYRLAWDNTFSERIPFLPLHRRDLVSAEEGNKTFVGDTKSRINWRKFEVMGEVVLG IQRSQKTPYPHLHRYEEAARLVLDIKLSGDDEDLYARSSQVEPSAGGETGRKKFGWLR S AOR_1_1590154 MSLQAQARSTYRALLRELPRRSLSNPTPLHNRIRELYRDQTKSA DEETLNAHIQEADQLAQYARAQRQYLKLVERYNPGMTMDEQEKIRLTARRVGMDLPIE AKDRKEE AOR_1_1592154 MAPSPCFHCQTNRAVIIRPKNREKLCRTCFINVFETEVHETITS TSLFYPGERVAIGASGGKDSTVLASVLKTLNERYNYGLDLCLLSIDEGIKGYRDDSLE TVKRNAEQYEMPLEIVGYDELYGWTMDQVVAQVGKKGNCTYCGVFRRQALDRGAARLG IKHVVTGHNADDVAETVMMNLLRGDLPRLSRGTSIVTDSAASDIKRSKPLKYAYEKEI VLYAHHKQLDYFSTECIYSPEAFRGSARTLIKDLEKIRPSSILDIVKSGEDMAELVPA EIKGKACARAADDESTGGCGSQNGRTRGGEMAEMEKKLADDEAAESREVEIKLPAQSV PLPRKKQNKGIKGSTQKTIKTQTMGTCERCGYISSQKICKACTLLEGLNKNRPKTAIE VGVGLEDEESSSTLMRQMERVQLGG AOR_1_2850154 MPDSYSDIEIRIVQACTIAQGQKKPNISALARQFNVPYQRLRAR IHGRANLSSRPISTKTLDDSQEKALIRWIRQLDNLYSPPTAGMIEQSANQILQRNITD GQSRTVDKNWVYRFIKRLPEEFKLIQQKPKDKKRLDAEDIGVLQHWYDCLEAFIKNIP PKNIYNFDETGFQLRQGKTQKVVTTMPLRAARGNPSKEVGELISAIECIAADGFTLPP YFIFKGTYHLERWYDADIPEEYRISLSPKGYTTDKISFDWIQHFHRHTKHRISTKKEV RLLFFDGHESHLTYEFLQFCGLHYIIPYCFPPHTTHLVQPLDGQPFQVYKHFYRKRNN ELAQRGAEMDDKSDFLKEIHSIRTMTFKQRTIRDTFEKRGLYPLDSEKVMKSLREALE TAPELEIITTPSPPPSSSSPPSTIRGLRRSISKAQSFINNSPELDQSFVRRLDRVFQS SLETTELAAQLKDDLQQHLRYRKPQDRRKSQKRVKYHGPLTVYDAKRRIADRTEVERL QGLRQIRKTGALEYDKPPQPTNTGDLPSTEAGQVDREGPRLPYWIDTQGDVV AOR_1_1596154 MRTLTLLATLLAVLAGLYNYLNARLEQFYIFEPGQLHDLSQRAI AAHGNDTRAVVNYIVSELDEKVPGSHLNKEEEWVFNNAGGAMGAMYIIHASITEYLII FGTAIGTEGHTGRHTADDYFNILQGTQLAYVPGSYEPEVYPQGSVHHLKRGEVKQYKM DASCFALEYARGWIPPMLFFGYADTFSSTLDFPTLWATSRITGREMIANLFKGKL AOR_1_1598154 MKFLTPLVLSSLASAAALNRRADMCGQWDTTTTDKFTLYNNLWG EGNADSGSQCTGLDSDDGNTIAWHTSWTWTGGAGQVKSFANVAYNFEATQLSQLSSIP STWKWENTGSDIVADVAYDLFTSSSADGDEEYEIMIWLAALGGAGPISSTGSAIATPT VGGQSWSLYSGPNGQMTVFSFVASSTTEDFSADLNDFLKYLQEEQGMPSSQYLTHVQA GTEPFSGSNVKFTTSSYSVSVA AOR_1_1600154 MHTMTYPPFYTTTTMEQVSQPDQMNHFQHLNRIALHEFVRLPVS REMVAHLAMQASQVIRCEPHVTTACAHGQPTPPSTPPLDAVDSQLPPLPSVEMFIASL VTRSQVQVPTLMTSLVYLARLRARLPPVAKGMRCTVHRIFLASLILAAKNLNDSSPKN KHWARYTTVKGYDGFAFSLPEVNLMERQLLFLLDWDTRVTEEDLLCHLEPFLAPIRYR YQVQEREAELRQPREWRRLQASAELLACRLRRQKLEARLEARRSDSIHRRQRLPASPA SSVSLSSMSSMSSNASPASLADTDRHKPYRPRRRPSTRSGASVSPPSAQDVPSLTRVD TVPSLSSRASSIAPSSRNATPASLRPSSSITSMEADIRVVDGARSPSLSCGYVPVSSM MAPNPKMEETQQPTKKVRTNGHAGHTGFVARFLASAAGSYMGGRMRSHV AOR_1_1602154 MRHNACHLPNGQLISVSPVFGGLTFRIHDAHLDRSLLPPGWTIV LYTRQHNQEKEKSDGAPDRAREAGKGDDQSHSSRFTAPTLHDDCLYLSAIISPPNSEN KPAISASRQIAMMLWVTLWWYFHEPEPDLHMETEASSRTPAAGRPKGEWRVNIKREGI FKGRNVLQKLERMGLIATEDSSVGPDAFDNESWESMFVSRRSFWQIDPRLFVFSLSPL NPGQNVPGSSHHTRQLSSPTRETMYLADSGPPPSDTGHYAPNEGPFASSTNLPTYYPP PATQYIFTNGVRHPIRPKPPHQGDVFYIRYIPSVGQYLSFRVPYLPSQKTQAPGGAPS KAHTSSASTSDPMVSTTPSDLDYLHKWMNDPRVSAAWGEAGPISHQEEFLRNNLKSRH SFPVIGCWDGKPFGYFEIYWVKEDRLGQLIGGAGNYDRGIHLLVGEQEYRGAHRVQIW LSALVHYCWLSDQRTETVMLEPRVDNTKVLEYLQKAGFYKEGEVSFPHKQSNLMKIKR DSWEAPAI AOR_1_1604154 MPLTILSQAQLRSLLLSLTRDEIISLQQNLAQALREYSTGNQEQ GCSATYQPQRTAITRKNGSTTLFMPASTGRTIGMKMISLQDGGTAGCAVETGLDISEG EKTSSRSRHGSRNSMSSLSSDMSDLTVSSQEDESSSVSSSSKSLPAGCVNKQPVNPGL SNTMGAWPSAGSRDTSPQGSVTLLDEQSMPFGLINAHELTPFRTALTSTMIFNKRKRV RTVVVFGAGKQAYWHIRLALTLRGSEIKRVFIINRSFDRAAELLRDIYSPENSSWRGD VKFSAVSSDFVEYSRILQDALNKADAIFCCTPSIQPLFPGEILTSHEARRKGRLISAI GSYKPHMTELPPEIIRDEVKAHGSHRHFHKHVKRSGVVVVDSLEAALKEAGELIQAEI KPNQVVELGELLMVRCAAQDKDQETDDGKSLREWAERGNVIFKGVGLGLMDLVTGGDL VQLARQRNIGTMVEEF AOR_1_1606154 MLPVHIILAGLLSPVVTAGSVSQEQSNLLIHISNLNRRADGHPT TYTLDQSLLAIQIGGIVGAYVIFVAILLTLLLFVGRRLRRTVQSSNFTLQVEMMKPAK PLPSMDPSPVTPISANLPSPGMPNGFNRSWSSLGKGPRSHIANNSSVSTIDESVVALD RRRAQEEMEMLYAAVMEHDERRAAEKEATREESEIHSPDSAQTNPFTDPSLRLSEAPP PPPQAKMPTSPRASSRLSRLSSLSLFNSNSRPEVNTGKPRTPRTPRIPLRKLPISSPM GSPDVTVSRSYGEDQPPLTPRFYNPPPPPTPPVATIQSPGEKRVSKGSRAPAPAPLSM SVAGHGSSSLPFRDAYPQQSAPATKTTVLERPLKPMNGPRTGMPTPYSPYMPFTPVTP LTPSRMVTKRQRKREAKESGLRVLNEDDVVRDNEDMWGL AOR_1_1608154 MSKRHVGAFKFNNISSLSTTKSPRSRCFSTISQRRNADSKANES NETTQSQDESKEESAMARRLSEMTEQAMLEGGRSARKNIEHAGFSDDLKKQLEERITA TAFKSDHATAHSIVNMPSSAGQGTQDIAAAEPWSGTESLQDVTLRMLDSSKKPMRVPY KIPQPNPVDMRISPKPSKSPGLRLAQAKDRTSTYTLSQSPGVTDEEREAMRREMRERF TPGGRPMPMTIQGLASLANERIEDAMSRGQFERIKRGKGVNTQTDHNANSAFIDTTEY FMNKMIQKQELVPPWIEKQQELAREVDRFRQRLRTEWRRQAARLIASEGGSLEAQMRR AQAYAAAEARLAEKVKIERSFQELYNVEPSAANPNNDENIASTSPEVETKENPSLPYV SPLRDPQYLSTERSFYELAVKNLNNLTRSYNLQAPPVAQKPYINLERELSTCYADVAP TLAEEIKRRATERTSSKSVGSKAPGIIESLSTSTTTRVYEEDKTKGYGFKEFWRDLFA KKD AOR_1_1612154 MPPKGATTRLNPVRLQTIPHLRVRRPNQHEQNPCVTVMSSMLSC WASAGYTAEGCAALEQQLRQCMDAPKPKTNKKNTINYHLMRMYPKVVGPKKREGTLG AOR_1_1610154 MAGSPIVAISAIVLTITLLYKCIVYPVFLSPLSKIPNAHWTAPI SPAWMLWKRFRSQNNRTIQAAHERLGPIVRLSPSEISINCVEGGIKTVYTGGFEKHEW YPRVFGSLGTVSMFTMISSKPHSVRKRMLSNIYSKSYLQSSPHMRLISESILFDRLLP IIQEAVSSDAPIEVHDLNQGLTIDFVSAYLFGLANGTNWLQDAPFRRRMLHYYQGRKP YEFYHQEVPNLVSWTKSLGIRLIPRWCDEANEMLDAWCLDLCDKAEQCLQSTEVSVEP VVYKQLQQAMIKQSSQKENTDESLKQQRLDIACELYDQLTAGFETSAVALTYLFWELS RHPDVQEELRAELLTLEPKILFPRSSASRGLPQAKAVDSLPLLEAIVTETLRLHAPIP GIQPRVTPYPSCTLAGYSDIPANIRVNAQAYSLHRNPDVYPDPETWQPKRWLKGVNSD SDLEERRRWFWAFGSGGRMCVGSNLALQEIKLVTAAIYSNYRTSIVDDDNIEPIDAYT VKPRGDKLVLKFEAA AOR_1_2852154 MLSKLPVEIIYLIATYLPSASDLVHIAQTCKRLYGVVSAEDGRV FRAFIEHRFPGFVPSSCWKDVTQALTSRSRALDKNAVVSRFVAKPPTRAELGITTRTD RPTLGYRPAIDSYEIWNGSTWADRREVLAWGGGHEIVMKIKQHGKAQNSQWAIFDDLD VVSSHDDVCGLHILRPGHHRKVEDKEHIIFGRMRGELLHVALKPNEDTHDYVQRFQTS NSGLEHIDLSEGPDPILAAHDKKGTITFYSTTKDDDVVEPFGNIVIGSRLAARNKCSK FLSPTLFAIGTGRSEDALAISSISSERLALEREISVGSLDQDVRLGSTASVIVNAMAP LCGQQVTTGSPGSTFLAAWGDRRIRLHDVRSNRASESTYKDPTDQSQIYSLHPFGHDR FLAGAGADAVVKIFDLRMPKTYSYTDSRASSIYQHNVPRRKASGGEIAPPVESIRYPR KDFSMFLFYAPPLYPNAPRRRQRESTPYRGAIYSLCSPSPLSPTVYAGITDGVVRLDF MSTDDLTGSCGDWYREMIDIDLEIQEDRTTYNPERVLDLSGYERPEPDDTTTTSPLRT QKRFAYIDETNIKNEQLTGWDRRWNPMERFAAWRRRD AOR_1_1616154 MAGKKSSPAKLAQDKKVGGAPSFPPQLIASIEAFLTESGFTSTG KAFAKEQASKSVSKSLSDSKNAPSLLEIFQNWADNEKATEKSSESSSSESSSSSSSSE ESSSSSDESSDSDVEMNDAPKAQSKKQSKNSSSSSSSSSSSSSSSSGSDSDADDESEE ETAAPAPAKPQGTKRKAESDSSSSESESDSDKAPQNKKTKIAAKKESSSSDSSASSDS SSSESSSSASDSSDSSSDSNSDSDSSDSDSSDSSSSSSDSDEEDGKENKTSSSSQSSS GSETVQNSDSGVQKSTNISTPVTGSSSASPAPRNGPAQKKHTGARPTPLALLSEQPTD HLLSNDYVPYAYADRAFKDLSVTRGKGFTKEKNKKKRGSYRGGPIDISGGKSFKFED AOR_1_1618154 MNGRDRSRGPHPGEIPPQRDPRRVGDGRNAGHTGHGEASMSRAE RFEDEKRRIIQSCFSRKDGDGSLTESYITHVRITEDAAYPSTPPPPNSPPDNKKPRAI IVAVRKSGRVRVHKARENNDGSFSIGKTWMLDDLSAIQSFNAWIPSSPLEQQQKQWAS NVGFVVTIGKPYYWQARTSKEKDFFIGSLVKIYKKYTGGKVPELIGFDDRERQLLAGM PPPGPKHPGQGPPRPEVTLPPPGPPYSSHGSRPQSPYSSRAPSRDGPRRLPSEEQSLR AQRSRDQMGRPSTAQSGQSGRSIPTPPVPPQHPPPVPPDQRDQPPPRSTERLASENRM PKSPVSPESRGLDIPTSLLAASASSQRDRPSGESERNVVTRPEVQPPPSRDGKGVPEP KPRTQDLAFSSPRHNNDGSRPTTAQSVPSESPNVNPSPASNPGPNSAFNEGSIKASSP EVPTEPYDNIASERTPDTQPVEVPPALKLATSNAANAQMRAVVTETAAPVSTMESQPS ELVREGGPISPPASPPEVPTSPAENEADAHRPGLGPMIKKKQSKEVVGALRKAATAHG AFKPRPGGAGERLLAAARKQKAEEHNGPDGITGVVPAPSLRTAIEPVVSPETPDKEIP ALPSPAKEVPSPAKSPILEPPTVEVTQAAAEEVTVTSVETQEEPRDTSRATVKVGADE RARSVSPSPDGRRRRRHEDNTIKYCQALGVDPSSIGGRGADFDDILTDLGWNGRLNDE KKIEDLEADIRREIGRVEATSWLGNLEQQEGKIDQLAKLIDKTIEECEELDNLLTLYS HELNTLHDDVSYIETQSQGLQVQTANQKLLHNELQNLLKTLSISSVELRSLKEASLSN PDGLRDTETALSTLYKAMLMIDSDILQNKRRLADAAGDHGSVGVYADTEIGQMRAIKE KKEEYRSQSRLFLQRLKQFMAIAYKVAEQKRVDTATNSSKDPMKLDSRAREYFRRELW MYNALMLFAKEVSGAEWHGLINLYEQQAKQPYQNEFRDNSLAWKKASRKPSGEEHELL FTHQEKEKENEGITMAARKLTVKRGKTMRAAAGLRLPSGDKQHGHLEPYESFAGALHE TLSMISEEQNFLVQFFHLSSLSHVDFPDLVASVHPEERRLPDFGVKQLHDTDRGMARK VEQIMDELFSFWPNDMQSLVDSSIQADPLQGIGILFALEKAVLDFEDTNQDFIVHSLQ KLHSRLIGLFNRFVDEQIRGIEDTKVKVNKRKGVISFMRVFPHFSTAVENMLSQPAQE FCDIRISVNEAYNRINRAMWESLKFIAKEAPGQPNGAAATSGDPEDKEALNYHILLIE NMNHYIEEVDVRGLPVLEKWRERAYQDYHEHMKLYLDSVIHRPLGKLLEFVESAEGLL ATTSNPADISSRPSHSRSVAKKVLATYDAKEMRRGIEALKKRVEKHFGDADDPGLSRS LVLKVLRECESRYEGAYDRTRRILNTVYEGQLDLEWRKEDAIAMFKK AOR_1_1620154 MPWRPLSRIAFAVAIYPFQPSTPADLPLELGDELYIIEQGGANG EWCRGYLVAPPSLLAGLTSTKGQTLEARVFSGIFPRNCVEIREVLGDADGQRTLTNGD RRSIEQGDGPDGDVRNSVVSLHDDEFMTGEVSNVVIAKKGKPSQIFIHKLDDDQQSPR SLCSTTLKSPSLPHTPITMTPRDPHGPKPAAPVPMLKIGDETPTSLSEPLVDEIASCL REWHSTNLHQLLLNRQYDVIEEMSAIVQELDLARRQLLYNVLTAQEKEGLRQEVVWKL VRANKMLSGDVIVRDPEQRGRLLTGEDSAVQLTKLQSEMSMLESSPTNPSDAAAIHHL LLEVNAVSGNSPGPVTLAVNLCSQSETGGLNPLSETYILDIPSPEKFASMGQSSKLKT LFTELCATDIGDGSANGPKLYLVVAVRAPETASTGAPSQPRSSVSREGSSASKTSTGA NQQGKSSLKTRRSMMWTSKPRGIPSAEQGKENSKGPPESAESTSSNSKEPANSQPAKE TTQIRTIGVGILEISQIVGQDKDTEQVINIWSSSEENENGDEHSDGFDEVIRTLLPSP SGKRIGFSQAPTKPRSDIYVTISHATFPPEALLSHPQAGQVPVPTNSGLRNLQLTLEV RASSGARIERCVFPSSNNTAHTAWRTTIAARGVPWNQTIRLNIPTDQIPGSHLIMSIA DAPEFPFALAWMPLWDNQAFMRDGPHSLLLHAYDKVTSSIENGKGAYLSLPWSSLGKN ESAKDEAITGPLATLRLETSLCSTEYSQDQVILSLLNWRERPVDEVLDTLKRVLFVPE IEIVKQLSSVFDALFGILVENAGNEEYEDLIFKNLVTVLGIVHDRRFNLGPLVDHYAE NQFNFPFATPCLIRSYQRLLQGASDGQQSRNLRATFKVGRHVLKFIINARQQQKVKEE GIGITRVQSTFNRDLHMMFKSLEALMKNPSPAMIGSKTLVVQHFHTWLPELTKVLPKD EIIMIALSFMDSCKDVKGMLILYKLVLIQHYTRLEIFSTGPERKSLVSSCIGWLAPYW GAIGPVSDLYRDQVRLNCAIVAELAKEPDPYVYDFMPKIVSSYYTIIPDGVEDTSYLS LLFSKSFPFQVKPSKQTQKFDEALVELSAIMAATAAIPNPKRPRLKGLELATFLSQAL EVHTSILNCEAYPESWLSVHVVSSDVLALETFPEQKRRAIWKIAGDVREQGAELLHSS WEAIGWDTTEEEREQYGLKKLGGYQVQYVPGLVAPIIELCLSVHEGLRHVAVEILRTM ILSEWSLNQDLSIIETEIISSLDNLFKTKNMNEGVVQKLFIAELTEHFENCSSFDESL SNAVKALIATVDELLDLFVASQSGSMAESLHSLRLMEYMKDMGREDIFIRYVHELAQL QAAAGNFTEAGLALQFHADLYEWDPRRSLPELLNPTFPEQTSFERKESLYFSIIQFFE DAKSWAHALVCYKELAQQYEDTIMDFAKLSRAQSSMAKIYEIIAKEEKQFPRYFRVLY KGLGFPATLRDKEFIFECSPTDRMASFVDRMQREHPAAQIVSPGEVHDYEGQFLHISP VTVHRDMTHPVYQRSKVPSSVRDHLLISEPCRFSSTLKRHIRGADVQEQWVEKAIYTT AEPFPNILRRSEVVAVEELALTPMQTALERTWRKTQELTLLQRRAASGEDMSLSNLTE ALEQLLELNSSSAGCVALYRQFLSHPQGDAEHDDTPTPVDPLNQALAVALIDHALAIK QALALFYRPAHQATQAELMRRFEEAFAPELASLSPVVQETPHSAPRQSPRSLQDRKQQ AALRSNTPEQELIRSSRQSHTRKHSQRPSVISIMNPFKRVNYAASGSVTNGNGDSKSP LDGNDNGPVENGRVEDTDREDAATINSRTTSQSRDTQSRRRSFFGEKLHKHTSSLSIT KPVPEDTQAQISQRSRSASRDAGAKPQKSRETLSRTSSQNKGVSGNDRPDTEQQDLNE KP AOR_1_1622154 MTRPTTKTRICIISDTHTLTPNPAQNTTNPYRHPLPSSDILLHA GDITKVGLKDEHEVILDMLKVAPAELKLVVAGNHDITLDEEYYTRIGHYRHRYRTDHT TASATAGKENVGASSEEEGRVESVREIKALWTSEEAVNAGIRYMEEGVQTFTLGNGAR FTVYASPYTPEFCQWAFAYDRDTDRFNPPQSMSEGVFVPPNPVPDDGVDIMLTHGPPY GILDKVVGTHASVGCENLFRAVERAKPRLHVFGHIHEAYGAARLEWSTRNQSIIQCDK ETTLEDRCAYTDVSGQSMSPLRVGDETLFVNASVVTVQYQAVNPPWLVDLELPSE AOR_1_1624154 MGISRDSRHKRSATGAKRATYRKKRAFEKGRQPSNTRIGTKRIH LVRTRGGNRKFRALRLESGNFSWGSEGISRKTRVIVVAYHPSNNELVRTNTLTKSAVV QIDAAPFRQWYEAHYGQPIGRRRQQKTETTEEKKSNSVVKKQAERFAESGKVESAIER QFEAGRLYAVIASRPGQSGRVDGYILEGEELAFYQKAIRK AOR_1_1626154 MAAINDDKSASSKSFIINHMNADHQKSLAMYLRVYCNVADGEAK AARLEDITLSDLFISAKGIRYSVPLDPPMKTFSDTRQRVVAMHKECLERLGLSDIIIK EYRAPRGWEAINFAVVVATLIVFSRGSNFQPGSLLYETAGLDRFPAFTRFCHTVQPIP GTLLLGIHAIEVVLLAVKRLKPHGVPFLSGVWIAWVATIMIEGVFAFRRFDRMVKEEQ VKKEHRK AOR_1_1628154 MATAEKKKEEQPAIQPDEYSDGDYDTDDYSLSEDEQDQKPQRPK QQQARRRQQQQRKRQNDEYEDESDYFSDEYDSDEYDDDDDAGQGNAMQPYKRGTQSLT QGTITNGAMTDAPGQGKNDEEQDGLKLKLELNLDIEVELKAHIHGDLTLSLLA AOR_1_1630154 MSDKEDNPQIPQQENNNPQEENNQGSPQPKEEQDVEPKQESNSD DAEPKQEPKSDDESKQDPQPDSKPQEKEFKPEAEPKQEPQSEAEPEPQPQPQKEEPRR RPRRPRPQKYQQDSDTENIDRGDMDNTAVEKPRRQRRSRRQQQDGGPLGGLGGIDQAG DLVQNTAGNAVNGVTNTAGKAVGGILGGNKGEGQDDSGGKDEQLRLRLDLNLDIEVQL KAKIHGDLTLGLLN AOR_1_1632154 MYVLSGPPDIAILLLLVPPNTSSGLTPAQHWTANPIPSPCSVPL YLSTVSSHAGGPKVARIASATSASPDDIDVTTHPRLSGQDIELVEYPVPPSTRACQSH TGSQGFYSRPIGQRQLLDRTSQPGTLEHSNPNSRQTLRHVQWDKPIRLKYWVEALHRR SLFPEPQSKMKFSHSIQFNAVPEWSSYYLAYSNLKKLIYSLEQQVRKAGGQAQADVES APLLDSTPNTDSIFRKALDAELEKICTFYQDKELEILKEVEDVIRDAEEYASEADGIN VDPMSEHMTKTRTMSSGSRRRSGNGYHDFPLNPDRRRSSVTESAVDDDDDDADSDDEH LSVHSGRRLSHGAGSTNPDDGRTDYMGESGYMGDSRGWRSNRAQVEHFGDPKVLDLYN SGLSLKKRAVDAYVSLCGLKSYIQLNKTGFSKALKKYDKILDRSLRREYMNSTVSLAY PFTESTMSKVEADIRKIEKVYADVVTTGDLSLARRELRLHLREHVVWERNTVWREMIG IERKAQAANVGIRRTILGGDEDPAAARRQGDEQEISLTEFKTPLGVFHPPQWLCSLSF GTLVLVLAIFVTLLAVPIMDKPEQQNCLAMLIFVSLLWATEVIPLFVTSLLIPFLVVL LRIMKSEEKPYKRLGPKEATSAAFSAMWTPVIMLLLGGFTIAAALSKYDIARRMAMFV LSKAGSSPRVVLLTNMFVSMFLSMWISNVASPVLCYSIIQPLLRNLPPDSNFAKALVL GIALAANVGGAASPIASPQNIIALQNMHPSISWGTWFFVSLPVCIISILLIWGLLVFT FHPGRGTTLVPIRPVKDKFSGVQWFISIVTLSTIALWCGSHQLEHVFGDMGVIAIIPM VLFFGTGILNKEDFNNFLWTIIILAAGGLCLGKAVTSSGLLHTIANGITARVEHLSLY GVLIVFSVLILIMATFISHTVAALIILPLVRQIGVGMEDPHPNLLVMASALMCSVAMA LPTSGFPNMTAIMTEVPQTGQRYLQVHHFFTRGIPASLMAWAVIITLGYALMYIAGL AOR_1_1634154 MPPRLNLFNARTAVPVFRQTSINVSRPSIATTINSNRSTRHGLK TGLSSSTPMQKRYNSSASGGDNSERPKAPTEDPLPHVSEEAAEISKIMDKKCDGTPAS PELEQGTPISEILQRDKEAQKHMPKVMQDQIKSSTGTRSFSTSTRRSQAELHGQGKSF DEQTAAVMASMISQVNQQAEEFHDGIKFDPVETLPKTENFRTRYDSLLEQFTKLLMTD GKLSRAQKDMAFILDHLRTAPPPQPNPKRPLLPGPPAPQLPLNPVLYLTLIVDSVAPL IKIRQQKGIAGGGASVQIPVPLALRQRRRTAIKWIIDGSDKRRDSKFAQRVANELVAV AEGRSGVWDKREQQHKLGIAGRSNLGMVGGRR AOR_1_1636154 MSPKNFRTSFRSHTWGSRRSMTGGSQNGSREPNERDALIGDTIR ANSGLVPRHKRRPWAYWPVRVVHLTWATLVRDYVNLLLVFVPFGIIAGALGWDSTAVF TLNFLAIVPLASLLSFATEELAAAMGQALGGLMNATFGNAVELIVSIIALKDGQIRVV QASMLGSILSNILLVLGCCFFVGGIRYSEQSFNTTVASTMSSLMTVASASLIIPATLY ASLSSTGDEKTRTENILILSHGTAIILLILYVMYLYFQLKSHASFFEEATPDPENSGD DVAEEEEEHILSPWAACVVLVVVTILVAVCADYLVGSIDPIVEKTGMSRTFIGLILIP IVGNAAEHVTAVVVAWKGKMDLAIGVAIGSSLQIALFVTPFLVILGWILDVDMTLHFH IFETVAFFISGLVVTFLIQDGKSNYLEGGLCLGMYVILALAFYVYPDQATDDALFHI AOR_1_2854154 MQSRSRWQSAFILTGLLVAETVYSAPTPTQEATTSFKTQYPPSA ANARLLLPETQGYYPTSLEREGHQLQKPTPDPKEVPYRFGGPINDLYGPVSLLEGLLP GLLPATSDAPSTAPAETPVPSVTLPSQETINGPAEATSSPTTAPTSISVPVSTSSSST SSLTSHSAKPQDTSTSVESIPATSTIMATMANGQDVFLPVSTGPIPGTVKSRNDHPVP RASIMNNTDPIETNKFYAGLFLGTQTNTTFTHPYGIAWAKGNGNAKSYGMAISHIEAD KLALGPKNDKIPGSPVQYYVNPIGIQSIILSATELGGSTVLMTENPLPFSANAVLQPQ SGSSERITFPVVQGMGFVTGIYSNLQPVIQSSVFFSKVVSAGSPRPGIFKYTVDLADG TNWLLYLTSNDGKDPNLHLESTTNLRGPPGWSGTVQVAKNPAGTLGEKLFDNSSGVYA TQGWVKGAVSGQTGTYSLTWGKEGKDKDGTPLMMYALPHHVESFDKTTHDRLTNITMR TTTKGNATAVIGETWSMVEQDLPVGMGFAPWSVSAGSVDTISPAAQKVIIDVAPTELQ QDVGNQSNLNSMYYSGKALSKFATLVYAVDKLGGKPDLAAPALKDLKTAFARFIDNKQ QFPLVYDNVWKGVVSSASYDGGDSGADFGNTYYNDHHFHYGYFIHAAAIIGSLDPSWI QGNKDWVNMLVRDAGNAATNDPLFPFSRGFDWFHGHSWAKGLFESFDGKDEESTSEDA MFAYALKMWGKTIGDASMEARGNLMLGILRRSLHNYFLLEADNKNHPPVFVPNKVTGI LFENKVDHTTYFGANLEYIHGIHMLPLLPVSPYTRSQKFVKEEWDALFATNAAAPAEQ VQAGWKGVLYANLALIDPVSAWNFFAQPNFDYSVIDGGATRIWYLALAAGLGGA AOR_1_1640154 MPRKQTQLRGPRDQAPKKQPQKKKSSKALNALAIAEAQFPIKPK IRRNRLGDDDDLSKRKRHSGRDMDDSDEPDNKRRRTGAESSDLSENGGSDGEGHKWRL GEVDSDDDSEVDSDEAMGSSDEERFEGFSFRGSSSAKPIRKGSEPKKSGRQINLSEDV EDSEDGEMDEDEDDLGEDAVDLTTAWDMNTAEEEEAEKRKSSKAKKAAEEFDDDDHSE EGGSESDEDDDDSSFGDESELELSDNEDTGNEHGLSKLQDFVNSMETDSAKKSTRKTN GGQEHGNPTEFGLSSTRKLTVADLLPSITDSRLKSSLKHVDSAISTHKSSGVPGKLDA PLAKRQQDRLDRAAAYEKSKETLDRWLETVKANRRAEHLMFPLPDPEGNQTHRLGAAE PRTDLESTIQNILIESGLAEANGKSAEDQVQEFEELQARKLPIEEIRARRAELRKRRD LLFREEVRAKRIKKIKSKSYRRVHRKEREKLEQQERQALLEAGVDIDEQEREQNERRR AEARMGSKHKESKWAKSLKQTGRTAWDEEARLGTADLALKEEELRRRIEGKRISHGDE DYLGSSSSESEDDDPWNEEDSSDAEKRKLREKLDKLEHGSDVESELKGPHAKLLSMKF MQNAEAARKAQNDAEIRRLNRELHGEESHSEAESEVGRRKFGHSKDSKSAPESKSKSH ARNEFEEAPGSDDEDARASEVDQDVDIIVNRPDKRKPAGSDKKSRTRGTSASSSQKED AAEDENPWLIQTSRNNRRTTADDSQQGLDIAVDGGKPDNTKSKSIPHNQKEKPVIPPK KQHMDEGDDSDDDGNVPVLLKNHDLVKRAFAGDEVVQDFEQEKHDTIKEEDDQVIDNT LPGWGNWAGDGISKKQQKRQKRFLTTVEGVKPENRKDAKLSRVIINEKRVKKNNKYLA TQLPHPFESRQQYERSLRLPIGPEWSTKETLQNATKPRVMIKQGIIKPMEKPMI AOR_1_1642154 MFKPSQPMMARLRLTTKQVNGGYYKGNRTGAMGYFAKNGSYVID WKKVRTFVVPENLGEFKLTPFVTQRMAPTKSKYTRDMEKDSKLITVERAFGGKDYLDM WASDNGQEVLEQERLEQETSRQ AOR_1_1644154 MHFSPYGTWQYPEAQAYALGSQAGYKAPESGFVKVVSDLLNSTA VHISRRLESGEVTDFDDTPDRAPLHPFIKEQLFSLVNQGSITGLLSDLQSSHLSQRDL ANSQSDASREAKILATYALTLLRVFPRRGDDIRMWLYLGSAVSDDRLAGQPGSRIPAI KYFWQASRSSKIFNTISEDSTKVLTLLKPASDTNEARLPSISHKERDEEWMIILLFLE LYTFVLKVMDDEEFFSSGSSFTASSNTRVSWTKESALPLADIKDMTIFLKNLAFTLYW NSADLNEDETVHDSGGIRSYFSSSVTPSDTVSSVRDLEMKNKEKGLSGVTGIPLDYFK GLVTGLLRMIHERDSRRKFLPDGHWLMTNRFDMEGFIPAVVAEEENRHQLQDEEEGEG QDDLMDDAYYESSLGLIGTGRAQQTRRIEALRRRQQQAARRKQLEAVAPRLEILRNMP FFIPFHTRVQIFREFIYRDQIRRRQGYIDPDAWRMSVAQASMGRMIDGRPAVQDILGR HHANIRRESVFKDAFDQFYELGEGLKEPIQITFIDKFNTPEAGIDGGGVTKEFLTSVT NEAFKSISDLNLFEENEQHLLYPNPAAVEHRRELLRQVGLAENSPDWNDNIRDLLRRY EFLGRVIGKCLYEGILVDVNFAPFFLLKWALTGGTGSAQKETAYRANLNDLKDLDQGL YQGLLQLKNYPGDVEDFSLNFTVTDIIPLSDGRSRTITRDLKPHGSDIPVTNQNRLVY ISYIARYRLQAQPALQTNAFLQGLGQIIQPSWLSMFNQSELQTLVSGESGDIDVSDLR RNTQYGGVYTIGDDREEHPTIQLFWEVMHKMTNEERQKVLRFVTSTPRAPLLGFSHLN PRFSIRDSSEDQERLPSTSTCVNLLKLPRYTNANTLREKLLYAINSGAGFDLS AOR_1_1646154 MSADASTTPAADSNVTSTPETSTTPAAPAPEVTAVESTTAPNAS QPHSASLYVGELDPSVTEAMLYELFSSIGQVASIRVCRDAVTRRSLGYAYVNYNNTAD GERALEDLNYTLIKGKPCRIMWSQRDPALRKTGQGNVFIKNLDSAIDNKALHDTFAAF GNILSCKVAQDEFGNSKGYGFVHYETAEAANNAIKHVNGMLLNDKKVFVGHHISKKDR QSKFEEMKANFTNVYIKNIDQDVTEEEFRELFEKFGEITSATLSRDQEGKSRGFGFVN FSTHESAQAAVDEMNEKEIRTQKLYVGRAQKKHEREEELRKQYEAARLEKASKYQGVN LYVKNLTDDVDDEKLRELFGPYGTITSAKVMRDTNIERTQTPESDKEKENKEATKENE KESSEAEKAEKTEEKPADSGDEKKEDKESKKADKKGLGKSKGFGFVCFSSPDEASKAV TEMNQRMVNGKPLYVALAQRKDVRRSQLEASIQARNTIRQQQAAAAAGMPQPYMQPAV FYGPGQQGFIPGQRGGIAFPPQPGMVMAGIPGGRPGQYPGPFPGQQGGRGMGPNQQLP PNFQGIPMGAMQGPVPNGMGYPQGMAQVQFGRGAGGRGQVPGMPNMGQGMRGPGYGQG RGGVPVQQGQMRPGQGGRGQNAAQAPAGRPEEAVAGGLTAQALSAAPPPQQKQMLGEA LYPKIQAQQPELAGKITGMLLEMENTELLSLLEDEEALRAKVDEALNVYDEYMKNKGG ESEATGEAAKPKEAAKETSTEENKS AOR_1_1646154 MSADASTTPAADSNVTSTPETSTTPAAPAPEVTAVESTTAPNAS QPHSASLYVGELDPSVTEAMLYELFSSIGQVASIRVCRDAVTRRSLGYAYVNYNNTAD GERALEDLNYTLIKGKPCRIMWSQRDPALRKTGQGNVFIKNLDSAIDNKALHDTFAAF GNILSCKVAQDEFGNSKGYGFVHYETAEAANNAIKHVNGMLLNDKKVFVGHHISKKDR QSKFEEMKANFTNVYIKNIDQDVTEEEFRELFEKFGEITSATLSRDQEGKSRGFGFVN FSTHESAQAAVDEMNEKEIRTQKLYVGRAQKKHEREEELRKQYEAARLEKASKYQGVN LYVKNLTDDVDDEKLRELFGPYGTITSAKVMRDTNIERTQTPESDKEKENKEATKENE KESSEAEKAEKTEEKPADSGDEKKEDKESKKADKKGLGKSKGFGFVCFSSPDEASKAV TEMNQRMVNGKPLYVALAQRKDVRRSQLEASIQARNTIRQQQAAAAAGMPQPYMQPAV FYGPGQQGFIPGQRGGIAFPPQPGMVMAGIPGGRPGQYPGPFPGQQGGRGMGPNQQLP PNFQGIPMGAMQGPVPNGMGYPQGMAQVQFGRGAGGRGQVPGMPNMGQGMRGPGYGQG RGGVPVQQGQMRPGQGGRGQNAAQAPAGRPEEAVAGGLTAQALSAAPPPQQKQMLGEA LYPKIQAQQPELAGKITGMLLEMENTELLSLLEDEEALRAKVDEALNVYDEYMKNKGG ESEATGEAAKPKEAAKETSTEENKS AOR_1_1648154 MESTDLTSGPSEIGSLTAQSNEESQFVGSSSGVYFINTVRQAFS RNLDTLDASSGQEFPHPEDTLVGSDGSHYDKRSAPSSVKASPMPGGDQPFCQWEYDPA MAAILGQAPPLDTARELMMLRKRIFWCAYAIDRYLSQALGLPLGIQDSDIDVCAPGAD EIHFPGSHRAHGLRMGLSTCNLNDRASSVPERSADAIQLPAEQSASHESHQNTPSDSE PLQNKREITFASYVESGTLTGRALELFHKSILVRSIRRSSVLFLITDVHKWWNSLSID LQTLNPPVDQDTMADKPFNFGPFFTVLYQHLVLLINRPSLSLSPSTPEFCSGLQTCIG AAREILTALKAQIDAKQALFWPGFLSAAWMSGLVLAFACQLRQYVLSKGLREISKCLD FLHLMSPQWETAKNCHRALTLLSRNIQHSSTVPTLHRPSEPPLSRTRPEDDNDFDTRK KRRLNSHPVTRTSRLGSSSFEMTLDGTVHPSDNDEDTNDPGLGVQNMSYSNDIQVSNN PSELVQGGTRLLLSPDTIQSPEDRLFFSEGGSHSMMDFDLNMVDLLQGANFDNLFDMF GQQYPSF AOR_1_1650154 MASQKPTYRIASIPGDGIGIEVVDAAIQVVEKLAKTLGTFNIDF THIPWGTAYYKEHGRYVSEDCLDTLRKFDAGLFGAVGAPDVPDHISLWGLLLALRGPL QLYANVRPVRTFPGTKCPLNTATEGIDWMLVRENSEGEYSGQGGRSHVGQPWEAATEV AMFTRVGIERIMRFAFEVAQSRPRKLLTVVTKSNSMRNGMVLWDQVAAEVAKDFPDVT WDKMLVDAMTVRMVAKPQSIDTIVGTNLHMDILSDLAAALAGSIGVAPSSNLDPTRKN PSIFEPVHGSAFDITGKGVANPVATFWSAAEMLSWLGEKEAAKQLMDCVEKVCAAGIL TPDLGGTSNTQGVVDAVKKEIERLV AOR_1_1652154 MRATLPLLALFTVLPAALAAGRCREGLNYCGSTLLSLGWTQSEL LAIVDGDANIEKALFYCEIDGIVEYSGPCTVCQRAPEGQSDACDEGQAR AOR_1_1654154 MATQPATTETEASASFFEAASYIPPDAIFALTAEYNADTFAQKV NLGQGTYRDENGQPWVLPSVQKARETLLSKGLNHEYLPILGLQTFRNEATKLALGSEI YDKKQSQLAICQSLSGTGALHLAGLLLRSVRSPLPKVYIPSPTWSNHGQVFSSLGFQC ETFQYYDAEKRDIDIEAYYSALRAAEPNSVVIIHACAHNPTGCDPSKEQWKQIGLIMK ERKLFPLFDAAYLGFNSGNVDDDAFAMRYFINELQMEAGGCLSFAKNMGLYGERVGCL FFAAGTEKAATNTQSVLEMLQRSEVSNPPAYGAKIASAILGDETLKEMWYADLITMSG RIRSMRQALYDGLIKFGAPGTWDHLIRQSGMFGFLGLSPAVVQKLKDKYHIYMASNSR VSIAGLNPSNVEYVARSIAECLNES AOR_1_2856154 MGSSNLIPKPWVPRDQPPDITLININVIDVEAGHVIPNCSVHVQ NGVIARIMPASSLSRSSEAPFPTGPRTKTKFINMRNQYLCPGLIDCHVHLTATPGGTS LKDMFAASPNTIAYRTTYVARNMLLRGFTTARDTGGADAALRDAIAEGLLSGPRLFIA GKALSQTGGHGDLRAPYQGDEHKCCGGHSPALARICNGVPACLEAVRDELRQGANFIK IMCGGGVATPTDALDMLQFTAEEIQAITTTAAYSKTYVTAHAYNAEAIRHAVDNGVRG IEHGNFIDPETARYCKEKGVVFTPTLITYQGMTEPPFDNFLDEFSQAKNLEVLASGLQ ALEILRDAGITMCYGSDLLAGLHTLQNREFSIRSAVLSPLEILQSATINAATLVGMEG KLGCIKEGAIADFLILNANPLEDITILDHVDKSLMAIAKEGRIVSSKIADLSVDPL AOR_1_1656154 MKASIGDRIRFKDSRVVGKEQVAEIIDVLGPDGAPPYRVRFSDG HETLVFPAPESEVIPKGSD AOR_1_2858154 MRPKDRSGFSIAIICALTVEADAVILLFDEVYDRYGDEYGRHPG DTNSYTVGTIGKHNVVLCYMPEMGKASAAGVATKMKFSYPGVSLALVVGVCGGVPLLP YSNTPIFLGDVIISHAVVRYDYGRQYPDGFKRKKGIPENLGRPNQEIRTLFQRLQTSM ARSDLQNDIAQYLEVLRRSEPRWQYPGKACDVLFDASYHHKHHQQATSLKCLCFNSES PGQICQEAQESSCDSLECDENRIIRRRPSDAAGASIHFGKIASSDTVMKSGEHRDKLA KDEDVAGFDMEGAGVWDELPCIIIKGVCDYADSHKDKKWQAYAAATGASSAKAFLRYW NPASSEGAKPNNSHWMVPFDRNLQFVGRQKEIADLEDMIIERQGPGKIAISGLGGVGK THVALELAYRVRERDAECSVFWIPCTGPEIIEQTYMNIAQMLGMQDVKPAEVKEQVKE YLSHKSDLKWLLIFDNADDMDMWTQGSKSAPALKDLMPRTEQGRIIFTTRNRKLAVKL APYEISVLKVDEETGIKMLQMALPGEDLSDNREIAVALLIQLTFLPLAIMQAVAFIKE NSIGLEDYLALLQEQEQEVVELLSEDFETEGRYQDVPNPVATTWWVSFQQIQQIDQLA AEYLSFMACINPQNIPQSLLPPAKSKIKMVKALGLLSAYSFISDQAKDSPLNLHRLVH LATRNWMRGNQQFTLYIRKTAERLTEAFPNNDHTKRNLWRSYLPHALSLLEEDEFKGQ REQYIDLLENIGDCLVSDGRYNEAEALFCDARDIQQKRDGEVHLSTLAIMSKLASVYI GQGRFTEAETLNVQVLETRNQTLGPEDPATLKTMGDLSRVYSEKGHYKQAETLSLQVL KAMRKVLGPEHSDTLSSMACLGNIFHKQGKLKQAEELSLQVLEHQKNKLGPGHPSTLT SITNLASVYRTQGRYKEAEELYKQTIEKKKNMLGLEHPNTLTDMLNLAAVYRNQGRLG QTEELENQVIEIRKQTLGEEHPTTLLSMANLALTYWQQAKLQQAEELGVRVLAARKQV LGPEHPSTLTSIHNLALTYFSQAKWKQAEDLRTQVLECQKKILGPEHFLTITSMVNLA YVWKCTGRHSDSISLLTKCVRLREKRLGPNHPDTLAAKETLRQWEEEGEGEEGEEGEE GEEGEEGEEGEEGEEGEEGEEGEEGEEGEEGWCQASDEYQQMSKQVDNDSSNEDPTVL LPAGSLSFRNNRRADARYEG AOR_1_1660154 MAPPTKAGKASRITIACNACRFRKQKCSGKKPVCTQCLQHNRVC DWPEQLKRGPAKGYIESLEHRLHETETVLLKVLSRISDAQLSASINPDKQHRTRSSIG DLLYSPYPRLGKRGADYWKRFPLDTAHNVREWQDDCLSHQDLRPSTPGSSDRNTTLLS PGTGVTEETILGPHGQREPSDYQSSDISCTDMPTDRREEKNGSLSPASASPSTHNAAA RSGAPRLEKSIRSFHKDTGRQAMGSVAQTQLEIAIPQEPNIWSGAPSIHFQRQFLW AOR_1_1662154 MEAFNLHNFLSSLYILLPFVILANPVHAAPYQNRILETGSTFGA PDPYGPWQMIPSIPTEDLVYIKTTNTGTGRVEVHIASGSSGFRTRSLEVGTSFVSEDN GTWQLIDADGDGRPDLVYIKTRNTGTGRVEVHIASASSNYQTRILETGTTFYPEDNGV WQMADFDHDGKLDLVYIKTRNTGTGKVEVHVASGASNYQRRIQEVGTTFYPEDNGVWQ MVDFDRDGKLDLAYIKTRDTGTGKVEVHVASGSSTYNTRVQEVGTTFYPESNGFWELS DFNHDGVLDLVYIKTQNTGTGSVEVHVASGKS AOR_1_1664154 MEYTTRGVCGQEGCRETRYYLDNGLWFCRRGHQQEGRQVEEEPD DFGTQGKTNRVKKEVTEKGAKTYRGRQAYRLFLQVYQLILWKQCHALVQSRGFPPQLE HVVRDLWALRLETYLNKIQDSSDGGEIEFFSSQPTADQEEPDIVKFGGKHLQWPRLVD SVGLCYLGALLMRLPVGIGDFHRMIMYGDIPYIRITRSIPREMRDKLPQEYLSIMETT RLLKAEHLHKAVLQLSLLYRHKFGVHFPALNLPPILYHYIRRLALPVDIYSAVKRLQD LLGFSFEFPKGLASRSRPHDLPEIQLVTLIVISTKLLFPFDDLKRYPASAKEPATQVI DWKHWAQAQKQFDSRETARGRIGKGNEILVNEHDVFNMTPSQLDEYMNWYENNWLDNS KASNPLSDLFPVEPTGTDSQEAAGPPDEDDEEAIGSMLRTVTQRLKPRKVITEGNLDV PRPGSSYVRYKMESDLPENARPFYETAAKVVGVSLSTLVRAVSHAEYKIMRWLEDQRR IELYGDASGIEFAKFDSSDDMGEQDMTDSDDSPSY AOR_1_1666154 MSDEQHVFDDIQGTDSGASATFPMQCSALRKNGHVVIKGRPCKI VDMSTSKTGKHGHAKVHLVALDIFTGKKLEDLSPSTHNMDVPFVKRTEYQLIDVTDDG FLSLMDDNGGTKDDVKLPDGELGEKINRMFTEEGKDCNVIILTAMGEECAMDVKEAPK GA AOR_1_1668154 MWLDRMSGHSTPSGPQIDSRSNSPLPRRPTSRLSPYAQNSRSQP SRPGSSLSNLLTPSDSTTSLSATQRADDTALKQFPAKARPSNVADPLDVLNDIIGKRK EKLAGAESPVLSSTLKTKPSQLVAEIDFGGLSLEEFVTKPDEPRRAKYSDVGAQTIQQ FEKERDKFQDLHSAITGCDDVSRSVEKYLNDFQTELGAVSAEIETLQTRSIQLNAMLA NRRNVEQLLGPAVEEISISPNAVRLIVEGPIDENWVKALNEIESRAATIEAKVTSPSS AKSVEDVRPLLSDIKKKAVERIRDYLVSQIRALRSPNINAQIIQQQRLVKFKDLYSYL CKAHPTLAGEITQAYINTMRWYYLSHFTRYLQALEKIKVYPSDRNEVLGGDPSAPKSG NFVPGGRAGAAAHDPFSLGRRIEILRTGNHMAISSYVAEEDTSFHGIEAPFRNFNLAL MDNVSAEYSFMTEMFSTLSFQQISRKATEIFDPVFALGQGLTKRLVEHTTDSLGVLIC VRLNQQAAFELQRRKVPVADAYINGVNIRLWPRFQVIMDLHCESLKRVASHTGRSAVS ALSLAGGDDLNQSSAPHFLTQRFGQLLHGILVLSSEAGDDEPVSNSLSRLAAEFDSLL AKLSRIGGDAKRRERFLFNNYSLILTIISDTHGKLATEQKRHLDDMLKSVGKRG AOR_1_1670154 MAMRTSLSISRKFLHPRRSLSSSRPANADVTHAVIGAGVVGLAV ARELASREGTSTILLERHDAPGTETSSRNSEVIHAGLYYGVDTLKTKLCIKGKEMMYD LCARNGLPHRNTKKWIVAQTEEQWAAALKTHEHAQKIGVPTRLIGRAEAQALEPEVQA LAGIVESPTTGIVDSHSLMTYLQGDFEDRGGDCAFLTNVTGIEALNGGKNGYRITAVT SDGTETSITAETLVNSAGNYACYINNMVLPPERHRTPYYAKGTYFSYAASFPKTSVLV YPATLPGHGGLGTHLTLDLGGRIRFGPDVEWVDDPNDLVPSPARLQQALREIKTYLPN VDPEAISLDYCGIRPKLERGGAVNTGKGFQDFIIQEEEGFPGFINLLGIESPGLTSSL AIGEMVKGLLCWDWIVTGGNCHYAKNRATFRSYRRAPGKIGGSRVLGVGSVELRVRRR SGDGEINTLVLDNVLHMPNARCNGLSLPKYRETHPLTGVDDDGDHVEARSDDGSEPEW YAEGYHGLSRVVLAGEPQGESHLSDDEHYMLSVMASNEEMENLWQRVKNRSWVA AOR_1_1672154 MVIKKCNICDRRFKKTEHFKRHERSHTKEKPYECNVCHKRFSRS DVLSRHAKGHNGPAAHSTTKALDQQPPASSAQDFHYQPSNIPDNGQQFFPNVDAGVYS NSIHTQAVGPRDIPTTAAAGLPSSLDFLADVSSHHARTEPDANSMLVVDQQTYFEEPT VYQPSYRGPVFDPMPNDSLQLWLNPSDATSYPGSLDFGHDSNIGLTEENVGTSTTQRP QRHDGPSADTDDTKAGATIPNDRFAKVQNCWLGPSNSTGRLINGIWREVAYGSIDNIF AAHPGQSPSSPPVLLQKSRYGLDEDCRRHLHATFGYMRLYSQRNRTSSAPPAYDSALI ALAQFPPAEILDMALDLYFRNFHPLVPFIHVPTFSAKNTSPSVLYVMCLIGMTFLGTQ GTASFVSKNFTFVLEKLTAELAMCSVGTESSGNAMSAFAAAALFLNLAALTGEKGHIE KSQMLYVNLISVAQRHGLFSATEGQLLDKSMFESIKDNVLRWKAWSKVESVKRLIIAL LVLDAWLSSFLSTSPIIVSDNIQLILPCSEVLFEARDPTQWVQLIRGGQCMLMPTVLS PSENVEIAPLDSCVDNLGLYAVLSMVQLKLSESYHRLLSNRASYPFAPCHTYAMDGRA RCLSTLQIQIASKYGYILGRLDPNASILWHNMCMNLTADVQIFELAAGRAGPGPARKA LNDIAAWSQTPAARRACLHAAHVYKIMIHRKASDHITFHSVFSLFTAALVLGLYIYMV PSSTEPQTGGTPIDILDDIDWNSLCGEGFTSFMEPNGAQSCTESDEAAVNFIRNGGTV YFRGVPFQSGYNSARRILLDYAGLLKDTGKWSVRKFSHVLYIMSDVLMDMD AOR_1_1674154 MARYENGRRYHAYREGIYIGPNDEAEQDRMGLVHHIYSLLLERK LHLAPLSESPKRVLDLGTGTGLWAIDFADEHENAQVIGNDLSPIQPKWVPPNCQFEID DLESDWMYKAPFDYIHARELSGCIGNIDKLFRQVFDHTSSGGYFELQAVSAHFLSDDD TAEKAVTAQGWMKNIREGGRKFGKPLDDACEWKQKLEDIGFADVTETLLKIPLGTWPK DARMKEIGKFGFVGELQAIEAYTPALFTRVLGWSEEEMQVMMDKIKEELFDRSLHLYL PVHVVYGRKP AOR_1_1676154 MSRPFLSMFRPVGRRNFSVSTSRRAIDKLCESAQEAVKDLKGSS TLLVGGFGFSGVPNTLINAVRDRPEVTDLTVVSNNAGMPGAGLGQLLESGQIGKMIAS FIGENKVFEKMYLNGDLALELTPQGTIAEKCAAGAAGVPAFYTPAAYGTIVQTGELPV RYNKDGTVAETSKPKETREFNGKNYILEEAIFGDYALVKVHKADKLGNCQFRKAMNNF NEAMAKNAKYTIVEADHIVEVGEIDPEDIHLQGIYVNKVIQSQGEKQIEKLTFAKDPS EMLKAGSGEATARRERIVKRAAKEFRDGMYVNLGIGMPLIAPSYLPEGVEVVLQAENG ILGLGGYPKPGEEDPDLINPGKETVTLASGASVFGSHESFGMIRSGRIDLTMLGALQV SQYGDLANFMLPGKVKGVGGAMDLVANPEKTKVVVTMEHVDKKGNPKILPECSFPLTG PRCVWKIITDLAVFEVSPTEGLTLAEHAEGVSVEEIRSKTAAHFKVADDLKPML AOR_1_1678154 MDRYLSTHVGHRPDAIAIEDDTQKLTYKDLDREVDRLASVLKNY HLSPEEPICIIEGINSNLVIAQLAVIRARLTCVPIEPSTPKLRLSDMLTDIGAKYILS DKEDVADGIEHIVIPITGQSPHGASEAQKDNQVNGSVHDPDHEYRSHILFTSGSSGRP KAVQIPERAIIHLVTKTACTPLEPSDRVALINNPGFDISLFEVFAPLVAGATMVPVPR MVVTDPFAFREFIAEKNISVIFLTAALLSITGHTCPTAFRGVRIVLSAGDVPSVAAVK AILKSSAPPKHLWNTYGPTETTTYSTMHEIKAEEFQHDFLGIGGPAGDTQLCLVDENL KLVTKPGKVGEILLGGPGMTTGYINRPEENKNSFVTIHGIKYYRTGDLARYRVATPDV LEFVGRIDHQVKQGGFRVELGEIEQTLYASGWLTGAVVQQIASQDEGKESFLVAFVIP AVANTVRARTLSEFLGQRLPSYMIPSDFIFCSEYPMTAHDKVDRKALEQQYQESRESQ GAANGEQSNDHGNDTESVVKSLWSSLLNKDNIDNDDDFLALGGTSLQCATLISKLRQH LGKTISMGSLHDNSRLGDLVNYLDGFAEGGNAPDEADKWIADSKIADYLHAVPDWQAE NEGKVFISGVTGFVGVNFLSRFLRMPTVKEIVCVARSKNGINPRDRVEATLEQYDLWD QSKEHMHKLRVLSGDISLDLLGLPAEQFDWLANWASVVFHLAAKVNFCDPYQAHVDSN ILGTKNMLDLAASGRRKAFHFMSSIDAWGPTGLVFGTRKCLEDEPLERHVRGLPFDIG YAQSKWVAEMMVRRARDRGLPTAIYRPGFTIGDSRNGAGNPDDFFARLIVGSIRLGAF PYLPRQRLEYVTVDYVLDATLHIASHNENLGRSYSLVAPDPKDSVNLEQTVGVIRDLG YPLKHIPYKDWVRMLQRTSDMDNPLLPMMPLLQEPVLNGLTRFETSRNTPHYDSSNTV AALKDAPDIRYVPFDSKMLDKFFDFWESKGFYKMPRINN AOR_1_1680154 MASSNKVYVVTGGNRGLGLGLVKSLLARPATTVIASVRNEEAAA SLQAETQAVSVGEQSSLHILQLDFSSAVAPEKVAETFNAAAGIDHIDVLICNAGYAAP MVPAALISAQDLRASFETNTIAPLLVFQGLWPLLQKSTATPKLINISSSVGSIGDQEP VPGGAYGPSRAAGNWITRALHLQHPGLIVVALHPGWVQTRAGDFVAQQWGYESGPPET IDNSVKGMLEVIDGATREATSGKFITYQGQELSW AOR_1_2860154 MKQDGYAPREMVKLSVIASSDQPDLSAIVSQQQRKIATMQIFVK TLTGKTITLDVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKE STLHLVLRLRGGIIEPSLKALASKYNCEKNICRKCYARLPPRATNCRKKKCGHTNQLR PKKKLK AOR_1_1684154 MANRGYDVIVDADTEGDLGHTDLQEDLEFHPSNFENDQRNAKVQ QDSAPFLGGGSSRGRDRSPGGTPSKHTWWSIHYYAQFFDVDTNEVLRRCVAAVYPRSN FLDVLEGNADLYGPFWIATTVVVILFLTGTISQWLSNNDEEHFAYDFTLLSGAAGLVY GYTFVLPIALWGALRWFGSSTADLIECWALYGYSNLVWIAVALVSWSPLTALNWALVG VGFGWTVFFLLRNLYPVLSATDAKASKILLILVVVLHAGFAIAIKILFFAHGSPVSKK NKDDNEKDHDDDKHDDDDKRRMLGM AOR_1_1686154 MEHRSDSKDNHESESSETCFETAQDSVSENASQVLERIQNEEAA ARIAEKEDKIRRACEMRDLDALVSYATSEGGFLRDDIRRQAWPILLQSDRDKELGDWE DLSPHVDEDQVQLDVNRSFVYYPNCSDEELASKKDELSFLIKQVLRHYPMLCYFQGYH DIVQVLLLVLGGQMAASAVTRLSLFRIRDYMLPSLSPTVKHLQLIPAIMERADPVLRR HLADIKPFFALAATLTLYAHDIQEYSDIARLFDFLLAREPVVSIYLFVAIILSRKKEL LEIPEDEPEMLHFTLSKLPCPLDLEGLISNAVQLFNDYPPESLPLGAWKKIPQTSVLK STRDIFAKQAIGEAIFLFDRQVRQLRYEERKKKAVDFLWQHRRTIGTVAVTILVGALS VWMRKKGFDTTIWSYFNRFKLAFQSHDLS AOR_1_1688154 MSFPRNYQDTNSNYANASLKRHGADQMEIEDNLFSSDSHPRMGL LSRTLIPSPIIQWILPARLRSEHQNDVVFIGERSLQIKEAVSGFHLEDVTSKSDFDSN IMAAKVINVGTELPWEAQMKLGASAAPVNSEPQIGLPPQILLLSLASRELVFLYYSMS SDQFIHHHRPLPSDVSTFERFGRNIAVEPRSRAIAVSASSDYFGVFTLKQPPVLQSQM AHHQLDPISEERFFRVDGDIIFMEFLYPRPEDDGKILLLLLVAHDQITHAICYEWDAS EHLRQASPIVTKRLLPPEDRLPTMLIPLTKTSSFMLVTTSTMAVYKNRLDPRRQPSRY PLGLPDRESRKSPLWTRWARPLRNWLYNQKHDDIYLCREDGQISYLGIGNEGEVENQA HLGQLCCDVDAAFDILHFGNEGGDLLLAAGNTGDGGLFVQKARDHPRCVQKFMNWSPV TDSVIVKSSNQQFPLSADIASDRLFVCSTSSFAQGAIVELRHGIEAQIGLVVSLEGLS GTRDIWTMSTGTNGGVIVLTSDPMSSVLLDLPADFDEEICAIDEADSGLDCGSQTLAA GSTDIGVIVQVTERAIHLGATFASMRSSRFEYGYDQSITVAAVNGSASLIVAAVRDQD GLHLHAKRLTPSGDQLSLTDVGEPLRMHFEPVCISVLTFDFGSLICVGAGNGKIFLYV IENESITFMSEKTMDVAYNEDISKAIDSLAVINKVENGPLKKFVLLCGLRSGILVPFG VTLDSVNAVSSIVMTQATPQRLGHTSLNVQSRGDLALLTCGQGFWQISCAHDSMMPDC TLQRIWITDQNNPAYHPRSIHSFSVANFANSDVEGLSNTLFCIADGQLMVCTIQREEK TVPRRIGLPGSATKLAYSQYLKSLVVAYSRTEFDTDADPIKRFTRSHIEFVDPDSQQA ICGPEDKLRPWRPHGAAGEKISCILEWTPKKGDEEYHFIVIGTARKNQQDRGRVIFLQ TSRMSSDPSQIECNVKYVHKFEGPVYSIAPYGNFTLMVSTGHEIVPLEPKFSQTRWLR AARYPVPSPAVSMSSHEPYLYMSTSRESLMILNASEEKLVLHAYDRQKHDGLSHVHIG GDMQLTLASSRGGRVSLLTGRRVTENDKMMPVAFCEAHLSTSVTKLSPGTRHSTMPTS SQVIYGTAMNGTVYRFLTLKEKEWRLLHLLQNLCIRDTVICPFTSKRKRQRNPAGYES LEFQPSQMHINGDILNRLSIRGPSYLMYMLVTEEFYSPSTPETGSPQAIYERFLELSK DLLGETSNPVEDVMMWLKRTLHVGF AOR_1_1690154 MAPKKKGNKRQEADWEAELGEAAPGVEPTPQEDTAPAEEETGGG LLAALRKNKAKKGKKGKQQNDFVEGEDAPAANEPEDFASKQPEEGTFEEEDDVFSKKN QKAAQAAAKAAAAPKGAEGEGEFRVKSKKEKEREKKEREKQRKKEQAAKKKTEKPQQP AKAEPEKKQESTPAAAATPAPAAPEPTGGKKKKVPAHLAALQKQQEALRKQREEEARL LAEQQALEEERRRAEEEEEKKREEARQRKKEKEKEKKEQLRKEGKLLTKAQKEAKERN ELRLKQMLAAGAGKVAGLEEQGAEKKKPVYDNKKRQKKKGPAKQEEDLEAAAARAKAQ REAEEERRKKEAEEKAKAEAEAAAAASSAAGGEESELDDWEKAADAEDDVKDSWDAPT DEEDNTEKPATNGANKTELPERPAAAQKKTDTKEEDESSEEESSEDESSDEEQSAAQR AIAQRKAEAAERRKKQHEEAMAARSKDNLRSPICCILGHVDTGKTKLLDKIRQTNVQE GEAGGITQQIGATYFPVDALRQKTAPVNKDGSFDFKIPGLLVIDTPGHESFSNLRSRG SSLCNIAILVVDIMHGLEPQTLESMRLLRDRRTPFIVALNKIDRLYGWKKIDNNGFQE SLAMQNKGVQNEFRTRLERTKLLFAEQGFNSELYCENKSMARNVSLVPTSAHTGEGIP DMLKLLTTLTQERMTNSLMYLSEVECTVLEVKVIEGLGTTIDVVLSNGILREGDRIVL CGLNGPISTNIRALLTPAPLKELRLKSQYVHNKEVKAALGVKIAANDLEHAIAGSRLM VVGPDDDEEDIEDEVMSDLENLLSKVSKDQRGVSVQASTLGSLEALLEFLRVSKIPVA NISIGPVYKRDVMMAGTMLEKAKEYAVMLCFDVKVDKEAAAYAEEVGVKIFTADIIYH LFDDFTKHIAELTEKRKEESKMLAVFPCVLSPVAVFNKKDPIVIGVDVIEGSLRMHTP LAAVKANPTTGAKEIIEIGRVVSIERDHKAVSVVKKGQPSVAVKIEGANQPMYGRQLE EKDTLYSKISRASIDTLKEFYRPDVSMEEWGLIKKLKPVFDIP AOR_1_1692154 MASIQSIRRPLATLTSRTTKQISAPATIRSAFRTVPEITRAFGT APSPCLARGIPSVRRMKVALAQQSFRRWKSDGEPTFRQWGFEEINAALPNSPGTKPQK NLILVDVREPAELSSTGIIPSAVSVPLASQPDAFFLTPEEFETRFGYPKPGAGEEGDI VFYCKAGVRAKAAAQLAVQAGYDAERIGVYDGSWLDWADRKGKVERWEGESE AOR_1_1694154 MANVTGSFVSPSADATVVPQLFQPSGLLGSLLGDFNVWKGLLTL FIAAVIYDQFRYFYQKGSIVGPRWKLPFMGPFLQSVNPKFHEYKAKWDSGELSCVSVF HKFVVIASTRDMSRKIFNSPAYVKPCVVDSAHKLLGEDNWVFLDGKDHVEFRKGLNGL FTRSALSCYLPRQEETFNQYFKHFLEKSKANGYKPTPWMPEFRELMTAISCRTFVGHY MTDEVIQKINDDYYLITAALELVNFPIILPFTKTWYGKKAADMVMEEFAKCAAKSKAR MAAGGEVSCIMDAWVKAQQVSAKYREDVAKGIPAEKPPQLLRDFTDEEIAKTVFTFLF ASQDATSAASTWLFQLMADRPEVLEKVREENVRLRNGDINAPITMELLDQMEYTRAVV KETLRYRPPVIMVPYLVKKDFPITEKITVLKGSMIIPSVWPATHDEEAYPNPDTFDPD RWITGTAEQNPKNWLVFGTGPHYCLGQTYAQLNLMAMIGKASMEMTWEHTTTPKSEDI KVFATIFPQDDCLLTFRPRA AOR_1_1696154 MRSISILNILVVQKTKPTPTHLSTEGINQSTWYLLITTTMDITD YIFNQREEVLLAGDYNAYRAHTTRKLHKLRKKLGQTTPKGRKYTAKPPVSAENVNGNV AYVHTLLLSSERAWAQALQMKSAHSADPSAKGITGAARRHIISRLHKSAGYANELVLL LQDQASGATDNDLLEARAYHATLSGALSLEKRKWEQCMQDFSVSRVIYAALGQNNKKD AFRDLLSGTVDPSLRYAAYQMKLPRSKPLPSLAISFFPSDANLRSEVEKVDPNCLKED AAGTRRTADGEVQQLPETITWRSRTVALEDAAISQALAAAAAAESRLASWLAEASGKS ASSKDKAAAYDNVIIASQDAVDATKTAIDDLVSEGVDPGDKRMQSLQITRTAVNFALV GWRVGRNRVLCGEHDGIHGETDQAKATKGSKGSAKREETKGKKLARLRERVVLYDSTL QSIEFILELPGVAADSAFVQELGAKRSYFRALRCLTIGRSHSILGKYKNALALFSQAL ALSQESASPVQSTAEAEEPPKLDITRNQIQTLESTLRALVSQYRGLVTLEKISEQQSK SASERPMVERLHEYPGDGLDLKNLVPYPPQMQPVPVKPLFLDVAWNYIDYPRENANTQ SATPASAEPATEEKKGGRRGWFGFGR AOR_1_1698154 MLERAAGCLESAGRRFFRDSNGAIRSPRPLYPSFGQASGTNSDS LHWLPISPTSTRGVSNTVNGTPTAGRVAPEPRTPFLDFLYPPRTQEFAASCLIRSPRR LTARRRKRAITTLSRTYTSGAASLYQPASADRSGPVITSRKDREDERKRAKHDLLSLL GDGQLGEYDKAWSLYVTAGHPLDTNPALLAILSNSEEDVNHRRVKRLFEGIPADSRSA EDYLHMAKSYLATERPLEMNRICQVALEKGQGIPCWAYSLAHFVNTKQWDYAQQVWLS KPTSDEQGLWDHVTSSLTFSNVPNALIALATWLQDEVNNSSARDLARFLLGHAFSTPG IIVKTATEPLLLLLKEYNALSILTPKHYFSLMKTLQSSEIRSIFIRAIVVYRNFRWQM ESEVPPARLLNQLLRRLSTFEITIGIRYLLDEFSLFYGKPSIDAYKHALIAFSRAGDV VNVNEIFERFLSDHGKPLSRRLLTPLLYVHARYGNVQETLRQFARISEEFGLVPNAVC WNILLTAYANAGDLSGSFTTFKKMIQQGVEPSSHSFGTLMGLCANRGDIDTVRQLLAL AKHRHVQITAPFLDTIVEAYCNNQQLNMAESVAETCLGLDVKGSRVRMWNVLLWNYAF RMDLESISRVRSRMDAAGLQPDGMTYAALMLSLVLIGQTDSARRILRTLHRSHRMYAT EFHYAIILYGYVKDRNRDMVHIIFREIKERFNRPGFSSSLLVLKSQLQRDLQLIKSGN SQVDSASVRLENAEKFLAETIAEFDTTKLATKLPMPGVGKQTISDAFPAMYYEYIITA YGKRGAFAKARELFDQFIKDRQASTTSENVYDKAPLRLLSALMLTYLKADQHKKVEEC WKMAFSRAKKLARRPTVDEWLESQLPSTEIIEPPRPTLPNSVSHHKDILLDLDGCIAE RPKKSSILPAYQFLLSRPLSLYMRSLAYRNETSRIPQAVADVEKAGFMLTTYNWSTFV QMLASSERISDQTEAFTVFEQKFMPNFPGWKSLRRGYGLKPPGVPPSIDIIENPTRGK HPNLLGKEGRKYWSKIQPEFMQPTYVSMVYLASALLGARERSIFDGGAELGSLHQAAP RTIEAIADMPYLREKFQGVLLRRRQEQGDKKEDMDGREHFVWTGGILGVGGQRRPFLG IDETTGEPTKPYDMAEERAQRDVKSQGASEDQTEVHAADGVQIAEGASTPPVKTIDYE DEYDIEAESLLETRRNAQQANDDLLDNEHRENIDSNLANDSSTLTEDLLAMDEDVSDD DYDQWLKEVIDEEAMSFEELEERN AOR_1_1700154 MDGVQLRDEAAQDRVRAAIEFLDPRDDARARSYRADIVLMLNRG LRRLIVSIDEIRAHNRELADGLLTSPFDYSQAFDRALKDVIKTIPHRPSRETADEVNY YCAYVGAFGEFSCNPRTLGSTHLNRMISLEGIVTKCSLVRPKIIQSVHYSERKDRFLS RKYRDQTMTASGATSLNVYPQEDDEKNPLITEYGYSTYMDHQTISIQEMPERAPAGQL PRSVDVILDDDLVDRAKPGDRIQLVGIYRSLGNRNANSGSSTFRTLVLANNIIQLSSK SGGGIAQATITDTDIRNINKVSKKKNVFELMSHSLAPSIYGHDYIKKAILLMLLGGME KNLDNGTHLRGDINIMMVGDPSTAKSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTS DKETGERRLEAGAMVLGDRGVVCIDEFDKMSDVDRVAIHEVMEQQTVTIAKAGIHTSL NARCSVLAAANPIYGQYDPHKDPHKNIALPDSLLSRFDLLFVVTDDIEDSKDRMVSEH VLRMHRYRQPGTEEGAPVREQLNQTLGVGLEDNQDSNQPTEVFEKFNAMLHAGMANTG RGKKKDVEILSIPFIKKYIQYAKSRVKPVLTKGAADHIVTTYSALRNDELSGNQRRTS PITARTLETLIRLATAHAKSRLSNRVEERDAKVAESLLRFAMFKEVLEDERRKRRKVT TFDEDSESESEEDSDEEDTPAQTASATPRSSRRSGTLRTRAAANRSTTDEMDADGDDA SEDGDGLYSASPRGQRLRSSQGTRTQTQSQSQMSVASSQPASQLIDSQTDTSQPQASS ASASQPIQPARLTVFRQALGPLMGTRLFTTGDTADVEELIGAVNTAVRGIPSLGESHV FQRPEAIQALRAMNERNELMFLEDDETVYRI AOR_1_1702154 MASASLDHLVKGSPAPANHLSSSSTSSDTLNSAPRPYPQNSSDP SSPPRPLTPDPLSTLPSSPPQIYLNLLILESSLRAQYLALRERRRQNTFFLLLLAAWI TYFAYALFLRPREDGRGVGGSVYWVVEMWEKVALLGGVVTALLVWGTGQWERGVRWPR RWLAVANRGLRTMNTKIVVIRGPWWQELLSYLSFLFPFSIPLFPSPVGEFHYIERSAS EKRAGGRQHHQPYYNMDMESGLVEEDLSPGGDYIRLLLLPKSFSPEFRGNWDDYRTEF WEKENERRAQLRQKLRQKERQLAQQDGGWFWWFGIGWKASQRRRAAAATTTKSADEKA HHRHSHHSSISRLSHEPKSPARRSTRSESHSRTPSRSTTPVDTDDRPPSRSSASGRPR RGSLTPNSTASGSEQGHQHRKKKSKTLSRGVSPLTQAQISEGVRTSSFSSDDSGFLAE SDKVKDEQTSV AOR_1_1704154 MASQSLESSKDDIASHQGGTPHAARGASATPPPSAWFPLGYREG FSQWWSSLPAAAAEHKVLSYLPYLQHEPPTHLQTGKTSNLPSGEPGSLQSADHSQEGE VAANSLNDPYGPRRWRSSMVELSGKNRALNEFSVERIGEEANQHLVMVHGYGAGLGFF YKNFEPLSRLKGWQLHALDLLGMGRSTRPPFRIKAKDREDAIREAEAWFVDALEEWRV KRKIDRFTLLGHSLGGYMAVAYALKYPGRLNKLILASPVGIPEDPYAMSADMPSETTM AKEFSQDQRNIAESASSVPPETIQKGDNNILLKGPPTSTAAPDQPPRRMIPKWFAYLW EANISPFSLIRWAGPLGPRLVSGWTSRRFSHLPADEAKALHDYSYSIFSQRGSGEYAL AYILAPGAFARSPLIRRIQGVGRQVLQTPSSSTQEPPQAIQSSSSSLSTPVKRENGLP IIFMYGDHDWMDVKGGMAAKAKLEEEKRRVLQNATPEERQADQGSAKVVVIKNSGHHV YLDGWEEFNSLVLSEMEDVGRKDTK AOR_1_1706154 MSTTTFFTLPFLALVSIPLVISAYITICFSVLALFLRLSVIYIE LCYAIIANYFVIPTSNTTSLLNFAPSEPTTPLAATTPKRRSLDYGRSIHFSDSSCHQS NPVSPSHSRPGRGQRRNSSHVEESNDLDSALLDIDGRRTRRGEHHKNGYYPSLRGFLG LISGDERRDFEGVGGWRCWASSSKVYGLHSRSVSSSSSNSVSEEADERAWLSINNRLE LPSQPLSMRNNELSEHSLPWRHRQILASPDESHGLHHRRSATTSVLSSLNMRTPNSLS LSLSIRSENPASESRSRAVSPHSQPRQSIGDIHSIMLSSSNTNNYVVSPADGSGGYFS LRPSSGVSSRTTTPGSTTPIEENRSPRAMGRTMVHYPGGVRYRRRSISGPNSSARIVR SS AOR_1_1708154 MAHQETQDGTGFPWDIGVFDAHCHPTDTMSSIAEIPRMRATTLT VMATRGEDQELVHQTAATLTGGQLPSLPGQSIGRVLPCFGWHPWFSHQILDDMGKTET EVTSASDKKQAHYSNVLTPPPADTFISALPNPKPLSQLISETRERLLNHPAALVGEIG LDRAFRLPQAWTQHEKEGRDPQMTPGSREGRALSPHRVQLEHQKAVLEAQLRLAGELQ RPVSVHSVQCHGAVFDLFKKLWTGHERKKPSRRERARRHSAADAHTQSDAEEEQQNLT MAKESPLPFPPRICMHSYSGPVEPLKQFLNPSNPSDVYFSFSAVINFNGPSPQKIVEV IKALPDDRILIESDLHTAGQQMDDLLEEVARQICELRGWGLRQGVQQLAENWKKFVFG AOR_1_1710154 MSSTAHNSQPSTGNGVTKRKSGSAACIHCHRRKVRCDARIVGLP CSNCRSAGKADCRIHEKKKRLAVRSILDPVPIRCRPPPDSDSTPKLLPSTPIQPNAFT TAFRGVQPDVTSPVAAGAQIIQSPHSSYTNGNHLSNNRGSQPITETQTFTRQPGADRS MELENNADLEKRLVKLIDEEESGSREIQRGVRAIYVGHELSNMSFLIRQQRDKDDDVY HFAGNEIPRRQLRTGHDQLLMDALTLPEPALADELVEAYFMHVNPGYPIIEEDLFMTQ YRNRDPADPPPILLLQAILLVGAHVTRPKAERDALKEIFFRRVKWLFDSRIERNRDIM VQAALLMTWHSDSADDDVAANAHYWVGVAARIATGLGMHRNPVSSKFVPRDRRMWRRL WYILVQFDVMVSLSYGRPQAINLEDSDVSPLTPSDFEGCGSRVQAEYVIHFSELCTMI PYIVRERFGLRVSAERRKAALQEADEALANWSLKLPDSLRLRASDMDPWSAMLHLTYN NFLILLHRPHPRASAYSDDYGPHDAEICSAAAGVIASIFEELRLNDRLKFLWYSGVHT LFTAMIQVRVELRFSNPVLAINALRRFDSASYSLRELAEYWSHANTILRLFQDSKRLQ EDLRMATSERPRRFSTHDQNKNTTNPSNPHPTPTPNLNSNTTIQSAQTEPRPPYEVPT PESPRMPPTTMSPHQNQPFDSWIPSSHLASVDPIDQPREFLDWRQLFSFTDPDQSVLP VPMEGLPELEDEWRQIYWQETPMSDLLQDGGWMHG AOR_1_1712154 MSSTSPSKEPEVEPEVQSGEEQEQMDKEQQDQAQNQGEFEVKEQ DRWLPIANVARIMKLALPDNAKIAKEAKECMQECVSEFISFITSEASEKCQQEKRKTV NGEDILFAMTSLGFENYAEALKIYLSKYRETQSARGEHQNRPTSSGYASGGPVGGVSS APGGRPATAGGFPDAADNTNSIMNPSLDPTEQDPSAYGYPPMVGQPHNGTAGDSY AOR_1_1714154 MASQQQVNPKRQQELQLQYSNYKNTLQQMAQKIGDIEQEAEEHK LVIETLEPLPEERKCFRMVNGVLVERTIKDVLPTLKTNSDGLKQVLEELLKQYKSKQT ELDNWKKKNNIQVVQP AOR_1_1716154 MASNDMPPAKRLKSSNLPPPLRDAKRKDIDNWETNRMLTSGVAQ RRDFDGDFMPEDDEGTRVHLLVHDLRPPFLDGRTIFTKQLEPISAVRDPQSDMAVFSR KGSKVVRERRQQRERQKQAQEATTMAGTALGNLMGVKEDEGDSAVALPVEETYKHGNK FAKHLKKDEGGQSSFSKSKTLREQREYLPAFAVREDLLRVIRDNQVIVVVGETGSGKT TQLTQFLHEDGYSKFGMIGCTQPRRVAAMSVAKRVSEEMEVDLGDLVGYAIRFEDCTT DQTVIKYMTDGVLLRESLAQPDLDKYSCIIMDEAHERALNTDVLMGLLKKVLARRRDL KLIVTSATMNAERFSRFFGGAPEFIIPGRTFPVDVHFSRTPCEDYVDSAVKQVLAIHV SQGPGDILVFMTGQEDIEATCELVDERLKLLNDPPKLSILPIYSQMPAEQQAKIFEKA APGVRKVIVATNIAETSLTVDGIMYVVDAGFSKLKVYNPRMGMDTLQITPISQANANQ RSGRAGRTGPGKAYRLYTEVAYKNELYIQTIPEIQRTSLSNTVLLLKSLGVKDLLDFD FMDPPPQETISTSLFELWSLGALDNLGDLTPLGRQMTPFPMDPPLAKLLITAAENYEC SEEMLTIVSMLSVPSVFYRPKERMEESDAAREKFFVPESDHLTLLHVYTQWRTNGYSD GWCIKHFLHSKALRRAKEVREQLHDIMTVQKMPLVSCGTDWDVIRKCICSGFYHQAAK VKGIGEFINLRTSVTMQLHPTSALYGLGYVPEYVVYHELILTSKEYMSTVTAVDPHWL AELGGVFYSVKEKGYSQRERRVTELEFNRRMEIETQIAADRERAAAEKQREIERNDPS RRKKEVEVGGSAVRRPVITGKKVGGVTASSTSRNGSGGGGSVVKKPQVKRRPGRAF AOR_1_1718154 MPVKRTTAATATPTGTKSLSSGPKTLTANSSVSDIIHTVWQQYL ATTPQRTMLLDVFMAFLVLVGGIQFVYCVVAGNHPFNAFLSGFCAAVGQFVLTASLRM QTSSSPSQGSGKTPSKGKDGKSVDKGGVSHERAFADYIFGSLILHFFCINFIN AOR_1_1720154 MWISTSRWLPCLYGLVFAVGALSVSDTEPDFRSVRTKFVKDYSG TGPSEPATEKYFQESSFNYHYDGRFADEELSEEETLPHLSQLIRTYLSTMDDLGAETW IMHGTLLAWWWNQKIFPWDNDLDVQISEPTIHFLDEYYNMTEHHFDIPGVEGGRTYLL EINPNYVFRSIEDKKNVIDARWIDTSSGLFIDITAVRPDDAKRKKGDTGALMCKDKHH FDENDIFPLRNSHFEDFPVKIPFEYVKLLEDEYGSKALTATDFQDHHFNEETLVWDPR LEGVIEHLSEKNASHSTVPSPTQQRSGSAPTAECPGSAEPQSAEPDGCPFDPKRKPRN LEHEFGRLVIEEGRSRYVSNRLWASLGDEIEELQDLLDPSSSEDEDHPSPASSSTHST NHDGFLFGFYSLSHSLRSFHPPPMKVPLLWQAYLENVDPLLPIVHKPSAKQLFTNATD HPDSLDKNSEALLLSMYFVTIVSLTPEQCLTLLGEERDTAVSRYRFAVEQALSKANLL NTQSLMLLQAAVIFLVAVRREDDTKFVWSMTSLVIRLAQGLGLHRDGTNFGLKPFETE MRRRLWWHIGLLDIRSSEDHGTETQITERMYDTRLPLNINDDDIYPEMQEPPEERNSF TEMSFSLMRFEITVALRRVSYTCPNTNIPGPQQPSPEKCGNLIQIVNKRIEERYIQHC DMNVPIQWVTATVARLILTKLWLVVHHPMTRPYQGINLTNSSRESLFLTSVEVAEFAC LLTSDKNTQKWDWMFAINMQWHAIAFVLAELCVRPINPLTERAWSAVSTLYGRWLQTA KHKKGMLWRPLARLMKRAADHRAKLQQQMHTQPGPGPATSSSTASLSIPEGPKIMDPP VLPRIPDFQFSPSTLPVTTSSNPSLGDIDFRKGGPMGVMSDLFPEVDWLSLPTPGDSL GQQPAANPAPAISIPEGTTLPPEFQQDSSNLQLNWDEWDQVMRDFQMDMQDVQPTNPM GNIPNNVSGWFT AOR_1_1722154 MGKKRRGPTLDELLARPWCYYCERDFDDLKILISHQKAKHFKCE RCGRRLNTAGGLSVHMSQVHKEQLSAVDNALPNRQSLDVEIFGMEGVPEDIIQSHNQR VVTQFHQAEAERQAQTGNPPPGAGAGGQPAKRPKLENVSDLKKRLAEHKAKKAEAMTG GSSGDVTPVGAGQTQNAGAFSQSPSTAAPNSQYTYPQPYGGAGSPYQQTASPVYQNFS PGGQSQFPPSAQYTPAGYSPQSFQGTPGQTGAAYGTPPPFPPQQPQQPTPQTNTPPHA TAFAPRSGSLPAAPGLPQRPAVGAPQVNAYQLQQMHMGHPVPATGAPVTATNGEKPPG AEATPISSSIDDLISGAAKEADQAAASAAPPTEEKPTKKDKSKQSRLVYSDNETSPEE KMAKLPRYAIVPDRLGETALQEQVPAAVTGAERGPDTVFDATD AOR_1_1724154 MTLEINSALSLSILTSLGGVIGYARTGSVPSIAAGLSVGALYLY SFQRLRTGQTYGDELGLLASIVLSGSSIPRAIKTRKPVPIGLSLVAIYGLLVFGKAVL GKN AOR_1_1726154 MQEKQPRLPVRQLIILSICRFAEPVVLTSVLPYLPEMIEYVGVP KNEVAKWVGISSAVTSISQAIMAVTWGTASDRFGRKPIILTGLTCTMIISLLFGFSQT LTWVVVTRALLGLMNGNVGIIRTMVAEMVPEKELQPHAFSIMPLVWTIGTIFGPAFGG ALAHPAEKHPEIFGNSEFLKRNPFILPNIASAILFIIGITTGFLFLHETLATKKDSRD YGLVLGKMLTSCCTSREKKAQYTVKDDENTPLLGGSPLQQKKAPVKRPSWKDVFSPQS RLVLIAYALMALHTMAFDSLLPVFLHTPVQQLHGNPDVHLPFKFIGGFGVGSQTIGIY YTLIGIIGMFLQFLVFPIAAKRYGVLNCLKAMILVFPVIYFVTPFTALVPESLRHVTI FLLMLSKLAASIFGFPCITILLTNSATSLTVLGTLNGVATSVSAVGRAAGPAICGAAF SFGVKKGYIILPWWMLSIFGALSALPIYWTVEPDGFQGNDAEEEQDEPQESDYGAADH RRSSGARTGN AOR_1_1728154 MPPEMREKSMPHTMAPSESTPLLQVVSVGPQRHRYPHHKLRQFC SFTLGTLLVVSLFLFLLPSAIFPREHGSIWSYFPGARPFPHKAWPQGHGLKYEELQAI LQTTPSAEKAREWSSYYTAGPHLAGKNLSQAIWTQERWQEFGIHDTDIVAYDVYINYP LDHRLALLKKSKDTTEVTFEATLEEDVLEEDGTSGLPDRVPTFHGYSATGNVTASFVY VNYGSYQDYQDLVDANVSLSGKIAIAKYGHIFRGLKVKRAQELGMVGVILYDDPEDDG EITEENGYKPYPEGPARNPSAVQRGSTQFLSFAPGDPTTPGWPSKPGCDRKDPSDAIP SIPSIPISYKEAIPFLKALNGHGPKAADFPKRWQGGKLGSKGVEYNIGPSPDDVVINL DNQQEYVTTPLWNVIGTIKGAIPDEVVILGNHRDAWIAGGAGDPNSGSAVLNEVIRSF GEALKAGWKPLRTIVFASWDGEEYGLLGSTEWVEDKLPWLKKANVAYLNVDVAASGTV LGPRAAPLLNSLIYEVTSLVQSPNQTIEGQTVRDVWDGYIATMGSGSDFTAFQDFAGV ASLDLGFGRGPKDPVYHYHSNYDSFDWMDRFGDPGWLYHEACTKLWSLAAAKLVEAPV LSFSASDYSTGLGQYLEKIKPGAKKLRGGEFDFGSLDRAVAEFQATAKKFDAYAADLT SQLGEDLPWYLWWKKVRLYFQIRVVNDKYKALERAFLYEPGLDGRNWFKHVVFAPGLW TGYSGATYPGLVESFDAGDSANAQKWRSIIVERLDAATKVLQ AOR_1_1730154 MVTPQPKPDSSYASNRLTRQNYRGGHLLSTFQNNEAPEPVTKPE PATDDEPLSSSEEETQSNAGLDDDIGNERTPRRSGPTLEEKLAETSRENGTPSRTPRS KRGIDSPRAQRSTRKRTLKDTVTVNENDNGDPFSECGWSSQPVKKSRADYGKKRTSEV YVRKPSTPLQTDSPPSSRPKPKSAGNSPNAEKKTKDVDTESQKDDGFKVPKDIDIRSP PSKSRSSPKFKAPPPLPNDIVSSSSFAPSSAREPAIFDTDDEDASPLSSPLSELSETG LQDILLDEAGDVPNPKESLCPWCKEPVDPELLLRFQSQPKQRIREQQRFCDSHKKTAA EKEWHDKGYPAIDWDTFDNRIHSYFEDLENLLVPDSRSYYRNILDSTLKAGKAKNFRL TLEGDGLETISCGYYGTRGSGKMLQALTTRFSRKLRRLAASDHIVKSAGVVGYAQAVL VPELAVRLVKEDMGVSDEDARQILRDSIDLGEKLNFALNDNVPIPEALVGHSVDV AOR_1_1732154 MTSSATVDYSRDPAVDHSQAVSHEPEHSQTAAAENSTGSPDIKS QKENASPVGGNAGSEPTAESTTGEVDEFGLPIRIRARPVRSSESSDNEEFHDVEEAAS GPADKQPTSQDSKPVMNEIKLEAPQEESTKPVDKGKDEEKGTESLPAVEPHSVESPRE KDSSVPVGNEKHATSSGSLPDQEDCPPPPPYTEKPNAGNPSTSTQSDAVQKKRSSLKA SEWSHQRLNESKHSEDEDEAESDGGWQDMPAIDEFDVYDDYGRLVARGAKPEDNDAVY KGLGGAGKGYTRVQLDEDAQSATSLDEDTSYLFREAAHNSTGVEGEELRDAVSQLQAT KDLLTESQRIAYVGVTRLAIFQMTRDLDRVPSTKGTRKAKQKTIDSMRKWGQTIMARI YAHMDIDAAEQVMIEQLAEHGVQPADLVRPLMQNARVKNPLAEELDTPKKSLSSPTTP SLKDEYRSSLSSDFDRSTSPPPYETHEGEDLPEVRTPSQLPTSTKIDIDLRWTVLCDL FLVLIADSAYDARSRSLLEKVGESMEVSWLQIARFEKRVVDALEMQEEADKETWDESD HMERRRKMALKRKYIVMGLATVGGGLVIGLSAGLLAPVIGAGLAAGFTTVGISGTGAF LGGVGGTAIIASGGTLTGGTIGLRASNRRTGAVQTFEYRPLHNNKRVNLIVTVSGWMT GKVDDVRLPFSTVDPIMGDLYSVLWEPEMLRSMGDTINILATEALTQGLQQVLGSTVL VALMSSLQLPLVLTKLSYLIDNPWIVSLARANAAGLIMADSLMERNLGKRPVTLLGFS LGSRLIFSCLKELADRGAHGLVQNVYLFGSPIVANKDEYLKARSVVSGRFVNGYSSND WILGYLFRATSGGIMRVAGLAPVEGIPGLENFDVTKLVNGHMDYRAAMPRLLKEVGWE VLGDEFAELEDPDPENHAERQRELIREIDEARREAEAKPEKKRFGLFKRGKLAQKKGW ETYDVERNNATPRDSSDSNGTGSVLFDIDAIRAELASESIEVKQLESTLPPMKLDLNS PSSSTPVTPTPSDSKDTTKAVNLTKSAPQSPPEPYSVPSASHDQQPSTHKEEEEIEMT FDTSYHEPLQRSHSFFEPTTATSYDAHSTRPELRSSSTTPAGLGIGAAAGVGVGASAL GALALEPNAWADHDIGDGEEGEIHMSFE AOR_1_1734154 MSGLPDLSHKEPSAQTLMEQARSRADNAREDLSNPHAEPVAGVQ NDQSRNARQDLDKGGTAKTSVEGGHSSRMVERMTGPSGIH AOR_1_1736154 MSATPSTPGEPGILQQPAPTQQLNRSCESCRGLKVRCLPDPKSP SQCLRCAKAKRACVFVAPQRRRPRKRTDSRVAQLEREMRQMRSLLKDRFRIEEESSSE SSGSEVEESEEPDFGADPQETLSIVPDAPSSASGSFRNMELSPGAPASSSYPNTLNSG SDAFASATPIPPCYGLEGPSGGDIIDRGLISLEFANELVSFYISELTAFAPMVLLPPN TTASHLRRSKPMLFLSVIAAAAIAIDDSLAAVLNRELVRLYAERFFINGDKSFELVQA LLLMIVFYYPPESPLKLQNYQYTHIAATMALEIGLASKRKVPNNASRKASKRGSYDEH MAEQARAILGCYHLASNVAMKTRRPNLLLFNDWMQECVKHLERSPNMIDRHMATWFEL QKIVDQAMASFGLDDTSSTTPLTESRVQAILRWFDNQMQNWKKNISADMLTVTMTFEY HFTNLAIYELGVGEGYRDPDAIKQQYYALPAPEDGNQPAKAPLSAIRVDITIKWMNAA QEMLDFFLSCDTDLMRKLPNLIYTRVGVAVMSLLKVYFSVKSGALGEFVSPQSINMEM YLEAMTRRLTEASGNMKYKVPSRWLYIVGVKARNWYDRFQQRQMQTEVGLAPPLSASP QRSASPAPMQTAQFSSADSSQPVGTLAMNAEVPQIAVMHPMDGAYGASAATNTIWQAD QANRQVFLMNQYAGYHSPVVPTQYPYGEFSQQGVAMDPPQQGQPPIPPRTGMELDGWL PDGSICGMPPLPGM AOR_1_1738154 MAPVAQSDVDMDSHTSDMASHDSQLRRQFSEPLHAHSTSTHSPS PSPMTTPRAKCAWCGKFFESSDDDPYSQNEALEEHIAMMHPHIAKFSLYDGVADEEKE ETYDVDEQHGDDTVLTAPASEADEAPEAEEDGLMEAGDDSGHEGGEAMEGFDNENDQN DIDGPEAEGDGEGHEGESDLALFNQLHEFSRQEDSVSLDKRIHNLWNLHEISKFSGGF EETSTNISGTWMKVFDDPKRVKKRDTSELLVRPEPYKSSKSSRGEFLEISPLENFLVQ LRDPELRSSDELYAITENIANVLKVWQDEYLAIEKLCKHATRQNVKPTSDPRKLERPE VYEDKKEAMLYGYKHETKEDKVRNQNPFVQGGFKPTPAQFRKMTAKAGPNNPNPDGWP TIMKFGVEHVPKFQNPPREEFVGKATRKRKAAELEAANKANETDEALNGSPAPIEEEP FAKRRTRTRRAGELDTQPAGSPAPSRGSFRGRGRGRGRGAARGMSRAGSEAPQPVAPV APARSFGRGHGREVAASAGPLQSRPATAQLAPIEPAPRGDSATATPVSTQAGVAQDEL LDPAEKARREKIANSKNPKRTEAMLNHWARFNREGRTRNPKRSKAQIEADRAAEAARK AAEPPKTVGKKKKSDSPVFGGPTRADGLAPAPGIPGPGALAPGPPGPHPQLAPMPPAR SMAPYAPIDPRAVASFPPAPHGPHGLQPPPPPQPYRTPYPELYFPYGAAAAGLPPPGH TRPA AOR_1_1740154 MRLPYVPNPPPTTTPEEADILNRVQTRRGEKGLIPLDLALLHSF PVADGWNSFIGAIRTRTSLSQAIRELIICRIAVINGAWFEWDQHSPLLMEGGCSAEAV EVVRDAQADIPQKVQEKVLSPEEAAVLKYTDAMTKTVTVPEDVFQELKGLYNDREVVE ITATAAAYNCVSRFLVALDVGEKNH AOR_1_1742154 MPPKSAKPTSDELLAQFDDLGVDSTADQPTSKPATTATTAQTED DILAELDNLASQRPSSGPGTPRLSTNEPRPAIKSPKPAATTPSTGRSSEDKPAPRKST ESARVSFAVNKDTDVQPSKTEKPAAKEPASSGGGGGWWGGLFATATATASAAMKQAEA AVKEIQQNEEAQKWAQQVKGNVGALKDFGGELRSMAIPTFTNLIHTLAPPISSHERLQ IHVTHDISGYPAIDPLVYAVFARVMSQVEGGDLLVIQRGQESAPKRGLDITGSQSTPG WRDGPWWRTVLPGTPRSISAVRGSVEASKLARASAESYATEFFSAKGGVEEAAKQASQ VLSESNPVRSSDIFLAIQAISQSSSTEQFQAGPTTDQTPPGVVDVPSATEEEVTFALY LHDPIHGIAFHTISQAVPQKWIDWLDAAVPATEDTTADDMWHRAPVPEDIAEIIESGG VDPREWAAEWIEEALTLAIGVVAQRYVARRMGVGEAGAGKGKMRAEQASVVESGAGEV ARAL AOR_1_1744154 MHILVVNDDGPPSKRLSPYIRPFVNALQDAGHLVSVAIPAASRS WIGKAHLIEASLKATYVPPSAFRDDGTWDETADSADTETQPEWVVIRNGTPASCVQLG LFNLFQDRPPVDLVISGPNHGRNASTVYNLSSGTVGGALEAATCSKRAIAISFGSKDP QPDEIIRAAARHAVKVVNYLYEHWHADVELYNLNVPMREDVESRPVRWTEALPYYWPR GCMYGEVTADKKVNGHTEPAVNGTSGSHFKEIDFTWAAELSEMKKTLQSSREGTDAHT VLNGDTSVTALRANFWHVPDLEGPINLDD AOR_1_2862154 MDCGSTGTAAGIDPKILDNWQTDATFSISPWEHPPYEQPLEWDN SQDSTQDDADIVELNALHGSLAKNHSNAAYLDQSCGFRSGESSKTTSPEKFSIPDGPI EGTVSGTVSPRTLPSSADDNFQLDESWPHYQLFNAMTAGIPMEAPPLLYPYSKEPATS NTVIVDDVGELAQGHGMPDIPSEHFLAFQGMPQPFTFDTPDVWTEPSTNPSPESMANH TTTASISLGSELPNKETNPVRDFQTPLRSLESRWLDSLESQLPSNMTSPASLSTIPQG PGFFKEEKPGQDGFQYKSESSSVSGDFSTGVYECSYSATSDDAPAFAERQLDEEDPWK GVQKDEPEMAQPLQSATAFMVSETPSESFFVSVPSRSRASSSAAQRSTARPQALALQS VATVRKRKQRGSNHSLDLGQPKPLQIVQEDGQGGSIASADFVSPPRGARRKGPLSMVG RANAGLRRKNKDTCVQCRLNKRKCDGNAPCDACRPTLHEQPCARACFANIVEYGTCNY VSQRAVNHPTLDRTGRVRMDIPVEFDLNDLISFLGERQGRFNIRASQAWGSLYVLDLG ETYKFLRSLSDYNGNSRSNFLEFIDRRIVESKDKSKNWLTCVKDCDPVNNFYTLLSRW NNMPSRASYSFVPLHPGAQERPMDIHNTEDRREILLAAQLSRIVCRMLEVEGFRKLER DFYNIKWKQISQETHLRFLNELGNILLTLRWRVSWWKRLGDGGQEPDPSKQHYVDRVD LLCRILYVYYTCVLAKLPSWCAADVPKGVWSTYADTDNAVWDDFPVDPTDEGFQRWID RGKELVEQAGAPNGTSKIAH AOR_1_1748154 MVQGSLKKKAGTGPKRPRALGPKPGPRQIAPKKQSLIKQQKMTK KLTAGLISKTERNLAQKAGHLELLAGGKKDKKKENGNKGGK AOR_1_1750154 MRFSIFGALTALAALATAHTDPDYSQGPTGNPIYTPGLNEAVPV GKPYAITWGPTTEGTVSLVLLRGESTNMQTLETIAEQIPNNGKFEWTPSTSLEADVTH YGLLLVVEGTGQYQYSTQFGISNPNGASSSSSAAPEVPTSTAANPGASSSATSYVTYE ITTTICPETETAPATAAPTASTSAPVIPPPAQSHSWGTGGVSVPVVSPTNTPYLPTTL RSSSAPSGTASSTTPGVPLFTSGADRNAISFGAAAAGVLAVLAF AOR_1_1752154 MSANGTDSKGVIPLIINNESLVTETVFDVHNPATGEVIDRCASA SVDDVNRAVEAAKAAFPAWSKTKPYDRRDILMRAADIMLSRREELIKYQMEETGAGRM FVEKTFELGAGFIKDFAARIPSIEGSVPSVSEEGECAMVFKEPYGVVLGIAPWNAPYI LGTRAIALPLAAGNTAILKGSELSPKCFWAIGDIFREAGLPAGCLNVVYHRPSDAAPV TTALIAHPAVRKISFTGSTQVGSIIASTAGKYVKPVVLELGGKASAIVLDDANLERAA MCCSLGAFMHSGQICMSTERIIVQQSVADRFRTMLADASEKVFGKHTPAPVLVASPAV KKNKQLVSDALSKGAELVYGERNDSEASGNSLRPVIVGNVTKDMDLYSAESFGPTVSL MVVDTEEDAVALANDTEYGLTSAVFTDNLFRGLRVAKQIESGAVHINSLTVHDEPVLP HGGWKSSGYGRFGGPAAYDEWLQTKTVTWVQ AOR_1_1754154 MEGLYFDSSRPIKHVDRKAIYTRLEARINYLQDFLDFNSADVEA LTTGSKYIKALIPAVVNIVYKKLLEQDITARAFHTRDTSDERPIEEFYNEESPQIMRR KMFLRWYLTKLCSDPTQTDFWRYLNKVGMMHAAQERMHPLNIEYIHMGACLGFIQDIF TEALMSHPRLQLQRKVALVRAIGKIIWIQNDLIAKWRIRDGEEYAEEMSQMTLDEREG FLGDKKILGDSSSTSASSSDDDRSSVHSNPSIAPSIAPSTISACPFADMVMSNSAAST SET AOR_1_1756154 MAPFQLTLSLLLAVITASANAVSTTWEAHPSHPTLPGTAPNCNK WYTAKKDDDCSTVQRDYGISADDFFRWNPSVSKDCKKNFWVDTSYCVGVGPAITTETP TPTVPTADGSSTTTTSIQTTKTTPIISTPGDNGTSTGKATYTFNHPTTTWTPPPPPSE TAWPPTKTQPGQPTSCTKWHEVMIGDTCDIITSLYSSWMSKEDLLEWNPGLQEDCDAP LVGYYLCVMVRPAGYSITYPTGSTPVVIPDPTPYTSPPPVCPNTTDIELPPSPTQSGM PSKCQVYYHATAGDSCSKILSQYNMPEKLLHEWNPALGPDCKGLLPNYYYCLLPSGFV PMPLTVTTTPAPIQTGITSNCKAWWRRNQTETCSDIVLSFGTFSEEDFKAWNPAVGQK CTGLINGNWYCVAVPGTPSTRTAPVPAFPTSVPRQPNVIKNCTQWWHVSDEDDCYDVA RKNGITVDDFLAWNPDVRVGEHDCRVLPVDYEHKYHNLVQHNTIPANEDLLAQDPNHH NARY AOR_1_1758154 MTHNYSLRWLSMGIMATGFVLNLLFLLSLFFASLSNAGHSAWEA GATTVTSEWIHMDPSPEYRTWEDQTIRYAFNSPETKENVEYDVKAAWSLWLAAGLPET YRFVETTKAWCDATPDNCLLIIQDDDPPSLFTSLGRSRIEPWDRIAMYLNFEGQDEEY IRALMITHEIGHAWGLIHEHQNPLLWQFAYRETRTDSLVQFYCANVQGYDEVARQFSD DTLELWSENGPCRNQDRAIDLDFLAADILPWAARKQQPHRLWPLDSDVDWESIMIYAS HTFGRKDEHDVPKLTLVRTHELQEIPEPLIPTQLDVKGLQHIYHPVYGKFREVFHNDP DSPWYGLFKEKITSCLIRS AOR_1_1760154 MLPNRSATGLFFLFLCQIVVETLAFHFSSLQLLPLPNDDTTCSK SKPCRLGCCGPIDSTGTGSCGFGPEFCGSKCTSNCDRKSECDAGWGKEWSNMSTCPLK VCCSKHGFCGTTQEFCGDKQVLSPECNGQSSKAKTIGYYEAWNPERRTCGQVEPKDIP LGIYSHLNFAFALIDPKTFRIAPMTDATAKRYKSLTSLKSRQNGLQVYIAIGGWDFND PGPTRTTFSDLAGSQSAQDTFFESLVSFMLHNDLDGVDLDWEYPTADDRGGRPEDFAN YVTLVKRLRERLDQLPRHFGLTITLPASYWYLQGFDIVNLEPYVDWFNVMAYDIHGLW DAHGKEVGPHALAHTNLTEINMGLELFWRNNINPARVVMGLGFYGRSFTMADPNCMEP GCLFKEGEAPSGECTNVPGVISATEIHGIIKKGATVTFYKDAAVKVATWNTNQWVSWD DVETLKLKIDFANKRCLGGTMVWAVDLDDGTLVEALGNASGKKKQWTSDGIFKPMPCF GKNWPKGSNKTWIGKKEKPKKG AOR_1_1762154 MAAFAMLLLALSLLMGSFGSCALSSNANQSLDYTISPQGENLAR REPMKDPTDMTWIQKWGAIGDSFTAGIGAGQLWDKDKKCARYDRSYVTILDRAIGLSP LTFQYLACSGAKSKEILEQAKKLGGGMDLVVLTAGGNDLCLIDVLKDCIFLAYNEKSC ESSIRRAKLNAEYILEPVLEEILVELEKHMRPSAGIVVFVSYAQFFHDKDTDSDSCAK HNNWAFPPIGGPFENLPVDLRRRKEYNELVRITNAGIERTIDKMRERGVAGQFCWPGS TGAYPDPHQVNLQFFKPDTKKKSIHDEIKKGDTTVGKGQLEPEVNYGVNESLYGMLGD RSPNPAVEAIHMLNGRDSVPRDDAHGCSAHLDTRSWGSRLPARWGKWFHPNEKGHITI ASFVLNEIISARAQFLGRDNPICKDEDRDQFHCRGNKGDQLSHYVQSHIADETYKTYC NEVQPPEGQVNWHDERVFYEDTPDEHKYMITLTKGATQFSKQECLTTFMRIIHGCDLY RPENPLNLKHGGTWKKGRYRYELKTGHIRRPWPVARPSGTCEGWYKVFFSRYTIRGRL WASLDHGEELRKSVKDCIGGGLTSWSFKYFNRADSHDMEWEAGFSTPIWVMKRCFQNN KVFNNMGGPNIGCEGNDNPWPFNY AOR_1_1764154 MFIKLLNKALLVLGLLSAGTQAATIRLDPRASSFDYNGEKVRGV NLGGWLVLEPWITPSIFDAAGAEAVDEWSLTKILGKEEAEARLSAHWKSFVSAGDFQR MADAGLNHVRIPIGYWALGPLEGDPYVDGQLEYLDKAVEWAGAAGLKVLIDLHGAPGS QNGFDNSGRRGAIQWQQGDTVEQTLDAFDLLAERYLGSDTVAAIEAINEPNIPGGVDQ GKLQEYYGSVYGIVNKYNAGTSVVYGDGFLPVESWNGFKTEGSKVVMDTHHYHMFDNG LIAMDIDSHIDAVCQFAHQHLEASDKPVIVGEWTGAVTDCAKYLNGKGNGARYDGSYA ADKAIGDCSSLATGFVSKLSDEERSDMRRFIEAQLDAFELKSGWVFWTWKTEGAPGWD MSDLLEAGVFPTSPDDREFPKQC AOR_1_1766154 MADSLDGHFFHPTTQSSSTQPLHTSMDHDPAATHTGHIDPDSNY VPRPKRIACVVCRRRKLRCDGRKPSCGTCSRLGHECAYDEVRKKSGPKRGYVKQLEAR LAQVETLLKTQEVNPSHNSQGNGTNVAAPQEFVSIPETAPFTNSIDAPMSSPEGEINN IQSSQTFLTPGLDRTGNFGWDMISLGLEEPLPDREIIDELNQIYFEKIHPYMPILHRP RHLAAMDLAPSVRPPVCLQYITWCQAASVSEKYSNLHALFYQRARKYAELDEMKGLGE NILSLPYCQTWLLIGTYEFRMMFFPRAWLSVGKAARLALMLGLNRLDGMGLEVKTNLP ATEEAFVKSKPQRTLRVEDILAGDGLSTLSSFGSVSFMAYMFGRNLSHLHRPDPQDND HDLNGLYWQRHRSHDNVLLHFALAMPNHLRLPAGMSDPNVIFCNMAIHTSTICLHQAA IFKAEKNRMPEQIITESKRRCIVAADQISNIMKMISHMDLTALNPFMSYSVYVAARVF VQYLKSRPDDSAARSSLGFVFTALDAMKNKNPLTESFLVQLDVDIEGTPFRDIRQAKR PRITNNVQKKGRGCTPLVPVEQHQNHQSAIEEVPARPSAGPQQHYYTPSSLPSREGQS LPQLQNRNPPGSDPFAKSPFNLSSGTESGPGTDKGLSPEFTDKSSNNSPVSNDTSILN QTTIHDPSSTTYISLDPSSSLKQQQPSTSSSLNQTNHQQSHTSLDTSSAVNMSMGAGP FGAFDMGFSSQLYQTGMVTPLDSTGSEGSIPMPTWDFGAPQTSNGENVDMITTGMESL EAQWAQLLGSAPGTGTGTGWDSWRNQV AOR_1_1768154 MLQPRLALTGLRLPFRCLPSVSSRSYSSVVHEKETPPTESSTST FDPSIAFAPPPTRDDAGVLIRSYKPRTPGIRHLRRPINDHLWKGRPVQKLTFPKRGQS KGGRNNTGRVTIRHRGGGHKRRIRIVDFARTAPGPHLVERIEHDPGRSAHIALVRSQE TQRLSYILAAEGMRAGDVVQSYMSGIPEDLWKSMGGVVDPGVLAARTAWRGNCLPLHM IPVGTLIFNVGLRPNKGGQLCRSAGTFATVIAKGSNTQAAQNEQAQEDGAEKKPLSQR EQQKQERVAQHITIRLQSGEVRLIHKDCCATVGVASNPNYQYSQLGKAGRSRWLNIRP TVRGLAMNAMDHPHGGGRGKSKGNVDPKSPWGLPAKSGYKTRPKWKVNKAVVVPRVRN QGKRRRGYS AOR_1_1770154 MPTQARSLRTRSTMNENDENRPSTRLTRAKAAALSAGDVSTAAT KKPLESKKAATSTATAGTTRKRAALGDVSNVTKGENGAAKEGKKPAGAKVGLTSKATM QAGGVAKLTRTNSSRTTALTNKTTNTKKPTEDKEKRSGPGSIKDSAQKRQKTTKDNAL VEEPPRKKVEVEKKLTEKKLVAEEAPAKENVEAPVEPKTLQKPSQDLVEDLDTEDLDD PLMVAEYVVEIFEYMKDLELETLPNPHYIDHQPDLEWKMRGILVDWLIEVHTRFRLLP ETLFLAVNIIDRFLSAEVVALDRLQLVGVAAMFIASKYEEVLSPHVANFSHVADETFT DKEILDAERHILATLEYNMSYPNPMNFLRRISKADNYDIQTRTLGKYLMEISLLDHRF MAYRQSHVSAAAMYLARLILERGPWDATLAYYAGYDEEQIDPVFRLMIDYLHRPVCHE AFFKKYASKKFLKASILTRQWAKKYHHLYIDSSLSEPYNYIKDHE AOR_1_1772154 MSTPSSCPFCNIASTYPPMSPTAFTPENNCPNSQNTKKPYTAPI SLPDSSDPSQPTAHLILSTKHVLAFLDIMPLTRGHVLVIPRAHYEKLGDVDIKVSREL GQWLPILSRVVMRTIFREDDSSDWNWNVVQNNGIRAAQQVPHAHFHIIPRPSSNPAAN AARASFVMFGRGQREELDDDEGEELAGLLRGELASEVLRVREMGVDLECEVHGDDSRR VKGKL AOR_1_1774154 MEYVIRFAQVHETFRRPEIESLAALAGIDLEILYYDQFSPYCVI RVPTEADARTLIARSILAKDIFELWGQGTNYEEVHADVRRRTQHRWDEFKNVSFRFTI DSFCGKRKIEAKRAIIQSFSYVGFDGPIRMKNPDEDFWVLEDFVSDVEVATRTPGNTH AYSEALEPRKIYLGRWIANSSRNIVSKYDLKKRRYISTTSMDAELSLVTANMAHAAPG RLFYDPFVGTGSFCVAAAHFGALTCGSDIDPRSFKGREKNDKEPMGLFTNFQQYGIES KFMDAFSSDLTNTPLLNRQFLDGIVCDPPYGVREGLRVLGTRDGSGREEVIIDGVPAH YRPGYIPPKKPYGFEAMQNDILAFASRTLVTDGRLCMWMPTSIDEDVELLIPMHPHLE VVSVSVQPFNQWSRRLITYRRLPEGQVSDISKARQKGDSEGISADDLNAFRRKYFTKN PNKKSEKGSPAPQQS AOR_1_1776154 MDLVPAPGGESSSLKNPVVEVEAVDMTSAEVEGTVATENPVSAQ GNISPSEEDSDSGESGDEWETQSLYEDAIQVLRDDQLREGVPDACTLDEAIAFRKRLH EVGKAAFVEETIAQDKVTAKKLCTAFGIMPPAFLEGAPDEAYHPLLAIAISQEFSRRQ KLPQYNTIDDAVKLLQESKNIIVLTGAGISTSLGIPDFRSKDTGLYSQLAHLGLSDPQ EVFDIQIFREDPGIFYSIAKDILPTEKKYSPTHGFIRLLQDKGKLLTNYTQNIDNIEA NAGILPEKIVQCHGSFATATCVKCQYKVSGDALFEDIKKGNVPECTSCQKDIEEDALR PQGQKRKRSTNGTHKSRKSDGDESSEEEDYELPTPGVMKPDITFFGEDLPDEFGQRLI RHDRDKVDLVIVIGTSLKVAPVAEVPGVLPRRVPQIYISRTPVSHTGFDIDLLGDCDV VVSELCRRAGWDFKHEMIPPDEKVEITLAEGYESRHVFKVVGA AOR_1_1778154 MGKPRPHKKKASKSKSKSVLSAGGSVSKQKMNEDPSKLLEQATT LLQTGQPDVALPVAQRALDLTPANSPAQLSALNIVAEIYVELGEIDVARQHFMRAVEL DPTGAIPESQGGGAEKFLWLAQLSELGGKDSVQWFEKGVSCLRQVIQQLEQNPGPAEA IELEEKKRKMANALCAVAEIYMTDLSWEEDAEARCETLITEALLVNSNAPEVLQTLAS IRISQLRTDDARAALTKSLELWKDLPPEDPIVPDFATRISLARLLMEVTMELEALEVL ERLILEDDQSVEAWYLGGWCLYLLAEKKEAPKDDELDSETPEAKRHASLVASREWLKQ SLTLYESIQYEDVRLKEHADELVETMNKELGEDMEDDMEGEEGDEGWEDEIESDGDQE MADS AOR_1_1780154 MGREDQIEEREVLDSIFPEEITDLSDTSYRISIALDAPDDDVEE AEQPVLLLQVTYPADYPDVAPELEISAPPNAPKHPRLDVQEDRDRLLESLQPTIEENL GMAMVFTLVSALKENAEQLMSERVNAVHAQKEMEAAKAEEEENRKFQGTAVTRETFIE WLEKFKAEMEEEEKRQREEKEAEDKKANKKTPAKEEKKMTGRQLWERGLAGKADLDEE GEDALPAVEKMKIAA AOR_1_1784154 MHKNVVPRKSGVHRFACLALYRALLRQCAKLPNTAPELSTCKPL IQQKFQRYKKLQSPSQTVNALKAGYEALDLLHSASQGNQRNTNRIVELISDIRSIKQK ESVLQRKLSKKEPKPLSRKQQRTKESRHLQDQTARRHPDATSILSRPRPVVSGKRRVP VLVNARGVPFLRIKKPQPKNLSGVIRSKLENRWSRIERRDRLDRELLFANDEDNWDAL TTGPESDTWAKGVKDALGTLNQQLHDSDKKNMELAEAMWKVVLAERKLAAEEEKQRST EKPGDT AOR_1_1782154 MSAKIPRNFRLLEELEKGEKGQGAEACSYGLADGEDMMMSNWNG TILGPPHSVHENRIYSVNIHCGPDYPDNPPEIQFISKVNLPCVDPRTGKVDPTKLPCL AQWKRDYTMETILLELRRYMALPQHKKLPQPPEGSNF AOR_1_1786154 MSSQLLQTELLNLIQESKRKNSDLRHAAEESLNELKALPSTSES QIAAACHTRHAKLAGIGVVCLQRLVASRALPSERLKDVLSGLKETTNLSLDIQLKILQ SLPSLLQHYSKDLGGELLVTTLEICATLQASKTLALSSTAAATLQQLIVSTFERVLIE DKTPQESRPKVTVKIDGNTVDVGYFAHDALRVLDDLCRLADGEQLQFLRIKSLSPTFT LELIESVLVNSGRLFVGHAELAHVLRTRLMPMTVRYLSERHSFAQTIRVARILLVLLK RHMSLLPAECEMALGLLTHLLEPDGNLPWKRVLCMEVFRGLYAEPGLVRIIYSLYDGD ERRKNILKEYMAALVRLASEKPSLIGVSSRSTVPSKAAHSRSITEEQITLEAGGVAGV IGTSVSSADTNVPGISSQWSIVRTPYMDLLDKTDPPPPPDTYIYSLVLNCISSFAEGL AKFILPLTVPDLKQKRRSRIGNPDQSTDSPRPSHDLQRTNSSKSAHRLNTKKSPVPLN PLDLESHSQFPAIKTCAGIIENCWPAVLAACSTFLYASLDDDFYHNLVRSFQKLAHVA GLLRLSTPRDAFLTTLGKAAMPVDASGMVPAVVASAPHHSHTAEDKRKSREMPLASPV SEAPPDAPSVSISTRNLLCLRALLNLGIALGPTLDQPAWSIILGTLQDTGLLTNAYST GAEAPSALDGSKVSLGGEIIAVQTASAKMLESTSDYPSDSFRELLIALLDLSEATEVP QSGEASGKTTGNVPSQSRPGFVRRSTRRVSLVVGKSKTQDEELKFVLDKAGELSRANL ERLSSLVESDHEAWQILTQRLMSTAADASISQNLRLRANNVLNTLVFQTMRQMGSDDD QARNSRQMRNLGTLRDQIQILYDKSACPLGSPSAPVTEIHEQSLETLKNILEQYAETF AGGWAVVFDLVSSVFGYCPVEEKGEIGAAGKSRRTTLVADSHRLIRVAYKSLQLIASD FIALLPQPCRLDLVESFSKFALQQQDFNISLTTTSSFWNVSDFLQGQIENFSIESRVD ASVSEEALVELANGDDSSISRNALWLLLLLRIVDLATDNRAEIRNCAVHTLLRIFDAY GQQLSPKAWRLCLNRVLFRMTEAIELGLEEVRGQGTKKDSDELKSWVETSVVTIKGLS DLITNFFEAIIRDEEFDRSWERLLAYLKKLTALQILELSEAVYLSLSSVLVRVQAPDM FSKSSLQTTWALWLSGHPADREDLLDLDRSNQAAAIAYLQAFKEVYRLYKDDLTSDDV GKVLYNMRYLAWNSISPRYSPDIDRPSDLQSSIVDCMRTICSDKEDSQAEIMACLADF ADSALTKWSPGSDPRKPTYVAFSKSIVDLLNWYITEFGIKRDIFGNGSLAAVLEHLAN PILQRYEWPGKDREPMLWQKSTTTSLNTLQVAIPYVEEQYGKSNQPEISRFWKCVVDI VNGLVSARGYRTVPIPRETIFADESFDIASFSRLKTLIIPALGASTIPDAIRQDFARA LLGSSFIYLPQRFDLPGKGLEDDPLKGLYDIRPGRTFDPPPTSRTKMAYTVTDTLFEL ASAPSKEDSKHASSRIALARSITPYLILRCAVSLKGYIADQPLRGLMPQPTPARKVLL HLLKGMVCLRSEPSAIPSPPMGMSTAVLVKESTSSSEQNYKKHLEWIYPLVVRAVQVA GRERDDGEVLQALGKVLHEVGGF AOR_1_1788154 MTSLFFSTPVDIDVVLEDSDERQTVDVKLDKGRRERVPLYMDGE SVKGAVTVRPKDGKRLEHTGIKVQFIGTIEMFYDRGNHYEFLSLVQELAAPGELQHPQ TFPFNFKNIEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDLWVYSYRMPPETNSP IKMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGSPP NQYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNKKYSTRYYLSLVLI DEDARRYFKQSEIVLYRQAPEIATTPQIAQQQLIQQQQLQNQHQQQLPPGSATAGPGR EPLPNQTVAPPVA AOR_1_1790154 MLSAGERPQPAIGKNAAATRAQSSLSHSPAPMWSVQPKPEQVQH IWVVTGPAGCGKSTVGRGLQAALNVPFLEGDDFHSQKNKEKMGSGIPLTDADRWDWLI SLRNAAIKALSPSEANNFHPPSGVVVACSALKQKYRDVMRVAAYGTPSVQIHFVYLKL DENALLQRVAARQAHYMKSTMVQSQLQDLEEPKGEWDALTIDAHVPQEQVMREVLEAV RDKLAEYQ AOR_1_2864154 MGTRGLVFIRCHGRYFVYWNQFDSYPEGLGEAIVHQIPENDEKY HEWLEAMRKVFTGFVQKLEEQYIPLVIPDSLKQDGPTSSLAERYMKSFLAVDDRLEMP PMQTLLPHLWGSDIEWMYTIDLDRELLGVDHSVYLKLSKIPREGRWHQYLDVDENRRR RVFQKETPESIVGDIALKPSVNSDLTAKYTSIGIEPMSLKGFHGSIDMLLPREALLLS TFSCIYKSFKKLLDPFILEWTPESFSFQEIAFALLSIAAGEVTFESIRALDKSYEREG YYILPDGHLLPCFLYERHFPGVEPGSAPRNRTYWFSNVLVHLASRLDVVDVEKAAVIE VVDAGLDQGLDEFYAMVFSISDVILVHVQKGGGRVRVQRSDLMPLFYFDEELSNYLNG PRTRPAQDTQPPTPGTNANHALQCKIQKGGDASRFSEASDGSDDMKTVIEDEHKNVDI TFTSMIRFLDIAARLHLADAKSRRVPNEILTSVMHFTDTRTYKNLANVSASCRQLSDR KFRLNDGYDVVGIDAQNMGSPRRLIIEDIQTGKRFYSEVQHLDLLFCRNTDKGMLDLY IVIGEETTRRSVISLAALQFPKLLPKDTPCTNEVQQPILERFCYHFGRTLDSSKLFKI PNYQYIGFVEDAWGRYIQSMIQRETRHPEVAQFVQISGAQYRCLLPPGYRKLGMYHCF CNGLQAFLRHPQDESHEEWRKTIEYAVHQLSHLEQWPDSVFIVRGRPAIITFGTRVKL FYYIYHREEAPVVPQNASPTSIQFAASCTDLEPKHRLVQLIPGSEPIDLHHKDDRAKL EHWFKVFCDKEDLETEWDPITGEYITMAREVGNTE AOR_1_1792154 MLRVGARAGRPLRQAASSGLTGSRFRSQKISGPLVSRVFMSVGP QGGQESEYHKQGASSYPLRRQWTTGVGIAIASSALLLSGLTGYYLGIAKPPEPAVAST TPLNEFPAPCHDTSSSNIQGAVEEFVGILGKDNVISDKEALAPYSTSEWSSYSPSKTE VSSVVVCPSTTKEVSRVMEVCHRRRLPVTAYAGGTSLEGHFAPTRGGVCIDFQGMDQI LTVHKDDLDVVVQPAVQWEVLNEELAKDGLFFPPDPGPGAMIGGMVGTGCSGTNAYHY GTMRDWVLSLTVVLADGTIIKTRQRPRKSSAGYDLTRIFIGSEGTLGLVTEATLKLAV KPMNEAVAVASFPSVRDAASCVSEVVKKGVNIAAVELLDDVQMKCINTSQTTSRSWDE APTLFFKFSGAPGEVKEKIAIVQELAENANKKTFTFARDSEEVDELWSARKVALWSIL QMKQQPTDHVWTTDVAVPMSRLPDIIEQTREEISASGLLGGIVGHVGDGNFHAMLLFN DDQRQVAESVVHNMVKRAVEMEGTVTGEHGVGMVKRDYLEHEVGKTTVDTMRRFKQAL DPLCLLNCDKVVRVQVPKQGEVPEW AOR_1_1794154 MSTNQHNPLPYTYETKVYTEGLNDKKPAITFDPFKWEGLAKERL SADSFGYVWGSAGTRETDDNNRKAFRKWGIVPSRLVKSDFPSLKTTLFGEDYEYPIAI APVGVQRIFHRDGEVAVASTAQNEGITYILSSASSTSIEDVAEANGDGSRWFQLYWPS NEHNDITASLLKRAKAANYKVLVVTLDTYILGWRPSDLENGYNPFLRKDNIGVEIGFS DPVFQKKFAEKHGKSIQEDMATAAAEWAHMIFPGMSHGWEDLQFLRQHWDGPIVLKGI QTVEDAKLAVEYGMQGIVVSNHGGRQQDGGVGSLDMLPDIVDAVGKDLEVIFDSGVRC GADVAKALALGAKMVLIGRPYVYGLAIAGREGVRHVLQSTLDYDGWIKQHTGFKVKN AOR_1_1796154 MSILRCNGGLSWALRSCQSRRVLPITRSPSTISFPRRTFHAAPA LWGVKSQILKDVGEGITEVQIIQWYVEEGAHIEEWKPLCQYQSDKAVDDITSRYEGIV KKLHFQADDTVPTGRALCDIEVEDGKYPEDNPPPEPAPAPAQPSPAPAQAETKQPSVE VAATTQKPEAPKNGSRYATLATPAVRGMLKAHNVNILDIPGTGKDGRVLKEDVLRFVT VRDSAPTSQPTTPTIPTTPVSQQSDTAVNLTPIQSQMFKTMTRSLNIPHFLFADELNI NNITALRKKLANDPKDPRRITFLSFVIKAVSLALNEYPILNAKVDTSNPDKPQLIMRP RHNIGVAMDTPQGLIVPNVKDVANRSIEDVAAEISRLSALGKEGKLTPADLSGGTITV SNIGNIGGTYVAPVIVSNEVAILGVGKSKTVPIFDEAGQVTKGELVNFSWSADHRVVD GATMARMANKVRECIESPELMLLKLR AOR_1_1798154 MVAEHLTLRNLTSTPITLKRIERFHPHHGHNIQHMARNFTRVFT NVTRTRAPVAAITDDNEPFVHEDLDIHIEPFQTIHTELRTFIDSDKERVRWFFEVEGE RHQVQTPVPTSESATMKALCDEPRFKLTGIYVTPESHLSIYSSANLNAWMGELKDDTL LSSLSIPGTHNSPTCHVAPPSVRCQAVSPREQLENGVRFFDIRVQPQYPEDADKDELA LVHSVFPISLTGSKYFRDLMREVNEFLDQNPSETLIISLKREGPGEHTDQQLSRILSD HYARPDSRWYTNPKIPTLGEVRGKVVLIRRFDILDHLKDIHGGAGWGICASGWADNCS NATCPSGQLCIQDFYEVLETENIGEKIKYVQEHCFRAAETCYPFGVLPDHEATKAHPF YINFLSASNFWKLGTWPEKIAGKLNPAAVDYLCRKHGEKDDCDWSTGILVTDWVGLDG DWDLVRCIVGMNARLKLRQDRHEGDN AOR_1_1800154 MPPRIRLSSGRIPQPLRRQRLLCQYELPILTRYASTAATATTPA ASPEQMTHSVAPIARFPPSQPPSHRNPEYRRSQLLRQYTSLIRTTPLMVFLQHDNLQS VEWAAIRRELSKALQKVDEQIASEGRSVPPLAPHVKVQIVQTSIFEVALRIVEYFRPN TSTIEAGQTPSAVDPITQTSAEVSLSGSRDDPTLSHDLSRAAHDAVLHMKGKHELSPV LVGPIAVLSIPQMSPEHLKAALTVLAPKAAGFPVPTRKANPGWHELPVQNGLNKLALL AARVDGKVFDVDQTKWVGSIEGGMDGLRSQLIMALQSMASSVTNTLEGAGKSLYFTLE SRRSVLEEEQKGPSDEKTEA AOR_1_1802154 MSAAPFDLQPGQVSISPLLQKLAYPSEKLPVEAVDIASAFALIF EDRLSAIQTAALLTLLHSTGRDRDAEVIARCSHRMREAATQVDRPTLKKIIKARGKKE GTYNGGLCDIVGTGGDSHSTFNISTTASIIASPLILMAKHGNRAQTSFSGSADVLNAI PPTPPNISAVSAENLAQVYEKTNYAFLFAPNFHPGMMYANAVRRALGLRTIFNLMGPL ANPVDWAIEARVVGVAYQSLGPVFAEALRQNGCKKAMVICGEEDLDEISCAGKTNCWK LTEYPNPAYKGSADDECSSDEDEHEVPRTLVKFETFQLHPSDFGLPTHPLTAVFGRKM PKDNAAKIMSILRNELPRDDPILSFVLMNVAALLVISGVCESETSNMGPGDDGQVITE RGPGGGRWKEGVRRARWAVESGAALKCLEGFIEVTNNLQ AOR_1_1804154 MSREAYQVPSSLGGQNAFDSGAGSMDGPMVAYLCGECNARVSLK RGDQIRCKECGHRVLYKERTKRMVQFEAR AOR_1_1806154 MASHSAQGTAAQHKDYEENVFLFVPNLIGYARVVLAITSLYYMP LHPRTCSLLYSVSCLLDALDGAAARHLGQSTQFGAVLDMVTDRCTTTCLLVFLASAFP RWSIVFQSLISLDYSSHYIHMYATLAMGGNRQSHKQIDESRPWVMRIYYSNTKVLFMV CALNELFFIALYLLSFSSSPSLMDNASLKVSQFDPSRLWSSSWSAGAMEMARANKLNE TVPWCLLYVSTPVMLFKQYVNMIQLIEASKWLVQGDNEMRRNARLAQKRDQ AOR_1_1808154 MPLSWEPDFSEALKTHEVLHELVGLYFRHIHNIAHTMFHEPSFM HHMREGTASMKHVYGMCALAARFSKNPIFHGISPCSRGKVYAFQAVRLCRQCTDTPSL ETIQALLLIGYFFSGEVDHQRKHIYVGLARLHAEALSLWGSATQSSSDAVIQEEYRRT CFSVRIATHWSASDMFMEPEDTCHSSEMVPEIDDVLFHTLTSAELLRKPPLAPTFRCD MWAQMARTLDIFTKINGLLRQLSRGVITLNDYCQEAPILEDRLNQWYENLPEHLTYSY DHLIFFLERHLGRTFLSMHIGYYHFRQMLFFPFLKAGVGREATTTEPKAAKCNESAAT VSEILNYATSLENCELDYFIYGHIAVVSSCVHLHSLLFSDDLSELFMARQRLILNFKF LMRVKSYWPIVDSSVSRLRTFQNSCRNSMSDPFALDNWMARFLTEHSSCLAERQTHDS LSSSFGVERISTQSAEYNAELSGIAQSSGILNTPNPGALEGSQLKEPENAWDGLSYLM NDQSITNEALADNAIDWLLKE AOR_1_1810154 MLSLNLVLITLVVALGGFVYGVDSGIIATTLGHETFKYAMFGPT GENAGLKAIIGAVLQSAAVNAGMMIAGRFFAGIGCGMLLTVVPIYIAEASPPHQRGFI VGLQGFMIAIGFCIANWIGYGGAFAKDDAQWRIPLAMQIPGPLLLAVGCCFIPYSPRW LIQEERYEEARAVLTMLQGSERDEDSLSQELAHIREQIQAEATEVTSFHVACAKLFSR RYLHRTLIACFIVVMGQFSGSQVIQNYQTSFYETVGFTGRTSLLISGVYGFMGVIGQI IYLFVVADKWPRTRTLWTGSLFLSILIAICMALSAVYGDASGGDLSGARAAIAMIFLY SCAYAVFFNAMVWVVPSELFPFFLRSTGLGLAVFSKSVAAIVLSQITPTALENVSWKY YSLFIATNFVAAFIYFFFLPETGGKTLEQIAELFGDPPSALSTGVKTEDADDRQSMVE AKDIKSTHVEAVV AOR_1_1812154 MISRLSIAPPSAEQHPTGFGVGNATPRLSWRFLNTKEACPLGWE QTSYEIQILRSQDSPIETYEVASDKSVLVPWPSSPLQSRESVQVRVRAHGTCIKEARA EPTNWSPWSTIECALLHRHDWKGLPIASLEAPPHDAPLRPVRFRKCFHISGADAISRA RLYITSLGVYRAFINGQVVGDQCMAPGWTSYRHRLNYQVFDVTDLLNPSGPNVIAVEV GEGWYATRLGFRGGRRKLYGDKLAVLAQLEVQSEQDKSFSLATDSTWTCHPSAILRSE LYDGEVYDAREENPSWNSALESKDSSWNPVQELEFPSATLVAPDAPPVRVTEEIKAVE VLHTPSGKTVVDFGQNLVGRLRVRCINKPEGERVTFTHAEVLEHGELGTRPLRAAKCR DEFISAGKEVTDWTPQHTFHGFRYVQVEGWTDQDPPLLTNLVALVMHTDLTRTGWFQC SHPMVNQLHQNAWWSMRGNFLSIPTDCPQRDERLGWTGDIQVFCPSANFLYNTAGMLG HWLEDVAAEQLKEGNGCVPPFIVPNVISEELWPHHVPQAIWDDVVVLTPWILYLSYGD TDILRRQYASMLAWIDRGIQRGPDGLWDPEVWQLGDWLDPTAPPVEPGDARTDGTLVA DAYLVHVTSVMAQVSEVLGETADTTRFQADSNRLKATFQDKYIARSGLLAGDTQTALS LALMYELHATTDQAIEAAKRLVRLVRQAKFRVATGFAGTPIIAHALTKTGHQQIAYRM LLEKSRPSWMYPITMGATTIWERWDSMLPDGSINPGEMTSFNHYALGSIINWLHYSVA GVRPIAPGWKQFRVEPIPGGSIDSAEVAYETPYGRIECRWAFDAAEDRFSLDLLVPPN SRALVILPSEERWEKRVALKADDEDGTWIGSGHHQFSCRWSVGSHRGEWPPKPIIPIM RKPGPETIA AOR_1_1814154 MRFLRFLLAAPLALAASIPRAETPTTFSEVTIFSPPSDYVIPRT LYARNLQLPNGDLLATWENYSPEPPAVYFPIYRSTDSGKTWSELSRVEDTANGLGLRY QPFLYYLEESIGSYEAGTILLAGSSIPTDLATTQIDLYASRDSGVTWEFVSHIAAGGE ALPNNGLTPVWEPFLLAHNGKLICFYSDQRQNETYGQKLVHQTTTDLKNWGDVIDDVT YPTYTDRPGMPVVTKLPTGEFIMTYEYGSFFGTSDYSFPVYYRISADPENFLAAPHQK LVVSSGTQPTGSPYNVWTPFGGDNGTLVVSCGNLGSVFVNQALGEGEWTEISTPESAS YTRSLRILQEKENYLLLNGGGVLQGESNKVTVSVMDLEAALA AOR_1_1816154 MSQAVMLDALTVDVYHNLEGIKDHGLDVNFTALRYKTLYVIGRR QYATKPEGAVTVGPRTEHLPIISYTGWYERQTWNEFLGETLSAKQVFKTKRSSWLGFM GLNFISLEHYSPLI AOR_1_1818154 MPQTITTPDPRVGIGAFILNKKGEVLLGKRKGSHGAGTWALAGG HLEFGETFENCAEREVLEETGLTIRNVQFLTATNNVMLDENKHYVTVFVSGDICGDAV EPKLMEPEKCEAWEWVAWEEIVALAKDAMAGKESGERKKLFSPLVNLVEQRPGFRPVV N AOR_1_1820154 MSAILSADDLNDFISPGVACIKPVETLPKNESSNSQNPYEVTTE DKVQPENLPPAQISLTDCLACSGCVTSAEAVLISLQSHAEVLNTLDAYPELPLTQNHN GPYTGSSDALDGESRIFVASVSPQVRASLAATYGISEKEATYMIDQFLSGPHGLRAGG KHGSGFSWVVDTNVMRDAILVLTADEVSETLKEPSARAISKDTLPKRPVLSSACPGWI CYAEKTHPFVLPHLSRLKSPQALTGTFLKTVLSKALGVPPSRVWHLAIMPCFDKKLEA SREELTDVSWSPLDGGVPLTESNKPVRDVDCVITTRELLTLASSRGISLPTLPLKSLA PSYTPHFPDETLNAFLFRKQNGSEQSMEAGTSGGYLHHVLKTFQAKNPGSEIVTQRGR NADVVEYSLMSPGGEPLMKAARYYGFRNIQNLVRKLKPARVSRLPGARVPAASAGGNR RQPISRNSASAGSGTDFAYVEVMACPGGCTNGGGQIRIEDAREASTSTQSVTAVENPS KPTPHEQRAWLARVDEAYFSAESDAEGEMDGQAQPLTIPEREARVHEAFEYWSNLMNI PLSKLVYTTYREVESDVGKPKDAPIDTTRVVELAGKIGGGW AOR_1_1822154 MSSSEPSKNLTGSNRRAVLSLTAKETKALLPQILAVVPHAPPTG IRCSRDTMPVLDSKYSPNLNTQVEVVNGDAFNIAISLTSPTDTKSVCVLNLASDKSAG GGWLRGALAQEEELCYRSSLSFTLKLRYYPLRNHDAIYSPTVIVFRENFTDGHRLMDL QRPESLPIVSVVSMAALRRPDVDRSTQPPRYKHIADRALTKDKMRVILRVAAYNKHRK LVLGAFGCGAFDNPKEEVANCWAEVLQEPEFQGWWENIVFAVLENTGDLAKSKGNFNV FHNRLHGLRV AOR_1_1824154 MPELAEVSRIVHFIRQHLVGKTLAKVSTQNDDIVYGKAGTSASE FQKAMEGKKIVGAGQQGKYFWIAMASPPHVVMHFGMAGWLKIRNADTYYYRTDKPQDK EWPPKYWKFLLETDEDPKTEAAFVDFRRLGRIRLVDCPAEQIRKFSPLKENGPDPVAD KDTVTEDWLASKLRSKKVPVKALLLDQANISGIGNWMGDEILYHARIHPEQYSNTLTD DQVKELHSALHYVCSISVDLLADSEKFPEDWLFKYRWSKGKKNASPTLPNGDKITFLT VGGRTSAVVPSVQKKTGPVSKDIKTEDDGTQNKRKRGIVPKVESDAEASEEEPKAKKA GSKKGAQPTKTADDEKKPEVDGTSAGRRRSARLKK AOR_1_1826154 MSRATSTSAPELSPQFCFNEKLLRDFLRLSRSTIDDSITQNLNA LFTPSREGFDPSSTAVRQTDSKAGRTIDPAACQSFKDNVLFPSWQTRSDVLNYCAGVA TSPDPDDPDLVLRQTESARDRERVVDERLDPYSARFFPREARTESLANLVRSQRSVEE IIRARTWGLVTERCNGSSTGWEEALNSWRERKQQ AOR_1_1828154 MPQPLSSKDASLFRQVVRHYENKQHKKGIKTAEQILRKNPNHGD TLAMKALITSNLGQQEEAFAMAKEALKNDMKSHICWHVYGLLYRAEKNYEEAIKAYRF ALRIEPESQPIQRDLALLQMQMRDYQGYIQSRTTMLQARPAFRQNWTALAIAHHLAGD LEEAEKVLTTYEETLKSTPPVSDMEHSEAVLYKNSIMAEAGKLEKALEHLETVGHRIT DVLAVMEMKADYLLRLDRKAEAEVAYTALLDRNPENSMYYDALIRAKGIPDNDHKALK AVYDSWVEKNPRGDAPRRIPLDFLEGEDFKQAADAYLQRMLKKGVPSLFANIKSLYTN TWKRDTVQELVEGYVSVPQTNGSTEGEANGDNKDFLSSSHYFLAQHYNYHLSRNLTKA MEHVDKAIELSPKAVEYQMTKARIWKHYGNLEKAAEEMEKARQLDEKDRYINSKAAKY QLRNNDNDKALENMSKFTRNEAVGGAMGDLHEMQCVWYLTEDGEAYLRQKKLGLALKR FHGIYNIFDTWQEDQFDFHSFSLRKGMVRAYVDMVRWEDRLRQHPFFTRAALSAIRAY LLLHDQPDLAHGPLPNGADGDADSAERKKALKKAKKEQERLEKIEADKRAARKAASTK GGDGEVKKEDPDPLGNKLVQTQDPLKEASKFLTPLLEFSPKSIEAQNLGFEVYLRRGK YALALKCLSAAHAIDASNPTLHIQLLRFRKALDGLSEPLPPQVAEVVNAEFETLLPKA QNLDEWNESFLSTHKDSAPHTQAALTCRQLLKADSKAQCEKDLTATLDSQVDSIETAL AGLQLLDEWKSDQAAKAAYAEKAKSKWPESSVFQLN AOR_1_1830154 MAESSNSAAASGQTQTAAKPTKAPNPVWKMMGMPNFRLKLPSRN WMIFLTVTGSFTAALVYDRKQKKRAQQKWCDLVAHLSKESLPVDQTRRKLTVFLSAPP GDGLRVAREHFKEYVKPILVAAALDYQVIEGRREGEIRAGLAERIRKFRRKSGEPSTV VEETGIEEVVADAREKIGVVEEPVPKGDLIIGRNTWKEYIRGLHEGWLGPLDPPQPPL STDVPSPSEGAETNGSPDDTPTAENSEKKEEPEKKDEKPSKPTGPTPAYITPADYSSQ SLPRSLPQSLDGSVPIQFPHILGFLNTPIRIYRYLNQRYLADSVGREVAGIVLASTTR PYSDGSFSTDSELTPAGIDGAPASDNLLGGNYEQKTLLEEEEKDWHKSAHKKDEANPD KEREWVDSVVLDPRIAARMQRYVLSPEDEARSQRIAEGAEYILGEERPTPVPFWQRMW IKYGYGEDEETLKRKPIIGNIDGEDDQ AOR_1_1832154 MDPNNNRLHLNFGYNERGFNAAANNRAYPTTPSAFPQPIYQNQG PQDYMDAQNGAYNQGYFMANPYPPQAAYAQQHYGQSNLQSPQPAYQSRMGYSANDGTN GLIQQFSNQDLNSNRTGFFGRSASPAQRPRTAGSSAPGQQQPGHLAPPMPRSPRTPAE NEELQRYPERYSENIHKRGKAAKELVNVFFHENIERARDRNMRSTNLDKMIQDPSISK DAKRQEGETIAKKESNFLRFLRTKETPSNFQTIKVIGKGAFGEVKLVQRKTDGKIYAL KSLIKTEMFKKDQLAHVRAERDILADSKDNPWLVKLHASFQDSAYLYLLMEFLPGGDL MTMLIKYEIFSEDITRFYMAEIVMAIEAVHKLGFLHRDIKPDNILLDRGGHVKLTDFG LSTGGKKTHDNSYYQNLLKNSTSKDKNRNSGYFNDAINLTVSNRGQINTWRKSRRAMA YSTVGTPDYIAPEIFNGQGYTYLCDWWSVGAIMFECLVGWPPFCAEDTTDTYRKIVNW RECLYFPEELTLSRESEGLIRSFLCDAEHRVGNDGGQFGGATQIKNHPFFRGVVWEQL RNIRAPFEPRLSSNIDVSYFPIDEIPQEDTSAIHRAQARAMPEEQEAEMSLPFIGYTY KAFNAFQNN AOR_1_1834154 MDFQNRAGSKFGGGGVASQSATNADRRERLRKLALETIDLDKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGRKHQTNLARRAAREQREGKNQDPSTLP GAMGVQVKKQTIKIGRPGYKITKIRDPLTRQLGLLFQLQYQEITPGVQPRVRFMSAFE QKVDDPPDKNFQYLVVAAEPYQTCGFKLQAREIDRRDGRYWTWFDEDSKEFWVQIMFK TEREERFSGVPGLAPMDPKV AOR_1_1836154 MDSTGCVNQRRSYNGELCTIRYAGKVAGTTGEWLGVEWDDPTRG KHSGEHQGVRYFTCKSKNPTAGSFVRPSRAADKPRSFLEALREKYASEFEEEAARRKL GDAASGDSLHKPIAISGKIVEEVGFDKIRKQLAELQELKIVLLDGLRVAGVLAHEGSA EQFQSACKEIEQTCPKIVELDLSRNLLNRWRDVASICDSLKRLKTLKLNGNRMDPPVG EGLRFERITELQLDDTLLSWDEISALTYQFSSLTTLIASANQISYISSPLSSTITTLT LEDNEISSLSSLRQLVSLDSLSCLSLRGNRINKIYESSPDEASPLRFSKNLQSVDLSR NRIDNWLFVNQLSLVFPGLQTLRISGNPLHDQSVGPSIVTGLPEKTMTIDEAYMLTLS RIASLKMLNYGTITEKDRSNAELYYLSLIGKELSAFPESAAREILPKHPRYSELCEIY GEPVIRRATALTGSGVAVNPRSVAARLLRIAFCLRRELPNPGNSEEVKVKEIPRSFDT YQVKAIVSRLFDLAPYEFRLIWETDELDPVSKQNIDDEDGWDSEDESINPSEPRNSSA FVKREVELVDGTRDIGFLFQSDLTEARIRVEVATQSYVEHRNM AOR_1_1838154 MAEHWKSAPRYWCKQCKIFIRDTAFERTQHEATGKHQGNLKRFL RDIHRDNERQQRETQRAKSEVERLRQTVSGNAAGKSGNAASWKPAAPAPQASPRPVSL DERKKQMAQLAEMGVAIPDEYRGDMALAGEWQTVSEKVIGADGEKTGPSLGVRKRKHE GDEEEEEAKREAERFVSKGWGSRTREYPGAQDDADLDALLESTKDVKKAKPSTAEATP KENDKEAADVPSKGEGDSAAADSEQMPQTEKEQSEAAAVPPAPMAKSEPEEASTGVIF KKRKPKVMRK AOR_1_1840154 MAETADPAGTPGELPKQATEPTDTLAAPTSTSAEYSTFSAVDVS LPPIDKCLPAMDTPIDTTLPPLDPSIPSLPPIDTSLPPIDTTLPATDGGLGTDTNFSF DDTDPKPDDGGLGGPVSTAQVSATPGSNIGEQPGPSPAPDSSWQLPSNGTHSQQLPPL QNQSAQPQSQPQYQQQQPQQQQQQHAPQQQHAPSQQYQPQQPQQQGQMQAQPQSQQYQ QQSSDMYHNHQAGSASMNTPSMQTMDHHSSQGQTSHVPQAPIGSPMPPMASVGQYMTG YPNNVGQMGMNSSAQMRYQLPGDPNKMLSGGRHKKEVKRRTKTGYDPVFKQQPTPSAI QPAPNPHPSLVVNPQDPSTSSSTPTYPAAPPGYVPAVSQPFAPSESPSTSTDRYDYGA PIDPTLDGNNSSNMASVQNAVEGGLQPTVNPANTTTSSDPTSFRVKQVQISDLLALRG IPPPPPHPITTIQPNRLEEIKAVFLATYAPAIDKFFETRWFQDTALTHLLANAQLMAE YSALIEAFNDQNLSDPNVIARLESFEASVVWSSMTLCRHVMNVSNGSHGQDYDLLAAS KRLDVIESMITGEHLDSNPLSQFPPRDPPTNPPGLSDQLAQRSLDFWCAIGHFLTLHD NEASSAKEIDDTLGRCRTLLDTFENRDVIYSIAIARHLGQRWADFPRSFPQPITTNEK DAGAKLYVAQKFLEQEAGGKGTTQVIKRICGMVVRSWFVSRE AOR_1_1842154 MALSNTKPKVLLLGKIIHAHTTWQSLSDIAELVEPTATNRAEFI QECKDGKLDGVVAAYRTFDSVSITGLVDEEVVNALPSSLKYLAHCGAGYDQVDVHACS ARSPPIRVSNVPTAVDDATADVNMFLIIGALRNFNTGMLALREGKWRGQPLPALGHDP EGKVLGILGMGGIGRNLKKKADAFGMKVIYHNRRQLTEELAGGADYVTFDELLAKSDV ISLNLPLNKNTRHIIGKPEFDKMKDGVVIVNTARGAVIDEAALVDALDSGKVYSAGLD VFEEEPKIHPGLVRNPNVMLVPHMGTWTVETQTAMEEWAMENVRLSIETGKLKSPVPE QVDL AOR_1_1844154 MTLEDFEKSLAEDQEKRREKSDKERHRHRDRDRSRDRSRHHRHH HHRRHSSRSRERESDRHRDSRHRDEDRHHHKRSRHSTDHGDDRGHAHKRRHRDESKDD ESSAAKEVIQEEPTHLKRDAWMEAPSALDIDYVHRRDTTRLEEEPKPTMLQADFELKI HGRELNQHLHDLKDGKALEEIQEEQAQHDVDYTFGDSGSQWRMTKLNAVYREAEESGK PVEEIAIERFGDLRSFDDAREEEAELDRRERYGEGYVGKEKPSGELFQERKLQEGVHR DTHEHIRNPEQELEAHGQGKPIATEPPQNTTQPLDLTALNRLKAQMMKAKLKGSPDAG ELEERYNAAAAAMANRKDPGVVVLGVQQNRMLAGKRNEVKAVDTKRGRERGLVEENED MSIEDMLREERRTRDQLGGEGKRLAERIAKDAKFENDLEYMDDNASKLARRVHQSEIN LKNTSISEFQKMNRILDNCPLCHHEDTNTPPIAPVVSLATRVYLTLPTEPELNQGCAT IVPIQHRTNLLECDDDEWEEIRNFMKSLTRMYHDQGRDVIFYENAAQPHRKRHAAMEV VPLPYELGETSPAFFKEAILAADAEWSQHKKLIDTLAKSKQGLGRSAFRRTLVKEMPY FHVWFELDGGLGHVVEDENRWPRGDLFAREIIGGMLDLAPDVIKRQGRWNRGGDRRVD GFKKRWRRFDWTRVLFEGQT AOR_1_1846154 MAQSPVAVVCVGMAGSGKTTFMQRINSYLHSQKKIPYVLNLDPA VYSVPFESNIDIRDSINYKEVMKQYNLGPNGGILTSLNLFATKVDQIIALLEKRTAPN PSNPSAKPIEHILVDTPGQIEVFVWSASGSILLETLASSFPTVIAYVIDTPRASSTST FMSNMLYACSILYKTKLPMILVFNKTDVQDAEFAKEWMTDFDAFQQALREEEESGAFG TEGGAGGFGSGSGYMGSLLNSMSLMLEEFYRHLNIVGVSSMTGDGIDEFFQAVEEKRQ EFERDYKPELERMKKEREEAQAAHREQELGKLMKDMNVSGSSKGKRAENEAETVSEAE EEEEELAAAKKRGDYEEEEDDSEDESGVPPAADNDGLSQRYQDALADSKAAPSDQDLS FARYLRASQMNQ AOR_1_1848154 MDVFYAYTYSTAGWLSLQSISLITVPQIMTTLLLDESRSASELE IYFARCLGFSLLTIAVLTVMLTGSIPLNSTVSEPVTTEDNDPKGPYAIPTLIVTALFQ GFSAFYAYTRYLSSGHTAFAIGMIGYSVVAAIGLWCALFASSNGKISRKTGADKRTTG FPFKNTEAAKKHAWKKSS AOR_1_1850154 MQSIKRTLLLLGAILPAVLGAPVQETRRAAEKLPGKYIVTFKPG IDEAKIQEHTTWATNIHQRSLERRGATGGDLPVGIERNYKINKFAAYAGSFDDATIEE IRKNEDVAYVEEDQIYYLDGLTTQKSAPWGLGSISHKGQQSTDYIYDTSAGEGTYAYV VDSGVNVDHEEFEGRASKAYNAAGGQHVDSIGHGTHVSGTIAGKTYGIAKKASILSVK VFQGESSSTSVILDGFNWAANDIVSKKRTSKAAINMSLGGGYSKAFNDAVENAFEQGV LSVVAAGNENSDAGQTSPASAPDAITVAAIQKSNNRASFSNFGKVVDVFAPGQDILSA WIGSSSATNTISGTSMATPHIVGLSLYLAALENLDGPAAVTKRIKELATKDVVKDVKG SPNLLAYNGNA AOR_1_1852154 MNDKVPEIAMSQFETDKGILEPHLRQYGLEFATDGFHIRWAKGN QRHPRNWSIVRKAYDTSLIIFLELFTTAISASGSTAAKDALREFNIEKEFSIFVFVSI YLLGQGLGSIVFPPYSEAFGRKNLYVLSTALYSISCAIVAAVPSLSGVVIGRLFSGIV SAIPTTVVIGSIEDMFNARDRVWVLCLWAIVANLGLVTGPILSTFIVADLNWRWLFYV AAIVTGILTFILLTLRESRPSLLLAREVEQLRKVTGIETLQGLNPDRTPDLKTFVRTA LFRPVRLFFTELIVFFVSVISAIAVALVYLFTEALPPVYEDFGFSTRQACLPFIAIGV GLSFGFFTRYIDLHIIDKHRLKGQPLLPEHKLTGFWIGAFILTVGLWGFAWTIPPYRT DLHWIVSVFCLVLVGYSLNEIEYVLGGYLTDSYLSYAASGLAALAIVRALLSAILPLI APPMFSNLGNNMAISVLAIIATMFCAIPPLFSRFGKQIRAKSKFAKYSLRMYNEHSVD EDGY AOR_1_1854154 MNNPGIHSSDIPHSLHLDALSGVAEDASTLAAAQAQHHQHDIQE HVPSAESTDAQSLHPLQTAVGVLDSYSIQQQEEQNDDRSFRESYNLLARDDSHSLRMQ PQCNTFSEASIFSPKQGGYLRDMPSVLDPPDLDLWRERLFNVDETIVLSEEQFLTYFP HVDNIYSHRSTQHYKRKPLISHYWDCRLKGRPPGTPKSDDPNKKKRKRTARQRDLCDV KIKITEYFPGYSPMMIAEGAANDAGAVLGAESMSSGNAVFPPPDDPEPRDRQPFGVLT PNPPLPEGHPGANGQRFFTIQRVNGNGANGKNDGVSGGHRHTLEESDRVKKNSVQRYL LKEAREKKKASSVRAMSTQNQPSQKTYHTKATGLAALTVSNHSSENELKLYGSCFCPF VQRVWIALEAKGIPYQYIEVDPYKKPQSLLEVNPRGLVPALRHGDWGSHESTVLLEYL EDLNTGPPLLPPGDAKLRAYCRLWADFINRNIVPNFYRVLQEQDEQKQISNAQELKDA FATLVNAADSQGPFFLGANISFVDVQVAPWIIRLSRVLKPYRAWPDPDAGSRWGAWVN AIEANEHVKATSDDELYIDSYERYAQNRPNTSLLASAINSGRSLP AOR_1_1856154 MSAKPSAETPDAWEEDWERQADTLASDPTPPPPEKKVSSKVTKA QRRAQQAEFNRQLWAEAETPQTFHFLESRSNVPLKQEFKPTVTLLSRNPHSSRQSSSV NATAAGLGRLALNSDNDDDSDDDNKPPEPTPEERQAIALRNREEKQRKYEEWDPTKAR G AOR_1_1858154 MATDPDGDSQMASSPESSHMHSEDSPVGSRTPTNMRSATSQFPG TSELSPPGSQTQSVSADVGGLAGLGNTNTSGGSAEMTSAQQQQPGASWMNKRAEEEYH RAMEYVIDQDFNLDEFGDPFDDRDMEEKLF AOR_1_1860154 MSTTDSPAMRAEPDLQKCSFPNPKFQQLQEERFRFTPVKVAKPV PRIWDRQPSTPFLARPKSRKVWKRFRSSFNSMKALQRLISAQSGPNDHDDLYTEINTS RNEEYMRGVKRRCLVHNSEEQGEATTSRGRSFLETKWESEASGKRRKFPAYRGLVDGS EPIVADSQPLGASDDGDSDISYPNAHDTATSGDEILPDTVESDESLDRSPDTPTRLAA PAAYPRTLHGLQSGMDGTTADIKECDDLGQPQADLPESSSLEAGPPENIEEQENDAVL DATMTDDLQSGQCESQTSATVSPESVQQTDATEPPAAAPVQDLTAEQESTLVRSALRS SLDGEDAELLSNFLSKAKAKREAKAAAAAMVTEEKADQVYVEIPDIPTPQGRRVLEDL DANSPSPLKAQLSPSKVPGKDIDEKEDQSPSSPRRSTRTRSVKAPPRMTTTTTAARNT LTLRRAKGTEFVFLKKTEAQELALATRRNTRQNKGDAMLPKYTLQTLAQQTTDSEATS DDNKSARDSSRRCASAKRVSWNDERLVEYEGESGEGSSDDATGRGGKSKNPEKRKATS SRTTRSQGPSKTGGDTVPAASTTAATTATPRARRVRRLGTPKPTAAVDTSASPSTSMS PPETRKKLTPKSPRTTIAGSGASKKVSRVSTRSDTRASLDSSSLKSSSLFKAHAGSTP MPRRVRS AOR_1_1862154 MSNGKTFTLSNGVKIPGVGFGTFASEGSKGETYQAVTKALETGY RHLDCAWFYLNEDEVGDGIHDFLKKNPSVKREDIFVCTKVWNHLHRPEDVQWSVDNSL KRLRLDYVDLFLVHWPIASEKEDQEKPKIGPDGKYVILKELTENPEPTWRAMEKIYRD GKAKAIGVSNWTIPGLEKLFKFAEIKPHVNQIEIHPFLPNNELVQFCFKNDILPEAYS PLGSQNQVPTTGERVSENKTLNEIAQKGGNTLAQVLIAWGLRRGYVVLPKSSNPARIE SNFKSIELTDEDFEAVNKVAEGRHFRFVNMKDTFGYDVWPEETAKNLSA AOR_1_1864154 MPRFDDADFAVDPAPAAPGTAPAPAARSPRDGRGSMAGSLPIPN DAGATIEIPATRSSISDAAQYMHNLSLAPSTRDRRGSRNSFGTSLPIPRSPRVSRLAS VVTADGNSVSRDLLASQVQDMSKEKVAAAKNMAFAFDIDGVLAHGNHAIEPAKEALKM LNGDNELGIKIPYILLTNGGGKTEAARCEQLTEVLGVPISTDQFIQSHTPMQALAEYY DTVLVLGGEGQKIREVAENYGFKNVVHPKDIVAWDPTVSPWGHFTEEDRAQAKPRDFS KMKFDAILVFADSRDYATDMQLILDLLLAEDGKLLTRAKDPVASRIPIYFSQGDLIMP TDHQGPPRLTQGLFRISIEAQYKALTGVDLERVVYGKPERATYTYADEVLKSWMEQIH NENRLPQNIYMVGDNPASDICGGNMHGWNTCLVRTGVFQGGDNDENNPANFGVFPNVL EAVKAAVRKELGKEFKFKWNPKVNPVTGGDSGSAVE AOR_1_1866154 MSGNTKAYFDVEYDGKTGRINFNLFEKDVPRTAKNFRELCTGVH GFGYAGSGFHRVIPQFMLQGGDFTNHNGTGGKSIYGTKFEDENFKYKHNKPGLLSMAN AGPNTNGSQFFITTVVTSWLDGKHVVFGEVADDESMRVVKEIEALGSSSGKPSKPIKI VKAGEL AOR_1_1868154 MSSAAVPPAIRINKPPSIALPSALSGDTSPSTLSRDSSPHSSAC SSPDGSRSTSRRRPSVGSIKEDVDGIAQSFVDTHIDQPSPEQPKPIPVEMQQTPDFCC PCGGFLGWKQIRLGGKSLSRSYSDLRALGNLHARGWAWETSPPPVKNPPPTKTLQVEQ PKPAAGLSRLETLPSEVLDQIISCLALDVPPNGYTPRNVDLISCLLTSRTLHAATLAV LYRNMTFPHSIIFSKALNHMSHYPALGTLVRRLDFSHFTSVGLGRTKQMNAEIQNLTS KTLLKCLDLLPNLKECLLQEHVEGDLSVEVVQKLLFGLPNLFAVDFCGCSTQSFSAVF QEALLAGPALPSALPNLKRVSLHECSSLPASVFEALLPRLVNLTHLDVTHTQISEAAL FSIPKTARITHLNLSRCTRLQGSRVVEFLVTHPAVCESLVSLNLMTDPTRNRLLEEDD VHALLPKLPSTLRSLNIGGAKVTSAHTQALIPLTKHLEELGLGSAELSAQDINLFFKP PPRANMDVDGSAEVKEEDWVPPTLCYLDLTKAPQLSLGTVFNPSSCLLLSQQSYPLQV IEFHEKLIAPLRERTKNARTSLGWTVRELGRRGWYVRDPASMPLQVPDDGSRSWKMGA RWWGARKIPVAIGEVGGIYGHYMFKK AOR_1_1870154 MGANTLGWDGLTSRIAVAVATVCLTSFVYKLIKMRLMFYRLRKK GLPTPPWNPILGNLAVMAQLQKKWPSDSREAESFALLSTEAPGCEAGFYVDVWPFSIP MLVVTSPALAVQACQTYDLPKPDVLQPFINPMAGGSDNLFVSNGAHWKQARELFNHGF SMAAAMSHMTYILEEAQVFVQMLKDHARKGDTFSLDALTCRYVMDIIGNVALNTRFRF QEQHNPIAAAMRDTIELECGIETSNFLSRWNPRRLYRQWQNGRTMDYLIGVELDKRYK EWRETAKSSSHPRTQSIMDMVIAEYMKTRPQAQQQQELDPEFKRWATIQIRLFLFVGH DSEATTIIYSLYLLSKNPGVLIKVRAEHDRVFGAGVSSAYDVLTDHPEKINQLSYTHA VIKETLRLFPPANGLRGGLPGVSLRDEQGRIFPTEGCAIWIVHTAVHRNPSSWPQPHA FIPDRWLVEPGHPLYPPAGGWRPFEQGPRNCIGQNISLLGIKASLAMLVRQFDFHDAY AEYDRLHPSTGLKTMFGERAYMIQKGAGHPAQGFPCKVTLR AOR_1_1872154 MAPPSGSAAYKKKDGTLTMSQDRQSISWIPAAGGATGTITLSVS QITNLQQTPASNPKVMLKIFVLPPDAPDNSPEQYVFNFTAGANARAEADAIKDALSAA IQAAKTAQAAPTPSPAPGAGEGMSTAMAFASAVSSKSTWDNDKWLKGDVELQQSLLKS DPNLQRMFMESLHTKPDTLTAGQFMSQFWSTRIHLLRAHAIERSQTRGSYNVLSTLKP RVEDNVTKLNISKEQIQLIFNQHPLVRRVYDENVPKLSEAQFWSRFFQSRLFKKLRGE RLSETDATDAILDKYLQADEHGNLPREAHVPHFLNLEGNEVNNSQRRGNRPDLDMRWS APDKVPIIRTLNSLSEKIMANVAPADGDPHAPVGMDEDTYNELQLRDLRGDEEADRVL LNIRDQSRFFSAQAKDSEDEQNRLFAQQDPEKILSDLRREIEQNLPDDGTAPLGRLVE PDELGDEKESNQQPGSRVSLQKSSAQIIAAIRDRRAQTDVSSTTGTYGLSSGLYDRLN LTHATTTEFLHQFWQAFLSGNPDRAAELSSLVDSLSRAMERIKAVAQDAEAERQVEVD RKKRHAREVMEATGKKPRLNLSSIQGGEKVVNQLMGPTIQALETAQAKYKAALAEEMK EASAQ AOR_1_1874154 MHTSGLTNTPLTKTLLIYTLASSIALSILDIKHFAPIHVSPHFW PYGQFWRALLWQVAGFTNSTEALFAAMLVYHLRVVERAWGARKLATFLLTTLPYTTLL PPLLLTILLRPLSLTKLNYLPSGPTASIFALLAQYHLSIPHTFRYRISTSSSKPADDD ATAQSTGKSLTLLFSDKSTTYLVAAQLALSQFPGMVLPAVVGWFVGLAWRMEMLPGVG ARWRVPAWVVGEKERTRRVVGSSGGEERYEDLRRRLEGEAAAAAAEATGSSSSAGQGS GIGLRQGRRV AOR_1_1876154 MSSAVSSSAVNSRQSVRQTRTNPSRTSKTLGRSSFAYGHGSLTD TPPTPPVAHGFYPALTHFTDAITALPREFRRHNSLLKEVDAKAWALEDNLQQLLKASS ELQADPPLAQAPDNAGVLQEDLATGASQAVEPQENSNRRYLLGRVRQTLQDLMMTADE KNHVISNANDELDRQLLRLDTIFPFIAGEISDEARLGSLTHWAYSNRNAVKTTTNERP RREAASNKDLAHALHEAEVASRSEARREAVLARKQRRTHADSDFDDARASGRKGQSGK ARGAAANDASAVAGQAGASGQTKRRKVERPPTVDTGAAMERSASGAGASGRAGSKDAT DATKKRSRAPNANAAGARKRNNTAASAANSPVLAPSPLVGAATVPRSAASPGPNAATR PQSSRAQQNSTQTANGRQRPSSSASNRVANNNKITEAKTTPKDTPKMETFVMANQDTH REMENETTEPAASKVLAPVSTKREDMDGKPAESIEPGETPAPPAPNPPAPKGRSSKTS TPVLPTFSEPAPRVRPTRSTDAAPAKRSHKKNGSVPVVQQRAVSEEEESYHEGDDEDE EGEPRYCYCNEISFGEMVACDNDACPREWFHLSCVGLTKPPGKNVKWYCNECKDNMRR SRSGR AOR_1_1878154 MLRTTALKATGSGMLRGAASSASRRSISLASTARHASSNQSSKF GLTTRRPLAVVDRLSNGRRAYAVSAEDTNKGVDPNDSFLSGNTANYIDEMYLAWKKDP SSVHISWQTYFKNMEDGNMPVSQAFQPPPTIVPTPTGGVPQTMPGAGLSMAAGTDVTN HLKVQLLVRAYQARGHHKAKIDPLGIRGEAEAFGYNKPKELELDHYGFTERDLDEEFT LGPGILPRFATESRKKMTLREIIATCESIYCGSYGVEYIHIPDRKPCDWIRDRFEIPE PYKYSVDDKRRILDRLIWSHSFESFLATKFPNDKRFGLEGCESLVPGMKALIDRSVDY GIKDIVIGMPHRGRLNVLSNVVRKPNESIFSEFAGSAEPSDEGSGDVKYHLGMNFERP TPSGKRVQLSLVANPSHLEAEDPVVLGKTRSIQHYNKDEKNFDSAMGVLLHGDAAFAG QGVVYETMGFHSLPAYSTGGTIHIIVNNQIGFTTDPRYSRSTPYCSDIAKSIDAPVFH VNGDDVEAVNYVCQVAADWRAEFKSDVVIDIVCYRKQGHNETDQPSFTQPLMYKRIAA QKNQLDKYVEKLITEGTFTKEDIDEHKKWVWGMLNDSFDRSKDYQPTGKEWLTSAWNG FKTPKELATEVLPHLPTGVEGPLLKHVADKVSGAPDGFTLHRNLKRILSNRKKAVDEG KNIDWATAEALAFGSLVSEGYHVRVSGQDVERGTFSQRHAVLHDQENENTYTPLQHIS EDQGSFVISNSSLSEFGALGFEYGYSLTSPNALVMWEAQFGDFANNAQCIIDQFIASG ESKWLQRSGLVVSLPHGYDGQGPEHSSGRMERWLQLCNEEPRIFPSQDKLDRQHQDCN MQIAYMTEPANLFHILRRQIHRQFRKPLVIFFSKALLRHPIARSDIEDFSGDSHFRCI IPDPAHGSAIDEPEKIERVILCSGQVYASLLKHREANGIRNTAITRIEQLHPFPWAQL KENLDSYPNARNIVWAQEEPLNAGAWSYTQPRIETLLNETEHHNRRHVLYAGRSPSAS VATGLKGVHLKEEQEFLEEAFSVHQERLKGE AOR_1_1880154 MPPSTVFSYWRRDHRRSSASPVSSSARVNGVSNVPQLPVIPNST ALPTTFSSEGLGTQVSSDYQAEVDLSKDNIAVSTAAINAPSSSSATLAVPSSSDKDVR PHSSPEERERDLSLTPQSNYSQPSFAGSRLDHVEGDSSKPNSPFRLSFGKGLLNSHSQ SSDSHLKRSSTPDMAQSGHSRFKAPPDESATERIIAPRRDHRYESTISRRQGDRDVSA EPVHHKSGKAMLHLLNPMSLLARRRSSQVAGRTEDANIRARSVIPAIPDDYDPRIRGN IIHDFSAPRPRRNLSAAPVLLHGVTNRHSSHEPSQAANGTQSCTNDWTAPLNDQRKRH SEYSPVFREHFEEDQKALQVENKAYLQSSLLTNPSNHENDAKSVPVFARNLPSSLPDP DREPPTTDNNASTKGQEAEPTNDGAPLDADTVEIVPHQPLGLPKHLKSNASRFSFDMN GVESSTQEKLLEEKHKEKEAARRAKARLEGEFSDAEDDFDNDLIDDMDDLEEKIPGVN VDADEEYDFDDFSGPGDILNKSWLAPGLSPVISSPSSPNAPSMVPSSNAQSGQTLAPE LERSQSSSTYDTAAAHLNNETLSNHKAEAIPQSAAASPSVAPQMTANTHLNTSQLLVD EEDDDLYFDDGEFGDLTADIEGEKFDESIFDDETSHLYERKPAGQRAASVRQEEPNPG IGDAMNERDGLSGHDGGLKHVPSMASDYREVVPRMYGHEEVIPELGPAKSYGGVLSEH NLEALHSALAKAANDSATNDRFGRSVSVSEQSLGHESVTQTVDSHSGLVSDDSHLSQL ADVVGVESVFEDFDYDDNDSALYDDPIIAAANAEALENDDEGFYGQEFGFYAQAYGNC TSELTNGGYFGPRGIEGITRSFSSRGKFREPSLTPITERSEWSTRNSVISLAAHGAAH SNPLSSPGLAQLVDMGSIEDEMTLSALLRLRRGAWGGSNGSLRSSSGSPPPNPHPSSN RGSFTGLSDVSPTVYNAPPDAFGGTSATDSPIDKWGPPHNLSQQQNSIEGE AOR_1_1882154 MAYNAVSQADHEVASNATDSDVSQSPSPHKSTFQQLPLDVDHIG GGTYLQPAKTAEDSSMGRLGSLIRSMTSTSYDMVEEDDYDLADPPTSRRSNSLRCVAP LDTRAAYAGSPEPPLRSPTTDTPVPLSHPTPDLQSLQGAYLNNVERLERSAEQLSSSS ADIGSEIRKMDREQKRRSVSSVSNSLRESNPMKGTVPSSQGSIMSASRLAQVSEHMPE ESFTQSMMYVTNDSTLPPQPPVPTEPDFTYHDQYSHIPGEIQRPSSAASGDTFQQARV LFTDFDGVHYVPHDKGQELGRQVPLTRPPLASRPETYKEPRTGEDMVYYPAPVPMMLN LPPRLSQKPNPEREKRRTQLLGSVTAENRKSAPWLSGRALGDATDPKREKQPANLPPQ LRASVFFEQPATPLDVEVKEASAVATLDSILDASTNAPVTAFTDHPYAGPVGSEVYAK HKASSKDLAAQKKKHMSRSTLRVDHPSSDSDARASSIASHPLYHQTEADAADMHEGTS LREGAHDRDDVEHDPQKDEGEETNEQEVPFTGPPSTLLAELEMRKHELRQRSRTAANS AGMHSTLLQLEAVAQKQSEHRKHRPVTLAWEGPDVNKPEDDGDDDVPLGMLFPEKATA ADDNRPLGLMERRELEESEPLSRRRARLRGEPPPPPRTPDHRPTTMYAQNAQEPAGGD SGDEGETLAERLKRLKGKDRRKSVTGSEFADEILAEVDHMNGNDKEKGDEGAAEEETL AQRRARLQKEAESRNSTLKVPRYRRSMADLLHARRPAPGGRPASREAALHRTSTYQPP YDSRTSLQFSAHPGQPVPSRFAQYQAPARTDSFGYGVVHPNTFYSDAILGMNHLSYVA PHKAVRPGVETGQREIIDRWRQSIV AOR_1_1884154 MSYYDIDSILTDAQKLPCTFELEVPGLGILEGNPGDNIKAGTRI DLPLWLGEMLSIGARLGTSRLVTLDIPSALSERVMNALKADPRTVDLRALAPHFYSLS ERVLELFEEEEMVDVLINTFKKRASEIADHAHNPKGALGDGVEFLRGLDETERQLFRV AHDSAKETRIWAGEAKKR AOR_1_1886154 MSPDVISTLDAIHSSPSRRLETPFEQGWAITFEGPGLVAGRQPL QDLGIWTKWTEADRQNPGAARTLDELLSWAHTTCEPNLLRRFLRHIAALYVIQEMGVD TWQSTPTSLALGAQETHAGEVIKAGLDHSIPCGRNHARFLAKNGYKEPLGISSFDNYR DVFGQDFFNYVQDHPEAGGSFQGVMTSLTQYKMIWTDVYDTQHLVAGADLTKPLFVDV GGAQGFDAQRLLDRHPDLPVGVLIVQDLPEVVTTHGKEKLDSRIRKMAHDFFQPQPIT GARAYFFHAVPHDWPNADVARMFAEVKKVMTPGYSKLLIYEVVLPAQGATHLMTTLDL ALMSCTSGLERTEEAWRALLKEGGFKVTSISRHPMAVEGVIEAEIE AOR_1_1888154 MDKTPQRRSTASVHSATPSSLRHCLYPSPVTALPTGDTPPRQSP KLKSNSESPKPPALTRAKRRIRMDTPSQMNTEMSWPHDLSSMTGSPKPSSHTSRSKTP EPGSTSLVNPSSSLLQDLLKEQRATRGSRGTASEDMDDNGPRTPRTPGRSRANSQNQS QEEPGSDRQRKINNALAAGLKQPREMGMREMDQYISKMNKQNFDLKLEIFHRAQQMAA MEKKLERMLEMEEELQRMRDLEDELQELRDAEEDNQRLRESNEQLRQEIDKRDQAVTE AVELICQLEARVEELEAVGDHSRPSTARPPTRDGPGPAIETPNSPTPVDIPERTSSKR GVTPGDQHRRRSSGTRHLKRAPSFLHNENKNTAALRSVYNPADDQSRSEMSILTNSES LHSMNETGEPDSPRLSALSECSELHIGDITGSCNDFDEIEIPVRKRASTAQSSVFSPL TREDRERDRLRFSSWTPPKPDIDPEDTPRMTIRPVLDVFRDTAKPSFESVSCDNSSSQ KTQIDSVFGSSRLPPTPDTMSTAHAFGTNRSNGSATEKSQGDQIAILKRGLRRPRSAD ELTTRQSSGNSRLTDGTDTNVSEVMHPPLSPNEGDEAPAIFPLNSISSRTGYMQSQMP VHGPNIGYYGNGVLFNQDDLEHVLSKIDNNYYSSPRRDEAEESTLDTPSTSPPLTPQD WVEAAKSGNHAGKNRALAPAIGTVPTKSRLGGTRAPSQSSFLGRRHSVDSTVPDVPII PTLDLGSLEPVRQPEPDPEPRRKISFRPRFFGSSKATRRLQPSPIPDATNEQDGAPSP IIPKSRQMGPSKPGRTNQNDNSPTSYDNGRIPAPTYADTKGDDMRRTLPHSFTDSNML SHSTTSKPPSSPGKGHKRRSSLGIFGWMKGASGFGSSNKKSDPDSSAMSTQSNASVKD RTPSRLAYEFPVANAEARDTHLASMNIAVEDFASSTKRISWVEEDAARRPRYVDRRRR V AOR_1_2866154 MSAESPGEKRGGFRAFFAGALRPKKSRQVLRKASTPNLKEGLQS KDDVPAMPSLTPLEAHRLKYREVNLQKDTQLGETHDHTAMLHSIGVGELDPSDPHAQL HEFDNRPPGEPMIASLTSDLWAKVTEYLNPAERASLAFSSRTLYARLGREPWITINLP ENHDYKADFLISQDRLLPHHLLCFPCGKYHRRTQEGYEKLQPADIINPLFDCPNARNN ALPAPRHRITHGRVLYFTFHQLVMRAYRFGPRYGISADSLSRRWRRDGWSHQTRYHIH QGRLLMRVVSTCFAEPGLSASQQRLLLYSRDDYWPYFSVCAHWRDGELMNVCKCALGH IPVPRTTNGLQGLEHRAKDMYHRREHNPNALASLCGKCRPMRRCPECPSEYLVEVKLT EDRSGSHRNLFRHAIVVTRWSDLGDGRSPRLSKEWAAINGDEAGEGYDSFEKIGKRAI SGIFESAITDDTLPGQRILSMNPKGKKLGEAGNNWY AOR_1_1890154 MAASLIRTSARTALRAGASATPKAAGVAGLTFARGKATLPDLAY DYGALEPSISGKIMELHHKNHHQTYVNSYNTAIEQLQEAVAKEDITTQINLKPLINFH GGGHINHTLFWENLAPKSQGGGEPPSGALAKAIDESFGSLGEFQSKMNAALAGIQGSG WAWLVKDKQTGNIGIKTYANQDPVVGQFQPLLGIDAWEHAYYLQYQNRKAEYFSAIWD VINWKAVEKRFS AOR_1_1892154 MDSDSPPASPSAGQKRKIADMSSDEEDFRPTMGFRGFARASSRS ESPPSHGGLGSARRNPWSNNTQSANPRGGASNAGKGMKGGNSFAARMMAKMGYVEGQG LGSTGQGIVNPIEAQARPQGAGLGAVREKTKQAREEEKRAAALRGEVVEDSSDEERKR RQKKKEARKQGSRSGTGTPVPRAKPQFRTAREMEEDMAGLEVPNVLKSLVDATGKEQR VLTSTAGLMTPSEFVKPGEGEALKIAQRARHDLEAFADEWKGLAERKKFIDLEEAQLV EQMDTQQLRMDQLTELVAAIGGLEIFQEDSTRGRFDEVTEKLESLEIKYRNEIDEYRL PETAVAAIHPLFRQAMEEWEPLQDPTYLVPNLRRLQPLLSRKKDDQNAQRQSTSPYES MIYTLWLPRVRSALLNDWDVYDPRPATSLVVAWKEIIPHFVLANVLDQLVVPKLTSAL KEWKPRSSSRRHTSSKHSSRFPWWLFTWLQYLDERHTNPRQPTGLLSDAKRKFRVVLD SWDLRKGLVDGIELWRDALGSEFDVCLRNHLLPRFGRHLREDFEVNPQDQDVSALENI FKWKDFFKPNVFGILLVTEFFPKWHNILYIWLTNDPNYEEVGEWFSWWRTQIPEDVSE LTIVDDEWKKGLQTMDLASRLGDRAAAELPPPSSTTAEQIPQEKPHVPAEAPSTKARK PKVVEEVAFKDILETWCTEQGLIMLPLREAHPQNGQPLFRITASATGKGGVVAFVQGD VVWVQNKKAKDVWEPMGLEDQLVERAESR AOR_1_1894154 MLEGVVANLLNRFLGIYVKNFDAKQLNIGIWSGDVKLRNLELRR EALDQLHLPLNVVEGHLGELTLSIPWSNLRGKPVKVDIEDVFLLAAPKEDADYDPKEE ARRAHALKMDKIESAELIKERNAEGMSQEEQRRNQSFTQSLVTAVVDNLQISIKNVHF RYEDSIASPGHPFAVGFTLKELSAVSTDSEWNPTFIQSTSSTTHKLAVLGALSVYWNT DAELLGTGRGSDVGAKAQGINHAELMERLKSGIDNEGNNQFMLRPVSGRAGLELDKSG EHDRAAIKARLLFDELSFVLDDDQYRDALMLVDLFHYFIRHQEYKKFQPKCRPKEDPR AWFKFAGNAVLSKIHERNRRWTWDYIKERRDDRIAYIDLFKKQKREGTLSGPDADEFD RLQRKLSYEDIRFWRSLARNQLRKENVGVKKPARQQTWSEWFWGAPKEESEEEAMTEE QRQELYNAIDWDEKKAITESVDEPREWVKLQVNSSLRAGSFTLKRDPHGKANEIMKLV FDNFRAKALQRPDSFFIDVNLGGLRVYDGTTEGTLFPQIVKVKDTLPVPKNRLSQISG NEELDSEGVADGIEDEDSLFHLQLEKNPLESDADSVVKVKLKSIEVIYNPRFLVEVVK FFEPPERHMESIGALMDTAGATVKGIRQQTRAGLEFALQEHKKVDAQFDIHAPLIIVP ESITQESSLCLILDAGHISVNSELVDRQTMRDLQSKQKRQYDEGDYKELEHLLYDRFL LKLDSTQVLIGPGIDITKSQLSSDVSSNNLHIIDRINVDFVLEMCIVPKVTELTRTRI SGHLPELHASMSDTKYKGLMKLIDIAIPRFDEGSQESIVSGKGPEESSIPGTRARSSS FQPSVMRELPAVDEDSDEDSDDENMSKSVEGPTNLHRRDFEFKFTVGRLRGSLFRSDP NDQLHDHLLVELVAEGFALDYYMRPFDMVAEIVLKSLSVDDYIEENPAPEFKRIVSSK GFDADEDKDLFHLKFVRVKPESPEFQSTYEGIAMNLDVSVSTINLVVTRKTLLTLLDF ILLTFTNPEQPSNQDLQSGQTIQNTSTTDQESQQAGKIRIKADLKSIALILNNDGVRL ATLSLNTADIGIFLVGRSMLIQSRIGSLTLIDDVNTGAPESSDLRRLLTIEGDNFADF KYETFDPDSANYPGYDSEVFLRSGSMKINFLEEPYRKIINFLVKFGKMQAIFNAARQA AANQANQLQENASRMRFDVVVMTPILVFPGVVKEDRPRDTVTAHLGEIYAKNEFVSLE DEKDSPAVNVISTGIRNIRLTSKFHFEGGAVEELEMIQKVNLDFSICYLEHQPGNSRP DMEVEGSMSPINLRISQKQLKFLLALSKTVPGAFAPDTEQQELEAMQALPSSVTEPTK EADSRAIQSRNDQDNLLQGAKTDETWVRLDMVFKVDGVGLELILSNDDEPVGRLEDSS LSKFSLNDTKVKLRMLTDGSLESELLIHSLSIRDSRNKDTNKFRKIMSLINNDVQQQF MASVSMSPGPAKHLIAMLTIDSPRIIVALDYLSALQSFANSAFATEESVEEEESDDTP EGSEPRSSTADDTDESVITPSSGNTAAPPAGQMTVSFRLNLVDAQVIMIANPAITHTE AIVLGTKQLLFSHQNVSALQISKVGMFLCRMDKFETSRLRILDDFTLEMSMDSRPQEK GSALISINVHLEPLVLRLSLRDILMAIQIVNKASEMRAQKPNEGETGEVKRISDGKGT SAKSARRKSVGRPTSTALAPRSHRNSTGVIDTTEQELISHRSAILKKEEMNAQIDGVR VILIGDLHDLPLLDWSVKKFTVDVRDWSSTLKADTSFDTFLNVYNFSKSAWEPLIEPW QLGFHMAKEVNPDVLSIDAYSHKTMELTLTSATIALASKSFQFLSTDEDVLSKPRGAD APYRIRNHTGFDLHVWADVNADEEGPAAKLADGEEYPWRFEDSTAMRETLAPEGHAGL VGIKLEGSGFESVSRIPVVREGEILYNLKPKKDGILHRLLVEVKLGTDNVKYVTIRSP LVVENNTQIPVELGVFDPRDGHLLKIEKILPGDSRPAPVGAAYMHSLLIRPDQGFGYE WSNEQLHWKDLMRRPTRTIKCISEGGQQAPPFYFQMNATFNSRDPLTSTYPYMRVRIF APVEIQNLLPYDFKYRIYDKNTRKDWTNFLRKGGVSPVHVVELSHLLLLSIDLEDTVF KQSDFAIINGNAQDFRREHVLPLKDERGIQLRLKLHYFNVPDSGGAFKVSIYSPYLVL NKTGLSMEIQSKGFLQSARSAAGQGLRADPRHGGRTLPYMYSYPSEDQKNRSILRIGD SAWSKPQSFEAIGSTFEVVLPDRHGRSEFHSGVTVAEGEGKYKMTKVVTIAPRFVLKN KLNEDILVREPGSSNVLDIKSGDLVPLHFLRQVAEKQLCLCFPGVNNQWSSPFNIADI GTVHVKLAKANQRQKLIKVDVIMENATLFLHFNLETRNWPYSMRNESDMEFIFYQANP NVEDYEDDRTNGWRPIRYRLPPRSIMPYAWDYPATKNKSLVLTCNGKERHIKLAEIGN LIPMRIPPTQYGEHQKIVDINIVADGPTQTLVSSNFKASKSMYRQQRGQTSQSSLSTG FEVKELDSDVNFKAQLRLGGIGISLINQNMRELLYLTFREIDIKYRESMVYQTLNTTI KWIQFDNQLYGGIFPILLYPSVVPKTGKEMEAHPIFHAMITRVKDDSYGVLYIKYATV LLQQMTLELDEDFVFAMLDFVKVPGASWSEEQEGKLCDEDLRIPEPQSEGAGQDVYFE LLHLQPMQLDISFMRTERVNAEDTMQPSNPLMFFVNVMTMSMGNINDAPVRLNALMLE NARVSLGVLVGNVQRHYTQEFLRQVHVVLGSADFLGNPVGLFNNVSSGVAAIFYEPYQ GLVMTDRPQELGYGIAKGATSFVKKSVFGFSDSMAKLTGSMSKGLAAATLDKEFQDKR RMSKSRNRPKHALYGITAGGNAFATSLASGIGGLARHPLQGAEKEGIQGFFKGVGKGV LGLATKPAIGAFDLASNLAEGVRNTTTVFDAEGLDRVRLTRFIATDGIVRPYSQREAL GQFWLKTADDGKYFSEDYIAHLELPGRDMLVMLTYDRIMLVRTKKLQTEWDIRLTDIQ TISKERTGMSITLKGGANGPFIPVQDESSRNWLYRQIAIAVNAFNEKYNSKG AOR_1_1896154 MFYRNVAARSALRAFSSSNASVARSALANNVFKAPLTSSARYPA RPTTSPSLALAARKPVTTALIRHASTAPKEGKEGEEDTDMMAGIKSEAKVIKDTFSLS DVPKEALYLGMAGVIPYVATSLETVYLSYEINRAASLGDGLIFSGQSAELMLHMLEPI QVGYGAVILSFLGAIHWGLEWAGYGGKLGYKRYAAGVIAPAVAWPTLMFPVEYALISQ FLAFTFLYYNDARAAAAGRAPAWYGMYRFVLTFIVGASIVASLIGREQIANTATEHSF KDKINALLFLQKKEKEEAEARRKAELGEEDSE AOR_1_1896154 MFYRNVAARSALRAFSSSNASVARSALANNVFKAPLTSSARYPA RPTTSPSLALAARKPVTTALIRHASTAPKEGEEDTDMMAGIKSEAKVIKDTFSLSDVP KEALYLGMAGVIPYVATSLETVYLSYEINRAASLGDGLIFSGQSAELMLHMLEPIQVG YGAVILSFLGAIHWGLEWAGYGGKLGYKRYAAGVIAPAVAWPTLMFPVEYALISQFLA FTFLYYNDARAAAAGRAPAWYGMYRFVLTFIVGASIVASLIGREQIANTATEHSFKDK INALLFLQKKEKEEAEARRKAELGEEDSE AOR_1_1898154 MRNPFDMSDLDSLVDEQEEQPYIDIHAYQTHDGNRSLLQPNENR HGDSLKDRFIGAIDTGTTSSRFIIFDCTGVPVAKYQMEFRQIHEHSGWHEQDPFDLVD SVYTCIEEAMKSFMALGHSPSEIEAIGVTSQRETTLVWDWETGEPLHNAIAWPDTRTK GLVRELKAQPGADELKNICGFPLSTYPSSVTLVWMLRNLPEVKKAYDEGRLAFGTVDT WLMYNLNGGPEGNVLVTDVTNASRTMFMNLKTLDYDDNLLEFFGIDRKKIRLPKILPS SDPDGYGWVRGGPLDGVPITSCLGDQSAALVGHCAFTPGTAKNTYGTGCFLLYNVGEE PVISKHGLLSTVGFQLGKHRKAVYALEGSVAVAGSGVSFLMNNMGFFRDSRKVSEVAA TVPDSGGCVFVTAFSGLFAPYWIDDAKGTIFGITQHTQRGHIARATMEAACFQTKAIL DAMEMDSGHALSELAVDGGMSNSDICMQTQADIIQIPVERPAMHETTALGAAIAAAFA IDIWKDFSELKNMNRANRTTFQPHISAAQSSKLYKRWSKAVEMSRGWTDPAEEAEEE AOR_1_1900154 MAFQLPIPKPNISAVTSDIWPSMSKLLNAPWKNVERSPATSGPA ASQGLSQRTAGEGSSHVSLPEFLDTVPSEALRLGIRSKPVHHLWSRSFSKESTLWIAP SLVSRQPHSSSFRSYSTLTSLDRLRHAPSVIGLSRLQQQRFIFGGPSHGLLAQKEKTA NSNPNSANAQNAFYQALLRANMPAIVVERYKSGHFATNALSEAIYFKALQRVGGTDAA ALSAAASAQVGNSNLNSEQLQAIGQAVAAQNHGGNAGMSTKANGTGAKEAPLYVVVEE SLGSAVFRWVKFLLYFGFFTYMSLVLVTILVETTGVLKNIKGPQNNEAQPQQQTVRFT DVHGCDEAKEELQELVEFLLNPERFSSLGGKLPKGVLLVGPPGTGKTLLARAVAGEAG VPFFYMSGSEFDEVYVGVGAKRVRELFTQARSKAPAIIFIDELDAIGAKRNERDAAYV KQTLNQLLTELDGFSQTSGVIIIAATNYPQLLDKALTRPGRFDRRVVVDLPDVRGRMD ILRHHMKDVQISTDVDVAVIARGTPGFSGADLENLVNQAAIFASRNKQAKVGPRDFDW AKDKIMMGAEARSRVIQDKDKLLTAYHEAGHALVAYFSPSSTPLYKITIVPRGMALGV THFLPEMDTVSRNYTEYLSDIDVSMGGKAAEELIFGPDKVTSGISADIQQATETAFTL VTRFGYSKKLGNVDLSTNYDSLSSETKQEIEGEVRRLVEEARMRATNILTEKRHELEL LTKALIEYETLTKEEMEKVLKGEKLEKLESRASAPLKLPEALQAARLNPSTSAEGPPT STASDVN AOR_1_1906154 MSRKLIFLMGAPTIRSLQWNEDELLTAPISPFHSSDTHNGDELP FTDPGSVKWRLLQDGRSLEALGENLELSKDRGARFLTTQDLVTPSGLLTTSEDSTLTQ FYDHSFTVHETFEITAPGIHLGDSMKESDIWPDSTGTSIATEESLVLELPIQGGITDL QNVPSAAYLTSIVPQTMTANLIVGIVTIRPPRRIVTRRWKNELDLVEMVVGDDTRSGF GVTFWLPPMEQRIAPGHHDESHELRQTLETLRPRDIVLLRMVGLSTFRERVYGQSLRK GTTKVDLLHRQRVDVTDAGGMYSARSLINHDTTTKNDELLRVKARKVREWIRRFVSTT TESAGGDARKPTKRGPTLPPDTQEDSSSL AOR_1_1902154 MILHQVESLAAAGVTDIVLAVNYRPDVMVSALKKYEEQYNVKIE FSVESEPLGTAGPLKLAEKILGKDDSPFFVLNSDVICDYPFKELAEFHKKHGDEGTIV VTKVDEPSKYGVVVHKPNHPSRIDRFVEKPVEFVGNRINAGIYIMNPSVLNRIELRPT SIEQETFPAICKDGQLHSFDLEGFWMDVGQPKDFLSGTCLYLTSLAKRNSKLLAPNSE PYVYGGNVMVDPSAKIGKNCRIGPNVVIGPNVVVGDGVRLQRCVLLENSKVKDHAWVK STIVGWNSSVGRWARLENVTVLGDDVTIADEVYVNGGSILPHKSIKQNVDVPAIIM AOR_1_1904154 MFNYGTDEDLQDKVYILVTGANSGLGFSICCRLVDEFLNSPQRT NQSLTVIFTTRSPKKGNDTLQRLQDHLRKTSATHSPTRRVTFVPESVDLNNLVSVRAL SRRLNDEYPKLDAIMLNAGIGGWSTLNWPRAIWGVLTDLVHEVSWPSYKIAPAGMVTD YQTTTLAAQEPRLGSVFCANVFGHYMLAHNVMPLLRRSGQPNGPGRVIWVSSLEATVK MFDVDDIQGLRTTAPYESSKALTDVLALTADLPSTKPFVKSFYSVDDNRTYNSGFGRP RITNDDNLAPNMYLSHPGICGTGIIPLSWPLFYSMLAICLFARLLGSPWHTVSTYLGA CAPVWLALSAQSVLDTAESLYRQNGGGRAKWGSSTNWVGKDTPASTETDGWGYGGVVG PAIVDEDRLRRRKRGAVDLTAEDKENFEELGRKCWQKMEELRIEWDKILDLDEVSPDD FGLGF AOR_1_1908154 MSSQIPRPALNPELAHVHQALPKIGMSTKEELESYRQFLGSSFS LEDAIRGREDMLSYEERDIPGPAGPMRATIFRPKNQTRRIEDRPGVLCLHGGGLVSGN RFVGVIGMLDWVEPLSAILVTAEYRLAPEHPQPAALEDSYAALQWMNNHSTELGFNPH KLVVCGGSAGGNLAAGVTILARDRSGPKICAQVLMYPLLDDSNQGHSVQQFGDLAPWT GSNTIDALRYALGENHEHADIYTVPSRATNLHSLPPTFIDVGEADAFRDEDVSYAANL WKSGVSTELHVWPGCWHGFDAFVPDAPISLQAGAVRLTWLKKVLENS AOR_1_1910154 MAPGGGRDFSCSWEHCGKSFNRKSDLCRHYRIHTNERPYHCTVK DCNKSFIQRSALTVHSRTHTGEKPHVCDHEGCHKAFSDSSSLARHRRIHTGKRPYICQ EPTCERSFCRKTTLTKHQHRSHPPGTVTRPPSEDATSEHSYQTPVPASVPNDQYLLAQ QPYYPHTQTPSHDFYPPPSLPITPVPVQDAPPIVTQSVPVTSPVDVQQAQQQYMQLMQ QRYDPSRQGYSIPPEFQQPFPGVPTVEGHPLMVTYPHNFQYKQHQTRILNQPEGTDWG FLGVG AOR_1_1912154 MQALWRRGTSLFSTPSGSPKDSGAAEEFRTASTPDKLFTKVDPA VDGEECLHDCATCTVRYPAKFDVDQEDTLYGNVNGWSTHLLVATGKTDWVRDVADEEG SVMEAIEKGGLEPSNGKLKLSASNMPVPDEYHHHDTGKQPTTVLLLPSFTIVDHVTPA LAPDLIKYIVNSAPTTTTPLGAIPEPVSIPESEDQTQQPPISDLLSQTPLRSRPCPHA AVILLCSQRTRDARCGQSAPLLRKEFERHLRPLGLYRDLDDERPGGVGIYFISHVGGH KYSANVIVYRRRDLEWYRKQDSKEETSAEGEEGAAQGIWLARVRPEDCENIIRYTVLK GKVVKPEQIRGGFDRERGVMSW AOR_1_1914154 MAWNFYRILNYTIFIVLSVILFCLIVLTPADAIYQCYVTSRLTN IFIISGGYIVTFILAALIYATRIYTNRSALAGIPKAWIPIEKEDVGKSVRRLVVEGLA RSAIVAYQARPRDTAADGDTFADYPMLLIDCDRPPWGSVEHPGWSSPASPDLPDLPYR TVIQELPNLIEAKAVSLAPPDPFLTATRSFDPSSPGAEQSIPDTRVVDILRRPVSMGL REYLQHLASLNVIQPPEIGAEFIALYERARFSSRELHETEFRDLMHIFAGLLRGMKSL DTHIMDDIYAEGSRGDSESVIGPSDEEGETDTMDFHDDSEAFSRGRSNSLQPSNASTW EETLTRIGWRRPERHRCDRLGGYSLMRRAVLEEVLFVW AOR_1_1916154 MSFSGRRVSILRPSNRRFSLGKELSENELRSETHRQFRSAHEGH RPHAGLDASRASTGVVWCTERATEHGYAENPSEWANLGQGAPEADDEIEGSFPRPTSI PITSAAREYGPTAGIKPLRAAVARLYNEHYRQGKESQYTWENVCIVPGGRAGLIRIAA ILGNSYLSFPIPDYSAYSEMLTLFKNIAPIPIPLSQNDHYHIHPDKIAEEIARGTQVL LTSNPRNPTGHFVSSEELAKIQDICRDRATLILDEFYGGYNYTTDCDGTTLSGATNVE DVNQDDVLLIDGLTKRFRLPGWRIAWIVGPKEFVDALGSAGSYLDGGANVPFQEAAIP MLEPSLVRAEMKALQYHFREKRDFVVKRLTEIGFRIKDVPQATFYIWLDLTALEPPLP AEANISDGLNFFNALLSEKVIVVPGIFFDLNPAKRRDLFDSPCHHFVRLSYGPKMDVL KKGLDGIERVIRRARGEALKAQWEDEVAIADD AOR_1_1918154 MAETAVMTSGPIAEDNIINRRGGESIYQSCVNLKKRLAELPNFE SHLREMDEEDLAQGNTDPVASLWNCLREGYPLLTIYNASSPEEVLEVDPEKVPEAKRP KAATFKFLQASLKELGFPQQDCFLITDLYGESTTGFIKVIKMVNRVLDILEMQGQLKR TSDVSSRAPEKGTVKLTKREHILKELLETERDYVHHLQNLQLLKKELEETGALTGDPS HQIFLNLNNLLDFAQRFLIRIEQHYALPEERQNWGELFIQHEDAFRQYEPFIANQMRC DEVCLKEWDRIHAAPRTVDLRQMVAQPSTLNGFFVKPFQRLTKYPLMLSELRKQTENP ELQTDITRAIDSIQSVLDSANDAIDKEHLASAFTELDERVDDWKSLKIESFGDLLRFG TFTVLKGDNGKDSEREYHIYLFERILLCCKDINPNKQKTKLIVGKDKPATTVKGKPRL QLKGRIYMANVTDIACYQKPGSYRIQIFWKGDPGVVDNFIIRYQNEDAMRKWYRDIDN QRAIQAEHRSARNTGTSETEFTYMRNMANMPNPYLEHDADEQATKEAGFFSEFPMSRN ASSTSLRTRSATGGSGGSGPPLSNKFRFPMPDPNLSVHTQFPGGSMSPAERNGNSYFS PVAETPSTRSSSQSTAGYSYGRQPTPGSTWNEEPNRYTAPALSRGVSRDGSNSNPYFS GAPNGRGAQRPSLPPMSGTSNGMAQRMRSASSPDIHHHNPESRRYMGAHTMQTVDNVP VPPIPAHMASMKAPVNRSQANSPTNTSLPSRNGNVPNGQNTHFHEPQYSESRMTQPVS DQPTSPLSQEPEEEPLMPTQLKAKVNFDDNYVTLVIASNIMFRSLTDRVDAKLARFTN RSIGSKSVRLRYRDEDGDFVTIDSDEAVQLAFMEWREQHRDMLSRGQVGEIQLYCQAV EN AOR_1_1920154 MAGETTAANYSPDLTAPSLPAPYRLDEGYSDETRSQVDKELADL PSDDVMPLPDWLLANSEEDRAEIAYSLLRTLPTSTVAAVVDRLAPVLHMDPVVKLPPE ITSEIFSYLEPKTLLTASLASRAWRGRIIDSGLWRGKYIDEGWRVDIDAIRHGAAVNA IQISEDEIISASGDRLIKIWNIRSGICKKTLVGHEKGIACVQFDGRRIISGSNDDSVR IFDHSSGAEVACLSGHADLVRTVQAGFGDPPGAEEAMKMEALAVDNEFRDARRSDEAV DYGPRALRRAGHHQNTAGSRNPKDIKALGAHIPPGGGGSKWARIVSGSYDELVLVWKK DRDGAWVVSQPLSHVKTLKQINGEDTDDEAPPRNPRNAFAQQAQRVRPPNPAAGPATN HPFTPQLQQHPPVVQAPGATHHNHHHHAARNQQARANNNNNNNNNNNNNNGNNPPSSR VFKVQFDARKIVCATQDPRIVVWDFADDDEEIKEASQFFTGL AOR_1_1922154 MSGFDLRSSQSSYGDPRHFSGASFGAPQPPPTKVLMDGYHGAPI LKNVETPRYNPLNTAHPRSSALLNGNDPVTMYLLTETAMGDSAHYEVLSLEEVEGLKK EFKFLSGRLEAAKRKLALETKLRDAAMSLSRLYNSKSSRSSEEYDVGGSPKSNRSRRS MFGRNGASSTLDKTDGELAVSTRKCEELSQEVWNLESRVQLIQKRLLEHTAGVLQMTH KGIKKYPKNNVPNTPESLSSHNPRGSIDDFDDRSLYKTSDHLNELNGHGPQGPSPLAH SNQPAVGRDMIQNTEKKLEMLSGQMRDMILQSNPDNDFAPIPQLSAEGSMPNPTATIE AYIAYIENGLGALTTHSNSTPGTRSMNHGSDQQLVGVNTRLYQIVNESGLPRSRTLPP PPDISNGNLEEHLSYLNEGIDGLHERLGGLLEQKGILTTQIQQQRELNSKSDAERDAH IADLVEQLASVRKELDLAEREGQQSKDMLDHTMEQLEAARRELSDHQQRAIPEDNSEA LASEKEARTRAEAEVSRLQTVVKELQHEKDALAEAQEARLRAETEITQLQAVVHEHQR EKDMHNETQEAHRHAESEIVRLKNVVQELQLEKDAYAEAHEARLRAEAEVARLQAAIQ EHQREKDAHAETHEARLHAEAEIARLQSVIQEHQSEKDVHAETHEARLQAEAEITRLQ AIMQKLQQENEAQADAHEARVRAETEVARLEVQLEQIRSESNGHTEQLSAVRSEADGE IARLQAVIDQLRGEVDAKAEEVTESRERSEKQISTLEESIQQIRTETDARLKEATDSR TQAEDEITRLQTLIEQIRSDVESQLSEATKARTDAEENAVRLQAELTELEGEVVRVRT ELTMAQAELDGAYGSRSQRAAAVDPALQKELEVLTTRNIELAQELATFKAGKPANSDT QRRVQTLEKELRETIDDYEVMTKASIEFEKEREKFESLIDALRDRCEQLETQLNEERI TWMGMHSASMGRDGTTYETTSTMVLKNEFKKMMRDTRTENMKILKAEQEERRRLEALL RNLKKEQASKAGPNQTVTAL AOR_1_1924154 MTSKSRDAHHTSTPSDFPPSGPGYKVYKRRFWGLTQLVLLNIVV SWDWLTFSSISTTASEYFGVSESAINWMSTGFLFAFCAASPVVVFTLNKGGPKSAIIT TSTLLLVGNWVRYAGTKARGGMFGVAMFGQILIGLAQPFCLSAPTRYSDLWFSDQGRT SATAVATLANPLGAALGQLIDSFWATKPSEVPDMVLYISVIATIAAIPSFFLPSKPPT PPSASSATTRTPLLPAIAQLFKTLEFWLILIPFSVYVGFFNSVSSLLNQILAPYAFTE TEAGIAGGILIIVGLISSAIVSPITDRWKHYLITIRILVPIVAVCYIGLIFAPPSPAG IAPAYVVCALLGASSFALLPVVLEYLVEITYPFSPEIGSTICWTGGQLLGAAFILAQD ALKAGRDANPPENMRDALIFSAVIACVAAPFPISIGLFGRDVRRRRLDVDRGLDLEGR EYTDTGLAGDDTPAPGTESKFSLKFWSRNNS AOR_1_1926154 MGISDFFSDIISSFSLPEAQAEAPAENVEQPSSQETETEEKPAV TEESNSEETAEKTEESAEETPEEPAEEEPEQEEEEEEEEEEEEEEEPEDIKPQLEEEC ANSAQCAPYKHHFDECVERVTQQQEDADYKGPKEDCVEEFFHLAHCASECAAPKLWKS LK AOR_1_1928154 MSINWVMLHEDEGFVRLPHEHLIYTSPPRTCLSLKPLDSYKGKD AISFQSDSGRIYLTNHRVVYIPAKKSNDFQSFSAPLLHLHDTHVSAPLFGANVWQSLV QPVPGGGIPSSLPAVLLKVTFKEGGAFDYHNKFEEIKERLRVAHENTRQSSRGVGNVD MSTVHLDQLPAYSGPVHNSTGSNHDNQHPPSNSQDSRVTAESCPMGPPPGYEEVQQQS VADELEERLRRAS AOR_1_1930154 MAKQPYIPPLIGWLYDLVLWLFSVLVDLFFREVHPRGSWKIPRK GPVILVAAPHANQFVDSLILMRVMRNEARRRISWLIAEKSFRRKFIGLLARSIGTVPV ARAMDNMKPGQGTIYMPDPINQPTLIRGIDTDFEGPGFEKDGTIALPTINGTSHNAAI AEIRGPAELIIKKPFKAKDPLYQLTGRKDITDDGQIIGDALDTDPEFKGSKFKVSPHV DQTAVYEAVFARLNAGGCVGIFPEGGSHDRTNLLPLKAGVALMALVIEFGNPIEIPRE IVEQFKRGEKREAVGALLDTIYQGLLAVTVTSPDYETLMVIQAARRLYNTKGKKLPLP MVVELNRRLVKGYTHFKDDPRIVHLRKSIAEYNRELRLLGIRDHQVGYARFSFIKVIF TLISRLIKLSLLTIGTLPGLLLFTPVFITTKVISKQKSQEALAASTVKLQGRDVMATW KLLVALAFAPALYAFYTVVFTIWAYHNRIQNTVPEWMPLWLIVPIGMVLFPSITFAAL RIGEVGMDILKSLRPLVLSLNPSSANSLVKLRQKRAALAQQVTDAINTLGPELFPDFD AARIVTDPFREINRPADKADGEPAPIPEIRRTTTTDFERGSISQEPLPRNESFHNLAN IGFFSTRPSSRSRSRSSSFGGRPGSSGQQLKPLSQLTSSDGFEQVSSKIRDAMRQRGE QRRRRHSEDSNSWDMASSGPGTPYSEESRKDI AOR_1_1932154 MATSMHLSKLRKWFLASPPIEMAISKLRELLIGAIRQGPVPQHI AFVMDGNRRFARTHGIETVEGHNLGFEALARILEVCYRSGVKVVTIYAFSIENFKRSK FEVDALMEMARVKLSQMAQHGEILDRYGAKVRVLGRLDLLRPDVLKAVNRAMEMTSNN GDRVLNICFPYTSRDEITSAIRDTVADYSQPLRPRSSSLRTPFSESHIALNIQARNQN TNPEDTSSDIESTSESSAQGEEGAAKHDRPNKVYETGSAFSSSTTLDLAGHQDSTNLK KATQGASAESENPAYLSPETITRQTLSDHLHTKDNPPLDLLIRTSGVERLSDFMLWQC DEDTDIVFLDVLWPEFDLWHFLPVLLGWQRRVSKSRKNPDAEGDFDGDAVGSNGLSDQ VLSPSAKVKDL AOR_1_1934154 MPGQVAGSKVLLLGSGFVTKPTVEVLSKADVHVTVACRTLESAQ KLAEGFKNTTAIALDVNDADALDKALEQVDLAISLIPYTFHALVIKSAIRTKKHVVTT SYVSPAMLELDEECKKAGITVMNEIGLDPGIDHLYAVKTIDEVHREGGKITSFLSYCG GLPAPECSDNPLGYKFSWSSRGVLLALRNAAKFYKDGQEVSVAGPDLMATAKPYYIYP GFAFVAYPNRDSTPYSERYNIPEAKTIVRGTLRYQGFPEMIKVLVDIGFLSDEPADFL NSPISWKDATKQILGATTAAEKDLEWAIASKTSFANNEERNRLIAGLRWIGIFSDEQV IPRGNPLDTLCATLEKKMQYGPEERDMVMLQHKFEIEHKDGSKETRTSTMCEYGVIGG YSAMAKTVGVPCGVAVQLVLDGTINKKGVIAPMTWDICAPLIKTLKEEYGIEMIEKTL AOR_1_1936154 MVLHNPNNWHWVNKDASGWAKDYLKEKLCALSVEENGVTAKISN LLSMDGDVDVSQRKGKVITLFDVKVQLEYEGKTKDEESVSGTITIPEVAHDTEEDEYV FEIDIYSESSSKQPVKDLVRSKLLPQLRQELVKLAPALITEHGKDIQHAPGENPSKGF TAPTYHPQTKKDTPAPKTITTSTSGKVAVNTTTVIASDEFRTTAEELYNTFTDPQRIA AFTRGAPRQFEGAQVGGKFAIFDGNVTGEYTKLEKPTQIVQKWRLAQWPEGHFSSLEI NFDQNDVDGVTQMRVSWTGVPVGQEDVTKQNWEMYYVRSIKQTFGFGTIL AOR_1_2868154 MNSWWPPNNVGDEPLSWPTPHAGTSNQPSPSRREHALQPPPLTT TLNGPQFHGLGVALGGNYSSTPLSTTSLSSPFTQGQSPATNTPGGVGVGSSSMTSRQY NVPYNPQDWGPVGGSGQSTYPQTTSMTRIISQSRQAGSHSDASLSPPPPPYSPPSQPQ QQHHSRDNANHNTSGRSSASPGAVSSYNGVIHGNTDAPVEYRQHPIPRTRPLSMVQMG DTGQNRHVSLPPPPPLSQGVSSSRSSSQHRADVYQEQNSLNAGSRPYIMVSQQDNLRP SQSSNYNYMAAATQQGDLMRAPTSRRAVSAGPVVNSAGTSRAASQSRGSSPQGRGWEP GMPLPPPPPGPPPTTRSQSVSGLSDTTSLRHSQAQPRTTRTRPPPVLGTGLDSIPPTP AGWVDEGPAVTKPRGQAPLVIDTANITGSRVETDGSRTHAPQSSTSNGLFRSPALRDP NTKGIRERRIERRNRQSQGFDDFSAVSTNSNPWADSFDQVKPSNLVLEDTNVIPDGAR NQTSTRATPKSSHSLGSDGQYVISRPRASSTGLFSNRSSFSTPRGEPSPLGPARGFAH TPPFSPGGEKSSGYSKTASQALPPRALPTPPLQPGQDTRPPSRPSSREDRPVSRPLHL PNDTLPTVSALAPRRAPSEKGPSLDSVVNHDVEFVQNAIQRHKAFIDKEAGATNEADA LRIFTEFIISESQIRRERYAKLWDSGSLDIEPVRRRLFEKPPKPQASPAPLSRRPSRV APRLDIPQSRPESAWWNNYQPCLSPIASLSMSNDGMSSRGRAPSRWWESKSSSEGGER RIERSKRESKYMGLPRESLHWPQGRDLAEGNGMSNASESIDQYAAYGPDEYPPEKVGW HEEPASSDYSSTVGHNNYGYTKETHKMDVSRLITLPPPYPRHHPAVNNSHPDLVNYRT LVRSISDLSVVKSTRERHQSEIKSLKQDHQERMQDGRRCFKANIQSQIEQGSISFAEA AEAEAALIMEENELARELAKQELDGYQESVLKPMHAILTERINKATASIDELQSKLFD DAQNESPDQTQEEGDEKPELLEKLTQLKWLFEAREQLHREIYDLVSDRDEKYKAVVIL PYEQNANEEKVQETSSFFAKDALNRRVNHEASALARLESFLTVIEENVVRGVEIQLSA FWDIAPSLLTLVQQVPVSLAGFQVQIPTNEYEENPSYQNHPLQYLYTLVSHAEKSSYQ YIESQTNLFCLLHEVKSAVMRANCKLMVAERVRLGEDEDHVRREMQESRADEERSLTH DLKDKVATVEGQWAEALGSAIQGLRERVKEQLIDENGWEELEQLQE AOR_1_1940154 MSGHENNGAPPVGQEKINTDIVTLTRFLTEEQTKVPEATGDFTL LCHALQFSFKSIAYYIRRASLINLTGLAGSSNTTGDDQKKLDVIGNDIFISAMRGSGK CRILVSEEEEEAIIFDEHPYARYAVVCDPIDGSSNLDAGVSVGTIFGIFKLPDSVLGP ENKVSPKDLLLPGTEMVASGFTMYGASAQLVITMRNGGVNGFTLENSLGEFILTHPNM TLPAKRAIYSVNEGNSSYWEEWTNAYFHSLKFPPEGQKPYSARYIGSMVADAYRTLLY GGVFAYPADKKAPKGKLRILYECAPMAMLFENAGGLAVNSRMERLLGVVPEHIHDKSG VFLGSKDEVQKIIDTYNKYKK AOR_1_1942154 MAPKDTFFRSSDMSLTQLYIANEIGREVVSALGELGQVQFRDLN PDTNAFQRTFTKEIRRLDNVERQLRYFHSQMDKAGIPMRSSSEFTDTLAAPLASEIDE LAERSESLEQRIASLNDSYETLKKREVELTEWRWVLREAGGFFDRAHTHTEEIRQSFD NDEAPLLRDVEQQSHRGQNGEAQGQQSFLEMNIGFVAGVIPRDRIGAFERILWRTLRG NLYMNQSEIPEAIIDPTTNEESHKNVFVIFAHGKNIIAKIRKISESLGASLYGVDENS ELRRDQIHEVNTRLSDVGNVLRNTKNTLDAELTQIARSLAAWMIIVRKEKAVYDTLNR FSYDQARKTLIAEAWCPTNSLPLIKSTLQDVNDRAGLSVPTIVNQIRTNKTPPTYVRT NKFTEAFQTIVNAYGIPKYSEANPGLYTIVTFPFLFAVMFGDFGHGALMTLCAAAMIF WERKLQKTKLDELTYMAFYGRYIMLMMGLFSMYTGLIYNDIFSKSFTIFSSQWKWPEI IHPGQAVEASLKGDYRFPFGLDWNWHEAENSLLFTNSLKMKMSILLGWSHMTYALCLQ YVNARHFKSKVDIIGNFLPGMIFFQSIFGYLVLTVIYKWSVDWPARGQSPPGLLNMLI FMFLSPGSVEEELYPGQGSVQVILLLLAVAQVPVMLLFKPLYLRWEHNRARAHGYRGL GEQSRVSALEDDGDMDGGLNGGRGSMASEGEGVAMIAQDLGEEEHEEFDFSEIMIHQV IHTIEFCLNCISHTASYLRLWALSLAHQQLSIVLWTMTLGGAFEQENPTLRVIMIVVT FYLWFTLTIAILCVMEGTSAMLHSLRLHWVEAMSKHFMGEGIPFAPFSFKALLEEDPV D AOR_1_1944154 MAMDQAAGKAILTSENFHNAHEASRATSPGGGPTGMNGNGEPKA RVRPRTYPYFKYLPYSLEDEPEREQSLREILTQLYVAVEAGDFSPGAVHWTRELRGWL SLKFDPSRTDRINLVRLYYELSLAPGIDPNVSERFASMFMLLTKRKHYLRPVKDLILD WKPLYRELKAFVLPTESGLVHSTNLKRNVKTLTKLCAFVQLYIDPCELPAMLEEFLPH YTTSFSEVNRSKTFDTTFLDYLSRLARDSLPAQHIPFSEFGLFTKEQSSLIFTAILRL LEIPVGQSTSPYSALVDISSGLGIMLDRDTRKHPVAHHIARWVVMSLSPACLDAEESI LTQLEGLIQAVETFFHPSNSGSWTKTLSQLVYYLADFFIMRWNREQSGEMEVPAERRL TEPLKRRFVLCLRDVIFMGIYAKSGTAMSFSLSTLQSLAFLEPHLILPGALQRIYPSL QGLVEVHRTTSSLRALQVLSRIISRTKGYRCHMTTLLGLALPGVDANDLEKSLHALSF IQSACYNIPLADLTQGREDVNCNMLAMQWISGEMERMETEGVEVQLNYDRDLDDETEE MILRSSTCGFGDFIISFLGRVFTLLENLPDVSRVRNGSPEENIVNTLPATFMPLLSSL SPEYYDIALSKVVDFVSNHVIHQARDAMAFICNSVCKVNPEKALKRFIPVLIQAIRTE IDDNGAGSTRTTGTDVLPRDRGLVWNVSMLSMCVVHVGDAVLAHRKELFDIALYMQQK CRGIPTVHISNFVHHLLLNLTGTYTADYSLYEPDVAARGVQPELWSYQPDPNNLTVKW HVPKREELEFAVELFKSQAESALKQLTDLTHETSSVKRDGSGKAWSDEVSRNLVLLRL IISGISVLFDPKAASQTKTNGVNGSSKSSTDIDMVDGEDRSSQAEDPDSLLDSSDEAT VRESFSYPTGYPLKETDAMYTTIHDIRERAGWVLHEVHRFLSDKQEDDVPCFSALYSA YRSWFVDVGIERSAHVLDRVTRLLAADIHPYKMSGIRKDYPRPLLVRRANVYHLQRLR HNAAPRRRSQLDEILLLDIAESCVSLYTETRRNAQSAGESALKAVWGARLLVIPPLIQ ALQKGIKENDHARIKGALFSLLLSSVAKTVGRHWKYAPTLVRTFIDASAVDKPSVQKI CSGVVYQIMDYGRAMERMAILDRNIVEAIAPKKDVQDEIQHKRKNINSKRAIIEKKKA DLAEELVNLARVSHWKVASRAATIVITMGLRFDYVASENLIDLVTQGSIDDHPGLRGM YSQALIALFTMVDVRAICNHDYKNYILGHQHFPSKINVATKRHNKGWTEEYLSSFAKP EAEYYVDHDFPGWLVWAESMPAYKSNVERDIEYDETEWKVRTHMGKLFDRAWFNKFFM YLKQEPRDPTADKFRMPCAMMLLYAFELMLRDGLTAATFKDIQEEIEAVFEDGSDKHQ HRATAEILGALISSVADTSVEKRTLVWEYAFPIVRKIFTDGLTPENSGYWTTFLHMIL QCRDPRRAWPLVDWLASFRLDMSTNAAFKESSKINLLHQTIIDAGWHFQLEKPIVQDF LSHLDHPYKGVREAMGQTIATIYRTRYHESYADVKTLLAAQEAASSVGTYPYQPTEEF TKMIHDIFGDIEKWRHERIPGQQTPSSYTSGCKTVLLWLDSTLSSYECTQLVPFFPDV FTGQLLHMMDVKEDPELQSLAYHVFRHLPNIPYPAEQNSGFIQSLIHIGQTSPSWHQR LRVMINIQIIYFRRLFLLSVADRDKLFECVANMLEDPQHEVRAGASATLSGMIRCSPV FLREEMVSRFRQRFSKILIDNPLPKRPKILRSGLSTPVSSGAGTPTPEHARLIITRHG AVLGLGALIQAFPYNSPPPTWMPEALATLSVRAANDPGVVGSSVKSIISEFKKTRQDT WHIDAKAFTPDQLEDLSGVLWKSYFA AOR_1_1946154 MAAGLALARPNLLNPNNPIRSLSLAFWLWKAFVFLIIIGCPGPG YDTSTGLLPYQESAASGAKLEAIRHAPFSFPLKLVRWDSIYFVHIVRDDYVFEQEWAF GYGYTRILSFLTSVLPQFSGLDGVARVALIAVALSHIAHYFSVLALYRLSINIFGHDN TSGALISFLSATLHIICPAGAFLSAPYGESLFSFLNITGYFLYSSSLLDANAGKRASS DAKLLLAAALFSIATAVRSNGILSGALFAFDALLQLRKIFTQGISGDILLRLGVIVVG GCVIALGLIVPQWIAYTTFCMSDEPLRPWCEQLIPSIYGWVQVHYWNVGFLRYWTLSN LPLFILAFPMLFLMCRSSIWALNTAWPLDTATAVLTRLAAPNGLLAVMAFTSYHVQII NRISSGYPLWYWYIICQLSSHVADSSSVVKRSQTFSIAIQGMVIYAIVQAVLFGSFLP PA AOR_1_1948154 MLFNLGLTAHAAAAHENDAGLQPESQPSGNLNRHSSPPTVSFAT LPTMGLGSFYGRYKGSETERPQKGPPRAMTASPVASVISSDGEYSTAGTVLSQSRNAR PQTFRKQSSRPKTIYQLAHPASHARHKRLRLRPKLLLQLQRVSQTPRPLPVLDVLPST VFLPRLSRKFPTIFRGKKGLGPNDLIIVTSDLYERSGGDIADKYLSSDEEHGEHREVV ATICQLLKEDALSKGKAEICLNYGPVWEATPLPNGSYEFVANTENGIQILRWVLRGAR NRRVSAPPGTTPQADTKRFTFSVINPNTRRHPVIATMARNHLEIFDEYAMPTASGLPL SPTSTMSVISDDSEMDASLDKKVIETDDNLRTLIIITSIWVAFREGWSHNFTYDDSAV TFNAGLSPSRQPSPSAARAENEPIPAGRDNRSERLVSNGSKNRASVPNGSSSQPNAPS DRSIAYGSLTKRSNSTGAAFMERTNRRASGITGRPKRHSLRSSPRELDQNGNSPVEQT REVTMVINYTSLNRPI AOR_1_1950154 MANEMEYTRLGTSGLKISKVILGAMSYGTKEWQDWVLNEDEALP LIEHAYKRGINTWDTADVYSHGRSEEIIGKALTKYNIPRNRVVILTKCYFGVDDGGKQ PPISAAGRNDGDWVNRVGLSRKHIFDAVDASVSRLGTYIDVLQIHRLDRDTPREEIMR ALNDVIESGKVRYIGASTMAAWEFQTLQNIAERNGWHKFISMQNYHNLLAREEEREMI PYCVDSGVGLIPWSPMARGVLARPWNSRSTTRESTDAALKLLVRSRESEADKAIVDRV EELAKKKGVSMAQVSIAWSLSHTNENPILGLNSKERIDEAVASIKVKLTDEEIKYLEE PYIPKALSALER AOR_1_1952154 MKLARLLPRSQTLFRPLAAVREFQGCSGSVHLPPVRARTNPVIG QSQRLSLSTSASLLCDKRNMSQAETNYSPDRFTTALQANKEWAARTALEHPDLFPTLA NGQSPEILWIGCSDSRCPETVVLGLKPGDVFVHRNIANILHAGDLSSSAVIEYAVRHL RVNHIVLSGHTKCGGIAAALGNKQLGILDPWLLPLRQIREQNLAELQTLSPEDATVRM AELNVREGVKLLKSKAVVLEAMQERGLQVHGLIYDVACGMLRDIDTKDSEEEIKRRLV AFKTEA AOR_1_1954154 MTGCEIGTFSLKGIVTAIMGVETASLQDRLERWAQRLQNLTVSP LTRDYPDTQNQELPKRAIEAFETFKLSEDTEGKLQKLSGSSSGFTIFLTAFVVLVARL TGDEDIAIGTTSAEDGRPFVLRVPIDASETFLQLYAKVQKAFDQGSSDIVPLGSLRSY IQQKSQSERSPILFRFAAYDAPAASQEYPANTFETTDLVVNVAPAAGGSTSQAELGAY YNQRLFSSARISTILKQLGKIVENATSNPEEAIGRLDFMTDDQRALLPDPTADLHWSK FRGAIHDIFAENAEKHPEKLCVVETKSDRSPHREFTYKQINEASNILAHHLVQSGIER GEVVMVYAYRGVDLVVAVMGILKAGATFSVIDPAYPPERQCIYLDVARPRALINIEKA TKDAGELSEKVRSFINENLELRTEVPALALHDDGSLLGGSINGQDVLANQVPLGSKPV GVVVGPDSIPTLSFTSGSEGRPKGVRGRHFSLAYYFDWMSETFKLTPNDRFTMLSGIA HDPIQRDIFTPLFLGAQLLVPAREDIQNERLAEWMREYGASVTHLTPAMGQILVGGAS AQFPALHHAFFVGDILIKRDCRSLQGLAPNVNIVNMYGTTETQRAVSYYEIPSYSSNE GFLDTMKDVIPAGRGMVDVQMLVVNRFDPSRICAIGEVGEIYVRAAGLAEGYLGSPEL NEKKFLKNWFVDPQFWVQKDQAQSQSANEPWRQFYVGPRDRLYRSGDLGRYTPSGDVE CSGRADDQVKIRGFRIELGEIDTHLSRHPLVRENVTLVRRDKFEEPTLVSYFVPDMSK WASWLQERGLEDDTSAEGMVGMLVRFRPLRDDARELLRSKLPTYAVPTVFIPLKRMPL NPNGKIDKPALPFPDTAELSAAAPQRKSSVLEALSETEQALAQIWAQRIPNVTSSMIG PDDSFFDLGGHSILAQQMFFDLRRKWRGVDISMNAIFRSPTLRGFAAEIDRLLNFESF AASDNKAAAEVPDTSSAPDDEYSKDARKLVDVLPKAFPERTEPILSGEPTIFLTGGTG FLGAHILRDLLTRKTPAAKVVALVRGKSEEQALERIRSTCRAYGFWDAAWTNRLQCVC GNLGDPQFGLSQALWDDLTNRVDAVIHNGALVHWVYPYSTLKPANVMGTIDALKLCAS GKPKQFSFVSSTSVLDSDHYVEESERIVAAGGAGISEEDDLEGSSVGLGTGYGQSKWA GEYLVREAGKRGLKGTIIRPGYVLGDSQTGTTNTDDFLIRMIKGCIQLSARPNINNTV NMVPVDHVARVVIAGAFQPPCTPIGVAQVTGHPRLRFNQFLGALQLYGYNVPQVDYVP WAQSLEQYVNDGQHDDPESQHALMPLYHFVTADLPSNTKAPELDDVHAAAALRADAAW SGVDASAGAGVTEELVGLYAAYLTTIGFLPAPPASSTARPLPTIRLSDDQKQALANVG GRGGSS AOR_1_1956154 MIPHRSTALLTIIVFIALLLVIFSASPRPDSSSEVTGPARYVPR PKLPSLSDLHLPSFHPTVHTPPEPQPNSTSGESKWFSNWAWINPFSSSITLDENRSVL PPLRDRPFIYTYYEPTKGDDEKDTQQADAQILLAWRRAWFAQGFRPVILGRAEAMNND LYSLMQPMKLTPKLENELFRWLAWGHMGDGLLADWHCFPMARYDDDLLSSLRRGTDPA VITRFDRIDSALFAGEKTRINNAIKEAVKKASETSNSMLDLVPPEFFRIESPPTSLAF YDSATIHSDYPTLSEKSSSTAGRLALAELINAHLHNTFQNAFPAGIAVLKPFTEHTTA LVEPALRLAKALVQCPKSPVPSSCPPNQPKCHPCDAGKPMQVSQPATYRNTTRVFTIG TLPHPYTLISLQQDSAEVTTRHIRRETERDPWLTEVTKEQLGVDIGGSSRAVVFKKAV ADDAMVGTSLWMTVESLPSEAGQSLPSDLLDEFEWQFGFQIPREDNNVDSKNEGDIKE SVQNANPSRQGVAKEYDILKQAREVLKSKETNRIGIKDVAEAWNLADTEVWRFVRAYR ARSVVERKKWQEDEKDFVGAKLKD AOR_1_1958154 MAPGILTSPGPEVSPLKTSSLAQLTEEWDDTIRFYLNGTKVTLD SVDPELTLLEYLRGIGLTGTKLGCAEGGCGACTVVVSHVNPTTKKLYHASVNACLAPV ISVDGKHVITVEGIGNVKNPHAVQQRIAIGNGSQCGFCTPGIVMSLYALIRNNPEPSE HAVEEAFDGNLCRCTGYRPILDAAQSFKASGGCGKSSANGGTGCCMEKQTGSGGCCKG SSEVATANGDSLKLTAPEFISHRPDTELIFPPTLHKHEFRPLVFGNKRKRWYRPVTLQ QLLEIKHVHPDAKVIGGSTETQIETKFKAMRYSASVYVGDIPELRQFSLQDDHLEIGA NVSLTDLESICDEALERYGPVRGQPFTAIKKQLRYFAGRQIRNVASPAGNLATASPIS DLNPVFVATNTVLVAKSLGGDIEIPMTEFFKGYRTTALPPDAIIGSLRVPTASENGEY MRAYKQSKRKDDDIAIVNAALRVSLSSSHDVTSVNLVFGGMAPMTVSARKAEAFLVGK KFTHPATLEGTMSALEQDFDLQYGVPGGMASYRRSLALGFFYRFYHDVLSGVELNSTD IDHDVIGEIERAISCGEKDHEASAAYQQRVLGKAGPHVSALKQATGEAQYTDDVPVLQ NELFGCMVLSTKPHANIISVDPSAALDIPGVHDYVDHRDLPSPEANWWGAPVADEVFF AVDKVTTAGQPIGMILAKSAKTAEEAARAVKIEYEELPAILTIEEAIEAESFFAHNHY IKNGDTEAAFRHADHVITGVSRMGGQEHFYLETQACVAIPKPEDGEMEIWSGTQNPTE TQTYVAQVTGVAANKIVSRVKRLGGGFGGKETRSIQLAGLCATAAAKTRRPVRCMLNR DEDIITSGQRHPFYCRWKVGVTKEGKLLALDADVYANGGHTQDLSAAVVDRSLSHIDG VYNIPNVHVRGRICKTNTVSNSAFRGFGGPQGMFMAESFMSEIADHLDIPVEKLRMDN MYKHGDKTHFNQELKDWHVPLMYNQVLEESSYMERRKAVEEYNKKHKWSKRGMAIIPT KFGISFTALFLNQAGALVHIYHDGSVLVAHGGVEMGQGLHTKMTMIAAEALGVPQSDV FISETATNTVANTSSTAASASSDLNGYAIFNACEQLNERLRPYREKMPNAPMKELAHA AYFDRVNLSAQGHYRTPDIGYVWGENTGQMFFYFTQGVTAAEVEIDTLTGDWTPLRAD IKMDVGRTINPSIDYGQIEGAFIQGQGLFTTEESLWHRASGQVVTKGPGNYKIPGFRD IPQVFNVSLLKDVEWENLRTIQRSRGVGEPPLFMGSAVFFAIRDALKAARKQYNVHEV LSLRSPATPERIRVSCADPIIERARVLPKEGEKSFFVEI AOR_1_1960154 MVFSRSGRSIFPLLPPYGAHDPNGGPGRAVPLHPDGITPYLGLR ARLSQVWLNRWTILLLLVLARVLIAATGMQSDMDSAKREAQSACTSVETMGSAMASMP HYLSRGVNELTASGVEAAVNGLISMLLLTITGVEALIIFFIKVMYQTYLCLFTMVVRG STQAALGVIQDTTEFLNKTVQTVGEDIGKAVETFESGLNKFLSGINKVASAFGGEVPT LNISKNIDELKDIHLPGSINDTIDKINSSIPTFDEVDKFVTDVLKFPFEEVKSLINGS LGNYTFDRSALPVPAKEQLTFCDENNGINTFFRKVAETIVAARKIFLAVLIIAATLVC VPVAWQEIRRWRTMKERSQIVRKDAHDPMDVVYIVSRPHTAGAGIKAASRFSNSRRQI LVRWAIAYATSPAALFVLCLALAGLFACLCQYLLLKAIERTVPELTSEVGEFAEKVVT SLQNTSAKWANDTNGVIDGMSNDINKNVLGWVNTSTTAVNDTLNAFVDKTTGVLNDTF GGTILYSPLQDVFNCLIGLKVASVQKGLTWVHDHAHVDFPHVPNDILSKGADSSINNS TSASDSFLANPGDKTSDKITEVVMRVLNKIKEGVRTETIISACVLGIWFINFLFGLIR AMILFWGRDKNRGEGGGAPINNNPDVNGFTEVPLTAIPNTQAASLPAPRYEVALKTPP VVGHFHEDEKMGYAGQRALKVDGTSDLRGSSYIEYGIEKR AOR_1_1962154 MELPPVSTDRVTYPGNKTDIEDQEQPLEEESQSGDMDPLEDVIT SRGLQERAGLQLDQVLKVQQLNEASDFTVTDLDKNVTTNTSQESTDMKGYKTEENQQE PLEKKKIRTEAIAFIRARTQARLARLEALSEEVLLNPTSEQYQYHPNESNRLSIPLQF PAGPNITSTVMMEPVQASKKKKKKKSKKKPKKRLPLDIGNIDSPSNHALNQAGLSVRV PNVQLLSEEAPPSDDPSCSTQSQDLSEPSPSPYSQEADPKTEHPISTKPGKDFTAPYA TQYEDRLQVEHKDTIECHEGHSTERVLGTGTREQTYRDALLDWTDLVTAGFPESNVEV RCSSRVIYTIRFEDANEKDRFRRVLATCLFMTIEVTELIDYLFRLIRDKLRSQSAPIE LEAALKYQFQQEYCVTIQQHITGERHACVTDWDGRNRRNCQDAICRAEYRRLLGSLGG KGHCQLIDHLEGSYWILRAARTTHPDVTDAKARMRGEGFSDVADEDRREFRRGAGWDG AGTGDLEIEGINDD AOR_1_1964154 MFSGYRTSISTAIARFKTPAQQPVFSRHTSPRFLDPRARAMSAT TARADPFRPAKRVAGQRQDVWSIVNEAAAASPVQPIVNMGQGFFGYNPPQFAIDAAKE ALDKVECNQYSPTKGRPRLKQAIADAYSPSFGRKLNPDTEVTITTGANEGMLSAFMGF IEPGDEVIIFEPFFDQYISNIEMPGGTIRYVPLHPPKDGATRTSPASEWSIDFEELEK TINPKTRMIVLNSPHNPVGKVFSRDELEKIGELCIKHNLIILSDEVYDRLYYVPFTRI ATLSPELYERTLTVGSAGKAFYATGWRVGYLIGPEHLIKYVAGAHTRICYSSVSPLQE AAAVAFEQADKAGFWDESRTEMKKKMERFCEVFDELNIPYSDPEGGYFVLANMSSVKL PEGYPFPPHVASRPRDFKLCWFLIHEVGVAAIPPTEFYTDANAHIAEDYLRFAVCKND DVLETAKERLRGLKKYIVQ AOR_1_1966154 MVSCPICGNSVPSLKINDHIDSNCQNFIDEPTPSTGDLTSSQKT QVPSFFQPTSARKASTQSNSHPDSSPSQNATRKRPSAPEAEIVTDGNKKTRNDTEQFV KRPKVSALQKAAPLAERMRPRTLDDVCGQDLVGPHGVLRGLIEHDRVPSMILWGGPGT GKTTIARVIASMVGSRFVEINSTSSGVAECKKIFSDAKSELNLTGRKTIIFCDEIHRF SKSQQDVFLGPVESGQVTLIGATTENPSFKVQNALLSRCRTFTLTKLTDEDVKSILDR ALQVEGPNYSPSALVDDELINYLAKFSDGDARTSLNLLELAMDLSKRPGITKEELKRS LTKTLVYDRAGDQHYDTISAFHKSLRGSDPDAALYYLARMIQSGEDPLYIARRLIVVA SEDIGLADNSMLTLAISTHSAVEKIGLPEARINLAHATVAMALSKKSTRSYRGLNNAF AALAEPGIAGLPIPIHLRNAPTRLMKELGYGKEYKYNPNYLNGEVAQEYLPEELQGRK FLEDLDLGHQVDQDLNRQR AOR_1_1968154 MASQGFGSRSFASTRLPDRSMNANPTPFAASTFSRQRLAPGSGD QGADAARSSQQAPQPPVHSQTHGPSQDSNPLSRLTEEQREEINEAFTLFDLDRDQHLD YHELRVAFRALGFTLPKQELISLLTTYGVPRPQVQQQSSAQQQQQAKTAPATNPQHPS NLLMPLSAFQAVTALKILERDPRDEILRAFELFDEGGKGYIDLEDLRRVARELGETGL EEEELRAMIEEFDLEGVGGVTREAFVSICWQ AOR_1_1970154 MRLFKQSLWFATSAISLLAAHVEARSQEPNAIQRVSTLDHPKIH TSAHQVDHLSHFDVTFNLRDKNQRIKLELEPNHDILAEDAYVQYLDRHGNIQREEPIQ RHEHKVFKGRALLGRGKGMWDPVGWARIYLKNDGSQPLFEGVFSIHDDKHHVELKSTY LQNKRQQDVDIPDRKGEYMVFYRDSDMIRELRTDLKRSFPVSSSCEADKLSFNADPSH PILQVEEDISQWGAMSLNSLFGLTKRQSDTGGVSGNSGGVSLKSTIGDTSGCPNTKKV ALIGIATDCGFTGSFDDKEAAQKWIINTVNSASNVYEKSFNISIGLRNLTITEKDCPE TPPASAEWNMPCSEGNISSRLDKFSKWRGQQKDTNAYWTLMSNCPTGSEVGLAWLGQL CNADVVSDAANAVSGTNVVVRSSGGGWQIFAHESGHTFGAVHDCDTQTCGQNLEASSQ CCPLTASSCDARGQYIMNPTTGTDITEFSKCTIGNICSALGGNSVKSSCLSDNRGVTT YTGHQCGNGIVESGEDCDCGGEESCGDNSCCDAKTCKFKSGAVCDDANDSCCSKCQFS SAGTVCRASRGECDEEETCSGTSSTCPSDSFKKDGTKCGDSSAGLTCASGQCTSRDYQ CRSVMGSLLHSNETYACSAYGSSCEVVCSSNTFGQCYGVNQNFLDGTPCSGGGHCKNG KCDGSSVKGWIDDHKTLVIGVACGVGGLIVLSILWCLINRCRRARPTAKPIPPPAGPY GPWARPMQQPIPMNQWPSGPSRGYQGLADPPPPYPPPAYGNQAPRYA AOR_1_1972154 MAVVPPRDDHRIIIHFDYDCFYASVFEVEQPVLKTLPLAVQQKQ IVVTCNYEARRRGLRKLQLIKEARQICPELVIVLGEDLTKFRDASKSLYLFLRAFCWS GQVEKLGFDELFLDVTDMITYNVDLLNRNDLEHSFFHLNRQDPTLGFAFDATGFHGST YPAAPNVASDSASPCVPAGNDPHSLHIRLLVASHLAAYLRGQLEEQKGYTATVGISTS KILAKLVGNIYKPNNQTTLLPPYTAAEQGAQSNVLNFLDAHDIRKIPGIGSKLSRKLT LSQGASDTARDDTVTVRDVRLFPRMGPVLLDKILGGPGSPKGIGTKVWSLIHGVDNSE VLQARDLPTQISIEDSYGGLSTFEEVRRELVSLTASLIRRMRADLTEEEPDVAAAADS RSKGSLSRTTSTMRWIARPRTLRLSTRPRPPPTSSEAQSHSFNRISRSAPLPQYVFYL DASIDALAEQLVHELVTSMFRKLHPEKAGWNIRLLNVAVTNMVDAAGERKQSSGRDIE KMFQRQDMGRRPDFPVSVTGRSSPETGTQYVRGPRLSSSDTSSSSQIIVGLDRNAYKT GGDSWEESDEDEDMPCVACTSCGALIPHFALVAHEVYHSAPD AOR_1_1974154 MLLAPMQPPMSHYPPSEAVPQTSPCPSTVSHSNLSCSLSSNVTS NRRPTMRPKLTLQTTSLPMTFGTSSTGLSLSLATGPAASPTVRNTFKNAYEVAVPSSA TASPSRSSSHRFSKPSSPYTTNSPYQLPLGVKSILRNSPLEPTCRRRGSVAANGPNGG PGSRRVFFPAKKQVSYRQPLEEEIQTVRYTARHSDITNQPNPESHEAGSEEDSDSNTS AEPSDASTSDDDTEAKSSNNPLKSVGKKKRKHLSAEKQIRAVALMDGIDKDGASTPQT PCQNRAKRRCEWRWTLGPLEIRDGNAQPSQAEEKTTSNPTETISNISPASIATTISPD NENDSPRSWVSNLTSLSSISEQNPSPDSSIAFEVVANDDCKSNMSHEAERAHANPVQ AOR_1_1976154 MGPHTSGVDSPKNHRVGEHYGTHNPVPTIQKFLEHLEKDKQDRK AHEEAVTAREKEEDARGEAKPHKPRKRPGKGKTRMVTDPTTGREIEVEDQDADSMEVV KNPKLVVPNANLGKPTDVRTSPSQSLKDYKENQDITAPPDPIAEGTTSDVPLHGEITN VLFHPTPSISYKPMYDQLEKRGTGLCIGIVFGILFVGRMFGGSLWALFPLAACIASGV WLWVQEVIRSGREMEWSSEKLRGQIAIANLLPESVEWMNSFLGVIWGLINPEMLSPIA DTIEDIMQASAPSVVENVRIAEIDQGNNPLRILSLRALPDEHVQHIKDNVREENLKNK DPQEAAAMEEGGSYYNIEASFAYHAKPTGQTASSKARNMHMQLVFYLGIRGLFGVPFP VFVELIEMVGTVRIRFQLMPEAPFMKDMTFSLVGIPHVRAGCMPMFKAGVNILNLPLI SNFVNYAIGTACGLFAAPKSMTMDLSMILKGDDIIKETQALGIMWVRIHRAIGLSKQD RRGSYGGGSDPYINLSFSKYGKPMYCTRVITDDLNPVWEETAALLVNPELISADENLS VELWDSDRNTADDIVGKVELPIREMIQHPARMYPQVSKLQGLNEGSEMPGELHWEVGF FGKPKLRPELRTDGKKKDLPENLRDNPQFQDDKGVITNEEEDAVTHTPPDPLWPSGIL SIVVHQIVNLQLANIKGSRYRKGREYEPAKPYGENTEEEGGDLPTSYCKIILNDQLVY RTRPKAVSSKPIFNAGTERFVRDWRSAIVTVTVRDQRYREHDPILGVVPLKLSEILQT SSQVTRWYPLDGGIGYGRIRISLLLRHVETRLPPNMLGWDVGTFEFASDKIIAKNFNH RAKIKLRTGGSSGKIPRYVASIEGQDTSFNLTNGSLHKSIRLPVKHRYRSPVVFEFYS PGKHGAAAYAVLWLQHLVDNEDTPVDLPLWSTKNGKRLTQNYITEENWEAKREPGLED LQIIGRLQFTCRFTPGIDESHEHYVVDNHSRETYETWEACIAEGVRPRSISLEVPEET EQMHERSLVDGRDVLKHADPKERRQWIDKQGQDWSGAFGNDPSAFMDHDGHKVAEPGR DKPPYSADGHSVEAVHPEEEDDDDEGSSVSSSSRTETSTEQRLSTANGSQLTSTDTPP STTEDSLAGSSKENKHTKKANRRSEQRQQRGMMQWKPARNAVFARDEAKFALRKVRNR FTGNLTGREPDIETETGN AOR_1_1978154 MSSAEESPAQRAARLRRERREAKIKEGGAARLDKITSLSGRTPA STREEVSPSPSPSPQPPAQISSTERPQPTPGPAPTSASASVPAPAPASAPAQPMSNPE PQSPENLQAQQELFRALLRQGGPSSSEQGPQEEDPTMQMLNTLMAGMNGQEQVPGGAA GGPSQAELVSALGFPPFVADLLGAATHKPTDEEKKDVRTWKVLHILFAVAVGIYLLML IGTSVSTYGSQPPPPATAQNPFLYFTTGEVVLTGARLMSKGRTGRAAGIMLGLQLFQD IVRDGSLVVFLLGMGAWWSREWTAY AOR_1_1980154 MADGNVMPPKPSVVLEAHEVDTFHVPKAFYEKHPTGTHLKDLDE YKKLYDESIRSPQTFWARMARELLSFDKDFQTTHTGSLENGDSAWFVEGRLNAAYNCV DRHALKNPDKVAIIYEADEPNEGRTITYGELLREVSRVAWVLKQQGVKKGDTVAIYLP MIPEAVVAFLACSRIGAIHSVVFAGFSSDSLRDRVIDAGSKVVITTDEGKRGGKVIGT KRIVDEALKQCPDVTSVLVYKRTGTEVPWTQGRDVWWHEEVEKYPNYFPPESVSSEDP LFLLYTSGSTGKPKGVMHTTAGYLLGAAMTGKYVFDIHDDDRFFCGGDVGWITGHTYV VYAPLLLGCSTVVFESTPAYPNFSRYWDVIEKHQVTQFYVAPTALRLLKRAGDEHIHH KMAHLRILGSVGEPIAAEVWKWYFEKVGKEEAHICDTYWQTETGSNVITPLGGITPTK PGSASLPFFGIEPAIIDPVSGEEISGNDVEGVLAFKQPWPSMARTVWGAHKRYMDTYL NVYKGYYFTGDGAGRDHDGYYWIRGRVDDVVNVSGHRLSTAEIEAALLEHHMVAEAAV VGIADELTGQAVNAFVSLKEGNETNEQVRKDLVMQVRKSIGPFAAPKAVFVVDDLPKT RSGKIMRRILRKILSGEEDSLGDTSTLSDPSVVDKIIETVHTARGK AOR_1_1982154 MHPSVRKLMDAVCARLRTPEDLSCLIFPSADATARCTARLSAEH PDETCHTVKFQSHQPADEEALRWAAFFVVLFPQACLSTAMHFWKVFGDGIAGRHAEYC LAALPSMDSYAKDTVFESSAPCRDHPTWDLQWVNSAAAEKESIRSLIARLVSSDQPGY RPVSSHDVFLYPKGMCAIAAVARALVPHSAELSEAVIYGWPYAETPLCVKESDYKRST LLSAGSKQELDELESSLAAGRRIQVLFCEVPSNPQLRTPDLPRIHALAAQYNFIVAVD DTLGTFVNIDVLPYVDVIMTSLTKIFSGMCNVMGGSVVVNPQSSHYTTIHSALTALYE DTYFPLEAMVMSQNASDFEERVKQCSASALTIANLLAKHPSVAQLYYPSLVPSRQFYD QVRRKNGGYGYLLSIVFHKPETAMRFYDVLDLCKGPSVGTNFSLAIPYSLLAHFREQD WAESEGGIDRHMVRISVGLEREEDLIARIQDALTAATADY AOR_1_2870154 MSFGFGGFGQNNQSSGFGAGSGFGGTSSGGGFGSTTSPFGGGNT SGGGLFGNTSSSFGSGGGFGTGAQNQSNSLFGSQNRTGGFGTGTSATGGSLFGGNAGG TTATTTTTGGGFGGFGSTGNTGGFGSSNNTTGGGLFGNKTATATGTGTGFGSSTGATG GFGTSGGGFGTSGTTGFGSGSGTAFQQAVPPSDGTGSTPFSAFTEKDGNTSVTNHYQS ISFMQPYNKYSFEELRLGDYQQGRRFGNGSGQAGAFGTSAFGGSGFGQQQQSTGFGST SSPFGGTSAPAFGQTQTQTTGGFGSTPSNPLFGSNKPATSLFGGGATSTGTSQPSLFG GATATSGGFGSTANTGTGFGAGGSGGLFGNNNNNQQQQQNKSLFGGGGTSTGTGFGGF GQQNTSTSTPFGGTAATSSPFGGGQQQQQTGGSAFGGFGQQNQQNQTQTQNKGLFGGF GQNNQQQQSSTPSLFGGGGATTGGSSLFGQNNQQPSTGGSLFGGANQQSGTGSLFGGG TQQQGQKPGLFGSSTTGTPTATNTFGGFGNTQNQQTGTGGLFNAQNQQQQKPSLFGGS TGTGGSLFGGGATTTQNTGSSLFGNTQNQQQPQTGGLGMGTSSLFGNTQQTQAQQQQP QQPVPGSLQASLLDPNPYGNQSIFSGLPAPSAPSPGPLATPLASSIKQKQRTPLPVYK ITPSAANRLVTPPKRQGYGFSYSTYGSPSSSTGTPSGLGNSLLGGSLRGSVNGGLGRA SFSKSFSTSNLRKTFDPETDSILSPGALSSGSSRLSSGNLKRLTIDRSLRNDLFSRPA STPAAAITNGEDSAQPTDKAKKKVSFESTSDTTTGGEIVPVQSQTSEPTPEELGFLRS IRKSNTVNGLNGIKENGTRPEMESVRDKDLPAVPEGSEQTTVTDGQSRLAFVPSGDPQ PGEYWMKPSRAELNKMGRDQLKHVVGFTVGRQHCGQVTFDEPVDLTNVDLDQIFGGLV DIGVRKITVYPDEAIKPPRGKGLNVPSILRIENSWPRGRDKKSPSPLTSGPLFEKHVD RLRKVHNTDFIDYETETGTWVFKVPHYTTYGLDYDSDDDDEGESLNQSTLSAAPDTPT PKAHTPTNLDNTVASEQMSTFSTDDSFLGSVAGVDDDTFDFKKRKLVPGSFGNQAMET MEDEHSNSGEDEESFLGEGSTGSTTEQEGDDVTESQQSGESEVELDEDEEMDMAGTFP SLHHTVERDDTKSIVSLNENTQPFLKPWSTPPKARLDLSGDWAAQLQRTISPRKQDRD ALREIQANAFTDRPLHDDDTQEPVVDTRQKGFATSIDLMNSLFQQPRKQQTQSPSKAR NAQPRGFEWPYNKKPKTFAGDSNELSQDDLAFHHSFKPRWGPEDSIICVKNGIGDIHS ADGRWEQRFSITSEERDITLLASNKTPESNVMLDAQKNQTTISRVDDVPLARLTKADF QQFAQTSSSLADSDQERLLWQLANILFNDDVEDDISAGVPPQLRPKFIHRIKKDRLSR LWEGIVRERHAHTLGKANSAEEQAIYLLCSHRVEEACNVLIASQNLHLATLIAQIGRD PTSRADMAKQIEMWRQHNVYSEMTEPIRAMYELLAGNALRSEGKSGGALEDRASTFTL TERFELDWIQAFGLRLWYGVTDDEPIEAAVSKFLNDLSTGNEPSFPHPFRQESAQELS LESPLWVLLKLYSMTLGAAKDIPAMEFPAALLPESVSGDKLSNRLSFQLYQLLATAVG QHDGFQIDTFRVDQMVCDYAWELSRSDQLDRALFVLLHLSRPGDRERAVKETLARFAS RLPDQTTAEGAPDTTWHYLTTDLQIPEGWIWVAKALHARDTGDAAREVECLVRGKNWN DAHATFCRIVGPTAVIERDYATLETLVSGFGEAPERKVRGWTNGGGVYEDFLRLATAK SGKRDPTRLNRLVNALVAMGSQISQGSGVEGLEERVAFKEMSRAIASWTAHEDSKAVE FSSVLSLPLTGDARIMQTAELSRRYYGIIMAGAY AOR_1_1986154 MISRAAAPSSSSLANLSSRSLRVQGQAARTFATVQDNAPPVRHH GGLQDQDRIFTNLYGHHGADLKSAMKYGDWHRTKDIVLKGDDWLISELKASGLRGRGG AGFPSGLKYSFMNFKDWDKDPRPRYLVVNADEGEPGTCKDREIMRKDPQKLIEGCLVV GRAMNANAAYIYIRGEFYHEATVLQRAINEAYEAGLIGKNACGTGYDFDVYIHRGMGA YVCGEETSLIESIEGKAGKPRLKPPFPAAVGLFGCPSTVTNVETVAVTPTIMRRGASW FSSFGRERNAGTKLYCISGHVNNPVTVEEEMSIPLRELIDRHCGGVRGGWDNLLAVIP GGSSTPVIPKSVCDDQLMDFDALKDSQSGLGTAAVIVMDKSTDIVRAISRLSSFYRHE SCGQCTPCREGSKWTQQIMQRMETGQAREREIDMLQELTKQVEGHTICALGEAFAWPI QGLIRHFRPELEAKIREHAQELGQAPYAGGWHPNSRAEGKLISPGM AOR_1_1988154 MSDTSALGAAAQGPNNDSSLEHYTALLDWMVSKGGQLHESVEIA KDERRGVHLQVKNDWKDGVPSNTHIIKTPLTSTMSYFNVIGYSFNTDDGSFISFPEHG VHFPRGFAEAVGQEESSIFFLMGQYLQGKEGFWYPYIRTLPQPGALTTPLYYEGDDLE WLEGTSLSPARQQKANLLKEKYGTVYTELCKAGFDGAEKYTWDLYLWASTIFVSRAFS AKVLSGVIPDTQLPEENVSVLLPFIDILNHRPLAKVEWRAGKGNVAFLVLEDVAAGQE ISNNYGPRNNEQLMMNYGFCLPNNPCDYRIVSLRAPPGSPLQMARSQQLQMFPGLAKE TDDPYYVFNVFYPLLAPDTPMEHSIFSPALFDAVSILAANNRELETLEVTEQSIRIPD TYGNSRTTLAALSQIIIELITHIVKLRSSAADLQNPGNLKQTHAKIYRDSQIMLSETA LVIAAWTLNRARQHNFGGSWEETKQLLGSHMVRVPPGKFPEEIRSRIQVRILERQSVL ANNGELFVLDDLPEILPVEMQQPCKACLQGVTQNAGRAIPMLRGSLETSPFAFPMFLC FIRAAHTAGESNSETVSLSSRLSKWARCLLENYPAPPEDVLWALEDEDDEQLLDMFDN VLEGMKTRNGAIFSDLEKFTGEWQGDNWWLSPNWLRWAWMITEQESVQVPEEPLALLA AEQPGQGQVMLSTAPCLYIPQ AOR_1_1990154 MFWRFGGYASISSIDTLLDKPDVSLEELLDESELIQELKQHNTK LIEYLRDDNVLKRLMDHVTAPSLVNDDDDEDDVNDTNGNKDTTTEEEKRGDPLKDILD PEDLERAEKERLKRAYVACEILSAEVWSILDSIMLNPDHLRDFWGFLRRSPPLDSLQA SYFTKVNETLFDRKTSEMLDFLKSLEGIIPAILQHIDNPMVMDLLLKIISLEKVEGGQ GIVDWLKTQDLIPKLLSFLSSDWPASVQTSAGDFLKAIITISANATQNDQTCIGPNSL TRQLVSKPCVESLIKAMLQGGNPLTVGVGIVIEVIRKNNSDYDPDTINSPDSLPTTYD PIYLGNLLRIFAKHIPDFMKLIQSSKHTVKDGEKLKSVDRGKLSSAWGAKIEPLGFDR FKTCELMAELLHCSNMALLNQPGSEEYVQQRDAEREKLLREGAFNANREETSAFDGND STVDFVNGSMVGYGSPEDSRVFEVANTGEDGFEDVSSSGVLADKEKDTAESKDTSGQE VQSQRIPSSELQGSAPAEEQGSGVPVHKDEDQKDATNDETMQTHPPSSDPMSPTALGI TDRVREVTLDTNQTANDNRSSTDAAPRESPETTPTSSVSESVPPPLFASKQQNQQPGS QNPETAGKARDASSPTNSAAEVQTTEGEPRKQLHPDIQLDPNGQPVVGDYLKLMFVEN RVVPTILGFFFRFPWNNFLHNVVYDVIQQVFNGPMERGYNRVLAVDVFETGRITQEIV EGQKRSDETQRTKQIRLGYMGHLTLIAEEVVKFSERHPPELLSPTVMENVLNPEWIDY VEQTLSETRERDNAILGGVRPDMSIGHRQGMLNSGQSMTGSSALADAGLNGATGGSTF QGFDMMSQGSVSGGAFGLSGGGSSLLSGFASSSDDEDEDMEDQDDRNLADQSAEGGSE NTSTNSTSQPIPILPPPPAPLSLGPSRARRQLAARLAAQKQQAAEGTEENGGEEKAQT NAHESSQWPANPFVVAGVDDDGDGGGSPSNAFPSTDFPSANKDEPFSSPTFPDSGFSP PDSFSTNSSEDDVDGRSEGLRRKERIPLEVDDDDDDDMGEMVGPSGGTDMMDSDEEDE AIMNESLGYPDLGPGRYKSFQRSRFGVSPFGDDDQNDSSDGEDDGLVEILVPGKKS AOR_1_1992154 MNRLFGAKSNAPKPTLDGAIANVDNRVASIDVKLAALNSELSTY QTKISKMRDGPGKNALRQKALKVLQRRKQYEAQREQLSQQSWNMEQAGMMQDNLKNVM TTVDAMKTTTKTLKKQYGKIDIDQIERMQDEMADLMDIGNEIQESISRAYDVPEDVDE AELDAELEALGEETMFENSMGESAMPSFLQDEVAPPQFIDEPPEQTKVKEPASGLG AOR_1_1994154 MNCPSRTDDTLEHPGWNQNPSDLNADVTTRNDFNGIANSKVHRK HASGVGAAMGEGIATVEPQLHGRDRNDTESEKKMPGEVVSAASGYQTPERNSRSPRRS LKTSITAPFSHMVQSIVKFARFVGPGFLIAVAYIDPGNYATDVAAGAEYRYALLFIVL VSNLFAIFLQSLCIKLGTVTGLNLAENCREHLPRWLVYILYFLSEAAIVATDIAEVVG SAIALNLLLKIPLVAGCAITLADVLFILIFYKPDGSMLGLRLFEFFVMGLVLGVVVCF CIQLSLIRDQSVGDVFRGYLPSSAIVESTGLYQSCGILGATVMPHSMFLGSGVVQSRL KEFDVTEGYVDPSVCLGSTNGEVEYRPSLHAIRGCLKYSIVELALSLFTFALFVNSSI LIVAGAALYGNPDVGEADLWGIHDLLSTSIAPAAGLIFGLALLLSGISAGIVCTMAGQ MVSEGMLNWSIRPWLRRLITRSVSIIPSIVVAGAVGRKGLDKTLTASQVVLSVILPFV SAPLVYFTCRNRYMTVPSDRVMHGEDQTQTEGVKMKNNLITTVIAIVIWLIIAVMNVA LLVLVGLGKA AOR_1_1996154 MQGPNIFDDASRVPTKPSVFRGILSSKAHKRNPSADDAASCRSP YQSQPPRSTFWSPVDQAYAALDQQPLTEIAPNRDAAEYGLPRQRSPGKQEKNTLHKKT KSAVSLKSLRSYMERKDNRSESPENESEDLKPKKAKSANSLSAILKRSQRGRKAESSK NSRDKENRSPTDLVDSMPSPIWNQYATGSYYDQIPAPPSPSNRRTFQEEVSLYTPKGY GPAQQRNFWDYHEPSLTNRADPKPRPKSEFLSGNRKVRELFGTLQNMSSEKLSPSDPS EPSSPSKRRRRPRALSKPESRQSAEPQPEQSPKKVSRVQAAISAFNAKEREAELQRRL NSKDLESEFEKLLDARNIPHNMREKMRSLDTNIKADFIQKDKAEGAHSAGTTCQDTAA DPSDFVHYLREIQKPEMMEVGKIHKLRLLLRNETISWVDTFIADGGMDEIIQLLYRIM KVEWREEHEDNLLHEALLCLKALCTTSVALQRLTAVEGEIFPALLKMLFDEEKKGPSE YTTRSIIINLLFTQLSTASSAEDARSRASRILSYLRDPSPPEENQPLSFIANIYQSRP YRVWCKEVTNVTKEVFWIFLHHLNVIPIVKSEKPGLETYRERHFPAPRPPVPAAPYVG GVEWDATNYLAAHLDLLNGLVASLPSAEERNKLRAELRASGFEKVMGGNLRTCKEKFY ASVHDCLRTWVAAAVEDGWPYTAVREGPPRPEAGSPAKSPIKAAGGSPKKGLLGEKPP RLELALDVPANNRVSPKSEGLGNWL AOR_1_1998154 MSSTILLRRLPASIPSASSTRIVASCNTSLLNRLQPSSHSTSLL THTRPFSQTIPTYSSPFRLSSNNNTATQNDHPPSPEEYPNGEPMPYYSPYKPKRQWPP DMSKLSPKHQFRLERKYRRRAALKFARPKWVKATKLVQWGVIGFVIVYAALFMEWDER GSPFDEFRRVFFAGVKGAFSTPPPPGPVKRSDDN AOR_1_2000154 MSSAQQRLTQVASHFTPGGKKGVAAITEKHPDDIVVTCALRSAL TKGGKGGFKDTAAADILAGVFKGVIEKSGIDPNVVEDVAVGSVLAPGGGATEFRAAAL VAGFPETTAVKSLNRQCSSGLQAIVDIANAIKSGMIEVGIGAGVESMSSQYGPGAVTE FSDLLENHQESANCKVPMGVLSENMAKDRGISRAVQDAFAASSYQKAVKAQKAGLFNE EIYPLQVKWTDPKSGEEKTITVKADDGIRDGITAESLGKIRPAFAKDGSIHAGNASQI SDGAAAVLLMKRSTAERLGQKIIGKYVAASVVGVKPLLMGIGPWKAIPVALEKAGITK DDVDIYEINEAFASQCVWCVNELGLPQEKINPKGGAIAFGHPLGCTGSRQISTLLTEL KRTDKKIGVTSMCVGTGMGMAAVWVRE AOR_1_2002154 MSTSIEQKLDVLRNYSACDVSDALLKLQKLPEGTTARAGHIADF ASQVPFSPTIGRNENTPKVIAPASTFKFVPKSDPVPSTESVETHGFPAGTHWVDFAEP GTVAVIEQPEGQHCAVLGGIMAVRMKYLGVKGALVNGRVRDLSEIRECQLPVWARATS TVGTAAEAKPGARNVPVSLGGVTVSPGDIIFCDPLEGVVAIPRELLDQVLDLMPKLVA MDDKVKEAVLQGSNVFDAFKKFRTKI AOR_1_2004154 MASPSQIYNSEQLELYLERIGYADSVNATLDNTTGRLDHVLQSI QQDRLATLTKLQRRHLASIPWGNSALHYSQHQSISTHPACIFDKLVVRRLDGYCMENT NLFYMVLRCLGYNVYPTGGRVSQAVAGGNQTPGSELYMSLGHMVLIVIIDDQRYMVDV GFGNFGPTSPLPLKEDGAVAVCMAPAEMRLVKDTPIEFIDRSQKLWIYQIRYNPESNW IPQYSFSEVEFLPQDFAAMNYSTSHRPTRIEPVGIYILSGKEVKKRLRGETETVAIFE KEEDRVNALAKWFDMHFLEHEIEGVRGLVSQIK AOR_1_2006154 MGVPFEALIPYGIIVGMFGVTGAGLTAVKWLGNEGKKARWNRDL WDRQMMERDLRITGTLRGQSGNAEAPKGFELSNPWKLEKRIF AOR_1_2006154 MGVPFEALIPYGIIVGMFGVTGAGLTAVKWLGNEGKKARWNRDL WDRVLMERDLRITGTLRGQSGNAEAPKGFELSNPWKLEKRIF AOR_1_2008154 MTATAPPADDDTEMRLPPDLVDSDQDAEGEEETDLYQMDQQLQD AVHRAYSGEVAEESNSASREDDRDAEGEPDSDLDSNGENDETEPVGAVKLPKGKSSLD NEDAADAEGDAAFENQSDSDQDASGSSSSSRGSDEEDDDEEWDGESNDHDDAEVDNNT VRGNCIFCGQDEDHDPSEDFEEYLTCTVCGDHSHRQCAREQSALNDAEDASTWRCPTC VREKLEPDAEGNNSSHRRLGPKNMQKELLPAHTGEEGSGFHSIFNTVDIDDDNLLNSS RSLRKRKTLSADVQEHTPVLRKRQRQTSLRSERAESRDHLGDASDALSPVRTRSRRVR GGEKENCRVVLRQFGRLMLAFRLNETKLSKILGSRSRSQHRGRRTPKPPPVAHEPPAH FAPIVPVSYVSPFYSFNDREMDESKSKPYGGILSEADADTTKTLPTQPDRERFEVARQ KAEEEWQRRVMEAESGGEPVQHAAQKVSGPPSRIKYINFGGYEIETWYAAPYPEEYSR NRVLYICEFCLKYMNSDYVAWRHKLKCPAKHPPGDEIYRDGSISIFEVDGRKNPVYCQ NLCLLAKLFLGSKTLYYDVEPFLFYIMTEFDDLGCHFVGYFSKEKRPSSANNVSCILT LPIHQRKGYGNLLIDFSYLLTRIEGKTGSPEKPLSDMGLVSYRNYWRLILSYQLHKQK TPLSIVELSERTGMTADDIVSGLEALRALVRDPVTKTYALRLDYKYFEECIQSWESKG YVQLNPDALVWTPYIMGRSNQSQFDRAPLHAVAPREGLEDEEVEDVKDSGNEEEQQLS ESLGKVNGDDSPQPTINGAAQAVSGELSAEPAGPPSTELLTNGSGLHRTQLAADSKST ESNPIQDIPAWRFEVYPPVQAPVVKRRPGRPFGSKTTYNKVAVTPTTARTSGRNTPRR SSALASMTPTANASSVRRGRSAKLLDSPAVESTGTEANGVENDGQLPDHEGDKGGEQD PAQPDGLSETDVQNNHTGDHDAPGQLNGINGVETVDTELHVTEQVTPTKEVSAENTAK LTRSVNRKAVVEKFEFVIPAEDHGTTNHNAVVEEHTDGPNGVDKDGDAIMET AOR_1_2010154 MSASTFPDASLTRDPQSSDFELIIRQQPTRARVAGGKEKERKPV DPPPIVQIRVREDGTYLAQHYLQSPYYFMCCSLFDPSDDVPVPVPPSTALTGTLVSSL HRLKDVDNNDGGFFVFGDLSVKVEGDFRLKFTLFEMRKDMVTHIKSIISDRFTVSPPK SFPGMAESTFLSRSFADQGVKLRIRKEPRTLIKRSVPRPEDYPQPIPPRSPDRSSIQM PGNTFGGYPAAAAAASRDYGYYAGPVKRQRTSIDFGNRGMYDDGRMRQMEAYPQTAAM YANQPGAYPTPIMQGYPTGHTGVPDYAMSYGIPPSAQVPQMQDPGAHSRSSQQATMQS LGMVNPPGTPTGDSTGAMMPQGYARQQYQASSTILPPLQRSRNFAQGANGATARGYFD QTSQAATPILPSQPLGTNEADRYGSAPGQAAFEHPGSSNGTPR AOR_1_2012154 MSTPELEPVPQGSPQVRNLEIRGTWDGEVNGRAELRISLEVQST PNTQIQILDTRSPTVLLCKASIQFLSEKWGQNSQPSEVAPQSPLFEFFEPIYPDGEGA CMNFEGFPETPDPINDHSLGKAHSETSSTTRVLHPDHEVDSSIESSLLPDGHSPGLRP NFAKRGNQTRMLNLGKRSATDACLTDVDSNIMYARPNRNSPYNGAIPNLDTPDYRLDV LEQTAQLEIGDTMDNISQQRSYSLRGNHSKPVLLSEIAVGVKQAFDAGICLIGAHYMQ SPDGSHEKKAGTSLPRDEEMLHTTSATKDLCKIKDGDIDGHDTSLTNLVNLNISQERV SNGPLMSPTSGTGSEGELGTDLGDYPSTRPASLLDIEQEAGSDPDTCERNSIGLYEHN SLDTHEPERLVFPEIEPEINMVDEILFVQHPANVRAGVYKVVVTVSIALLRKTPSDWY DLVIPGLPKLETGKSGFILFLIPDKYGVEFRTTYLRRFRMVEDCLFAEFVDKRDLVIP MRSFDQRNYGIIKDFVVDQEIEARPFLSSVSENNKHTQPGLSVRYHAMCSLRLHERCF WAEKCCFFLDLDGGPEGLFQCRLQPPDTSLQVVYIPSSSSYSIGVSHLQIICSPKDLG MFCITWLVNMPFPARNWLPRIYPGLYANASTGEQSYRCILSALEREDETNGGSSEAVD GGDQSEDLERTFGFQHMNPVIKAMSMPLRAVWTSINDPMPTWIASWEDFVVLILGVTF TVCAIGANAGLSKVDGQIPGCGEPSSEYNNFTEELFHETPDNIDSASLFDNNNTSNHI SLDQQEAIDLEEDVKEVEEQVQEEEQAVAASAPDVESGKDFSLRDQIDHLLGWKGPID RMA AOR_1_2014154 MASQTGVIGISGPSSSGKTTLARLLQRIFSKADESLFTFIVHED DFYFPDDRIPYTTTASGKTVQDWDTIDAIDVKFLSSALSYIRDHGQLPPRLKSIQDLN EKSDSGVDEGTILQLQQEVGGRLRARAPAKRTIAFLEGFLLYSPPESEDKDHVLRSVH KNIDVHLFLPAPYDMVKSRREGRSGYVTSGPAPEPTSLPQRSSVSDEVDLEGEDDRPP QNFWTDPPGYVDDIVWPRYVQDHAWLILPEGESQKSNTLSADSQELVNKVGQGVNLRT NAGVIVAPGEGTKPMVDILKWAVEEVLKYLERNILEVHE AOR_1_2016154 MSLPGAQILQVQEDSCVTTQDNDFQTQNHHSSDPEPTDSTATYI PAVINPGLENENPPTSTKECSTIGTYGPVENHNLYIPVGTLMRYTAQDTDALSTPKRD DFPHSEVSNLEKHHWIWTSTYAYKKDHRWSYVRVYVLPDDVGRKIIPRSSTPLRRAFK VIMAKIDRSPAAWSGCSSLDNMTTNISQHSNEDESLWYIFNTLQNPVPNPDLMRDQYT KKAMQQLLSVSPRKGDYGLDGFDDGAKLPREYSCVPYLRTALYPYQRRSAAVMIQREA QPTPMLDPRLKPYVTPTGQEYYYDKEEGNIIREKRMYSEPRGGILAETMGCGKTLICI AVILATQGHFPQVPLEYQDMELPIRPQTGSLLDMAAATAGRLSLPWKSHFDLMRRTGT FFGRCIEACKEHRGAYTIPPPPTRYGSRTGVAYPRPPPRQIRLCSATLIVVPPNLVNH WKDEIAKHAEGVNVLVIQDSSAPVPPPDQLLEYDIVLFSKVRFEKEAGAASNNRRSST APGPSPLTKLHWLRIIVDEGHNVAGHGHRTNMVHILDQLHVERRWIVSGTPSSGLYGV EVSLASHETNVTSDSDLTEATTAVLHGKEKTTIIDSELKDLDEIRRIVVGFLDLKPWS NSQANDPANWTKYMKPVGEDGKRRKAQSLRATLQSLVVRHRMEVIYSEVPLPRLYNRV VRLKPTFYDKLNLNLFIFILAVNAITSERKDQDYMFHPRNRKHLSLVINNLRQAGFWW AGSDGDIQGTIDVASNYMEANRERMARDDIDILTEGIQIAQKAIACQSWNAFKQFHEL GVFIQDFPYHARSMWALSPSRSDVEPLLLGISQAHKAQSFVTAHLNEMYPEEGLAGAG IKARLALSGRQGHPDPVPTKKTTLDKLSSCTVKTLPPSSPLAQTKLVATTSAKLTYLL DRVLELHTEEKIIIFYDNNNSAFWIAEGLELLGVDFRIYASTLKPKVRAAYLELFREQ EDVRVLLMDLHQASHGLHIANASRVFIVNPIWQPNVESQAIKRAHRIGQTRPVFVETL VLKGTLEDKMLERRKAMSEKEMQQAERDLLDDSTMSSIIQNEHFLHMADDEDLTGPAY LKQPPGFFDRHGLPIPKLNESPSSAKRTPRSRKRRPVPIDMNVDTAGDSDVGTPKRRR SDGTELHSGGFSETLPSFMDSGDGTDERTNNYVSIFN AOR_1_2018154 MRLFNKFHTVCALAVASILLSAWFLASQNYYRRVVPTNGEDSSF NVATSFDRRLVVFGDSWSDNNAGELQGSVWTEWLCSKFQCHHENMAQTAKSLRGKYIG SVVDNELPDSLLNLHKSPLADFKAQVSQWTAAEARAVQEDLAGNKEAISHRQNHTIIV VSFGVWDVWNMLDKDYDTATQSVDRSIGVIIDQLNVLSQSLGTNELKVILTLTPDVTF LPAFRPTRSHIGRHKEAVQITEYWNRRLREAAEKWDLGTIYLFDTNAFLADLIRDWQL YAAGIEEPNGLGKNQEPGWENVDDACVENEQQLVMTSEVKKCDNPEKFLFWNEMHLGP SAHRLMAFILYRQHYQAAVVAQNPGLANPDISKIIGEQWRRLPQETKDEWKALAEEEK ARHQQQYPEYRYQPRRYGRDGNPRGSSSGISHNPPGSTVCSRCGGRVMNPPVSPETPF NPNGSSNGTGASLQHEAITGRSYPCRSKDSDRPSNPIKMGSNGESLPPRQRQWEETVN GSPDSKRRRISTQLPSKPNIHRDRSPDGSYPISPYTARPEAPSSRGSFHMLQPPRPYR SVQEYPQPDPSLKLPPLQTTAPVSSSATPATQYVQESSSLEATVMTIPFLNKIKVLAK ISPPLLPSFRDGASRRRGAVVSVDGQEPIAVKSVVDFLNNTLEKEGKYHTHIFEGPDI RLREGYSESGQMGDATVDYLNTISAWHRISDEIVSFVKSLYGSLEPKSVDEDNSTPGA SPKGSIPKGAEIHISSPAQSSENGSVSYSSSSGSAPCPVPVALVPRYQLTTADAFACS VPIGDSYAPLDHWQWMASLWRACVGPDITVYVRECEKDELDRYGGNPVEVRLHDARTI VVRRAAGSPRELEEKTLKRVGFEIEDYLTQ AOR_1_2020154 MKLNISYPANGSQKIIEVDDERKLRPFMEKRMGTEVVGDSLGDE FKGYLFKITGGNDKQGFPMKQGVLLPTRTRLLLADGHSCYRPRRTGERKRKSVRGAIT GFDLAVLALSIVKQGEGELPGLTDTVVPKRLGPKRATKIRRFFGLDKKDDVRKFVIRR TVTKEGKPEYTKAPKIQRLVTPQRLQRKRHRIAIKRRRSEAAREAANDYAKLLANRVH EEKAKRDELRKRRASSMRK AOR_1_2022154 MAANSIKLLTGNSHPELANLVADRLGIELTKIMVLQYSNQETSV TIGESVRDEDVFILQSTKPNDINDGLMELLIMINACKTASARRITAVIPNFPYARQDK KDKSRAPITAKLMANMLQTAGCNHVITMDLHASQIQGFFNVPVDNLYAEPSILKWIRE NLDVSNCIIVSPDAGGAKRATAIADRLDLQFALIHKERPRPNEVSRMVLVGNVQDKVA IIVDDMADTCGTLAKAADTVMQHGAKEVNAIVVHGILSGKAIENINKSCLKRLVVTNT VPHQEKKEQCDKIETIDISPTLAEACRRTHNGESVSFLFSHAVA AOR_1_2024154 MGRIKKVASQKHEATISPYLSDFVSRATTIPVPELPSHLNTFPR LWPFPRGDLYHWINVLNRFDEILATANEKYGLNNGPQTKPFGRHVLVDSYASDGNKLS PEDIEVKLTALGYGVEGDRELLQAILDFSRLLLDKCGNRSLYSSSERLGELLNTTSLC LLQSTLRLSLSLAQRYHSRQRGSTHLQQSLLAAHFNIDLEKLQKIAAPFPRPSVSNKT PVSPPVGVKGKEKQSQTKHDANDLVTLVRESDGWEEWGHVHLLYYPSGTSDQAKSTSE TVHGGLPTQAPTTPTPLRRSHTHPTPRLSRTSIMEDSPSSAVNTPSGKLEEATRGGKV LDIPYSRISSSTPDSLVASHAEELPEDSKYELLHRIRTAQGLATSPSSREQILAIRVL AITNLAYVYPESLFQQKILQYDMEQPKRLQLAYQLGELVHLGASGDLPASRGVQTLVL QALDSLAKHKARAIDVCAALSVNVNHGVLMFLTRKAVNELGSEDKETDDGSQDEWRDA LLALLRTLPGSSTRTPETLVSAGLIPMFVDVLNLRTEKARRVYSRIMEFLDTFVHAVR DALGTLTTAKGFEAISDLIDFETKTSFENVSRGAGIPSHHKTPSIDYQIPYFQQQTLR WMFRFVNHIMQHNGGGFDRVLRNLIDSPQLLTSLRLVFENARVFGSHVWSNAVNILSS FIHNEPTSYAVIAEAGLSKSFLQAVTHSEIKAQEKLPVDTEGAEVEGESSTRPSEVET SQSGSEPQKTREYELARSKDAKLALGIMPAAEALSCIPSAFGAICLNSSGLELFQSSH ALESFFEIFENPEHVKCLKDDPNLVRSLGTTFDELVRHHPALKSTIMAAVIVMVARVG FLCRSKAWSDGMGSKLWTDNSQGKPVLSGPAYHLFRKIGGTRVAGDLAPSDLGIREIK SGALPDGGTMKTGALDHVLPTSNTDIEPKDVDPTGLTVTDYLYPVLRFLGAFFENQSN CTYFIECGGVEFVLDIAALQSLPFDFHNTDANQELTVLVHMLAETKPHLVLPGLVDRA EQIVEQLSEFWRLSGPRESGFFTPLIKPPLEKESKDQGDKSWELAKDNGTYFAKNMVA ALILTDLLREVYSMPLYQTRPSQQTSAFAQVNLADRYCSLIGRLGGLHAACVWEEILL EKNIPDTWDQATKVQLTGAGSEKPNESSGPANAETSLAVPAPPREGSTTSEPAQPGVA QEPSGDTSNAPESSVAFKNVQALRYLLSSLPSSITGFFHNLGLGLIGKRRIDLYQKQN ATSVAEAIAGAVLEQLQFAPPNTSANHKLRFAYLIVILSSFSHLLFEATADRPHSHYL TLVLFAFKRIHGLKAMKDICDVFVREVKTLTPPESVPDSEKDVSARLTSAYGGIKIIL SFFSELASGKNIVESSQTQAMTSSDRERDRADYFQPGQFLVDLRMEILPMARDLWNSD FATQSSSSVIKCLVDILRSSLDGEYETGAAQRSNLPPALAEVSRRKLVINRDRVAALQ AKDFDLEVVKEALYRCNNQYAPAEEYCKAQDWLLPAPRIPPPPNDIESVRTPGGETSE DQVVGDASPFESRFLDRSTLAMLIAQASGRSDDMPRQEQGQGGPVETEVRHGSEFLAR ALSHILNDDHSAVDSDREDSSSSNTRNLNPSAGDFSEPSNHLTDPSPEQRTEQSARRR EVTTVEDLDKEREKVRSNLIERCLDVLNEHHDVSFELADLIASATKKHRDPESFRKEV GEILVQSLVSLQMENFQAAGKKVAAYAHLLALVVQDRDMYNATLEELKECFTTFLGFI SVPSEKSSDESFPWIGHVLLVLEKLLSDDAQPPQIRWNMPDNENPGAEDDAPAQLEEP LVSNEQKTQLFDALVEILPRVGKDDTLALSVCRVLVILTRNRSIATRLGEKRSLQRLF VMMKQLSSTTNEKLQGAFMLILRHIVEDEDTIRQIMRSEIVANFESKSSRQIDTTGYV RQMYHLVLRSPELFVEVSNEKLKLQRYDSRQRPQVLTLKSDKNTGSSDKETAQDTTET AKDGSQTSADAQPEDKDKGKGLELKPPVVENPDGVIHYLLSELLSYKDVDDKEPSTEA TETSAPEQSETQTDVEMATDEPSPSVSTTDLQATRNSKKAEKPAFKADDHPIYIYRCF LLQCLTELLSSYNRTKVEFINFSRKADPLAATPSKPRSGILNYLLNALVPIGTMEHDE SVVFKKRANTSAWTMRVLVALCTKTGEFGGTGRRRSEQNSNEEDEPELAFVRRFVLEH ALRAYKEANTSNEPLDAKYSRLMSLADLFDKMLSGYAFVSGDTAFPSSTRQLAKTMFE KNFIAALTSSIAEIDLNFPASKRVIKYILRPLNKLTQTAVILSETSDISTLGETEEDE ISSATSVSDLEDDREETPDLFRHSTLGMLEPRHEEETSSEESDEEDDGMYDDEYEDEM DYEEDLPEDDGEVVSDEEDEIEGVGPIEGLPGDSGMDIEVVIDDDEDDDDDDDDDDED EDDSDMEDDEILAGEITGDQDNESLEEGDDDEWESEEMSEDDEEAEIMNRLEDELEGF RQVEQRQGQRFDDLFRVLNEAAGGVEDLQAPDSLDDIVDDDLNDDDEDEEIDELEEEL EDADEDQGSYQGFDDDEDLIEPWGWDGDEAPIPRGHHHHRYRGPQPGWAAVTGIMPSS GRHGIVPIQPYRFHRTQVPARGNDDGTNPLLVRTDRGPEAGQSRGPGNEAFTDWVHGM EPVSTGRLLPMDSPVSFMNAIMQAIGGQGAPGFGVITRPDGIHVHVDRRAILPNRNQD IFGLGRPQAPPSRTRDDPSQAVSFALATTRSRWQEEARILFSSTYVEKTQRVVNSLLK ILVPPAIEEEKEREKKMAEELKRREEELAERERQERIAKEEEEREQQRKEEEENARRQ QEREQQEAEMQASGVTSEPMDDVQPTDAPAEAATPPVQAETGPSEPQPRVHTTIRGRE LDITGMEIDPEYLEALPEELREEVIMQQLAEQRSQAAAAGEEPSEINPEFLEALPPEI REELLQQEAADRRRRERESARRQGASGGAPPRAEDMDAASFLATLDPSLRQAVLADQP EEILATLGPEFVTEARALPGRRLTQFGDIARVDHRQRNEPTDEQEPKKQQRRQIVQML DKAGVATLLRLMFMPLQGNARHQLNDILHNVCENRQNRVEVISLLLSVLQDGSSDVSA IERSFAQLSLRAKSPSVQKTPQSVKRNLAFQTSSSVSNEVTPIMVVQQCLGTLSFLSQ YNPHIAWFFLTEHDPSSTLKLKAFRKGKGKENKANKFALNALLTLLDRKLIMESPNCM EQLSSLLSSITQPLTLLSRREKEKQEEEDKGKKPEPAQDDRSTEEQQQQQQQQQQQEQ PSEAAEPTTSAADTTMTDAPLPSVENTEAQSTTAQPEEGTSAEPSKSETGKGSAEDEK HKKKSIEPPVVPDHNLQLVVHILAARECNGKTFRETLSTINNLSAIPKARDVIGNELV HQAQDLSTTILTDLDELLSHINQARTGTDMQGLALAKFSPASSDQAKLLRVLTALDYL FDPSRSDKAKGGDSEQVAKEDVLQTLYESSTFGPLWTRLSECLTLIRQKENMLNVATI LLPLIEALMVVCKNTSLKETPLSRNARELSVSSTSVGAGLNMESLFFKFTEEHRKILN ELVRQNPRLMSGTFSLLVKNPKVLEFDNKRNYFTRRIHSRGAEPRHPHPPLQLSVRRD QVFLDSFKSLYFKSADELKYGKLNVRFHGEEGVDAGGVTREWFQVLARGMFNPNYALF IPVAADRTTFHPNRLSGVNSEHLMFFKFIGRIIGKALYEGRVLDCHFSRAVYKCILGR SVSIKDMETLDLDYYKSLLWMLENDITDIITETFAVETDDFGEKQVIDLVENGSNIPV TQENKEEYVQRVVDYRLVRSVKEQLDNFLKGFHEIIPADLISIFNEQELELLISGLPE IDVDDWKANTEYHNYSASSPQIQWFWRAVRSFDKEERAKLLQFVTGTSKVPLNGFKEL EGMNGVSRFNIHRDYGNKDRLPSAHTCFNQLDLPEYDSYETLRQRLYTAMTAGSEYFG FA AOR_1_2026154 MAESESGGVINGLGKTVSQLEKVVSASLRPLPTETGDGSYVKES NSTGIVRDLGRMDLSDVKTIVELTKNAATGEPLNDKHYIMERLIQLTSALPSNSRVGK ELTNAFLNQLWKDLEHPPVSYLGREYSYREADGSGNNVLWPHIGAAGSHYARSVRPKT LQSPALPDPETLFDSLLVRKDFKEHPNKISSILFYIASIIIHDLFQTDRNDATISLTS SYLDLSPLYGNNQDEQNLVRTFKDGKLKPDCFSTKRVLGFPPGIGVILIMFNRFHNYV VEQLASINEGGRFTKPDESDTKAYARYDNDLFQTGRLVTCGLYVNIILKDYVRTILNV NRTDSLWSLDPRAEMKDGLLGEAAAQATGNQVSAEFNLVYRWHSCISQRDEKWTEDLY KDMFPGRDPSSVSLQEFVRGLGKWEADLPEQPEDRPFAGLQRKPDGSFDDDSLVKIFE DSVEDCAGAFGASNVPTIFKSIEALGIKQARSWNLATLNEFRNYFNLTPYKTFEEINP DPVISDQLKRLYDHPDHVEIYPGVIVEDTKEAVVPGSGLCTNFTISRAILSDAVALVR GDRFYTVDFTPRHLTNWAFSEIEPKDSVDQGQVFYKLVLRAFPNHFKGNSIYAHFPLV IPSENKKILTKLGFAEKYSWDKPGLTPPPEFINSHSACMSILSDQETFKVTWGSKIEF LMHRGKQPFGRDFMLSGDRPPNSASRKMMGAALYRKRWENEVRSFYEDITLKLLHRNS YKIAGINQVDIVRDVANPAQVNFCANVFSLPLKTESNPRGIFTESELYQIMAVVFTSI FYDADPANSFELNQAAREVTQQLGQLAMANVELVNNTGFIANLVSSLHRHDVLSEYGV HMIQRLLGSGLPAEEIVWTHILPTAGGMVANQAQLFSQCLDYYLSEEGSVHLPDIKRL AKVDTPETDELLLRYFMEGARLRSSVGLPRMVTKPTVIEDNGKKLNLKAGQHILCNLV SASMDPTSFPEPEKVRLDRDMDLYAHFGFGPHQCLGIGLCKLALTTMLKVIGRLDNLR RAPGPQGQLKKLSGPGGIAKYMNPNQSGFSPFPTSMKIQWDGELPQVER AOR_1_2872154 MAPASITLIVEPRGKPIKKLPKEIQINPNAPAQDIYAALAAASG SSIHRLRITKGSDRSVVPNSKETKVDDTGLKERSVVHVKDLGPQIGWRTVFIIEYFGP LVIPALFLYPLRPYIYYNFDKPLPEPSYLQQLVCALLSIHFLKREFETIFIHRFSNAT MPARNIFKNSAHYWVLAGLNIAYWVFRPDASAVNEPNPALLYAGLGLFVFGELANLNS HLVLRGLRRPGTTDRGIPSGFGFSLVTCPNYLFEIMAWVGVYLVSGLSWSVLFFITVG GAQMAAWAKKKERRYRKEFGDKYKRKSFVMIPGLI AOR_1_2028154 MQFALPPRRNVNAPLYSRSSRLSLQRRKQLKAVAILVFALAVIY FLLSQLFYSSTGTPAAPAGTSSLVIVTVLDRARWSADYIQKITKNREEYAKQHGYTNF FANLSDYETTLESAPRSWGVVPAVRHAMATHPYSKHFFYLDANALIMNPSKSLESHLL EKSRLDSLMLKDVPVVPPDSIIKTFSHLQPQDVDLILSTDSESLSSGSFVIKQGEFAR TFLDIWFDPLYRSYNFAKAEAHALDHILQWHPTILAKLALVPQRTINAYSKDSSGAGA DGNYKDGDLVIRFFGCDTDTKRNCEKEMEPYYRLWSKKLKND AOR_1_2030154 MAGLQPRSALSVPFSLSTAFRNLSLTASKRSFSTTLASQKTKQL PDYIPPYPYGPNHVYKQSNSGLYGGVMIQFGNKISKGRNEGKTRRFWKPNVRRKKIYS EALDEWLFIKVTRKALRTIRKSGGLDNYLLDDRPGRVKELGVFGWQLRWKVMQTPKIQ EQFRQERKRLGLPEPPSFEEWVAQKEAEIKAQAEDLTNIKEITKPTYNEKQY AOR_1_2032154 MVQISEVKGNSRENRTAAHTHIKGLGLRSDGTAEASSDGFVGQT TAREACGVVVDLIKAKKMAGRAVLLAGGPGTGKTALALAVSQELGTKVPFCPIVGSEV YSAEVKKTEALMENFRRAIGLRVRETKEVYEGEVTELTPEETENPLGGYGRTISHLII GLKSAKGTKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAYATEFDLEA EEYVPVPKGEVHKKKEIVQDVTLHDLDMANARPQGGQDVMSMMGQLMKPKKTEITDKL RQEIDKVVSRYIDQGVAELVPGVLFIDEVHMLDIECFTYLNRALESSISPIVILASNR GHTVIRGTDDISAAHGIPSDLLARLLIIPTHPYSSDEIKTIIRLRAKTEGLNITDPAL DKISEHGSNVSLRYALQLLTPASILARVNGRPGGIEEADVAECEDLFLDAKRSATIVS QDSDKFL AOR_1_2034154 MPLPHSERVAIVGGGCTGTTCFWALQHSAHDVHLFEASASLGGR IKSLPLEHNGKEVDVNTESPSFNAEASPNLVSLLRFLGISTSAVPFSFGVSNGIDTYD WCGSVLNTLLLHPWMLCNLGTIRLLLDIIWFNYLAMDVLIEKRRSHDKTNVQQSLSTH EFLSKERYSKSLCDQYLAPLFSTLWGTNVGRLLPQFPVKTLIRSLCDHQLFGTRRTTP DFRRIDGGTSHVVQAMARGFSPENVHLNTSVREIVRMGKKQYSLFTADGRELHFDHII FAVDNDEILKVLGSNIDTKETEIIQGLKTTNNIAVLHSDPFLAPSTNGSWPPSNYTLD PTDYTQHEPSAWAPRKSSLTYNVSSLQDIPTCLFDRLYITLNPFTPPHPRFAHSIWEY TDLELSTATLQAQSRLPLIQNKRGLSYGFRWTGRGFLEDAVTAGLEIAIEHFGAQVPF EVQYHPDPLCSSKSPSIELGFKDHLVRTALCLARVYALVFQISWILLGALGFPVSRVE TMFKWMLGGDKMRKS AOR_1_2036154 MSNPLDTDAGSELFSSYEAELKLIQADLNQTLDQIAESSGEQRK STIRKAEQVLDEATELLDQMRMEKQNIPSAARSKVNARFRNYATDLDESKRKLKSLSD DRKALFGDRYTDDPQDVHLEQRQQLLSGTDRLERSSARLQESQRIALETEDIGRNTLA DLNTQRETIMNTRSNLQQSEGYVDTSIKTLRGMARRMATNRIITIAIITVLVLLIIAV IYSKFH AOR_1_2038154 MTTPTFLSPNHNPEEDSTSDPLLLTIRFSASIPDLPLDILYPET TTSAGLKQLVRTRLPQNLSSHRLRLIYAGRGLEDTVPLSVSLKLPPSPTRSPRLPSDD EDTDLSKGKGKGKAPVREQPRLYIHCSIGDIVLSATDLATEASLASTLQQEDETQKDG DQSSMQSQQQQQQHQTSSTTPAPRGFDRLLSAGFTPAEVSALRSQFMAIQSVSRTPDT MPSGAELRELEDRWMDEGSSAMAAGVGGAGEGAGFTDDDGGFGSGSRGAIDDMLWGAV MGFFWPVGCAMWLRREEGVWSWRKGLAVFVGVVVNLAFGTMRIMN AOR_1_2040154 MSFSRVTVRSPVTSSATMLRCVRSPYKTPAHRLFSSYGNAHRSS KRDMQTATAYRPHSLPTAFPPPRSGGSYDTSISADFPPLRETATQQQGIFPNVSLREN EAQKSKPVESTSPAPKPAEKPRRKLRARKAAMKLTPEAIVQLRKLLSQPDPKLIRVGV KNRGCSGLAYHLEYVEKPGTFDEVVEQDGVKVLIDSKALFSIIGSEMDWQEDKLSRRF IFKNPNIKESCGCGESFMV AOR_1_2042154 MESPLTQQTRPETFKPKVVQLYENLFQTSDYNEPSEGFWREFFL LPPDRSQLSSILDQLSPDETLNLQVQTQQLFIRAIREAASGASPVDSYALETLMVFLA CVLKKKYTNPSSDVITVLAGLDHVDQVISNFVAVLDGIIRNGSSFDLRIKAIKTAIAM TSGAYKTSLVSYFTHRDLFPSLMKFVQESETPIQVFDPFLLLGLLANYNKFEFQNPYQ LRLDDFVNETSIQKIVKGVGVSCAAVRNGYVAVQDDAPEGWTLFSTLVYFGLGALSPS KKDKASPPNAEEAKEMFATLPAQQAAILLATYDFTNANKLFGHNLISQAPEKDNEESP FASFLSLTSYLLHHAYRSPRIAHYAELNLFTLRILAEDSTLCKHLCGEENKRKIRLCR QRQPYLPVVTGDRVLATVIFDIIIDTISHNLRRRLDVNIYSHSIAILLRLLTYLSMNK IRLAYHWSELWRNLLSLMRFLTTYVSDLSSNPNITTLTTTLVDLVAFCVSAGDTFLPD PSSYDDLFYKLVETGPIITKYRDVYSLKQSSSKPTDPNTSKDVHVAAVDTLISVSTHF YTLLFNPEQTDAKPDADGQGVAPIPAHRKKNLGPREVHRIIKQGYDTLSIQPPEGLSA WTRWRETDAKTELKQAARCVVEDARQLVV AOR_1_2044154 MAPAGQTTYQKDERVLCFHHEILYEAKILDVRHVDPDDRKSPYE YLVHYKGWKNTYEAPLDTMGVEDKTSFICIGGYRLRKFTEENRELATTLRREAEAAFR QKSTKASAKKRGGSDRSSARGSEERQMSVPGRGTKRARDNDIEKEDSFYVRPSVRIVM PDNLKSLLVDDWENVTKNQQVVALPAKRSVNQILEDYSEAEKPKRTSSADLDVLEEVI MGIKEYFDKALDKILLYSFEREQYRNLRKKWESGSGDFADKGPLDIYGAEHLTRLFAT MPELIAQTNMDLQSTNRLREELSKFTLWLSKHSSQYFATRYMTASNEYVEKSKGVANP NPGTATSRLV AOR_1_2046154 MAPSEASILSNFLLSPASLPTIISLRQFTELFPKRLRSHPHIRA LYRELQQLREQDMDLVNGNIDQELHQGESQKAELRKSILNTGVDGMSANDQREIDMDV QLFGQTSTAAPSDYHSVSSLLSAMETACANIEHEISGVDKDANTLISELNLIVGELSD LRYGKTQGPVGTTSEDMMNETIDGLEHLENACYRKS AOR_1_2048154 MPTIPLHPRVSSPTSLPNPLPQVLQTPTGLAILELQGTINLPSQ EAEDESTTSTNDTHDPSIPTFETPVGKLMFPDYSPHRTAPDDTKWMKRVYLYVGRYQR MTGEVKKLAQPLALVQRRQKEMTSDSDGEELEIVEIIKYKLFFKNRPEPVNDI AOR_1_2050154 MAPIERITLFNIPKEEDRNKVLEQYKVLAKTATKDGKPYILAAT AGHSFPDPRNKGYTLSVKTTFASLEDMKYYDTECEAHKALKAVAGPVKEDVLTTYYES VL AOR_1_2052154 MSRRNSRDYEGDTFDDALEAPTSQNNLTVSIPQSSSTRSLTDSP PSASATTPQLSDAPSLPTAPQDEVKTNGETSDAHSDTRSEAEETPRKQKLQKSPLLTA HRLSTTSLDEVNLASNNKDDEPFENHDISPGHNPGSPPLSSRDSMSAQNPRLSDPAPP VIKNTAPAAPPPPPARKLTGPFAWLTRSSTGSKETKSPPQNSRRSTAASISTISSNPE LAGRTQDGEDQDGASTGSRKPARNSLKDQFKMLRMRDEGLVSENDEASVASGRASISQ SAGSPPPSIPEERENDSAAITATSPPNVPPTVNPNLAPGTVSGFSASATDAAAPVDWE LWQQLVNRGPQALKGTNSEELNAAIKRGIPQTIRGVIWQVLADSRNPELEEVYRELVA RGTEKEKSRTSNGTTNGEKESASSSRSSIRSEHSGSAAHSVNGSPSPSHEVDPEKLAK EQSASEAARKKKEKEDGVALQKLEKTIRRDLGARTSYSRYFVSQGNQEGLFGLCKAYA LYDEAVGYAQGMNFIVMPLLFNMDEAEAFTLLVKLMNHYGLREMFIHDMPGLHRSLFL FERLLEDMEPALYCHLRRRGVHPQLYATQWFLTLFAYRFPLQLVLRIYDLILDEGLEN TILKFAIAIMRRNSEALLGMKDMTPLTTFLKERLFDVYIDKQPSASSILESGFFGSSG AADKEVYRADLLVQDACAVPLDGETIRAYTAEWEEKVRTEKEREQELENLKHTVATQS ARIRLLEEQAEASDKEHVQLASELVHLKVENEELTDLNDALNMQVKNLKIVVDKQPAE VEEKLQTEMDRIMKRNMEVQNENRSMVEQMAEMEKELVEAKMKWAEIHENHENLKQKW SDLRKALD AOR_1_2054154 MASHGIPRYSLKEKSEEARQQELRKIEKYQELDHLVRAKIAEQQ YTPETLQKISELLTKNPEYYTVWNYRRQVLRHEFTQAASSDSAEAAADRITTLIKNDL LFLMPLLRSFPKCYWIWNYRLWLLDEAKRLLPLSISRRIWEEELALVGKMLRLDSRNF HGWGYRRVVVDTLETLTSEEQGESMAQAEFEYAKKMIGTNLSNFSAWHYRTKLIQRLL NEKSATDAERRKMLNDELELIHRALCDPYDQSLWFYHQNLMCTFDPATSGQTMAPNLS QSERLDYVRQEIEEIQDMLDGAEDCKYIYQALIDCTLLASKIQGTMSSDDQQKVLSWI SELKKMDPLRRGRWLDFERSLCA AOR_1_2056154 MLVEHLLPGTAAPMYNASFLPSVESAEKQSKYESRVALALDIDP ARTLLNSHHCWPLLESTPAPFSPLYERFSPLVWKDNAWKKAEKNRWSITPLPREDSAR IVPTLPFRILDAPNLRDDFYCSTLAYSITSGILAVGLGHHVYLWSEAFGVQYPPFADQ HPSNFVTSLSFSSESGEKDILAVGRHSGTLSLWSVFDSEIRFEVNHPYTITCVAFKHV NSRRMSERFKHVEVDTEDLAVGDDLGNVWYYSVEWPDDEIREDFDWQGSMTLIAKISA HTQQVCGISWSPDGAILATGGNDNACMLFELQDIIPPGELGIISKRPNTLRQSRLNSF VENTHQQLLHRHFIARNLPTSSRPGTAPLSTALLSRVGSLISDHDRTVIVPPNYQRHR LVHSAAVKAIAFAPWQPSLLATGGGSNDRAIHFYHTPSGACLATINVYAQVTGLIWSK TRREIVATFGFAQPEHPFRIAVFAWPSCEQIAAIPWGPNGSSWDRIENESNVDCGRAL CAVSYPCRPPTYVLDKLDSPDGSSISSLVNQLRSNRKGGSVHYRRAVVRPRAKEGGLW CPRTVEEGCIIVASSDQSVKFHEVWSSGRKQKAAASGPYGGSEILEGIEGLEAPGKEV IR AOR_1_2058154 MSKKTSRPPASKTSSAVSPAISGMTYTGSKSSILKASFAPSEYQ LALFASVIQGLGAQHLRIHDTNTGRLQCEHVLGPKETITSLDWGYYPGRQKDRDQQSK KKRKRHSDVNGSAGGFDQGDVVVAFGTSASDIRMYSPTEDKIVGTLAGAHDEGVKDFK FTLGKPAQEGWSVGGDNKLVQWDLRTGKSTKIVNLPPSSVVTSLARPLPSNPPVICAS QTPYIIDIDNNEAPIAFPAMRNSIHTLLTSSTESASAGLFLGSDNDRYINVFDPESRK LVMNLVAEKEVSSLSLYTGVGGKAGDSLSLEKQALAAVTDDGTIELFMRPFVQPKDLQ GGKAATSLKAKGRQMTRRAECSIKITRHEGSDALVPVVTASFQGRDLVIAYAEGGVIP IFERVSCLEETDELAFTGTKNIVKSKSDSVLSSVTTNGARKTGESQVDESKVVVQQGD LADDDVEMQDSKQDVVSDSDEYSDDDDQDRKQSGEVKETEKQKGTDSDVEMDNVGDSD PEDEEDETGEPSFGELMRANAAEIDVEAELEDDVRIGSLVPGKPNKAVQQIPSGVSLS TVLTQSLKTNDNDMLESCFHTGELSIIRTTIQRLESSLAATLLQKLAERLAARPGRYG HLLVWIQWTCVAHGGALAGQPDLLKRMATLYKVMDQRSSSLSSLLLLKGKLDMLDAQL GLRQSIRSGAEGMESEDEDNVIYVEGEEELEEEDSDAETAKHMATPRTKLIRDQTFDE DESMLNGVQSGIDESEDEEEGSEEDDDENEDVFDVEAEESAGSSDAEESLEEDEDDED DDAESAGSVADFIADTEDESEDEALSAPQPPPKKTKLGSGGKGKNKARK AOR_1_2060154 MATPPPEAAPVLKEEKPQLPPSPNGISSVDVSRKDVQGLVTDSK PDAASNEKKADSTKPAPVNGHENTATNGVNTTSPPKSPTAPPEERKAPTTESSAIDEK TTGDSLTNSSGKPLADNTSTDNGTPQTSQPTPDSLKPAKEVGGSLQAQGSAAKTDLPH HPPTSSKAEGSSNNDLTNKAPSLPPLQSVDQEMRDAPDVPVSPTKLSRGREPDSRDEP AAKRTKIGGEGSAPSGFKPPGTPVAERRADAATNGDATMTRVQHKFLLKGIQSLKRMH DSRFYREPVDPEKMNIPHYPQIIRQPMDLGTIERRLKNNEYKSVKAVVDDFNLMVQNS LTFNGPDHIVAQEGQKLKSTFEKQMINCPRPDDIEERKPKKSSPKTSAARREPRTSIG QAPPRPTGGSPQATTFALGPEGLPVIRRDSTNADGRPKRSIHPPKRDLPYSTKPKKKK YQWELKFCQEVLDELHKPKHFNYAVPFYQPVDPVALNIPTYHSIIKKPMDLSTMQTKL KTGQYENAKEFELDMRLIFKNCFKFNIPGDPTYLAGQKFEEIFNSKWSQKARYLEAHE PHPEHHSGKSESESDESDEDADDSDDDEELQRLQQKIAEMTRQVEAIAQKKKKKTPPG SKKAGKLKSSTKEIKKPGSMNLPKKDKKSSIKPSKPEKQRWVTYQEKQIISNGISSLP DKKMQEALKIIQSNVPSLKGTQETEIELDIDELPNDVLLMLLRFVKKNAPQVMEDEDV ATPTAMMNTAAAPKPKKNKPMSKFEQEAQINMLESNLSRFQGGGRSPDPVPSVEANES SDDSEDDSEESEEE AOR_1_2062154 MLPPCDPAILENNPQFKRLYQHLTTNLLNPDASTRANDAQPARK AVLEELKSCRAHHMKKQIKKQTLRQLAFDPDNDLPDDYRDTITIISLYLDSSSSQLDL DSDDMDGADPLSLLAPDIDRFYSDLPALIRPFSNAISSSLDDLRLIANAGDASDPSSV ELSRPRTRARQSMMRAPVSLSPQLEDRIRKLRQKQLFELPAARTRMAATAGEVLATRA AVLERTVVLLERAKHGALARATKAKAEHLATVARGVEGKLSVTKLDICATIHTPETIA ALSRYRQHLQDTRERLEERKTSALEELKAYEVDDSRANDRAGSRSRSATGPMRDITRQ YGDLIREIEDVRSEIERL AOR_1_2064154 MADEAGSIYDEIEIEDMTFDPITQLYHYPCPCGDRFEIMIDDLR DGEEIAVCPSCSLRIRVIFDLDDLHKDDQQQGPSAVAVQA AOR_1_2066154 MLSRFPRALPAAIRPTLRVGRISAPVASHYQPQSSPAPRSSRRA FHCTPAARKGIFPGSSDPPAPNPQSNNVAGAASHVTEPSPLKDGEYHEYAEHYLNVLQ SEIEKAQEEGADIEAEYSAGVLNIILPSVGTYVLNKQPPNKQIWLSSPISGPKRYDWV VEGDYMHEKQDSRPFANGQWIYLRDGSNLTDLLNTELTLNIPRDVYTEEQ AOR_1_2068154 MSDAKKKEKRPPLTHFLCLPLVNSTSLPQLESSLAVFKASIPRR TLRYGAPEPPLIPDGALRPVGTLHLTLGVMSLPTKERLNEAIEFFQSLDLVTMVREAE KIASARAQGKKRNAPSASATEQSSSSSAGEVAKSHDESRPSPFTVSLESLHALPRART ATVLHATPVDPTARLYPFCELLRDKFLEAGFLLGEQKKEKDNKQTNYKSTEEMAAEEP SLLEEMPANIAEETARMSDKPISTQPVSKKSTASKPKIRPLLLHATVANTIYVRGRAR GGGPQKGQNRKNQYTFDARDFLSHYRNYYVDSDRTTPRATVVTTSGDASEQDQINGED LSENEASRSESEGDKLPNNRRTSNDATDGSRQQYPFVWAKDFPLETVCICEMGAKKLD PEADEDGMNARLQEKYLPIVERSLVFSLAKTETVTSCDGSVGGVNIC AOR_1_2070154 MARGGDSEGTVALPRLEDILRHPEDLDKIAGLRAEYSRKKAAVD SQLREGLRDQLETVQRSISALTEGQRQVSKTKDELQGIDKLCAESQSSVEDFSQIDRL AKVQRNFEAVLMMKKGLENFSENLAEVESLLREDDDDLENQPNLLRAHMQISKLRDFR DEAMDQIRKAQDPSSEATLEDYFQGLDSVIDWFDDHLGTACMNLIPLVQSDNPSMVVR LAVVVMNEEKKDETVRALQEAQKDHQDLAGRFKSMNVGPKTVRGYKEKFLQAIEFYAQ NQFENTKEEFLGDPDTLEKSFRWFFNDLFSVKQGMQTLMPKKWKIYKTYTDIYHRMMH DFFVDLINDPELPPDNLLSIIHWSEKYYKKMNKLGWKQTDLRPNILDDREPELIRQWQ SIIIKAVEEWMERITETDRKGLVERIPDSLDTNAEGYFRTKTLPDMWRMIHEQIQAAK ASSRTDLVEGIIDAMFRVLKGRQAAWQSLIEEECAKYKAPGGDQLDGLQLLQDWLIAV ANDQIACIDDNDESGQLGYLSRFKREFEALVDPKYMAARAIPELDALRDGYVDLSTYC LTQFVEVVFAVDFRATIPDFFTQKWYGDFAIKRITSTFEDYMADYSPVIHPSLIDILV EELSDELLVRYLSSVRNRGVKFRRHADPYTDKFKDDVLTVFAFFQNYPDSFASTIKQK WRLVDWLVRLLESEKGPAVVAVYEDFKMEYWDLQLTWVEAVLRTRDDFERSMISAVKA KAAELSVERGMETLMSRMR AOR_1_2072154 MSYFRVTLVRSAIGLPRRTTDVLKALGLKKRMATVFHPVSPSVA GQIMKVKELVDVREVDRRLTKQEVHLERKPDPGYYIEQTSGAEWKAKRSQ AOR_1_2074154 MASSVLPVALQNKLLGYSRAPNAQLAALNLDILKNIVFLFFILR YVRKTFYSLRGYGVLGSLRNVYAAIRLFCYSVFLRFPGVRGQVDKQVSSAIENLETKL VATGPGVTRYLNLPKEGWTPEQIRAELEKLANMEHTRWEDGRVSGAVYHGGQDLLKLQ AEAFGQFGVANPIHPDVFPGVRKMEAEIVAMVLALFNAPSDGAGVTTAGGTESILMAC LAARQKAYAERRVTEPEMIIPDTAHAAFYKASEYFGIKLHRVPCPAPEYKVDIPSVRR LINPNTVLLVGSAPNFPHGIVDDIPALSRLATAYKIPLHVDCCLGSFVVAFLKKAGFP SPYEEEGGFDFRLPGVTSISVDTHKYGFAPKGNSVLLYRNRTYRSYQYFVYPDWSGGV YASPSVAGSRPGALIAGCWASLMSVGESGYIKSCLDIVGAAKKFEASIREHPLLSKNL DVVGKPMISVVAFQSKNGAVDIYDMADALSAKGWHLNALQSPAAIHVAFTIPTASALD KLTADLVEVVEKELDKAEERKRQGKSYIIKRGDTAALYGVAGSMPDKSIVSRLAEGFL DTLYKA AOR_1_2076154 MCPGADNEPNGHAAPANGNGEHPGFTGIETRQNPHPSASRNPYG HNVGVTDFLSNVSRFKIIESTLREGEQFANAFFDTEKKIEIAKALDDFGVDYIELTSP CASEQSRLDCEAICKLGLKAKILTHIRCHMDDARVAVETGVDGVDVVIGTSSYLREHS HGKDMTYIKNTAIEVIEYVKSKGIEIRFSSEDSFRSDLVDLLSIYSAVDKVGVNRVGI ADTVGCASPRQVYELVRVLRGVVGCDIETHFHNDTGCAIANAYCALEAGATHIDTSVL GIGERNGITPLGGLMARMMVADPAYVKGKYKLEKLKDIEDLVAEAVEVNIPFNNYITG FCAFTHKAGIHAKAILNNPSTYEIINPADFGMTRYVHFASRLTGWNAIKSRAQQLKIE MTDSQYKECTAKIKALADIRPIAVDDADSIIRAYYRNLKSGENKPLLDLTADEQAQFA AKEKELAASGVVA AOR_1_2078154 MRSLVPFPKVIALVTTTTALYSHCLGAAVQGTDELGPSPAVGWI EESKTTAHLQTNNASPDDFPVCTDIDGPFAPFCLPQDGADVIVDATYYVTWNADFYPL NASITIEMRYSNSTVGDSAFTSEKTDNSYGYLPLHMRKEWLQEKAHNDLTFYLIELNP ASGTRASIRKGPRITLHPKPVEHYKPSPPMTFNKRALFIGLPVSLSVIIVVVAGLFFG MRESRRIGLGSVMGSRGKGYGIGKSKSQRLRKSRSEFYHSNAASALKKYTDDTDSGLS EVADPDLHSEIERTARFAFRQDSMRLKSWRRQ AOR_1_2080154 MRFIQLLPILPALAAAQEQVPIADRVQGWFNKAKSYLPTATPVI PAAVEKVVEQKIQEKTVTPFNLSNWQSLLAPSDEPKDWFVFITGGNKTCFGRCHQAEK SFNESVLLFSADPTSPNLGYLDCESNRVLCSAWAAGSPSVSYFKVPAQVGEERPATAQ YNVYFNATTVTPESLYKIHSEKTYEKRGAYEGSFHATDSWLAQRGLLIPAGYVIYAFS AIPSWLFMIFISFFSRTMMGRRMGNTGAPAAR AOR_1_2082154 MADLDHIPPSTTAYVVATAIIAGVTGYFLGQGSSLGLFSSQEKE GWPNSYNVKVHRDSSDEEEEEESDSEDEGDGSELANFDKNAEEVKLVLVVRTDLGMTK GKIAAQCSHATLACYKYLTAHSPNSSMLRRWESQGQAKIALQTKSEEEMETLQAQAIS LGLCARVITDAGRTQIASGSRTVLGILGPKSVVDGVTGHLKLL AOR_1_2084154 MADALSIEQNNKIRVALGLKPLPVPGADATSGPTFKESEHDGSS SSTEDDEPGSTLESREALASSNWKKMQDEAEAKRKREERNAAIRKARDEAQRNTKLQG ATLGEAADADMDTKTWLQQTKKRQKKIEKERVRKLAEELEERARAAEYTAEDLAGVKV GHAVGDFDGGEDHILTLKDTTIDENEEEGDELENLELKEKERTMEKLELKKRKPVYDP TEENTGILAQYDEEIEGKKRKRFTLDAQGSTVEEREAKRQEVSEKLKKNIISLDFAEE TPTSDYMDVSEVKVKKPKKKKAKTTKRRAVMDEDDLFPTAESTGTPNGNSMEVDASNG EPVPAPAPRKSVSEDISFVDDDDLQASLTRQRRAAFKKRQKARPEDIARQLREEASQT PMEVEGENEEEPGLVIDETSEFVSNLQKPTLPERRERRTTTPAEEPRASSEGPGIKDE PVEDGDVDMERSYNDIEDEEDLKARIKSEEATVNQQISGTGLEEESTLDQGLGATLSM LKQRGLVKSSDAADHNSLIRDRQRFLQEKHRLETEAEKRARLQRERDRASGKLNQMSA REREEYARWENKQRDQQDARHMAEVFNKEYKPDVQLKYVDEFGRAMNQKEAFKHLSHQ FHGKGSGKMKTEKRLKKIEEEKKREAMSALDSSQHTGMNNAMGATARKNRQAGVRLG AOR_1_2086154 MLSTLRVASRKAASRDANLRTVVVGARHASAWSNVPQGPPDAIL GITEAFKADSFKEKINLGVGAYRDDKGKPYVLPSVRAAEDKVVASRFDKEYAGITGVP SFTKAAAELAYGKDSPAIKEDRLVITQSISGTGALRIGGAFLQRFYPHAKKIYLPNPS WANHNAVFKDSGLEVEKYRYYNKDTIGLDFEGLIADIKAAPENSIILLHACAHNPTGV DPTQEQWRQISDVMKQKGHFAFFDMAYQGFASGNADKDAFAPRHFVSEGHNIALCQSF AKNMGLYGERVGAFSLVCENAEEKKRVDSQVKILIRPFYSNPPIHGARVASTIMNDPE LNQQWLGEVKGMADRIIEMRSLLRKNLEELGSKHDWSHITSQIGMFAYTGLKPEQMDA LAKEHSVYATKDGRISVAGITSDNVKRLAESIFKVTG AOR_1_2088154 MRTAYKNQLPTSLQLQRRRTKSVFHQGKIFGLERSRWLYEVVTG GKNKPFVSSEGDVTVAHLFEHGITLVAWDIEASSVDSSVPFTKLPRSITRNYRPETDI PFSNYIPPEDRSDTEDNRWWSAQIRSGNAVRFFYEWALASENRRHAYLMDSCGVHPVN FPNPFLCRCPIVGWHPPTGGRWDVSFFLEPEKQNTSPFPHIAVGASQPVDATDNSILY GELAVIITVMNSRAKQPQAQSEEEMESLFDMVEEEVEKTFQKSPAFANEQTFPVLLFS FVGPQHARILCASMNQRQLIVRMSRLYSFERKEEAPLDLFTSWLFSRPVVET AOR_1_2090154 MLTAYGPDGPWQAVRIAVGSNKQSVDLYPGANGATTIFADSICS NTTLSTTCYAARAGTYNQSESTTAVALNRSAWETSYWSVQGGSIQGFVGDQVEVGSVI PNVSFKTVYQTYQTYPNGKSYPVPVGNLALGGLQLKDVASGLSLNTIAAWLYTSGGDS SIPSYSYGLHIGSVEPAIPGSLVLGGFDKSRVLAEVSSQSVSLSSYSSGIWQIVLKDI GLGVATGGSPFGFTSKNGLFMQSNGAALPKSVTIDPTKPYMYLPEATCDAITSSFPVS FNSGLGLYIWDTTNSKYANITSSATYLSFVFNKDGLSDQTITVKVPLRLLTLTLQDPL VDRNATYFPCFHSTDTPVLGRPFLQAAFVGVNWFEGNNTGTWFLGQAPGPGLPEADIT TINVADATLAASNTSWEESWAKYWRPLPEYGSNSSTSSNSGLSTGAKAGIGVGVGVAG VLLIAGGVWVAVLRRRGRQPVAVEERRAVFRGFAELPASKKPDAYPRELGTKEDVQPQ EMVGSQEPRHELE AOR_1_2092154 MSNTVPNRDIQTRPTLRLRGKGANELKEPRISEHPRDGPWMPDP SGLENELAVQPPDTKPATGVTMDEYRASFKVDRQEASKKMRELKAENRLDEVELQWAV IMWNSVDMMRTDGWSEDEIESALERYYAGPQYYLTPNNIASPRMIERYGADWGLNARG VYYRHRYSQGWVTAGHDLWLPSLDGIDWNGPGESSRRSRAKAFLKCILNNERWRKSEY AWEADAWTHVFGQMRDDPVLAVDKHEYNTIKLKRDPVSCLLVGEPKFIKRIPDATFGL ATFKPKDYQNPLAEWNLDHDRLEALLLHRHCGLISDPRWGDADLAFPFAVYEAKGWSG DAREARRQGCSAGAVYLDMLDNLACQPGKAGKGKRAYQSAEARSNNQVFVFTSFGAHW HILVGYKRPRLERECAGHEGFSESVYIFQRIWSGRVVTQRKAWELLSLVDQIHLWGVT DFRNSIINRLNDWHEFGRRCYANDVKFMFRKVGADRFTRDGKEYRPIPGVCLQLADWA KHLSEEARDKLRERVISYFHQACPRDLPDLTDNWPAAITCLLDDCGPVGTPGYPIQCK EEMAAHYREVHGKDDDVIADLKRLWDEPEEIDNDNNPVQVRKRERLESGEPESCAKRY KGSTPTDVGNKQVDELIDLTKEH AOR_1_2094154 MPALEVPVSGLSLCRDNPGSDSTVKPTQIMRLNLAQNTLDELIQ TLRDDQTARVRLGKHPTLYYGSKSQSFHSHPETHRSEIYSSSSNDKENLYFTGVLSHS LEVQKAKEATAATDQALANLEQSLNAFERGKESKKTHIITSIDEVRALRAGDKRQAAL LARKSSSKVELEKDRLLKNATNRSVSSSPALGVSLSPTSAHPLTPTSAPLSQNKDRVR LDALKVPFIHLLAVRAVSAKFLARQTRSSIEDCTALARKYGAENRINPEKFDLKDKAY RELDVWKFPYPSQEERQEAIENAISAFDRMRISRTDKLWQMLLPKEERGKGKCLSRLN LRTGPVKKPQTPRIQVQNSDENGKDGDTTGPDTDRVSGNALTPKAQPTSAPRSGANAQ KKRIGNSAAKQSTTKGKNTTNSTLTGRVTKKPERKPVPKPDGKFKSAEFVHDSDEDDT DMPDVSASEQPLSEKTKPQPKAPPKPVESSTPRESSHVPTPKIDQPERPAPKAEPSPP NPPKPTTSSKRPPSSRPPAQKSPQKPSPLGSSPPTNASDLQSRSRSSSQNNSSSSSSS PLITQISKPNKATGTSNVKKQMKANGVVKATPALNPLKRKAELDRPSATPAQGRTTGD LEHKRRRAVSTSSSSTGSASPPLSREILLQQLREKSQRFKHYYAKYRSLHDTMAAHPD PPRAELEKLRRQHFRLQQMKEEIWDEDRRLREGL AOR_1_2096154 MTPARGRVPGQRSPHVLVTDSREQQTSAQPRRRRSPSTRFITVD NVLQYTSDIPSMQQRHPPQPSRARPRSRIASATGGLIGAGTAGASGGGTSAASTAATM GRLAAQPRLPPRSTKVSEKLVLLPESDEVDNKLSEDEEEEDVDEEAVDEELVQRLARD KNLDAEIVRQRLLTQKRLGGDFGVDNDIAPLLAEEELLRRRKVAPEKAKSYAERLPKA RRAEKLARVTAYCTAQAYKMSSLATFVKERHGGKTKLYDDCLYTAYHLPLLPGHGGYR LRSSPVVKKPGGKSLLDEEIERNELRDHHEDYMPEAEEHSVLGGRGEHGSQHSDSETP GHDQEGYHEGSGTRAEATDGTAYEHHSQAPVGPPEPTRLLYNVAEMFVFSYGVVVFWN FTDRQEKDLLADLAFATSSVTGTPIPLATMPLQEEDFETEEFHFEYSTEISRPRVYND MITLRSGDHMIKLAISHGIAQSTKLCFFEEVMARQMAEAKDIPRRLAMTGKLGMKREE VFRILGSLFKSRVEVNLSSNMLDVPNFFWESEPTLYPLYIAVREYLEIKPRIQVLNER CRVFLDLAEILSDSIADSKTSHQTWIIIVLIIISILVTTSEVFLRFGLLSSGKGASST SFAAALFSRALNPTSPSCSCPVPVPNTGLNTTAMGLLNS AOR_1_2098154 MDITTILNRKGSAAMVAAEFDHQQFIQNPHLDTSSPKMKPEPGV SEAGDQPVLAYPPHAPLGQVPNMHPDMRYQPQTHPNPALPLLQNPYMPGGYTSAPPMP NGGAPQGRTDPPPKTFHCGTCSKGFARRSDLARHERIHSGIRPHACDWPGCGKQFIQR SALTVHSRVHTGEKPHMCERCGKPFSDSSSLARHRRIHSGKRPYKCPYANCQKTFTRR TTLTRHQNHHTGTIEEAAAETEANLRQNKERVRAPGEGMYSEHGSVHSTPSPAHHPAL SPAGELPPLNMPRSSGEYYSMGNGSIPPHVRGDFPQASPRASPTATSPSLSSFGSAPH TRPSMTSHPSGYGPPQPLEPPANNDHRPNSVSGSPHMTSLGWASPSHGSIPSPGSATD FGYSDPNGPAYPNSMPPHMYFPNSTIRRPTSTEPENYEMKPKIGESAWSTPV AOR_1_2100154 MTNLRPTIRKATSLLRHLNSPHRAHSTSVSADELSHFSSLANSW WDPMGPSRVLHLMNPLRHDFIASCLFDSLPPPRASAPETSTPVNNLRYLDVGCGGGIF AESLARTIPTNANSPSQTVTQAASITAIDPTSALIKIARDHARMDPKVEAHLRDGKFT YKNCTLEDIVASQRETPEDEKFDIVTLFEVLEHVDSNAASSPLAFLTNCLRVLRPGGW LVGSTIARTFPSFLVNQVIAEAPWPIGVVPRGTHEWSKFVNPDELYAWAQEGLMRSQD GASLRAGGDALQGWKLVPGSENWGNYFWAIRKGL AOR_1_2102154 MPSYFYHLVIELFARPSSDSRGAFHSADDPSPTSLAFDSICEAL QPIQKRSRRSARYHPNGKLPSSSTLLSSDACSLNSVVQTVHPTTPRLYTKSPTSAPDF EKDPRLDKLSVECIDMIPSDQEAIASKRAGRRSDPRDNLVATGIGTDILGGLRTRGKY IPLDQQTPNSVWGIVHLYRDAQETPYLVEEDYPSYLKGSAAARQPYDELGGGSNPRQD VQVGEQSSFLYPDDDCTTLCILAVPSYMSPSDFLGFVGEASMDDVSHFRMIRTARANR YMVLLKFRSGKKAKEWQKEWNGKVFNSMEPETCHVVFVKSVEVQVVDSQAHPQRATIS STGQSSSIPSATLSTRPLAPPTPALVELPTCPVCLERMDETTGLLTIICQHVFHCTCL QKWKGSGCPVCRYTQDEFRRSSQGALYEDEPAECSVCHSDINLWICLICGVVGCGRYD GAHAFDHYKETSHAFAMDLATQRVWDYVGDAYVHRIIQSKTDGKLVELPAADNSALDP PDWTDAVPREKLENMSVEYTHLLTSQLESQRAYFEEIVERAVDKASQASAAAASAQEA AEKATANFRSLHTQYDKLENETLPNLERDKTRAEKRAEKFEGMARKMEKEWREEKTMN ESLMQRIEHLTSEVESLKATNADLTEQNRDLTFFISGSERLKNESEDIVQGTVSVPEP QTNKKKGKGKGRR AOR_1_2104154 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGN AOR_1_2106154 MGDVKYELSDMDKMGITKTVKVLLFYELQPTIDLEKLIISLAEG VKNATSQLPFMAGNLEFNEHGKLCIVIPPGSQVKLSTRRFESKEQKSLSALVQDSFSP DHIDFTELLPEESAAPKQVCALQLSLVEGGLILGLWMNHAAGDWSSIDTFMSLICQSC KAYQEGLEMPTYIPDLNRAPYNAPETGTTSSREEHLEKLPMFYVMEKSQFKLKPPPTF RSSIYRISEASIQKLKARCTPYLTEVDYITSYDCISALAWTSITRARLNLHPEKSSSP SRFVHPIDVRTRDPEKKTSERYFGNAVIGTQAGPTTAQALISDGDRGFAAAATLIRQS INSTSLSTISRMTSLMKSLAPTETLGSQADFSDMDVFMNTWYSGNAEKYDIGGDLRPV AFRVPSSFPGAFAVILPNFSSGATRVFEVLVQVEVEEHEVLRKDQDFLRYFEIVA AOR_1_2108154 MASTLQLLHLPTEILIRILEEVPSQASLANLARTCRQLQILVEP YLYRSVYLGNHAGESFTYAMDRKAVRAEYIQELVIHYHYVEDVPNEDEYYPILVESLV PTIGRLINLRQLVVKGLEYDICELIMNDLTPIENETGDMWYFSSRETVLLHPTLQNLS IVAAIISDLRSETLSYIKKPWFNPTSLETLNLLCCDVSPQSLREMLQFPKALKNFTLR GSPWTTRWEFFLTDRVGIVDVLKTQAHSLLNLELDFYLRTNCPALDFRDFKCLQQLTI DPKVLRGDHYTQSPETKEHLRKHCHLPRSLRCLRFREYKERSRPDLLTLSIVLDWVIS GGLPNLENITIQSATFFSEAILDASAPDGKSFQQAFGDVGVEVVVERVRSALDDEHLT IDCRCCSFYWRYLNQWDD AOR_1_2110154 MSNVDISNDGFIGLDYDSRNYLQPQSWPVAVDHQASHRAEGARD ISPLQTSGHAFEQSVAQDPNLMVDWQFQHMQPHLQYSHEEASSAPQFTTASYGMPIHS SPIDLISGTPQGPLSGSLLDGPYLPLSAPVDMVPFPYQDLQSDLMAFPSDGLAHGLPE IPSYAAPQNVIDSSSPADTYLEVRSLTSSSSDNGWSTIEPRRSHEYFPDQGFFINPTQ TLHDRSLSESSYSTSYGSFVEISNPVNSPSSDTNFDAAFNNTMTRRVSFDHTSHGSQS PTAVSPVAIVRPIPVPMKKPSSPTRSTGSSSSTSPPTRKPSRKSPIAAKTAETKVRKQ SQNGKPETEKRVGKRKGPLKPDQRKQASEIRKLRACLRCKFLKKTCDKGEPCAGCQPS HARLWQVPCTRIDIKEIGYFMKDWKADYERHISLGFSVGNIKGFSEHERTLFITHGYG QILPINAREVYVHNDQCFNVDWVETYNRGPTKYEVETAKLSAGMEGISHAMLSDYLDR HIDGNGTFEKFVDDYFEGTPFLTQMLKTAFRFYYRTKMPVIRKALKLIVAYNLTLHIT LVEGLGEEDGLLGKVDVEGSKFKGKTLAPVMINFQIKCAMANMWRELQKDVLEELSSL YSSVYSGEKLKNWPTIFILASILLAVWEEMQFDCHYRTRDPAAVEKFCNDMETTPVGV IVGLFQAISQKLPAFTEWETQKHHHLLHSNPDVCSAMTEVRQHVTQYESYLRSRSSSK FNPKDFDCLSNKFVSRLVVRAN AOR_1_2112154 MPVTSATGKQRRKVRFASTSQISAGKSSITPNQPAGSRPSSTTL SQDHTLPKQQSKMSETQVHPAVPALFKELPIIQDALTTETTNLQEETVNKCLPFLKGI HSSQKGPFNQFGVPALNRDDHIAYLYDSLEDYPGSFVALDASRPWMVYWALAGLALLG EDISQFRERVITSFRPMQNPTGGFGGGHGQLSHCAPTYAAVLSLAMVGGEEAFQLIDR KAMWRWLGRLKQPDGGFRVSEGGEEDVRGAYCAMVLLSLLDLPLTLPPDAEARKHGFE TFTSGLSDYLSRCQTFEGGISGNPGSEAHGAYAFCALACLCILGEPEATISRCVDVPM LLSWLSARQYAPEGGFAGRTNKLVDGCYSFWVGGCWPLIQSAINGTQPATAPKQTSTG NLYSREGLTRYILACCQGKHGGLRDKPGKHPDSYHTCYTLTGLSTTQYHHYHTDASAS SRGDFSSAFSWKSLPVTSSDNQGSDLSVFDEKDRLAALHPLYVIPHQAAENMRIWYEN APLEV AOR_1_2114154 MYYVLYLASLCRRRRWPEPQFEAYEGPTGYTCIVRVNNREYQTD TVYQNETLARENAAMRAYLICRNFSVNDGMYPAGHDHGGIVQGMPVAIGTGRKARYDD TDTSTSGGSRSGGSSPESYEGGRFVQDRPAVPSRALAFSSRGM AOR_1_2116154 MRAAVRRVSAFGALAKRPIGVHGSRWYTISPVAGFGLPVSMQVR RFAHFDAIQEDKRETREELERLEIKEKDRQRSAESEDGEQGSQSKLANAMSKGKLLTT PSRLFKVLIPLPTASHNSKHDAILIHPQQPLSYLERLIQDEIPPISIDGAKPRAPAVS FIALQMDDNPVKPKKSMYETTDTEVHRLGGIGAGHEAKQRRRRPDEEDDTYSYLRRGE PGKSNREERFVRWSQSTEIGDFVRDASRAREFIVSIEGGPAGVRQIQVTVPSFDQRTH FLRMRLRKISGRIQSIAEIKHECDALAHRGAQRVAVGGLGILGMWWYLVYKLTFETDL GWDTMEPVTYLVSLSTLMGGYLWFLYHNREISYKSALDFTISARQKKLYERKGVDLHE WESLIDEGNLLRREIKTIAAEYDVVWDEKKDERDERVTEALRKERNQKNGSSRTKENE DDD AOR_1_2118154 MSESVMAPVGPRASKEEFMQALALDSQDPQHEQIYRAMRDEAII VYNRLNEDTSHLLDSVANDPSTRPPFFWHHIRPERQRWGIIEIAQNAGPLTRPFFTRG ATAGEYGPNWVSGWLLYSVFRSRDVRNNRNRRKGDDQGQSSKTKKQEEDAPPKKYYDP VRNG AOR_1_2120154 MAPTTTTKTQTVQTPPKTEGPATLDDMKEHIDKATFDQILEMDD DPDDRDFSMGIVVGFFEQAEGTFKKMEKALEKKDLKELSSLGHFLKGSSATLGLTKVK DACEEIQNYGAGKDKTGTNPITEEDSLKKIDESLATCQADYKVVEKSLRKFFGVENDS EKNVDK AOR_1_2122154 MSSKPASPRGPKGLTKLYLLGYNAASLTLWATCTLRGFYLLTTN TPENIPAIFNDIFWPLLATTQTLAVLEIFHSLLGIVRAPVTTTAMQVASRLLLIWGVM FLFHEQGDGTGIVGAPTETVKVGDYAFLGCLSAWGVTECIRYGFFALQVMGAGVPGWL TWLRYNTFYVLYPLGITSECVMVVKALEPAAEFNPLYRWFLIVVLGIYVPGSYILYTH MIAQRKKVLKKRAE AOR_1_2124154 MPEPSPHPRKQDPTIIPNPRRLLILTPSQHSHTTIPPFLHSLTG TPVVDPPTSTIDQKPDTDSKGDTTTTTTTTTTFAGYTTHPPLKLENRYYKAEVPIWVD EIPLDNSPGDVNANVDIDTQHERSGLNPEDKEGQGEGDLLTPKTWQKEFSGPEAKVVR DAIGGVVICLRNLDLLSPSTSTSTSTAPSTEQDMEERPEWKGLKTFLEAVGFVKGVMD EERGGLGDVLGLVVLVGRGRESGVGARTISGDPDEVNDLGEEEVFSVPWWEDKLFDLG LVGFEVVNWDPREVGLSEERDRFGEYQGMRRVREILETHDWASTPSGESGGVDDVEDE LEGHLLEDGFDLEVNELEREMVGLRFAIENGGDDLGGIDGDDEIKVESMEALMLRMKA IKDMSDELPESERKRFAAKAVRDIMMEL AOR_1_2126154 MGKLTSTIGIPIKLLNEAQGHVVTLEITSGVVYRGKLLEAEDNM NVQLKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLRNAPMFRSRGQRGRGVGLAR GKATVQRARGQRRG AOR_1_2128154 MPNPPNTNLRAFNLAVQTLLKSPSQFLPHLTIPTITHLPEQVGP SIAANLNLQSADASKTKSPTIRALVLDKDNTICHPKTTTFPPQILDKLHALRTSHTSP FNREQHPDSILIVSNRAGSHPRYDAEVRELEERLSGLRISVFRLPEGSEKKPFCGEEV LEWFRERGVVKGADEIAVVGDRLGTDVLMAARMGSWSVWCRDGVTEGVDPKDVRPGMN LLEKMEVWVERYLRESKGLKAPPPNGWDRQ AOR_1_2130154 MSSTDSPDQSTMSTTPTTTAPTTSSTADSTPSPSISNATTAPSS AARRPQRKSTLTQQQKNNKRQRATQDQLVTLELEFNKNPTPTAATRERIAQEINMTER SVQIWFQNRRAKIKMLAKKSIETGEGCDSIPESMRQYLAMQFDPSKPGARDPFGRTGG YGTSGAYPSESTPSGKVVIHHFTCRSLTIGSWRRIGQNAMDLVVFYSPEKACMTYYIN NDSAGYKIEYPFAYIKNITLESGDQGPQPNGAPPRPGGLVVELNRPPLFYMDSSNSGG FYQCGDFTEDQQASQILVHHLGGHPKVLSVQLAKLVSLESFQNRLAYNNFAVNAPMSP PFIQRPASQPNQFAPAFMNMIPETQTHLNLQVPRGHRRQRSRSVPVAVDFSALQTPLA TYNLPQTPVHFNHADSNIFAPVPQSAHPLAANLRIDTSAAYGFDPRTHPMSATTATAS PSDFASPGLFSNGGHGDSTPVATTMGTSFNLPFVSPQVDSSNMGPQSASPYSTVSHAD PMIADHSPPLSNMHASQEMYSMSNEHQPSFAEEGMQMNVMYPKQNMNFTVPTSMGFEG NTFDLPMQTLSGHTSPGVQGDYQMTSMENADPNAFATGS AOR_1_2132154 MPNQDARAEANRDAQGATSDEGHIVVEEVSGQDSSRGSALPRSH SISVPSRHSISQERPSIAPSRTLSDSGRRNSHQPRVRFSADLERPLVEEPRISNHNRR SSRGLTIDTSITRDDRLSVRSPEHRTISPLSPRSALSPPSPQSPDSGRSRSRNRGYSL RRTIFAKNIVSATSPGAPVSPQQETSTENEQISRKSSDGAGQGALTGGGQYERKSTED EKQEVIVTQTSAPEHSPVHIDDTSTAYFSSDPSEDLKGGRHMSTSIAYEKWLKRRAAI TGIKARYEAFMESARKTILRIKDIPPSKDGRHIVLDPTRTEELIDERTGRPYCGNWIR SSRYSLWSFFPRQLFAQFTKLANFYFLVVAILQMIPGLSTTGSFTTLVPLLIFVGISM GKEGFDDWRRYRLDKEENNRYAPVLRPGAGIMSQSSSSDNISVASDAQDWSLVKWKDI KVGDVVKLERDQPVPADMVLLHADGPNDIAYIETMALDGETNLKNKQPCQPVAKVCAT VDGICSNAMHFAVEDPNLDLYKFDGNVTVAEGEKMPLTNNEVIYRGSIMRNTEQAIGM VIYTGEECKIRMNANKNPRIKSPALQARVNRVVMLIVLLVVVLAVACTVAYIYWSDDV EQNSWYLDKANVSYGPIFTSFLIMFNTMIPISLYVSMEIVKVAQMLMLNADIDMYDPE SDTPIEARTSTINEELGQVSYIFSDKTGTLTNNSMRFRKMSVAGTAWYHDFDLREEEA REGGREKLIHKKRSFKGKKSMTRKSNVSEARSHLARPSSTSVADKTRKPGRPVLDYRT ADMVKYIQRKPYTLFARKAKMFVLAIALCHTCIPESDELGNTSFQAASPDELALVMAA QELGYLVTDRQPNTLTVRTYLNGPDGPASEETYEILDVIEFSSARKRMSVVVRMPDQR ICLFCKGADSILMQLLKRAALAQEKAVEIERRASKRKAAEASEIIRRNSEYQARNSGV RTSLSRPSMTHRRSSVSGRHMSTLRESIDVWLRDRETDGGILNRGNDAEYYSPRPSAQ IGRPSTTMSDSGSSVNDDDEEDLVEEALVVNEEAVFERCFQHLNDFATEGLRTLLYGH RFLDEASYNTWKTAYREACTSLDDRQEKIEKVGEQLEQQLELTGATAIEDKLQKGVPE AIDKLRRANIKLWMLTGDKRETAINVGHSCRLVKDYSTLVILDQETGEVERSIVKFTS DLTQGTVAHSVVVVDGQTLSMIEADETLRARFFKLAILVDSVICCRASPKQKAFLVHS IRKQVKDSITLAIGDGANDIAMIQEAHVGIGITGKEGLQAARISDYSIAQFRFLLKLL LVHGRWNYMRACKYTLGTFWKEMLFYLTQALYQRWNGYTGTSLYEPWSLSMFNTLFTS LAVIFLGIFTKDLAASTLLAVPELYTKGQQHGGFNIKLYLGWTFMATCEAMIVYFTMF GLWANVMFTHTGSDIFSSGLLTYTACVIIINTKLQALEVHNKTYLSLAVFIISVGGWF VWDMILSRQYNFGSGDGIYHVPGNFIFQSGHDLAFWVILLLTVVAVIVFEVTVSAVRA NLFPTDVDIFQEYEQDLEIRKRFEEAAASELQQGWDHGSKKSSLELAREAEMEAREKQ VQELLSRPRVMTKTGSGPMGTETEVELAGYNSSADHVADRSNPMTEDEVMNTPRRSVE IHELFTKGFGAIRKGQL AOR_1_2134154 MSRPYYNQQGYPPQLRTGSASPYPPSPAPPQQYQAYAPSVPPNK PAPSPSPVSPTYSGYPSQSPAPQSYSPAPYGSAPPPPQGYPQAPPYGSDPYGPPQGYG QPPQGYGRPPPPSPHGSSTRSAPGPEYPSQPSSHGPGYPPQNAPYPGGPARPPQQGTP GPGPQGAPYGPPGGASPAPRATEQQVAAYRQLLISTIQEKNLQNFYPPEKLNLLVQSL ADDAPAKINKIVQEWRVPTEVAMDIIKLSLFDIILYVDDSGSIEFEERGVRKEQLRQI IGIVATAASTFDEDGISVRFMNSMERGDGIRNADDVNMLVSRVRFQGLTPLGTGLKNK VLDPQVVGPARAGRLQKPVLIITITDGQPAGEPLDCVANSIRYAVDEVSRTPFGRGAV SFQFSQVGNDSKARDFLSSLDEDPSIGNLIDCTSNFEVEQDEMSRAVPPVYLTRELWC AKLMLGAIDSSYDTKDEKAAGRPGGPGGFRGPGGPPPGPQGPPPGQYGGYNPGPGYGQ RGNPNQPPPPGPYGPGPGYGQAPYPPSQGQGPPPGYGQPQPYGGYGTPPPSAGYGQPP AGATRGYPPPQSPYGYAPPPPPRY AOR_1_2874154 MGFASKIAASQNPQNMSGNNSGPSVGAPPTGYTGGPPAALQPGG AAPGGYNFTAALYPYLKPSNTPHYHWQSPFYHIFLSHC AOR_1_2140154 MTQTILRVGILTDGGQAIQNLYLPVLRTLHDSYRVAAIYDSSTL SPKDSTADDLPKVASSPERVLNDPSVDLVLNFMPNEYHETYTVAALEAGKHVMVETPV SLSIPSALRIIETEKRAPNQAKVFVASARRYAPCFEVFKKEAASLDRIYYARCRNIAG PHVAHTATAPKNKHLRIKGSIRDGLSLDDQHGERLRHCLLQEVFLGEDLTEERLSLSR FLASLGCHDLGLMRDTLGHPDAVSNITVNEPFYSAVFYYNGSVSRGHHPFTLIYETGT DSVPRCDAHLALYGNTKTVSMHYDLPYAQGKPSRVVVETADGKGNLKRTESVSSWEDA FNAELKALHAYLVEGKPARTTAKDSLQDLKLFQTIFEQYDRQCGTIRTPLG AOR_1_2138154 MATQSLSSLLQRTSIDDHEEVLRSSNAALAKSKSDIQAQHVKVV ALLKLDRYEDALRVFEEGGDALKKRAALEYAYTLYKTANLDEAIEVVSQVANDRGARH LEAQATYRAEKFRRAADLYEELTKDEDALANEVNDLRINAWAVDAQLQWKGYPDYVRH NRPTRDDLEAFETVYNAACLSIAKGEFGQGEMLLKRAKELCRTSEDLTPEDKAAELLP IAVQQLYVLIRQGKSEEAESVLEEISVNDISELSTKRVALNNITLVRDTTTNPYALYK SLHATPVSIDNDKLFDYQDNIVTGNVHAADLLVQKYDGIIRSTSKALSQAPYPSAKPN VNLLSVYNAAAHARGQAGTPALKAILPALERRPKDIGLALTAVQLYVTEGNTTSAITT LEKSLQLLEDSISEQDKEVRFNPGLLGILVSLYKLEGRRVQIRSELAKAAAYWQEHVE APPSLLRAAAQSLLHSSDRADLTTAGDLFKSLYQKDRNDSFAIAGYVASQATLDYAKI ESQVDTLPPIDDLISDVDVNALESAGISPPSSAAAAAAAAIAGARKRTSGDKQGRATK RVRKSRLPKDYDASKTPDPERWLPLRDRSNYRPKGRKGKQRAAERTQGGIVNEKAEES PAPVAQQQKSQGGGANKKKKKGKR AOR_1_2142154 MLAKVGEKPPAMGASRVWKKKILRFTVVLCLVSAFAFFLWPEIE PQELTADTVSNITITSSVQCEPDFDALRRLDVHKLSEYTRREVIAVPSSNDALPVRQN LQTPLFERKSSDDVDRLSTQQLQDDCMIPEPVTVQVLQPPRNVDASHIDFGVATTLGR LNESLDAFSHWAGYTKARIFALIEPDKDKRTHEVQAKADSLGINLYITENDEEYQRRY FTLVSHLGKHMRPQTRWSCIIDDDTFFLSMSELVKALAEYDDTQPTYVGGLSESIPQI GVFGLMGFGGAGVFLSRPLVEEISKPEVFEACLNTDHTGDRRISLCIYQHTYTHLTIN HRLHQLDVQGDVSGFFESGRQPPLSVHHWKSWFHMDMAKLSVVSELCGDSCLLRQWKF ADGWILTNGFSVMKYSKELDPNDKTMELTWEGQNGAVHESFLHEFGPLREKDWDKFSY VLEDSVVDGNKVRQWYVHRDAEKGDQILELIWRAQ AOR_1_2144154 MESTPEKPPKQACDNCRRRKIKCSRELPCDKCQRLLLSCSYSDV LRRKGPKFRTLYPLAPIHPLASRPRPLTKEWLPPNPGACHLASPTSPPSTVADAQYLH PDFSESFTRLPPPDLVSSPDSTNSLFDSSTIGALPAPRRLSTPNLLAHVNVFLKYLFP IMPVVRQDQLQQDCHQPERLSPQRYAFIAALCAATHIQLKLDGAAPGPEAASARASLD GHPMLSGEELLAEAVRARKEYNVVDEINMENLLTSFFLFAAYGNLDRQDQAWFYLCQT TSMVFTLGLQRESTYSKLSVEEAEEKRRVFWLLFVTERGYALQQAKPVMLRNSIHKPQ VLCSDDPILAYGFINLINVFEKLSPNLYDWVSAGGSSADGDPPPTSSIQSSLAKQISL EGVSEIQKVDILITQQWLQTMMWKLSMTHVTQPGSRDDAVLPFHLPVLVGKAVMGVIA AASQGAVDAHGIGMEQKLYDLGTSVADVSRSLSTKAAHHLAESTIDPRELLWGILTTL SRIRGSQSYLFPALVEQSRGIISFDCSLSISDFLPSFGGPPAIMWRTGESGFDLLGIA DDLQERENEGGEGIVVAGEEISF AOR_1_2146154 MAGLKSFLASSWLLPVACGASQSIVPSTSATAAYSQFTIPASAD VGANLVANIDDPQAVNAQSVCPGYKASDVKHSSQGFTASLELAGDPCNVYGTDVDSLT LTVEYQAKDRLNIQIVPTYFDASNASWYILSEELVPRPKASQNASVPQSDFVVSWSNE PSFNFKVIRKATGDVLFNTKGSTLVYENQFIEFVTLLPEEYNLYGLGERMNQLRLLEN ANLTLYAADIADPIDDNIYGHHAFYLDTRYYKVGGQNKSHTIVKSSEAEPSQEYVSYS HGVFLRNAHGQEILLRDQKLIWRTLGGSVDLTFYSGPTQAEVTKQYQLSTVGLPAMQQ YNTLGFHQCRWGYNNWSEFEDVLANFERFEIPLEYLWADIDYMHGYRNFDNDQHRFSY EEGEKFLNKLHAGGRRWVPIVDGALYIPNPENASDAYETYDRGAKDDVFIKNPDGSLY IGAVWPGYTVYPDWHHPKASDFWANELVTWWNKLHYDGVWYDMAEVSSFCVGSCGTGN LSMNPAHPPFALPGEPGNVVYDYPEGFNITNATEAASASAGAASQSAAASSTTTSAPY LRTTPTPGVRNVDHPPYVINHVQPGHDLSVHAISPNSTHSDGVQEYDVHSLYGHQGIN ATYHGLLKVWENKRPFIIARSTFSGSGKWAGHWGGDNFSKWGSMFFSISQALQFSLFG IPMFGVDTCGFNGNTDEELCNRWMQLSAFFPFYRNHNVLSAIPQEPYRWASVIDATKA AMNIRYAILPYFYTLFHLAHTTGSTVMRALAWEFPNDPSLAAVGTQFLVGPSVMVIPV LEPQVDTVQGVFPGVGHGEVWYDWYSQTAVDAKPGVNTTISAPLGHIPVFVRGGSILP MQEVALTTRDARKTPWSLLASLSSNGTASGQLYLDDGESVYPEDTLSVDFLASRSTLR ASARGTWKEANPLANVTVLGVTEKPSSVTLNGETLSSDSVKYNATSHVLHVGGLQKHT ADGAWAKDWVLKW AOR_1_2148154 MMVAWWSLFLYGLQVAAPALAATPADWRSQSIYFLLTDRFARTD GSTTATCNTADRKYCGGTWQGIIDKLDYIQGMGFTAIWITPVTAQLPQTTAYGDAYHG YWQQDIYSLNENYGTADDLKALSSALHERGMYLMVDVVANHMGYDGAGSSVDYSVFKP FSSQDYFHPFCLIQNYEDQTQVEDCWLGDNTVSLPDLDTTKDVVKNEWYDWVGSLVSN YSIDGLRIDTVKHVQKDFWPGYNKAAGVYCIGEVLDGDPAYTCPYQNVMDGVLNYPIY YPLLNAFKSTSGSMDDLYNMINTVKSDCPDSTLLGTFVENHDNPRFASYTNDIALAKN VAAFIILNDGIPIIYAGQEQHYAGGNDPANREATWLSGYPTDSELYKLIASANAIRNY AISKDTGFVTYKNWPIYKDDTTIAMRKGTDGSQIVTILSNKGASGDSYTLSLSGAGYT AGQQLTEVIGCTTVTVGSDGNVPVPMAGGLPRVLYPTEKLAGSKICSSS AOR_1_2150154 MTRTTVEPIKYEAPSWEHKSVHVSDDHRRIIPNVGDDATRPKGR IRRSMTACNTCRKLKTRCDLDPRGHACRRCLSLRIDCQLPETSERFQDSTPMWSDATT AIPSIEERLTSLERSMREMTGMLRQILNQSPSVSNISVPPLARSVHTEETASIEGNSF GPFLPKPVRLIQDLQSEFFGETNRIPVESPFLGNSFEKGILDSKLSLKLVQLFVDNFG PLVSINNQSDFHNEMRNTDSLLYSTACLLASRYVPGIPPPIVHTMNLQVRHKAVNLLW EKPPLKYESLQALALLCLWPAAGQKEFPIDGWLLSGTAINHALVSFDFLNHVPSELLI DNDIAAQLRLWNAFCLTQLHFAVGNARPFHLPQRYLDYCPRLLEHPAATVEDGKVVAE IQLYLITLRLQANEQRMRFAEVEYEEIERWKVEWAHLLAGDENSTFELSLWFCQILLH RTAMRFQAESERLTSEILQGSRLIISKFLQLRFVTALRVVDQAYFIVGYAALNLCDFN FLDPLIDQIQMFLLHLSPNEDHIAYRFSCMIAEFKRRCAECNDPCSAVDGSQCSFGDA RKMSMEQVQFVPPLVDSMIGGYSALEQLIPEVMPHSFPESVISGMAVTEAIPVGSAPY AOR_1_2152154 MSQPRELGKGSEKMAISYASGLPADHTQVLPSFRELLPPHLHDE IDSTSYYAARQNSRERPASAHRDMTSNPRPLSGDFGHFQPQLARNTPQYPSRGPSPIL PPIRDLQQGLNASTAPFPDARGLSRLDPFGPATQDFRGTPAGAPGFSSANRPRPMGER GGADAYSGSAVMHTQMSYHFPMAYHSDSEQTSPQGLSHTQPSNFGILGDPIDSKNKRR RGNLPKPVTDILRAWFHEHLDHPYPSEEDKQMFMTRTGLTISQISNWFINARRRQLPA LRNQMRTGGSDLDSQRQSPFSDVDHASSESMPSPSQLASAR AOR_1_2154154 MHYVYYASLYTVWALMPVGIPDRGWWAEMAKFLEECLMVIQLGG EDRFKIKKVNPVVDEGEDDSEEGSEQERDSEGSHTDDENASEVETVIDEEGHVSDEGD DEEEEEDAHGHGHRDPGFRAWALKNLAKYGTTFHWDDENGEPTFDDVRYQLRQKVCHD LREIDLKLRGRVYSKPALGFR AOR_1_2156154 MVHAVPSPPPRSTAVSKTSYTPNGDHHAIPVSSTSHPDNPVPEE PRGVRSLSVTDMSFNVSSAETDSTPSSGTPSTVPTEPQSDAEDVAQKSEDNGTGHRRR ASTVLISQNSADMQRVLQNVGAPGTQKIQPLCCGGGCCRSQPLIRGDGPVSGVVVTPP QNKAYDALELNVDLLTMDSELTDIVDLPAKTVSFAAIPASAVEMQLGPKDHPPPFVQP HPPYNVYRAPLHHARELTKSGAEKRTYHFDIDVTDYPAESGNVDFVVGGAIGVCPMNK EEEVDDIFNCLGVPKSIRDKKIIVRTSTGRWPTIWGDEQARELITTRRELLNWCSDIQ SYSPSKELFRLLGEYASEPNEKKILMFLASAQGQGAFCDLRTASHVSVSQLLHAFPSS QPPLDHLLSVLNTLMPRFYSLSQDPLLSSAQKGGQSRRLVEVAVSVAESKDWKGGLRT GVGSGYLERLARQVMEAERQGIDPSTLNLHVPMFRGLMANPLAKRFHSDGPMLLIGAG VGIAPFRGFVQRRLQSANCANKVWVLQGVRDSLLDELYRGEWGVDEEKVRTVVQSRRG ESRYVQEEVRHQADLVWYVINALDGRVFVCGSGKGMGEGVEAALVDVAMAKGNLNEEE AKLFWDNKKEAGQYIAETW AOR_1_2158154 MAHAAGLKHETEAFGISEGGYATKGLVEPKYMGTIADQKDMNVL GRVQVLRRNFRFVSIVGFGCTLISTWEVILTLLSAGLTDGGTAGLIWGFIIVSLGFTL VFASIAEMASMAPTSGGQYHWVSEFAPRRYQKFLSYITGWLCAMGWQCAIVSIAFLAG TIIQGLIVLNDSTYDFQRWHGTLFIIAITTFSILFNTFLAKNLPMVEGLILILHVIGL FAIIIPLWVLAPRNNPKAVFTEFNNGGGWNSPGTATLVGLSTTITSMIGYDCSVHMSE EIKDASRTLPKAMMASIGVNGVLGLIMIITLCFTMGDVNSILASPTGFPFIQIFYNTT NSYTAANTMTAILIVTLTASTITEVATASRQLWSFARDGGLPFSSFFSYVTPGWHIPL NSVMVSLAVTILLSLINIGSTVALQAIVSLTITSLMSAYILSIGCVVLQRIRGEPLPP RRWSLGSFGMAINIASLLFLFPIFVFSFFPLTASVDSKSMNWSVVMYVGVIAFASVYY LVRGKHQFIAPVALVKREE AOR_1_2160154 MGVYVPPGYSPPFQVVDDSHHGAWIIITGALGLVVSLVSFLIRL YVRLVLSPPFAYDDFVLLGATIFAVVQTSLLFGAVSQGFGTAIDLLQHDQVDNIQTLV TVSDILYLITIYVSKCCVVGIHLRLTPQKLHNRISWATLALCTAWIITSVFIIAINCE LNRPWKGAGGQCVNLLKRWQFIVAMDILTEILLFGLAVTLLSGLFMPLKRKLPIGFAF FFRLPLVIFSILHIDALNQNVSSADPTLAVVEPILWSQVELNYALVACSVFCLRPFMA AVSTNYGTAGDTNLESGSTSRTAKDQSSSSKSGTRGLPSVVDGRERWRMSRSGTWSKT HERLGSDESGAPKAGPMDSSIELVERDGSDAAECSGGRMFIRKDVQYTIEFDRRS AOR_1_2162154 MLFVRKSYFLPTFILVFLTYILFSNLPPGAEIPYIDDPAEYGPP PPRPPPKGNDLIHYRNPTEKHPVEEYIPLPTAAPSPIPRVQYEFPVESWFTRRRRVKK QNAVRNAFKHAWKGYKEHAWLRDELSPLSAEYRESFGGWAATLVDSLDTLIIMGLMDE FEDALQAIEHIDFSTTGATQINVFETNIRYLGGFLGAYDLTNGSYPILLKKAVEVADL IYGSFDTRNRMPQSRWEWTRSALGMGIQPSRNTILAELGSLNLEFTRMSQLTKDPKYF DAIQRITDKLESAQSRTQVPGLWPMMVDAHDMQFTDPRFTVGGMADSTYEYLPKEHIL LGAQTDQYRKMYDAAINSIKKRLLFRGMTKDGKDIMFAGNIRSTSKSVIEPQFEHLKC FLGGTVGIGAKVFDRPEELSIARKLTDGCIWAYDIMPTGIMPEALYISPCKNTDDCEW DEQKWHTDVLRRFAKNSQGEEVAQQIISSNKLPPGVTEIPDASYNLRPEAIESVFIMY RITGDKELQDAAWRMFRSIEKMTLTRYGHAAISDVRNPQSSQLDYMESFWLAETLKYF YLIFSEPDVVNLDEYVLNTEAHPFRRPTA AOR_1_2164154 MPITGVFFIPSNPNASTAFATITERLHSSLADEPIPVGRWALEH KLMRDTPSCLPTSASQRPAQPRYMQFLSLTYFPNHGFIYTSPPPGKVSHAHHGAGSPG TVAPGASSPASPTPVPQQTATPTQAPAPNSQDHSSMVMTTVPLPACSTLFQHFVYACQ PFWCHRHTVTVPGGVVYDVGDFRVRIGDVRQTQPAARVRGTIVEIEWKGPSLVTSIAS LFSQSKKAFGGPRTSDLPDDDGDSGIDMAFPEGLEEADIDGEYAATATLIREFWARLG IQGAREAILVQDLGREAKEQLRKLKQLGDQKARQPSTNVTGSGQQDEDPDPEAGVDVA RQFMEIFRFNR AOR_1_2166154 MADSLTEEQVSEYKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK AOR_1_2168154 MWRDRTNLYISYRQSFAHHPAKKPRYIGASNGFSDSLSQPEESR RLISDSGGLDDDGDAIIEMDVLPPRWVDVQEEVTELLADIAQKSAQLDKLHQKHLLPG FGDEEVRKQDESVIERLTQEITRSFHECQKAVQKVELMVREAKQQGGVSSGDETMAKN IQISLAARVQEASARFRKKQSTYLKKLRGLEGAANPFERSPTPVQNPYTDPSLMESDA DKSFSQTTLMQTSQRLRGENDAAIMQREREINDIAKGIIELSDIFRELQAMVIDQGTM LDRIDYNVEKMNTEVKAADKELKVATNYQRRTTKRKILLLLVILVAGLFIVLLVKPKR HASSSPATAPETPQQPPQSNEHPSDAFPRTVETVYRRKRRPSLAPAARSKWVDPDIHR AOR_1_2876154 MAYTDDAVKAKLSALNETQEGIVTVAQWVMFHRRHAERTAQLWL QKLRDSPAPKRLNLIYLANEVAQQSRARRKEDFLIAFSPIIAEATATAYKGASNDIQQ KLRRVVEVWRQRAIFEPPIQDAVEARVDEIDKSRSIGKKPPLGGSLFSSSSGSTPSEL QPLVPLQVALSKATVASGASATTANAEYDKMHDPSTPLPTPPVHAARLSQLLKALANA ESSVSEVIKSRIALIDGLEKLLETNRAALAKEQSLATQLSERRSETEAKKREVEDGIM RGLSAENSPAVHLGESEGEPVARPEVEALTPPPVEALTPVGSPKNEPQEMNYATQNYA HLQDDPNGLHPKKRKVAHEEEDYAQFASGDLDADVAELLQQESNLPK AOR_1_2172154 MADRFPSLEDFSAGQTEVVETNGTDADDFLARERAVLGDDAEQF ATPQDHVATTDDVNAGDDDLLGGAEDAPAGAAPEISGFESSFPAIETQNEQVAPGGMI TGTGAPFPPTGFSSYQAPEEEPEPVREWRERRDADIARRAEISEEKKQATIKKAQEDI DDFYVSYNNKTDKLHAQTRADAEQFLANREDTSSGGTSWDRIAKLVDVSGKGAKGGAN GSGKERFRQLLVDLKKDENAPGASGI AOR_1_2174154 MVLDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQAQIHQQRMQ RRHEIETLKYERIINDGLLSRIDQLLKSLQQHEGSSKNPEEVVFQVLMESASNPSEDQ PPAPPAGVYTHEKEQPKYSQMMSSLVDQVKKEMGEVSSDNLLKEYIKGVQGHKDKVQG LQKELLAKLAELEKEESSKTTSDSIHTGFDTSFVSKNDSKGKGTETKKAESVELLNPT AVSGSSSQKATADEEEEDPENMKASDLAKRLAKINRNDYRALLQFISEHPEIVAEKET DGLLVEAFNSQMEGKEDYARTCVHHGLLLQYCRSLGRDGISLFFKRITTKDHQASTLF RNDVNETYNKIKTRAAELAKDGSASNDPAGVEQIQLHAVDPNTKITINIPAAESSEPV EVEARKIFESFSKELQQALSSESLDEVNKVLGKMSVEEAEDVVEKLGESGMLSLEEGI VDATTEEGRKKLEEIEAESKRENRIEEVGEPGGDITELD AOR_1_2176154 MRGVRVLGAWTPVVTARHSDTYMCDWGLHTSNYRLQHPTSFLQN NVSRRVFPQSRPSHILRFFSSTDRYLESKSTARSTNTPQVNSTPTEKEDEEVDKGFEL SERAAQAAKINLSAKLAKDGASGKKSGLKEIWRLLSIARPEAKKLGFAFLFLLVSSSI TMSIPFSIGKIMDAATKSATEGGGELFGLSLPMFYGALAGVLTLGAAANYGRIIILRI VGERIVARLRSKLFRQTFIQDAEFFDANRVGDLISRLSSDTIIVGKSITQNLSDGLRA AVSGAAGFGLMAYVSLKLSSILALLLPPIGLGAFFYGRAIRNLSRKIQRNLGDLTKIA EERLGNVKTSQSFAGEVIEVNRYNKQVRKIFELGKRESVISATFFSSTGFMGNMTILA LLYVGGGMVRSGAISIGELTSFLMYTAYAGSSMFGLSSFYSELMKGVGAASRLFELQD RKPTISPTKGTKVVSARGPIRFENVSFSYPTRPAVPIFTDLNFEIPQGTNVAIVGPSG GGKSTIASILLRFYLPTKGRVLIDGKDIGEMNAKSLRRKIGIVSQEPVLFSGSIAENI SYGSPQATRSAIIAAARKANCQFISDFPDGLDTQVGPRGAQLSGGQKQRIAIARALIK DPDILILDEATSALDAESETLVNSALAALLRGNNTTISIAHRLSTIKRSDTIIVLGPD GKVAEQGSYEELSSRPDGAFTKLMEWQMSGGDVSQPPKDAPISPLTQEKLWQMEEEQE VGAEEAASRQGEQQKNE AOR_1_2178154 MDRFRRWISPRAEYEPLEHPPQDDEDHHLISSQTLSEPRFSRFE YGVFFLLGVSMLWAWNMFLAAAPYFYHRFSSDEWAAAHYQSSILIVSTVTNLGSSFTL AKLQKRTSYPKQITVSLLINIVIFSLLALSTGLLKNASIGLYFSFLMLMVAGTSLATG MNQIGVFAYVSGFGRPEYTQAIMAGQGLAGVLPCIVQILSVLVVPEQTGEQKVPQESA KSAFLYFITSTFVSLSALVAFGSLSKRRSNAMSEFAQSSPDTASDHTGRKTVSLWGLF KKLRFMALALFLCFAVTMMFPVFTAKIESVRDPQGSSRLFQPAVFIPLAFLFWNVGDL AGRMSVLIPQLSLTHRPFALFTFAIARIGFLPLYLLCNIRGREAVVKSDFFYLFVVQL LFGISNGYLGSSCMMGAGQWVPEGDRESAGGFMSLMLVGGLAAGSLLSFFLSGV AOR_1_2180154 MASKFEKLLIKLGKPTWAQHLRHAQHIHGPQKTKIDPEWAKDII KMDTHLREIGQREIYLHEEIKALTSLDHGPLSTEQRAQLAKWEMELEDLARKYWHLER EFYRREASVPPGPLQRAYATWRSNPEWYLLGYLRDDCAGRGGCCGRSCGCCERERDTE KRIRLGHCTIECGCCRRARGFDLNHEDRVRYQKLFDCDLKENEALWDSLKLAYVFGLV AOR_1_2182154 MASTFSPAFPGDRTPKPRTKTRTAAPKRVYGKRRADAPRAVFEQ RSPAKPVEETTSKVIEEAVDSIQAQLAEVKISDAIPFQKVEDKTDEQKTLVKQADLTK TAPPVHTPEVVPIDLKNEEEPTEESPADSVPKPRKKYETMVEVRICSKTAAPKPQDIQ EEQEQHVDQKEPQEDKRSAESERQGERRARRNKVPPRLSSGCVMDDKVNAYVRRILNE ALSPVAAQRVQKFGSWAARAGNLLEVVKIAEGSYGEVYKLRLREELCKKEMSRSKLAR LKAYGDNVFKVVPLRAQSGPGSKKFTSIDEIVSEVKMLKYLDPIPGFARFREIHVVQG RFPESFQNAWDHYKRTKDDCLNPNPSSKRAYPDSQLWAIVEMDDAGCELEKFAWSSTF QIYDIFWGVAMALARAEEYAQFEHRDLHLGNVCIRSTRPNGCMNPPTDLEVTSQSYSS GFGLSTLETTIIDYSLSRAELRVEESGEVVEVASSDLDKKQIFDAIGRDEDEAMLRDT YRYMRSQLYNGNPLETDKTPDIPGIWAEYAPRTNLVWLLFLLKSLLKNRKPEVSLPAP RQPLAPCSSNKGLKKQTNKSAKMDNVNTVKSAEDTRKQVSQLKTTLEDRLRAVLDLLH LEHGHEDMCCAADLVAYAIDSQWLDEKDFF AOR_1_2184154 MDHWLDASFFEAVLCPAVILALSIPHIRHVFKQLSITDRQGYSL VSGYEDEDGIATDQSEKGTSDITQRVILSITAVVAVLTALLSSLNATDRYGSPREIEP WLQFFAWVLLLVQECIIISRTVPQVRYDLGIWSAISALLLSIAGVFLDGLWSNPNAPG SSISLAAVKFTAGIILSFTNVSFSRRPEVYLGCKPVDRQRTVSFLSRYTFSWPVPILS KAARNKQLEPEDFPAIGYGVRTQTLYGRWISCTHTKIWRKLLNIHRTAWIAQVAVQLL TAVAHFLPQALLFLTLRLLEERDAHADNQKQLWITTVGLGGSLFISSWLESLLQWVVS MELELPIREQLSAAIFSKSLRIKEVVNVNSPDCAESKTSSGECSDDEDEDDGEVGPPK TKQSMTNLLGVDAVTIATFVKFSHTLLDAIIKFILAIAFLTNLLGWVPVLWACTVPAI LSPVNYLLAQQYSQAEEALMAASDRRMAVLSEMLQGIRQIKYDAQEDQWNRKVQTLRS NEIKKQGQVFQFDLSLIAVWSCGPICMSLIALATYFLANKSLSPSVAFTALSIFQSLS ATLSDFPETISDLMNAKVAARRIEQYLDLPEYNNCHSDGEAIAFSQTTISWPSNTMDE DNSDFKMRNISLQFPLGELTVISGPAGAGKSLLLLATIGEADLLEGQIFFPQRQSPLA YNGPSNEWTIDTSIAFVPQNPWIENGTVRENILFGLPLNPERYRDVLHACCLEDDLNS MQDGDQTDLGANGVNLSGGQKWRVALARALYSPAGVLVLDDIFSAVDARVGHHLFEKA LTGSLAEGRTRIVATHHTALCWSEMKYYVVLENGRVSFAGKPENYCPDLCPSNAGSIQ RIGNADSAAQQGHILAPVSNSLTAPQQNPSEEDVKGKAFYEAETRATGAVKLSIYSIY MQACGGYLYWIPIALSFGFTLVAELAIPYWVSVWTRDLANTQPDIEVNIQSVSDGLSA RSDPQPADNRLWLYLGVYIGLFLMSILTEIVRYQLVFMASIRGSLAIFEKFLLRVLHA PLSFLDTTPVGQILNRFSADFSTLDSDLALDLPNMLHGVLMLLSVIIAALFISPLMVG FGFISLFLSWYIASLYVTAARDAKRIESNARSPIFEQFGSIIDGLVTIRAFDKVGQYM YRMYNAMDAHCQALWHLRLFNCWMMFRLNMIGALYVTMTAALIATIRGVDASVAGFAL SFALQMSEVVAWVLSEYAEIELDFNAVERIVEYTQIETEHQDGMDAPAEWPTKGEIEA NDLVVGYAPELPPVLRGLSFLIKSNEHVGIVGRTGSGKSSLTLALLRFLEVRSGSLHI DGMDISRLRLSALRSKIAIIPQDPVIFSGTLRSVLDPFDQYTDSELLAALAKVHLRPS MDDEENSELEGTDEDCNNAALSLSSPISERGKNLSQGQRQLVCLAQALLSRPKILIMD EATSSIDMSTDALIQQTIRQEFRDSTLMVIAHRLSTVVDFDRIMVLGEGRIAEFDTPA ALLRNEGGPFWTLVDKSGERDSLRRSILQGERQA AOR_1_2186154 MAFNFNWSPLMADASFYTRAQDLLTAALNKSPKPPIIVDDIIVT ELNLGSIPPDLEILEIGDLAEDRFRGIFKMSYTGDAFLTLKTRVQANPLNTYLLTRPS FASPLPLAAATPLTIPLQITLSDFKLSGFVILVFSKQKGITVVFRNDPLESLKVSSTF DSIPFVRDFLQREIEAQLRILFMDELPAIIHRLSLRLWVPEYRAGEDIQTQPEQTAGE GPGQDPLASPPQDPVDSLGNALDESEIASLSLDSSVEAHSLFSQKNLLRLGALTDSQR TLSLFTPSIQEVVYRAWTSPSEQGDANGISTAPLSPMLSRTHSQVGSMSSFQDSASIV SSQSRSSASTHTFSGYGLNLGAGRHSKAHSRKRKKRVVDLRRPKTTSDTASVSDESAY TETASNPSVCSAPLPVVNEQPDPITPPLSPESDFRLPAIPERRRASLSRPVPRRDIAT EMLRETGGPSAEPPRHRPQPADVDATPRGSLRAHITAQHDNEKQETGPSRQLPSTILP FTDEKSSSSTVDQALVERLAGEIARRMRDEKLMPTSSCGGAFWGRPDHEEYPPPAYGQ AOR_1_2188154 MAGMVKNMFGGSQPSGSAKVEDDFADFVKAPEPSPASIIAAVSS GVPASSTPGVSAVPYTKWYRVWERTSPKDFLQEAMVMPFILLIVVFHLWGTRKNRRKA REWAQAHAPSLQNEFAVVGFNGIQRSEDEAAAVLSSPDSIIKEKSPQEFVSYATGRQN VAFVDVSIKLPKRYNPITYWMDVVFGFLFESWTSPTETYEAIAYTFDGKEKDVVPVPA KDTSSLKVNNSTYDGFIWAIVHKNHMRQFRQDRYDASMTFTKENPKLPPWVTVMTESA EITETLLTPELIQAVEKAGDNFKYLIVTDQPVDKPLKIEETVPRKRVHICLSLPSSTS GYTSSIPLFNQFLRFADRLVAVAHFRAEVMRKVRHAREEEIKKLRRADEEEKAEERKL AAEKIKKEERERILRGMNAEEQRKFLERERERGQKRSMKKYTKR AOR_1_2190154 MYTFFTLSLLMTLTPHVLSAPLNNAITTVPTGQIIRSCTTPNTV ALTFDDGPSGYTPQLLDLLNEYGAKATFFMIGEGSQEYPDTIRRMRSEGHQVGSHTLE HASLPSLSYEQIVQQMTKLEGILQSAMGDIPTYMRPPYFEVNEQVLAAMNELGYKVIQ SSIDTKDYENNDVSRIDISYEKFVNELNAGGSIVLAHDIHEQTVVSLARRMMEEIKAK GFKMTTVGECLGEAEAEWYRKGR AOR_1_2192154 MTKPNLLELPIGAQLVYLAEGGANVIYRIVSAKSAIASKKELPL AVNDSSSVPPEFKGKLLRLRKDTPSGIPYQEIARNFDKNIRPLFKPEELVDQELVCLP RGLIQHCNDQLRVAEMDGKRSKRRQGVYLATTEPFGLLITDMTTFNDTGMALAELKPK WLLQSPSAPATARRCRTCAMRDMKNHEAWTRGGKPERSFCPLDLVSDKFENVLRATRS VKGCKDPKRLAKVLYWNGTMQKLLAHQRAHRDVGLHGPPAQSRDKSLGMTLRDCTMFI KMPSDEVGTVEIRLGDLDLKTGAGGKAKYWLDVEKQLISEGWYLGSKTCSHISDCALQ GSRGHSQPSI AOR_1_2880154 MRPALSRLLKRPSALSVLDSLISAPIGIEQLESRYQCECLRRYR QTSRHSRSAQPVEAEQPASADFGTRPARPPLSFRVYEIQAPQTLSRNNGQTNDATTDI HAAGSPRSLLLQPEKLEFESDIGHTDDIGTRLVDDPSRRHDFTLWEELLRYRQRHYGD RGTLDIWEGLMTRVEGVQLPVSGDTADFFWQSFVDLGLKQEVILKELADYAFELWVKT GRRWDRFYESIVGGFLERGLTQQAVEWHKRLRDPHLSCPNDILSVLKAAINSSNTNTS HTTSAIPWKPQRHLIPAGLRAFENICRATYGHKIYGLAISTVLQSNCIDHVPRIHKLL VGRGDHPQSYEEIQPLIEHINEYGSPQFIQKLHRYASLRFPDQVEAISEDESDSRDGL AGQSEDQQPEPRGKAWLEEKPFKDDFGARLFATKALRFEMILSGLRMFGVTTIGPQSL REMATRAHGCQDIVDKLQELQNAGISVGDSVFARLLRKFATENRDILLSDLLHSDQHP DVLEDAQLQESLLLSYYVARDWRPYNLTLAIIGQIFEDKSDLANVHFRKFLAAGEWKM AIQVVDNMALRGATLSQESIDFIAERVLIPRAPGKPPRRDDIPATKEIKFVSHALRHG TSNGDLAVTELWVEVLKRLGMLNLWDELRKCCLWLARQYSSSSNTTRSIVSHPKKSPR DTGALSELHGDPLLRKIFSRNMQAAIVAWGFRTRVSQQRKWMVTQGRPYESMIPWVRG LVLLRELEKNGVHLSVAWIRRACRHRLAVLYGRHGLVNRRMNRMLRHENPYSVVRVLE DINLAWGEPSLFGDREIRDTKGLVNPPNRTRRRRIKKMRSAYLQRTGYSHMRELYNSS SAKRD AOR_1_2194154 MFRTAPRMAGFVFRENRVPYYQRLFQQHDGKRQWWKTERSKYVM YPYLLSVYGLGAATTYAMIRMVFGHKTWFGKD AOR_1_2196154 MNRTSAGRPAKRVRQACEPCRRKKAKCPGEQPVCSLCARLQQQC TYADEGRRSLTNGTGSGDPIPVSTTPEIRVSQALEDRLGSLETKMNEVLDALGRNSQR PEQQTTTSFMPPTLGGSVTSSLSLSQLTPLPTWENVLAIAELYMLYCDSQPLPLFHRD SFLSSLGNRDPEVIYAILALSVRFSEDQYRDANDLAARTSVSMSSKPSGFHEWKYSSI KYPQQPSYELSKYFEEKSFMVSDPFLGYSAAVVATIELQLSFTENSTIRQEKRDRFLK CVSFVQKLGEKWPYMARLADRLQRLEDAVSASYQPESGAQNKSLLIDLSRFWEILEYS SNSDSDSARRMFGDSLYSEPPTFAGEVSQTSPLPEPFRLGPQQGLSPSPMPQYGLQTP FPAGPPYAIEPGNSPRPDVLDDEFSILAANFFSQGQEFLRTCDNRAGIGNF AOR_1_2198154 MSNVKSSKPVFPENAGSNEYAASLDAADPLASFRDKFIIPSKAN INSKKLAKPGLSSDPCIYFCGNSLGIQPKATAKYLEAQLDTWSSIGVSGHFVDLEGSP LKQWQLLSEQAAASMSKIVGAQAEEVAAMGTLTANLHLLLASFYKPTPTKHKILLDWK AFPSDHYAIESHLAWHNLDPKQSMVLIGPDEGEYEISTEKILSYIDEHAESAALILLP GIQYYTGQLFDIQKITAYAQSRDLTVGWDLAHAYGNVELKLHDWDVDFAAWCTYKYGN AGPGAMGGLFVHERHGRVDYSEGEDAPKFRHRLTGWYGGDRSVRFKMDNNFKPIPGAG GWQLSNPSAIDLACLCASLSVFDETSMAELRKKSVMLTAYLEHLLLKDTTDETRPFRI VTPADPEARGAQLSVLLKPGLLQNVSQKLQEGGIVCDKREPGVVRVAPTPLYNTFTDV WKFVSYFKAALDEPELKN AOR_1_2200154 MRYTPEVSLDEYGVSLQNGFLPHSPPLKTMESPYYWPWEHIVSD LPDHIRFRTIRQAVETLPVLSTSKLQGEPEWRRAYLLLAYLTHAYIWGGEKPKDVLPP AISSPFLEVSSHLELPPCATYAALNLWNYATSDPNADLTDPDLLSVTASFTGTKDEEW FLMISVALEAKGAQLIPLMLDTIHAVSIDDAQLACDSLLALSEGLKELRKLLERMYEK NRPSVFYHQLRPLLAGSKNMASAGLPNGVFYDIGDGQGQWHQYSGGSNAQSSLIQTFD IFLGVEHIATGGMKTNDAVQPRAKNGFMQEMRNYMPGPHRRFLEMLTRVSNVRAYALS HKANSPIRDAYNAAVMSLGVFRDSHIQIVTRYIIMAAKAKPPTNESTQVNLATSTTTH MRDKNEKLTGGIHGTGGTDLIPFLKQTRDTTKATASYD AOR_1_2202154 MPSATGTKRVRGVSIFRPFVFGSEAQPFDPAKKPPNAPADHTHQ WRVYVKGVNDEDISYWLKKVQFKLHETYAQNIRTIEQPPFEVTETGWGEFEIQIKLYF VPESMEKPQTLWHSLKLHPYGPDAEAMKERRDQVVSQNYEEIVFNEPVEQFHDLLTGG GAPAQPQKGKGGKNTKQSGQRNVRTAEIPQNDSPGNPYSKATENKELDRLGEASRTVE QLIKEEKERLIDREKRLAELRESEGVPANTKKR AOR_1_2204154 MATKRVVVAGGSGFLGSRICRSAVARGWSVTSLSRTGEPRWDAI SSSPERPSWASSVEWARADMLKPESYKPFLSGATAVVHTMGIILEADYKGVVQGREPI ISGLQRAFSSSKMGSQNPLQRREGEPLKAKERDGQLTYELMNRDSAIALAQETSNEHV PTFVFISAAAGAPVLPSRYITTKREAETTISTTIPELRSIFIRAPFMYDSSRKFTLPI ALGGFIGSQFNELLGNRLDFLGTMVTKPFQVDMVGEAVVEAMEDESVRGAVGTKKIEA LATSAWRKSML AOR_1_2206154 MPTERSTEQPASKVSADDEPVSVTAKEQNNAAKDSTASQKGPEG AKASGNSSATVEPVKGDDTAAKARQRQERFKALQARAKSATERNLKETAAETQRLATD PSLLSSLSRKHAFASHNLLKADTEAAGEDFERKRAWDWTVDESEKWDKRMEKKQRHRD DVAFQDYTQDARKVYKRQLREIQPDLEAYEREKLAAIERAAANGDLEIVETNDGEMIA VDKNGSFYSTADTVGFTDNKPDRAAVDKLVGDLRKAEEVRLKKRRERRGEEDADVTYI NEKNKQFNQKLARFYNKYTTEIRDSFERGTMI AOR_1_2208154 MSRRLDYRLWLGPRRQLRSRLPLSQSSLRHASGNAKRGVLESLT KPTPSQLLRFALTGSTQPVQNGVLPKTSQDRHLEELFSEQWPLNTSPPPPLWSSLQQR TAAPCKTEVLRGMEKDIQNLNHLRSLIERNVNDPLGGMILQTGHCRFLAQALKRCQRS DSYGEILSAINGILMRLEKLRAPVSPSLFVLGMYYSCLAFSASALKRYLEGYISLRPP RLDLDSCTPLVDALLVSLQLLPFQEPGYDSSEMRSVVTGESADGCFSEHNLHSIMCWA DYRDSTHSVGPYLSLLARLQSDRTLQDIWDRMTKRLRPESPHTFHSAYGCVKALVDVG NHRKAVTYLRQISKCANGNLPSLSDFEGLKGLLAAERVAYAIPQLAGKEYPSILEAQL EDMEKRLGITWNRRKSVHTSISNPLYISSNQPILTVDGDSAGYGSNMRLVAEIEALGC SKSMLELGKVANLLDEFEGDYIRIFIPNEDAAPYDFAWFPQRSPIELPNDSLLVGIDR NEAWSPSTLGLLRVRPHRHGVSLVTEYGLHLMQLGYLVARPKSAQGAFLEAAQSWEET GHIVTWDRAFGRFIVVFVGKSREPLDAEKQLFTPNLSFGLDAVMRVCPGKDLPGQTDS TPPFGHSYSMYCVDADPGPDLVFETTS AOR_1_2210154 MSNTPLPQWTHSLSDSLDTSTRAGDDAGRGIATIPNPAYSTQEP PRFLFPDSQGYDPTASTLSHSRFVFEGAKRDSAEHRYSVSSSTSGPLAPYAWQRSSIS KNVDYGGPSSSYYEASSQGRGSIGSTGRANDIESLYSSSSTTFPSPVHSDKSSAIFTV HPAPKYSAQQPPRQTTSGVPSGFQNLLHHSPSPPPRRPSTASRYHLHIREQPIAARAC GNSNRDRRPVDPPPILQLLLTDFDPVSDQDKDVLQDPRFAVGCILFPAVTSSQQASSD MERGGAIRESRLGSTASPGQLTPLLSGKTFVSPFYVDADPDPATAPAHPTSEDSVTQR TPGGLERTKQPATFFIFSDLSISKAGVYRLQSRLMNWGHVEDTGQQMPILAEAWSNPF HVYPAKDFPGMKDSSPLAEGLKELGFVELKTRGEGKGKGRKR AOR_1_2212154 MSLAKPPVPLRKCSRLLPLPILPSTRLSITTTNQYNHQPPTTRT TRTPPSKQFHTTPKPNAARSPSVRRAEAAQKRPQQPRIAATYTEDGLLKTPAQGDLPQ RLRFLDTASKTLHQEARRYGGVTVDYPTFVRIAKELFNVAYMYPPAAGLVLRIDGGKT DINEIFNIGYQIGTNDMSFKEWVLAACSLAGARGPVLYQTARYLAISARRGTEIRQTA VIDKLEEIGLTSNDPRALQLLAQVQGRRGKYTQALELMEAVLARIHPSKNTPRGLEHS YLISEVMETPWRVYAGLKEKVGDPMGADEVMRRAALEYEDPAALQDYASLLMREMDLE GYEGCMSKAASSGDVMACLKLANFYYLTSKGWFPRRGVKVSDGDNAKAIPKLTRTVDP AKSVEEKKPGALGRFFSFLSADVKSHAEYRKLAVDWYELAVKQGNSRAALLLAIIERE NGNHEAAWELFQSSRTDDAKEFMPTSQDELVKVWRDEEFRPEVPLQLLDL AOR_1_2214154 MSISSQVAARCCRQIVRPSAASLRLSSSTYLSQRTRRWQSTEAE AAAPVNPKISQIVDQISTLTLLETADLVATLKTRLNIPDLPVGGFAMAGGAAPAAAPA EEEEAAPAAQEKTLFNLKLESIDAASKAKVIKEIKSLLGLSLVDSKKFVESVPKVLKE SVPKEDAEKIIETLKAVGAKAIME AOR_1_2216154 MSLSMPGPSQAGLFKPGYQSHDAEDGAVIRNIEACQAISGTVQT SLGPYGRNKIVINHLQKMVLTSDAATILRELDVVHPAAKLLVMASQQQDVEMGDGTNL VIILAGELLKKAEELLRLGLKTSDIVQGYEKAQNFALKVLEDLEVDRLQELRSKEELS KALRTVVASKQSGTEDILASLVAEAVLAVLPRNPVNFNVDNVRVVKIMGGSLEQSRVV KGMVLGREPDGTIKKATKAKVGVFSCPIDISQTETKGTVLLKTANEMLNFTKGEEERL ETAIKELYDSGVRVVVAGSTVGDLAMHYLNRFNILVIKILSKFELRRLCRVVGATPLA RLGAPMPDEMGSVDVVETTEIGGDRVTVFRQEEANAVTRTATIVLRGATQNHLDDVER AIDDGVNAVKAITKDPRLVPGAGATEIQLVEKISAFADRTPGLPQHAIRKYAEAFEVI PRTLAESAGLDATEVLSRLYTAHHRASTGAEASSEEGSGSSEDEEPYWTTGVDLESST SAGTLDAVEEGILDLLASKSWAIRLASESARTVLSVDQIIVARQAGGPKPPGPNANWD ED AOR_1_2218154 MLRLPMRHTIFRSFARLSPASSPSSLRRIPPSTSNPTPLPLPRS ISTCLLPRSRSAHSIPFSHTFPRPSSSFRSRPFSSSAKRGYQYGGNQYRRFNDPRREP LFIRLVKDAQPHHFVIIGLGISGLYIYNSDTVEMTGRRRFNCVSAQRELEMGRQSYQE VLNENRGRILPEYHPLTMQVNRVLQRLIPQAPIEGADWKVHVIKDDNMLNAFVLPGGK VFVYTGILPICKDEDGLAAVLGHEIAHVVAHHPAERMSNSFITLGAAFLVSMLFDISG QLPSLLMNLAYSLPNSRTQEAEADEIGLMMMSKACFNHEAAVELWARMQEAEKGAPPQ FLSTHPSSYNRMEAIRGWSIKAEAAYEDSGCHAIGGFMPGFRQAAYHDRW AOR_1_2220154 MPLTSRKKTSSIKPANKAATDSAQSSSSEGPSTGTTGKTNNKSH KRSRSGCFTCRLRRKKCDEQHPSCGACINLCVKCEYKRPIWWGNPEHRRVQKERIKNK IKQTKMNERNGTLTDQSGRSRSLAMTSPTTPEIEFNRPVFAEQQDIFASHLPTPAMTQ PIYEPHPGFEIDVKTERHTFVNDVPLRHDSMSSTFSTFAPPQLNAPLPTFPQEEWFPD EYFPQAPALPGIDPALCDQRIQQTYAILQSNIPVSDHDRPLLDHFINNVLRIIFPVLE AHQRGHLRAQAILQALETNKTYLHCCLSVAAIHLKTTEGLVGEQIDHDIMRHRFEAVS QLCLALGEDTKHEEILDATLAMIFFHCSVGPADDYLPDIPWYDHFQAASNLVSRLGLS TTIPPCGNPYMLPPFSMTLTSWIDILGSAMHGRTPEFAHTYRSKHLSGTSLGLRELMG CDDRVMYLISEIACLDALKKEGRVDAMAVCSHVSALGRQLEFTEPADQTLESPYTPTG VLRPDILTKNMTAVFRIAARIYLCSLVPGFDRSQPSNINLVQAVANTLQYIPAGQDGY DRSLVWPLLVTGAFSQPNSQFRTILADRCANLGHHADLGSFGRMYRVLQEVWRATDDP IDTFCQVEDTPVEASTSSSSQPIKLEAPESPEQIGADWALKDTKRPQIHWREVLQQNG WNYLLI AOR_1_2882154 MSQTASQLDHDSRRGPGSQSSLSREYGSSEDIGDDQRTNLSRTR AVILITTLTGITFVGSMSTGLLTIGLPWIATDLGLPENLPSSVYSLANGCCLLLAGSL ADFMGNRMINLIGCFLLRTFTLACGVAQNGIQLILFRTFQGIATSMCLPTAFSILTDS MPVGKRRNIGFACLGLGQPFGFSVGLVFGGLFQETSLKWRFGYYLCAGVTMGLAVVNF FKLPKDSAREPFTFGRLRREIDWMGIFLSSSSLGMISYVFAAITDSPSNIHKAENIVL LCVATVMMPAFLGWMNWREKIGKPALIPNSLWQNTAFSSICIMVLFSWAVLNGMETIL SLFFQEVQDLPAIQAALRFLPSIISGIALNLGTGLLVHRLHANYLVLVTSVLSAGSPL LMAIIDPEWSWWYCAFWAMLLGPLSADVIFTVANLIITDAFTPKTQGLAGAVFNVVAQ FGTSIGLTIFAIISVGVTQGSSYENKKSPEALMLGYRAVFWTCFGLMMAACCFGAWGL RKVEKVGLKRE AOR_1_2884154 MSFGLAGREEEDSDFKNELQGLSELLSPRELATVQDNGGDLFQP LINSRTNARNQRKWMRPGSSMDSDPEAMRARNVMSPLTRGPLTGAYENYLLVRPYPSL RTLFTSPSLRIPGMLQSSFLSRIGSSKAVREELVQAMMSGRSVTARIKWVSRYNAGGR NRWIHYQVVLSDLIGGDRGI AOR_1_2886154 MLRPGLLEVVLLLASPLLLQVKAQAQECSDYPELDRYCQAQYPG KRAWCQREYEGRCMKCGTCEGKGEKYFDPNLGEEICYWNAAFLVDARARKGGCCPPAG HVYFFDEKSGRGSCCPAASVGFDGDMCVDPPTPKPPSEKPSPCPGNCGGCGGSGGGGP CNNCGQVLNTDNDNNWAKPTMNNNCAERLCPDPNGDTLGLEYGSCYRLKNPEGQALAR RHTGNDYVWGGYYGDVYQFRICKSTTDCSKGGELAVTDTFVINGQPGTTGDSSGKLFW MISGALWHFATSDDAASAVRFSARPWCGDTCGICLRGDVKGLGPICPAANPGIGFKAN SRYCQPLIVEKVPCINEDGTLEKPKPNKPAAGHQEL AOR_1_2228154 MRFTPSSAALLLGAIGAATAQTVYFCGDSTMAAKGANDGDTDGW GTYIGDLLTVPAVNKAIGGRSARSYTDEGRFQAVADLVVDGDIVVIEFGHNDGGSPES NDNGRSDCPGAGTETCISDATGETVYTFVFYVIQAAKLMLSKGATVILSSQTPNNQWE GGSYGGSPSRFVGYQKVAADALASDDVTFVDHFQAVSNMYLQLGSDAVNALYPNDHTH TSPEGAKLSAQAFAQAIYQAMNGTTSLQGYIAEPVTIVYE AOR_1_2230154 MPSFKPTLHPLQTPRTMVFPSELQEDSGSSSLSAGNGRGDEPLS TPITPPAAYTEFLKKFQPILSPITGEPDFSKIHTLREGHSTASNSPMSQPASRPTSAV SGTFSFSGDSSRSAAASLPPPTPYTAPPVRRDPRSLRALRIPPPPKYSPIIEAPRSAN TLYSPYSASPSDWRLRYWEGPHSAVPSGRFSVRHVITHTITFKRTQLDDPPKGKRRKR EDSNEP AOR_1_2232154 MSQAPPFTPDSEFAALETPRVPTGSLSITALARFEFEAGKANEG TKILMIEWEDDDLTRSSAGGAWHVSWEGKQAVLPADERTNDHTRRVYFLLPPHVTIPP VVTLSYEPPTTESAAKKHSLQLNPLPAIFPPELGADGRSAGKKGVLHTIWAKKRLQSL DKEIREECLTNAEGIALHMALQEKEWIETNFGVGSNADSQRNSIQSNPDPRYPMGPTT PVSPSTGGKLGEKLKGLRLQTGQRELSPKSDAATHHLLSPQSPDVAVSSFTSFHTVQQ PTPKAEAPEPVRTVAHYPPESIQAQQNRDENNNGFASLGTIARTSSADSGEDLFAKAL SPRSPDLPRSPFSFAPERVM AOR_1_2234154 MAFRNGSFATFLIVCPTAFFLGIIFSLLPYDYPILWSNHPTPPT HYDYFEAHLRFLHASPPLIPRILHIVIFLGLLGLILKLYKPSESNMLFDGASLVLYMC GITVYIANIVKGLRLVSAGKYGEDLATSEEDKGQILGREDSLKVLAASNTILALVLIG VLVLQAGQWYAERKDAQEVEDMDGKKGGSSSSSSSAAGVEAEGVSSGVKGKGGAKKRN AOR_1_2236154 MSVNSPPAQPSSSPLGRNRSSLLHKFRSSLGQRNRSLTDFYIEP DDPWRSYFPGDVIKGTVVLTVARPVRITHLVVCLHGYVKVFKNTVPSGETAPDVGFLG PGRGRRGAEYLGNGLATLFEDEVVLCGEGRLKEGIYKFRFEMVFPPYALPSSISFERG TITYMLTSTLTKPTTINPTVSCRRRVNLMENIDIAPFPAPKARVVTLEPVSKRTRSKA KAKSTSSDAAPDSTSVDVSVSGAAGSDHRPPLSPAPSNVSSSSRMSNSSQSFQIASDP SSSAGTGLRNSEARSLTPSLGDKTITAKTEVLRAGVLPGDTLPIKVTINHCKQVRSAH GIIITLYRQGRIDLHPSIPIGSSANGKKPVYEDIYPRSRTGLGGLTIGTSRTSSVFRK DLAQTFAPLVVDPTTLTAIVKTSIRIPEDTFPTITRTPGSMINFRYYVEVVVDLRGKL TSPERFLPRFNLVTSGSNYSPSGMVLNPTDANSNAITANWAGNILDTDQIRREKGVVA VAFEVVIGTRDSHRRKNQPRRTSSAAASSDLQSSGGHAQGDGEPCPVDQHSVPNGESE HPSPEDYGPQELFWPEYGEESQPQYQSLGEIVSTPQSEEPTDEKARMRHAEQMLLPSQ PPNEVEAGPSTDVPTAPVLPEDDHINGYHHLPSPTENTVPQALTSAESVQTVVPGSSS MSQRSVPGDDKQELERQRLLMEASAPDENGPSHNNIADGPSAPVFHDEGDHQLVGGNA HGDESLPRYQR AOR_1_2238154 MGSDTFELAGRQFPKVTWWKNPGMRKTYLCLGMVVLTAATNGYD GSMMNGLQTLEPWQEYFDHPSGSLLGILSAIMSLGSLAALPAVPYTADLLGRRMGILI GCLIMILGVVLQTISANYGMFLAARFLIGFGVAIAHGASPLLITELVHTQHRAIFTTI YNTTWYLGAIVAAWLTFGTNNIPNNWSWRAPTIVQAASSILQVIFIWFVPESPRFLIY KGKHEQALKVLADCHANGDQEDEVVQLEMHEIKETIRLEKEFESNSWKELIRTKGNRH RLIICITAGLFSQWSGNGLVSYYIAKILDSVGYTSSVEQNLINGCLQILNMIVALTMC FFVDKIGRRKLFLVSTAGMLVAFIVWTICSARYDISKASGAVNAVVAMIYIYYVFYNI AWSGLLVGYTVEILPYSIRAKGMTVVWFCIDAALFFNQYINPIALDNIGWKYYIFYCV WLGFELVVVWFFYIETRNTPLEEIARYFDGETAMVGGAAATEKARELADTLHVEEVVT TSDTRKDGGRPPKLTKTEKQARIVSHLRSTGTCHTLKELEKMLPSIASINGMQVKEYL HELADEGQIRVEKIGSGNWYWCFGGDEKREREEKVEQLQKEVDRVRSSKEELDRQLAV RKREKEEDEKALGPGERERLMREKAELEKECQRLRKEWLAVSASMDGDKGIQEMKDEV QEFQREAEMWTDNIYILEGFVRKVVGDDREAMEMLQRECYGEDYVEGEGLREWE AOR_1_2240154 MSHGKSLDLSQNSFQPPQDEDDHSEGTSFRRQKRQATVYDAVAG RINAHGFLPLLPFTSRYRDTASSNFRPVRPEEVLFRRQNAPIRYEENDFYFAHESLPS DRPLPSSDLLEAIHAYSADYYDYATPDRGQDDYQSMDETALIAMGILMEEMAKESLGQ TGDLVLVEGEEIQSEEDQSHSQTARRVGRKRANTGRSSILASSGDELGTVVRRQKTKR TKKPRLVRTASTTDAE AOR_1_2242154 MAPRKIQVRSENDKDLLLEYSWCLLDTQSTGNPWQSVDIVFRHV FSVNTDDLSHSPEDVYILESDQNAAPQRFGPEAFGSHNAFQFFLQCLRAATMSSPRVA KLIVPLQKGYIVRSDIIPLRLRDSQYIETAVSFAEPFQNYTGCAITPTDVNNLPALFS VAAAGLLVHHEVQLDSDPRLEAVSLAVESDLENRLSFPWILPEPIHQKTLVLVDANSS HPEDGVGLYHAARVLGIDIVVLDNAGHWLEGPDYAQWREAFIPTRLTNPPEEDVADRI IESVKAYGKPVDGIITFADSFWPYIAQAAPQVGLQTASQEALRIATNKYLTSVYAGHQ AYRASTLDEALDIMKNADIPYPLIVKPCDGWSSEGVSRVDNLDALTTAVNSIDTPRHG TEFVIEKYCDGPEVDVNFVLLDGEVLFFEVCDDLPKSADINGPSVGSLHNFHELNSVY PSALPSQEIDLLRNSFLDTLLKLGLKDGVMHLEGRVEYSSVEYKRQNDIIDLSPREIK STTSQSTPWLIEINPRPLGMTGSQIIESTYGIDYWGLALLIAVGEKARVRALSQPFKY GPQYTCIMVFIPADYPIYYQGIFDSEDICTDLMARRPDLAKQISRCACLVKRGQKEGE EGGLRFGEAG AOR_1_2244154 MNSYLLNRTLGSISPHTFHVAQTSRLVHHLEPAPGIRFSSRQNP GQPTSAVDVHLNSEDELYAHRAGVNVLAIDQYDGRFMVSGGADPSIHFWDLETRGSEL GHVHRSVASVTKSSHKDAHTHAITSVSIYPFDPVPSTILTTSRDGTLKLSALEPDTIT PVHTFKLDCTPYAHSMSSHPASPLLIAVGTSESPVRLLDLRSGLSTHGLPGHSSSVLS VSWAPHRPHILASASADHKVILFDIRRGGHNSAIAALDMDDAVGLIPPRNAPSNYQSR PAFSPHARAHNGAVTGVRWTSNGSHLVTSGQDARIRVWNASTGANTLVHFGPRVRNAL TSHLAERAPLVLPKGVTGPGQETLLWANFSENDDRGEILMFELREGTFVKRLKVPGLM GGQQQFRGRSSALSAARINSLVWRGNGASGEGMEMFSAHGDGTIRSWVSREPEGEPDE AEEAEQADRKRKRDVLDEIYRGFIGQA AOR_1_2246154 MSSHQGGTLSEMAQKGTTIPNDAGKQNTIPSVPRPEQRSENPGF DNQGLGQPSSAFAADNEATLPRGPSDPGMTGEVVTGTGNTLPAEGESKFNQVGTDRPG VRGDTRNLKHGTVKGGVFGDE AOR_1_2248154 MKGLQNGIPIAMLAAMASAQGLGGGPRVDTGKDVGFGFSNKFTN KVNNFNKDDHSVDVHSQTNVLKAPPHPPHGGEHGQAHGGQDGHEEGARHQPRAGPASS DGVDVGSASELNYASEATHKVHTANVDDHHVDINEKHTITVLPPPPKHHPHGGEHEGH GKEHQGGHEQGEEARPHEKRWNPLDEEGAVDTGNSASYDFENEFYSKTNNANLDNHSL KVDDNTNIVTPPPHPNGHGGHGPEHDGGHGSEHERRDEHEPHRGPKYIDTGNDIDFTF ENDFESEVNNYNEDNHGVDIKKNTNIQAAPPHGGPPHGRERRQERGDVDIGNAAGFSA KNEFSSKVNSFNADDHSVHVDKYTHVKVLPPPHPPHRGPHGDEGQEGHHKRAYRPDAD GAAGPGRVDTGNTANIQASNSVNTETNSANVDDHSTTVHSNVDETVGAPPQPEHHEDG HEDNGEHHKEEPTKPAEHHDDGHEETGEHHQKEPSKPAEHHEDGHEETGGHHQEEPTK PAEHHEQEPAQPVEHHEDQPAEPSPTCSTLTREVVHTVVRTVHAHSEPTHAPQQQEQV NTPAPQPEQPKDHEESGHDNQSHEIESPKPTGADSSPHEDPSREDSHGPSSTPVHGQP EPTGVDGIHNQEESSSHEDSHGPSSTPVHGQPKPTGVDSTHNQEESSSHEDSHGPSST PVHGQPKPTGVDGTHNQEESSSHKDSHGPSSTPVHGQYKATGVDGTHNQEEPSSHGDS YGPSSTPVHGQPQHAGDDGSHFDVPSSTTLAHIAMTPAPTHAPSHQHAVLTSTQTISR SSLHMVPIYVPQASSNGAHASETPAATPSAHVPVGVDAEYSSHVASGPATPSPSSHNV MFTGAAASLSPSAGVISLACGVIGLLAFVL AOR_1_2250154 MAPSALAALGTWKEEKRVTSSKGLNDGPETEALVSPPSPAVPDY DPTIGAKPCSPFYRHATQNFTGQTPNSTLKVPEAIDLETGGVSAYRPSGESDNRRSSK LWTEKKRHCDWLRALPKKQRIAVKAVIAIALLGTMVAIALGITAAVGGGVWKSNHQQG AIGS AOR_1_2252154 MPRWTFYLSPKTLTQEEKATIAQKVTDLYIGYGIPAFWINVFFH ETGEGNFYSGGKYPPNAVFFHMDHAAGKFDSEEVRDEFIRKVNDIVRPILGPKGIKWE YNLYEHPRHYWRINGMIPPMEYPEVLEAWREKDVPVVYEGAYR AOR_1_2254154 MSSLLKADVWVSSRLPIAIQRDGQSSAFSPISCALIHGTYEAVL VDTPISTTQTTDLTKWIEETAPTKTLKYIYITHGHGDHWFGIPLLLKRWPSARAIATP ATVAHSQGQLEPEKFIDIWTRFFPGQIYQPQKTAEPWPSDTFTMEGHEFRIIEVGHTD TLDSTAFSFGEANTTSKRREWLRALDTIESLKPHIVVAGHKRAGVVDGVFKIESTRQH NLAFEEAITTTSSPEKLYRRMQELFPSRINPHAILAGAKAAFGLNAYEFKKTL AOR_1_2256154 MSAMPQDTDSLRHAPRKRTRTGCINCSRRRRKCDESKPTCTGCR RRGEKCQWRVLGSFREANIKVLEPGHPSMNQSVGRNNRQSKFKILNVDPPSARKERAR KEQTLPERAPSAQPQQESQQEASAPSPLPVGYPDQTQAQLPQSEFHPCDDQGLSPSLS SNASFYPAAEVRNHERDGGCNEEATTEVPNHIPHTASPNHPYMHSSPEFVIDELTALR NFSNNAASFPQAAQEAYQGIASPLFDHSVFSDPVNDVFLPGSAYEALHTTLRNRQLWT ARPDIPSRCSSPASVSESGAVTPNGLHPSEVNNYSRPSRPFELSPTRENVLWQNYLNE ICLWLDMFDSHRHFASTYPQMAKSSPHLRYSILALSARQIERKQNQKSQSESLSLYQE AIHLLLPELESKTTPVIASCVILCVLEMLSCNPKEWRRHLDGCAYLIQAAEINGFSGK EEQALFWCFARMDVCGGLISEEETIIPIHHWIPKDMSPAEASQHFLASNISAFDTYAN YTVYLCAQTLGVLFNPSSQLPPSCVTCRYLGSPDDRDTYVHRWKRLFDSVEEWYDNRP NQMKSIFVIPATAGPGQERPFPTVLYGNGAAISGNQLYHACALLLLQRKPKTLSLSRR PKSVLWHARQICAISASNTHHGCWTNALQPLWLAGKAMSHHSEHTAIIETLARIERET GWATAWRVEDLKDFWGEDDDMDEMEPMRIDVEGDVSLQTPRSIRSL AOR_1_2258154 MTFLVGKPLTLAITATAGSGFLLFGYDQGVMSGLLSGDAFVRTF PEINTNTGANGSATLQGTVVAIYEIGCFLGALMALFWGEKVGRRMCIMAGCVILSIGA ALQCSAFSIPHMIVGRIVAGIGNGLNTSTIPVWHSELSKPESRGKGLAIELCINIFGV MTAYWVDYGMSYVKNDAQFRFPIALQILFAIVTFIGIIGLPESPRWLIAHGRESEARR IIWSLQPNAHSITEDDAIINQDVAEITQAIREEQAATEESSFMMVFKNGPQKFLHRTL LGMGGQMMQQLSGVNLITYYNTVIFEKSVGMSHNLALLLAGFNGVAYFVSTLVPIWTI DRLGRRKLMLFAVIGQCACMAILAGTVWDGGHAAGLVATVMLFLFNFFFGVGLLAIPW LLPAEYSPLATRTRSAALATATNWIFTFLVVEITPVSIDNVGYRTYIYFAVFNFCFIP LIYFFYPETRNLTLEQIDHLFTGEKVKLHWHASMGVAGDAERRVGEKDAELAGVQHVE AOR_1_2260154 MAPSAVPENTTTVAPESIQNPAVARTGDLFSLGNRTVVITGGGR GLGIVLAAAVIEAGGDVACLDLLPTPSEEEWATVQKLASARGLQATYTQCDITNEELT KDVLEKIAAEGLKRNMPLRGAITCAGIQQMVPALDYPIDGWRKMLDVNVIGTFIPAKH CARIFKEQNTPGSIVMIASMSGQIANRGLTCTAYNSSKAAVHQMCRSVAQEWGQYGIR VNTLSAGYIRTAMTDALLKEKPEVEETWMRGALLGRLGVPEDFKAPTVYMLADGSGWM TGTDLRVDGGHCASA AOR_1_2262154 MRLPWSAWLLSPALLAVACYGVPYNEYILAPASRHLVPFEVLEV NGSVTDPSSLTQSTGGNATFNGPASVTFDFGRNIAGIVSLDIGSSSTRDAFIGVTFTE SSLWISSQACDATADSGLDSPLWFPVGRGAGTYTADKKHNRGAFRYMTLVTNTTAVVS VRNVQINYTAAPSQDLRAYTGYFHSNDELLNRVWYAGAYTNQICTIDPSTGDALPFLG VISSDSNITLPETNPWYSNYTISNGSSTLTDGAKRDRLIWPGDMSIALESVSVSTADL YSVRTALETLLSQQRSDGRLPYASEPFLDLVSYTYHLHSLIGVSYYYRHSGDRAWLSK YWGQYQKGLQWALSSVDNTGLANITASSDWLRFGMGGHNIEANAILYFVLNEAQELSQ AINNHTNANWTKIASGIKSATNKNLWDANNGLYKDNETTTLYPQDGNAWAIKANLTLS TNQSSTISSALSSRWGNYGAPAPEAADAVSPFIGGFEIQAHFLANQPQKALDLIRLQW GFMLDDPRMTNSTFIEGYSTDGTLHYAPYTNDARVSHAHGWSTGPTAALSFFVAGLHL TGSAGATWRFAPQPGDLTSVDAGYTTALGLFSTTFKRSENGDYQELTFTTPQGTTGDV DLAGAEGTLVSADGERVFLVKGTATGITGGSWNLEVASQ AOR_1_2264154 MDPAQSGDAPQGNTQGTTDDPQNSSAKRKWRRNRIACDACHARR TNTNGGTEKLSAALSNGRVPAPSTADAGGDAVATPAPNGSPSSSFPHRSPGTNEMTAS APSVDERRSQAELPLAPRKLAPGGNVTEEWLAAAHVSPGSYDFLGGGLGEGPFPRMFD VWSGVDLSNNSGPTPQGAKVSGAGQTPGVPPASLKYPVLQPLMPFLDANLPRRLVFDL LELYFTSAFSTQMHPVCHHIHSYILRKASFLSRDAPRPSTPALLASMLWVAALDDRAF ALSISPQQRKNICQFLCALTIRLLRPLIHVSFKDQGASLSEPVGQELPPTTVHHPFEG GGDDRGLVGPAGSLDDVITYIHVASIISSSEQKAASMRWWHAAFTLARELKLNQEIEV LPNVDTQTEGSSPSFDYALPGWNGVETRPFFDFSNPTRPSLNCVCDRHDMHNTITEEH REERRRAWWLLYIMDRHLALCYNRPLALLDAESEDLLLPLDEGSWQSGNIHSNSPRPD GPQCLLSGDKNKRRVFPNFVCHDHSIFGFFLPLMTITGELIDLNQSRNHPMLGVRLNG KDAWDVHVNAVLGQLEIYKASLTTFAATASDPEAPLSYAYPPPKSDTNGVDPALTQAY SWHTQTVISYASYLVHVLHILLVGKWDPVSLIEDKDFWTSSPAFASTISHALDAADSV DQILRFDPDISFMPYFFGIQLLQGSFLLLLIVERLQKEAGEGILNACEVMIRATESCV VTLNTEYQRNFRQVMRSAVAQARGRPVNHSEIRHRRKAVLALYRWTRKGTGLAL AOR_1_2266154 MTTHNQQPLFTLPNYTNEIPFPDLDLDLNFNDVFAPGILESQAP WDLDLLDMPGKPSGDFPVPNDFGFNYPVDLVELGGLDLGFEGEEITASHPQDQIHTQN TINTLLRTTTYQATQRALYMTTPTPQTTTNSPHNALYSPCSPTSSHSNPSDTISISTS DTDSISFGPRTPPPHTPIYNNYDYNDPTARKRKASTEIDLEGIHTYHYTVPSRPRGGE GEFSVGVLAEEDSFYPKRRRSSVPDRDQEQSQSQSRSRSRSESQGEEEEDQDIFTPLE MPDGSTRFTSNWLPVDSSGGFTICPDPLAGVMGEAFVRVGG AOR_1_2268154 MSSEYGDRQSNDQPNPNLTAKLGARKKIRPTYSCLNCHKRKVKC DRVKPCGACCLRGTPSECEYGTSKKDRHYIEQSALIENLMQTCESLKRQLSEAPTMLK DKVTRQRIPDIHDILPALQGSVLIGLAMLSTSINPLSKASPTSLSRQKAILNDPNLAS TVMELFVDRLIRNFSPDDHRKYGGTIALREASEMRMISPMLCNAFEATALTFAGRRDG NRSVELAGHAKYVRTLRQLQAALYDADGNKSTEVLVVVLLSTITEAFKQTSKDSIFRH QLGGLELLRTRSAYRHRYGIERSLFVDLRLYWVTAALVHRKPSFLASKDWLTVPWAGD APAKDILHHLLDVAVDIPGYLSRIDEFGAMVDKAAACTFELTGMQSSIWQQATELQAR LDMWKAVYADTYPSGTAWEVHDTESKDDFPVFRYRNTSSMSVTVAKVLHYPDILLATS MCFYWALSLVVSASDSGLVRVLGPQQRYQFACDICRSMKYYINNIPGYLMSRIMFVLR TAFDAFSPGMIEKEFIAELFQYIGRKLEFPVFSNKCTSSAVKNEST AOR_1_2270154 MVLLHPLLTAAALLGASARAQSVVGTPFGFASGTTGGGNAAPAA PKDTNELKEWLADPNPRVIVIDKEFNFIGTEDTCTDCECCIPDSNTCGDAGQNAIKTE GSDWCGSYPATTCTYDNAGLEGMEVASDKTIIGVGDAGVIRGKGLRLVNGVSNIIIQN VHITELNPQYIWGGDAISLDGTDKIWVDHVKVSLVGRQMFVTGYESSGGVTVSNSEFD GQTKWSASCDGHHYWSVLGYGKGDQITFANNYIHHTSGRSPKIEFDSHWHAYNNFWEN NSGHAFDVGEGANVLIEGNVFSNVKTPMNPEDTPGSTFAVNAQDASSCTSALGRPCIA NELTSSGELSGNDEAVLSGWPKGEGDTKAMTTDKVPSYVKANAGVGKLGSGGSGAASS SVSITPSPTSSAIPSSSATPSSSAYARRHYARHHHY AOR_1_2272154 MSSFNAHNVDLDTASQADILCYLALSENDYNGHLGARISSIFVI FITSTFFTVFPVIAKRAPGLKIPYHVYLFARYFGTGVIVATAFIHLLDPAYSSIGPNS CIGVSGHWGDYSWCAAIVLVSVVTIFLLDLGAEVYVEYKYGVQRNDDATEAFITHSCA SDSDSTSHAVESGTPIRKSTDIHTEVAWVRSERAFRQEIAAFLILEFGIIFHSVIIGL NLGVTGEEFTTLYPVLVFHQAFEGLGIGARMSALHFGSRRWLPWVLCLLYGLTTPISI AIGLGVRTSYNPGSKTAMIVQGVLDAISAGVLIYSGLVELLARDFLFDPDRTKRRSHL FVMVGCMLLGAGIMALLGKWA AOR_1_2274154 MGKHNPQATQRAPTLNEALDFIEQTYKESKLIIVGCLASWTLCR LNFRFVWLLIILAFCRTHYQVSVRRIERAIRDELRRYHSQKVLQRGESVEWVNEVLGR AWHLYQRQICKRIVQYVNAGLAQRSEDSSPQKLVIHSLAVVEQPLRFTKVMAYSKPQS RNLIFEGHFCIDLRPPDDQRMHLLDLVHSDEPLIDLAIVHEKPDRKNHDLVVHVRQVT GTGIVRLEIDLESLEPHILQPQIELQDHPHIDCTIKTVSQHHFPFHFAHHVDWRRAVE KQIREGLGWAFHRPLPLPFHFFGEKFLIRMMTWWWQLSRAVHD AOR_1_2276154 MHRFSLITATLALASTVSAQCGSGTPDATVDGADGSYTATVGSE EVYAGSDYYTAIQTALDTIGEGQRLSVIASGSIGEGVISISSGKIFEGCGTIDVASNG RGSIESLDTTDVQIPYLSLTGTPYFGMRFYGVTGLSLGEITMNLSGGLGIRFERDEAA NADVSMDVISVTGAGSHAVETWNIDGLTINQVIARDVGECGLLLQTTTNAQIGTVDGD NVAAGNGYATFRMANNNGQLADGSYTTNVFIENVISRGGGRGIFCVSQSGGAEIANVD LADNENNAILIENCYGLSILGGTVNGGGEVRISARDEFPITSDISISLEVNSNSVTEN PCGENISWNISGDATLKIC AOR_1_2278154 MGLKSPIPLKDLKFNTPVPYTLHVDRELLQLTKQKLALSRYPEE QTDFGENNWAQGAKVSRVKQLAKFWRDHYDWEAEERRLNAIFNHFLVKIDVPGYGPLV LHFTHTKSTRPSAIPLLFSHGWPGSFVEAVRVVLPLTEPEDAKDPAFHFIAPSIPGFG FSPAPTKSGVGPNVVARAYKILMTDVLGYPKFVTQGGDFGSFITRSIAIQYPQVVRAQ HLNMFPVPPHTLWSAPCAYIRWCLSALTYSEFEHESLRVRRNFEQDQSGYLEEQKTRP QTLGFALGDSPLGLLAWFVEKFHDWGDVHDALSDTDIITLVMMHWIQGATPGLRFYRE AFGRGMREAEKTFETYVSVPCGVSMYKKEQLHCPRDWAAQVANIHYWREYDRGGHFSS LERPDLFVHDLRSFFSSPVVMQAYAR AOR_1_2280154 MEAPDSDASASQTKIRWKRTRSGCLKCRSRRRKCDGARPQCRNC QARGTTCRWGLKASFHRSRNLSLSHKDVATLCAIERRRDSLPSQARAVPTIIDESESI IRDYHFPNDTYTSDSVLDQSHEADRSWADEEENEGVFHGDKSAVDDATALTALERSGC GSKGSSSALDTSHLHSQESSTSRTSGTNDGLSTGHSSIGHLLCQPNTQTPEPLQPVFL EYAFSPLSDVYHYRPHSIATHEAGTYIPPFSLGMAVSRDESPQPEPFLPVTCTEKARL ISSFIQETGTWCETTDSNMHFTMRSLHSMMKSTAFVAAAMSLASRQLDHVEKVQRPVT LELYQSTIQHLLRQDPAKADASVLATCTLLCVYEMMASDVHEWRRHLKGCAGLFLAKK WNGSSQGIVKSCFWAFARIDVWAAFISGKTTLIPTDFWLDDTSIESLATKRDVDDYCN LAIFIFAQIVNMLAAPGFGTKKAGSTLAVSVSRLWGELQKWYRLRPQEVCPLLRDSCI PPSVFPAVIYTSASAICGNTFYHTGCMLLLQTGLFPESEISSFPVKDAVGVVI AOR_1_2890154 MGQSKEVIIIGAGISGLGMAIQLKRLLGHDNFTIYEKSDNIGGT WWHNRYPGCACDIPSHFYSYSFALKYDWTTMFPGRDELHQYFFSVAEKYDILPHCRFN AMCVSLVWDNLRSLWNCTFQDTISGETFKKEAPVVVSAIGTLDRPYIPNIEGSESFQG EVFHSARWNDSFKPEGKKIVVLGNGASATQFVPELVKDVGPQGSVTQFVRSAHWWTKR GNPKYSERFKLVLKHVPFAAKVYRIILAWQLERVFSSFYMNSNGAAMRQKIHDATYSF IESDAPPQYHEILKPRYEPGCKRRVNTASYMVCLHSPQMILTDNSVVKVGPDYVETKS GDRHVADAIIYATGFQTQKWLFPMQIKGINGQDLHQVWDAASGAEAYKGTVVSGFPNF FILYGPNAATGQHSVIFHSECQINYSCRLLRPVLKGKADSIMVKLEAQQQDLSWVHDK LKHLVFNSGCQSW AOR_1_2284154 MGPHYNAQGAFMLAVGSNPSWMKAFLLRKILLATKDQITQSTND WLSCLKMNPLTSLQLARGKLSNCHHWVRDTINRLKNDDACNKNVREKAVHISEGKWRE IDEFLEQDPNMYLNVRDQDGMSLGIEHHESASQNYMSQQIAHQRGMNSDDISTDDNIS TDGISSHVVDQIVTTPYKVSLDGLDPELIHFLSLDEHPMVRYRQDTVIHRAMNQNIPP QNSIGWNTTHRYDTNQNHMTQEVVRTKNQDSDHPNNKTPKRTKHSKWCCFS AOR_1_2286154 MPFIPIPEPGFYEHVINRPPPTVVHFWDSAAGPPTQEFQILESG NHPASDRETFGVDVSQFPVPNAPSDVPVTILFSGGQQLDTANGGDIGKFFHLLEQAE AOR_1_2288154 MPVESIDPDGDIFIECGDDLLQVCSKVLSAASPVLSAMLSSHCK EGTSIVKGSEGPGVIPLSGDDPEALLTFCNIVHFRTDEIPDNPSPTFLEDFATLIDKY MCKKAVASQVKLWLMKNLQNLTVTQLCPLLLLAYVMDLPERFAAISKEILFAHAGSYT DLSLLVDHPLIHSNIVVDFERKRRELYRIVRKAITSVLNSLTAFKTDESKYVTLYTWK LQACGLLPGTDVFEAKSFKQVHRDALKLPKCHPGPCNVSGCVCMSIKALKQDAELLRL LSNCRKAKVGMCLDCLRSNGLSFPKCRYTHPSFGDDKAIFTEESVIMVYA AOR_1_2290154 MQRYISLSVSLSLLSGANALVRPDGVGRLPALGWNTWNAFGCDI DASKVLTAAEETINLGLKDAGYEYINIDDCWSVKSGRDPNTKRIIPDSAKFPDGISGV ASKIHDLGLKVGIYSSAGTETCAGYPASLGYEKIDAESFAEWGIDYLKYDNCGVPTNW TDTYTHCVPDNSNGSKFPNGTCPDISNPAPTAYDWSSSNTAQRYNAMRDALLGVNRTI LYSLCEWGQADVNTWGNGTGNSWRTTGDITPDWSRIVEIANENSFLMNYADFWGYPDP DMLEVGNGNLTLEENRAHFALWAAMKSPLIIGTALDSINEEHLAILKNKPLLSFHQDP VIGRPAYPYKWGYNPDWTFDPAHPAEYWSGPSSTLGGTLVLMFNSEDSAKHRTAVWSE IPELKDSAEKGSGYRVTEIWTGEDLGCVKDQYDVELQSHDIAALVVGESC AOR_1_2292154 MGFLSRLRKRSKSHSRAGNAASYEHLRTHREDVPPLPIMGTDYT KRLPRRVLIRIFSFVCPHTEDNSYDTSEESMTEDGCMLCDMRDLAHCALVCKRWSIDA DTLLYSNVRIDAVHYCELEVQLSAKRKRRSFFDKNGDPEDAPQARLSLFMRTVRQSHG LGSMVRSLRMPYMTREASKAEIARTVSVLPNLRYVDLPAGFFSDDPACLALKQEIMAR CPDLRRMNYRHGSEGTFSQLPGTHLWANLEILELSGLQVEPHILRFGLGAFPKLRDLT LDDLPWLDDATFAHSQTFPPFPAVERLTLRDTPNVTASGLAAFFSLPENRASLQSLTL SSTQVHPSTLHQILSVAPELRALSVIQEVSRSFPPEKVPPLTSRSLELLHYEISSPAG SYGMPPVASSYYSYLISSLMSNSLPALRDLYVRDAGFPETLLLAPPPRLFGGGESGPQ FGGGILAQPLNVYSKGLDELEWNFTPYEPPSTRGRRDSTTRPVSFHDAQLSRSWGGDA RKSVLVGNGFGGFLAVPVDDGRPKSSGGWRRESRGDLWR AOR_1_2294154 MTDRVDRLLGHICHALREALASAETIIAVKSSKETYQTSGAAYE IEEYDEHFDKDDAHIIVPKWEEVARLHQDLKSYFYHLLSEDPYGVLQPENGDPDDGQV IYHNDWRTRQALAQAAPSVKDRIREAYKNCLGANAHEWHSDDEDEED AOR_1_2894154 MNPTPKTGVTNCARLIDHEQREEANESPRPRLWNRESYFGSLIF NLGAFALPALYSTLSKLWIANIDSSQVVTTDIYTYIGVIVQVLNDGFPRSAWLVIGDK SARSVTSRLSLSYTIIVVQTVLGTVMTGIFLAASNSLAAAFMPVEVRQTSLKYVRISS IAALSSALETAVSCCTRALDHPDVPLFISSTKFIVNIILDLLILSKVHPGSFQPTVNT QAWIRVACDMSSALCGLGYFLHLTFKLQRSQPGTQRSAIRNAIYLWLVSRIVLLGENY ATAWGVFNTIRWGLVMVPVQALEQSTLTFVGHNWGVWRDRAGVEIRYPKATKSDIMDI CRPAFTSCGIALVFEVILCIALSIRGIQGFAYYLSGSTVVAQITQRIWKQIDWTYIFY GLNYQLAAILLAASPRWYLYQALASNFLWMLPWAIFATVMSVSKSAAWTYYAIIFGGA LVFDFMDSESMRDSFLDISSLRFTVTIDKINLLTVEQQEMLSVSRP AOR_1_2296154 MGPQLFLIVGLFLQLLSAHELHPRAGPDSKSVGLGYFYGNDIVH NHSYLYSGNVYNHRYLYSDIIHKYQQFYGDIVNKHWRLYSNIVHNCRQLYSDNGVCYS HS AOR_1_2298154 MAEDMPTGGDPFPNPISGTTDEASWKHRPPYKVQTDEEFGPVKW TGRCQCGQVEYKINREKPLKSKYCHCRGCQVLHGAPFQWATIFHKSDITFTKGADGLA FYSSTEKSREYMNPTKVSCSFCRTPIMDEGRNVCLLFPASIDYGETHEKREKWIKAFE VECHIFYSRRAVEIPDGKPKWSELDDSSELLDDSGNPKKGES AOR_1_2896154 MRLLNTKPSDTGNFIIEEFFGEPPSYAILSHTWQEMEVTFQDIT TGVIDKKGFKKVKDCCTFARADGYEYAWIDTCCIDKTSSAELSESLNSMYRWYQEADV CYAYLADVPSKPFAESRWFKRGWTLQELIAPSRVIFLDHEWNELGTRESLRDVLSDIT SIPVGILVGDDDVETASVAQRMSWAARRETTRIEDRAYCLMGIFGINMPPIYGEGKNA FIRLQEEIMKVLDDHSIFAWRSDSEEENHGGLLATSPDAFRESSNVVPYSPFTMIEGP LTVSSKGISLELRFIGVGHPGLGLAILHCTEGMSEDNRLIAIYLQDSFLTMQRFERKQ CEKFEFINLGDLKPSQYPLRRLCVQQRRPVSRKINKAGKPKDTGTALDNLHLLVSENP LQACRDTETNWINTNGISGNGQTLLSHAAGRGDVDMLWLLLTRSDVSAGGRDLSGRTP LSRAAECGHEAIVSLLLSRNDVDPDYEDTNGRTPLSYAAVSGHLTIAKLLLQSGRVYA ESEDEYGRTPLSRAAEGGHKELLEMLLESGAKLESKDRDHRTPLSWAAAENDHGAVVA LLLELGAAIESKDREERTPLSWAAMKGRGAVVTLLLERGANIESEDKHSRTPLSWAAR KEQEATVRLLIQKGAFKDCQDSNHRTPLAHAAERGHEIIVKVLLEMGADIRSPTRLGE TPLSLAAERGQEAVVMMLLGKGADIDSRNDKDQTALFLAAELGHETVVKMLLEKGANI KSRDYWDRTPLSYAAENGHTAVVKLLLDKGAEMKSQDSWRKTPLSYAVTYGHEAVVKL LQDTDDVNIAGISITRQP AOR_1_2302154 MMWLRSRHTLAAALGVSLVAAVTQLSDDQMESFLGQGGVELADR YAPMWFFGQALNHPPCYPTWAFGGSPTSNDVYDSNHKTPAAPQCEYPDVGCKCRNPGV AINNAGPDFPIYYTFKRCSDTEVRVVYNLFYEKDGAKVAGIIDTGHDYDWERVIIIHS RDDNKMWAPSRALLSSHSGYHNLAWGDIQNTLTTEEIQAGAANDPNGVRNNDHPKVYV SWSKHAHFDTRNTGWNDPLSQSTDNAFRSNDWWHYVSKKNYVLSDNSTDAGKALGSVD WGSATSNPPYVQQNVCTAENS AOR_1_2304154 MSWFQKTFTLAPKARGSYLIDKEVRDQLPEIENFKVGILHLFIK HTSCALSLNENWDSDVREDMTNALERIAPYDREGDLYLHSAEGEDDMPAHIKSALIGA SVSIPISNGKLATGTWQGIWYLEFRAYKHSRSIVATIQGEKYE AOR_1_2306154 MLPLARSRIAAFSAAWTPRVAARASYSTTVPRFSENSIQANDPN PPQPPKPNVSATNATPVDAMGSRDAPLREDVEAGERNRQLQAPNRARTWAASQQPREK AMTGPRFEQTIMEMQPQPYAAIELIHKQPVRWTKKRVVSCDGGGGPLGHPRIYINTDK PEIATCGYCGLPFAHEQHRAYLESLPATSYPLKPTGDAAEVNETQRVTEGGLEQR AOR_1_2308154 MAGSPATGSKPEKTMSSRLLTMKFMQRAAATAAAKETSQPPSTE GSNTPTPKRQRFAPGEQSQSPAATPSKDLEAITAALAAEEEKRREAIARQAAESGETE WVLDFGAEDPVNQYAPPPFIVANDSLDVDDDDDLVYGGRQAYGNFKRKKKIETRNAGG DESGSEEDEDEDEDDVDSMINKARSKASKQPPKVKLSKLTSISGGRQGSVGGNKSQKK RKHK AOR_1_2310154 MHHLGKVLTAFAACAGLGVAAPSSAQITPREVSPQFLQQLTLYA QYAAAAYCSSNTNSPNTKLTCSVGNCPLVEGANTKTLAEFEDNKAFGDVAGFLAVDES NQQIVLSFRGTRSIETWAANVQLIKEDVDELCDGCKVHTGFWKSWESVATATLDGVKK AHQAYPGFKLAVTGHSFGGAVGTLAATVLRNSGSEVALYTYGSPRVGNQEFADYASGQ GSNFRVTHSNDIVPRLPPRLLGYHQTSPEYWIPSGNNETVGTADIEMINEADSDMGNA GQKTQSIEAHKWYTDHIYECK AOR_1_2312154 MSTTTATAMQSSRPPIIPKDFSAQQPQTIRLYPLSNYTFGTKET QPEEDPSVLARLKRLEEHYDQHGMRRTCEGVLVCHEHNHPHVLMLQIANAFFKLPGDY LHFEDDEVEGFKKRLNERLAPVGSQFSGEGVNEDWEIGDTLAQWWRPNFETFMYPFLP GHVTRPKECKKLYFIQLPKKKVLSVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLS RYNFEFVDENDNVVAATPGTPLPEGQIPKTKVLAGDNEGQDEGMADYTGDTTENGGQ AOR_1_2314154 MASIERITENLDKPELDDRSYRVIRLPNKLEALLVHDPDTDKAS AAVNVNVGNFSDADDMPGMAHAVEHLLFMGTEKYPKENAYNQYLASHSGSSNAYTAAT ETNYFFEVKPSPLYGALDRFAQFFVAPLFLESTLDRELRAVDSENKKNLQSDLWRLMQ LNKSLSNPAHPYHHFSTGNLQTLKEEPQKRGLNVRDEFIKFYEKHYSSNRMKLVVLGR ETLDEMEQWVGDLFAGVKNKNLPQNRWDDVQPWLADDMCKQVFAKPVMDTRSLDIYFP FLDEEHMYESQPSRYISHLIGHEGPGSILAYVKAKGWANGLSAGVMPICPGSAFFTVS IRLTKEGLRQYREVAKAVFEYIALIKEREPEQWIFDEMKNLAEVEFRFKQKTPASRFT SRLSSVMQKPLPRDWLLSGSLLRSYKPELIKKALSYLRADNFRMVVVAQDYPGDWDLK EKWYGTEYKVEDVPKDFLGEIQEALKSTPETRHSDLHMPHKNEFVPTRLSVEKKEVSE PAKTPKLIRHDDQVRLWFKKDDRFWVPKATLHVTLRNLLVWATPANLVKSKFYCELVR DALVEYSYDAELAGLDYNLSASIFGLDVSVGGYNDKMAVLLEKVLTSMRDLVVNPDRF HVIKERLSRGYKNAEYQQPFYQVGDYTRYLTAEKAWLNEQYASELEHIEPNDISCFFP QLLRQNHIEVLAHGNLYKEDALRMTDSVESILQSRPLPQSQWHVRRNVIIPPGSDFVY ERALKDPANVNHCIEYYLFVGNMTDDALRAKLLLFAQMTDEPAFDQLRSKEQLGYVVW SGARYSATTIGYRVIIQSERTAQYLESRINAFLSNFGKALEEMSDEEFEGHKRSVVNK RLEKLKNLGSETTRFWTHVGSEYFDFLQNESDAANVRTLSKSDLIEFYQQYIAPESTT RGKLSVHLKAQAGADTTEPNEQNSTLSSLLAKQLEAAGFAVDNDRLKIAIGKLDISAG NEGQILASLKTFLASEVNLSEEQIKPVLEQAEQNIGLHLKQLGLESDKEGFVANGVGK LAEKQHPTFITNVSEFKARLAVSAGPSPVTDLSEYEDFDAKL AOR_1_2316154 MDVFSSLQNNGRQIHCIDMHTTGEPTRIIYSGFPNLEGSLLSKR DQAKNQYDDIRKRLMLEPRGHDGMYGAIIIPETELVLSGEADIGVLFTHNEGYSTMCG HATIALGRFLVDTHDLNVFPRRETLKFDADSQTVKLNFHAPCGLIRVTVPTTPDGKKS DPSRSVSFLSVPSFAPAVQLRIPIPSEVRWPELGARDSIVLDLSYGGAYYALVDIEEL GFKSLKNADLNATTRCSQKLLPYLRTHPEILSAIQHPEDPRLSFLYSVMIVDSKTGVK PGDAYGTETGLCYFADSQIDRSPTGSCVAARVALAYEKGLRKPGQRWAYNSLVSNKFG TGAFTAEIASEDFITDTKGKSIRSVVAKVGGQAFYTGAMTFTVEDEDLVSSSGFTMAS VVS AOR_1_2318154 MLFCGGVQGPRRRNREKAILVTIFIIGALYFLFFAKTSEHRKFV SQNPVSYEGSQRSSHSGQASPSSVPKSQTLEKDLVVASMMKDDVSWLYDNFPEWHKSI YVVDDKQAKLTVDLNKGRESMVYLTYIIDNYENLPDAMLFIHPQRFQWHNDDPYYDGV PVLRNFQLPYLLKQGYVNLRCAWVLGCPGEIHPLTDTHRNDVHVGEYFKTGFMELFPG VEVPEEVGVSCCAQFGVTRSKVLERPKSDYVRFRKWLAETPLEDDLSGRIMEYSWHMI FGKGPVYCPTAEECYCKVFGLCNLSCPDEGHCAGRYVLPPYSSLPKGWPYKGWNGQDQ DPTKGLPES AOR_1_2320154 MLIIGLTGSIATGKSTVSNFLSSPPYSIPIVDTDLLARQVVEPG TPGYKAIVNYFGPSTPDLLLPPSPDDPTGSKRPLNRPALGRRVFGTTEERKRDRMILN KIVHPAVRWEVYKSLLYYYIRGHWAVVLDVPLLFESGMDFICGTVIVVGVRDPEVQMA RLRARDPHLSAEDAENRVKSQGDVKGKVEKAEFRGTQSARGVIVWNDGDKSDLEREVR KAVGTISGTSPRWWAWCLLLAPPLGVGAAVWNMAVNFVTQKNWEKRATEEKAKL AOR_1_2322154 MQLKALSLLALTPLAAAVGNAVVKNSCDHPVYVWSVGGSVGPKQ TVEPGKSYSEPFRHDDASGGVSLKITTVDNGLYNGSPQLNYAYTLDNAGVWYDMSDVF GDPFSGSAVVIKPSDTSCPSICWPQGVSPGGSQVKVCQSNSDEVLELCAEEC AOR_1_2324154 MAAEEDEDTVMGEAVTHNPNPTPSQHLTHDGASHSNGRSDPWFN TPLLGPPLKLPVQPAASVRNPQPAAAADNHTMSPPKSLPKSADSGDATTEVLVQLNDS LVEENSDWSEGEDAVAIRGLPIAQLPSGLCYDVQMRYHCEVRPTADVHPEDPRRIYYI YKELCRAGLVDDPESSRPLVSRPMKRISVRNATEEEISLVHTPDHFAFVESTKDMTDD ELIALEHTRDSIYFNKLTFASSLLSVGGAIETCLAVATRKVKNAIAVIRPPGHHAEHD KTMGFCLFNNVSVAARVCQKQLGDKCRKILILDWDVHHGNGIQKAFYDDPNVLYISLH VYQDGKFYPGGDEGDWDHCGTGAGYGRNVNIPWPSQGMGDGDYMYAFQQVVMPIAQEF DPDLVIVASGFDAAVGDELGGCFVTPTCYAHMTHMLMTLANGKVAVCLEGGYNFRSIS KSALAVTKTLMGDPPDRLHSTFPSKLATTTVRRVMMIQSQFWSCMYPKAPQEEGLWTD RLHDVIRAYQSKRLYENYKLTSLYIYRTAISRSFENQVLATPNYYQRNPLLVIFHDPP EIMGLPHPVTNKLEAHNCWLADSLKDYIGWAVGKGYAVMDVNIPKHVTVEPSGKYEDE EENRPTATEELAAYLWDNYIEPNEATEIFFLGIGNAFYGVANLLINRDTLYKRVNGVV SFVAENPVRAIASHTQVWLSRWYKDNSLVFVSHTHGVWNTDENRRKPSKRYGHLIQSS RSGLSEMLMHHKEEVFQWIEDRADPHESEETEEEKQPRRSPTKPGEAFAKPT AOR_1_2326154 MATPKVGINGFGRIGRIVFRNAIASGDVDVVAVNDPFIETHYAA YMLKYDSTHGRFQGTIETYDEGLIVNGKKIRFFAERDPAAIPWGSAGAAYIVESTGVF TTTEKASAHLKGGAKKVIISAPSADAPMFVMGVNNKEYKTDINVLSNASCTTNCLAPL AKVINDNFGLVEGLMTTVHSYTATQKTVDAPSAKDWRGGRTAAQNIIPSSTGAAKAVG KVIPSLNGKLTGMSMRVPTANVSVVDLTCRTEKAVTYEDIKKTIKAASEEGELKGILG YTEDDIVSTDLIGDAHSSIFDAKAGIALNEHFIKLVSWYDNEWGYSRRVVDLIAYISK VDGQ AOR_1_2326154 MATPKVGINGFGRIGRIVFRNAIASGDVDVVAVNDPFIETHYAA YMLKYDSTHGRFQGTIETYDEGLIVNGKKIRFFAERDPAAIPWGSAGAAYIVESTGVF TTTEKASAHLKGGAKKVIISAPSADAPMFVMGVNNKEYKTDINVLSNASCTTNCLAPL AKVINDNFGLVEGLMTTVHSYTATQKTVDAPSAKDWRGGRTAAQNIIPSSTGAAKAVG KVIPSLNGKLTGMSMRVPTANVSVVDLTCRTEKAVTYEDIKKTIKAASEEGELKGILG YTEDDIVSTDLIGDAHSSIFDAKAGIALNEHFIKLVSWYDNEWGYSRRVVDLIAYISK VDGQ AOR_1_2328154 MVSPQITNLAIIVVSMQLAKKIPFEDPDVLLVVRGMYILSNVLI LGIYLYTQSKIKSKKDMATLKYVEPAPLGSNEEPRPVTTTNMEYDQGQLRQLFKGQLM GVGMMCVMHLYFKYTNPLLIQSIIPLKSALESNLVKIHVFGKPATGDLARPFKAANSF LNQGQIKSDKASVENAEKNWRGGVKEE AOR_1_2330154 MPGGKGKSIGGKAGSKDSAGKAQKSHSAKAGLQFPCGRVKRFLK NNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGDEE LDTLIRATIAFGGVLPRINRALLLKVEQKKKNKTEA AOR_1_2332154 MSYQQAQEMYHDNQARSPGSQRHQQTLHRQPSRQFDAYGPMPVN LYEDPMSRYETGRLERLNPPLHNNNYAYDLSGSQTWNPNGFANPQALGAIRSASTSLK TTSRTGRSGLPTTWLDQQPGMPNAFSNLGPGPLQSSAMRPEASASSEADDELIPTAIV IKNIPFAVKKEQLVQLMTELNLPLPYAFNYHFDNGVFRGLAFANFTSAEETATVIEVL NHFELQGRKLRVEYKKMLPLQERERIEREKRERRGQLEEQHRPMATSQLQTQSSMSSL TSHLPATSPSPVSQRGQKLEVDLNDSTTLSYYSQLLLFKEDTSRDTLCFPSNLSPVQR RTVHTLAHNMGLGHASRGSGEQRQVHVFKVAPGTNVSPPLSSIPAAVQPAETARRGLN RAATIDFSEARNDGPAPYGTLRSQASGFLGVLDSPGNFGNAQNLRAAKSFADLRSYTP SPVPSSASFPAALQSNGARLQHYDGATSGASNTPTLTPAPSGSSLGMPRDDNLLVNSL SSLSLGTGIGGPSSSPRRLRGMFSWEQPESQPSSAGPIGSNRSIGVGFDGQSQERVPI RQPRGPLPEKGPGFRRQNGHQSRGSDELRTNSGVEIIVE AOR_1_2334154 MRTAQLLALSGLMLMSNVAMGAELDHDDVPNRCWDVCGPVVGIA HKCDNMHDSDRAEMNCICDWKQAPSLIPLCEACIAQYRSERNNRDHDDDDDDDDRNPH HNDAYDILTSCSLSTTSYNPTAAASAVSSASANATDATPTATSSGISDSTNGGSSGNS NSNSASNTNSAVSAQNTGNAASAYSSPKAASLAAVVGLGFLAWL AOR_1_2336154 MARSAMPKISWDRHLLRSVQNAPPATLPVFIYGTAWKKDRTADL VHQALDAGFRAIDTAAQPKHYREDLVGEGIHRAIRDGAIRREDLHIQTKFTSVNGQNP DDMPYDPNASVTDQVHASIKSSLEHLRSSDAPESVNDAYIDMLILHSPLPTMSQTLEA WSVFETYVPHRIRNLGISNCTLPLLRELSSLVKVKPAVVQNRFYGGTQFDVPLRSYCR DNDIIYQSFWTLTANPELVQSDTIQLLASRTEISPAAALYCLVLALGNTTLLNGTCNR GRMEADLTAPEKVGRFSQEHPGVWQRVLENFQQLIGDRVAL AOR_1_2338154 MSPQGLASRKRPAPGTSPIVHPQLGPVSNYPQNSGAQLSNDQFL QWGQNTSSNVVSPASFSDANPYGATAYSAGQDVPASTATASTQLARRQTPNQLVSRNR GYEQTPSSMSDHGSNTGEPGGWGESLDELYQRALVAKREVQAKRKQIPPFVQKLSSFL DESKNTDLIRWSDDGNSFIVLDEDEFAKTLIPELFKHNNYASFVRQLNMYGFHKKVGL SDNSMRASERKNKSPSEYANPYFKRGHPDLLWLIQKPKNTAGQGSKSGKANVRVKTEE VDEHDNDDYDDVPGARDDRSRNRQLSLIQGGSIMPKDQLAGVYRELQAIRQQQQVISN TITKLRREHEQLYAQAANFQEQHTRHENSINAILTFLATVYNRSLQGQEGPQNLANSF AGAISQDQGNVVDMGDDYSLSTLGAQHMNSPGGPRAMKKQPLLLKAAPSERQSRATTL SPAASAYDGPQPRGHARHPSAPQHGHVEEVFDTSPQPKEAQPPQTEQFPQRDIMSVIQ NSNARNGVPPTSFADFPNVLSSLETSNGNVPLTPNQRADMLRLMANETSAGDSNVPVS QNNALVTPTPPPMPLGYSNRLANTRAEIDNLVKMQAEQDRSVQNLTNLLQPLSPTGTI PGMVTGDGSVPPPPLDLDQIFNNDYFTDIGDLEKNKNNLDFGNTTTSVPATAPIEPVT TGADDSVINDGNDLFDFDHIPDNGDLFDGPGQQQQNPGFYNGYDGSGFDNTINPGAGR IIETLTDSEATSPSNTVDEPAQYGVSNGGKDFQGGKGNGGSAKRRKKA AOR_1_2340154 MIPETAIRGRKRPPPGTSASTNGSNGITGFSSSADNETLKLFNA FKAKLESADSTSASTHKTTSQDTKPSTETQEADDEEAQLCDLHFIANCQSCKSWDDDQ TEGWLTHELRFGKDTLGKDLNWKREHQDADSLMVIDPREKEKEYVGGKRRGLERDRER DRKRERAGNLEWDKARRGK AOR_1_2342154 MSAHYATEPNPTASATINTTFGPLHISLFATQTPLTCRNFLQHC QDGYYTDTIFHRVVPGFIIQGGDPTGTGSGGTSIYEDPEFEYDPEARDPNEKVVLRDE LHSRLRYNRRGLVGMAKSEDGSYGSQFFITLGNTERELNGQCTLFGRIEGDSIYNMVK IAEAERVEGTERPVYPVKITSYHCDANRKDRRQAGAEKEEEE AOR_1_2344154 MRQIERLSIAVLLLCSIVWLAKRFSNPSLEVHQVSRWHNGVKLG YTTPIPTPTTSQNTLTTRRRRPSITDLTPSRHRGAGSSNNPEHPKIKITAIDRVIVVG KTKEDDTDWVGKELPTWLHAIYAVDDPEAPLHVAKNKGKEANVYLQYIIDNYDDLPST IVFLHSHRDGYPKAWHTEFSDHSNVRTVRMLQTDFVQRNGYANLRCNPNPGCPDEIRP FRGLSDEEHLPEQVFPEAWKTFFNNTDVPEVIATPCCAQFAVSRTQVLQRPLSSYVRY HKWLMETELPDDVSGRVMEYMWHIIFGQDPVYCPDMDQCYEDVYGTFV AOR_1_2346154 MATEKSPAAEIAASLNDGKVHLLLAATGSVATIKLPLIIAAFAD HPNISIRVILTKAAAEFLHAQSEEQPSVESLSSLPNVDSVLHDEDEWTQPWTRGSDIL HITLRRWAHLLVIAPLSANSLAKVVHGMSDNLLTSVVRAWDTTGLIDGRKKRILVAPA MNTAMWMHPVTAQQIRVLQEDWGWFEVLRPIEKSLACGDVGSGGMMEWREIVKIVKQR LGLSA AOR_1_2898154 MESVTLEALPAEIKTAILYAITDLASLNAVVHASPSFHALYLSQ RKQLLSTVLERCLQLPVMVDAVAALIALRGWQERCKAPKAALEAADEFLSKYIPLRSM LAPPYSYSAYEHLDQELDVYQSFASLTEDDLIEMARLHTMVEFILKEMVHSFLELRPD TQKPKEENVALSPPETFRMQRALYRLEIYRLLFSTRGLPWFEEQDRLSDIYVNSDDQW NLFLSLFTPWEMEEIRCAAMYIFRVYEELPGATEFDDWCELFPGENEEPLSHLYDHGI NSD AOR_1_2350154 MPRWTKRQERDYDAYNNRDIENGEHSKAYSDQCEKARNATKKDW NVR AOR_1_2900154 MDGLLYGIVHDTLKQCPEFTPIVFPEQWEESIRSDWRVPLQFRF SQKDIRVALNTLLHNKELYEKYRLCFFVDGLDECLETCQQDYHDMVNLLLGWVDVAPL DLKLCISSRNYEIFRTAFEDEKRLKLHELTRHDIENFVIHRLKGFEICSYIKSAAQAK QKYSFLSDYEMNTMFAMHAELSGCELIESDMKTRKTQARARLNDQSRGLIEIKPLPYR GHLLTKPLDIEHVTFIHRSVFDSLQTYHILDIMEACEGLDIVDAMSQIVLAEIKFFGL YSFHSTRLRWNLLKKLPPLLGYCQKEARDASIFRFFDELDHAVLCIQGLSPLQDQQNQ LPVYISGTGHFTPSACYFSVFHWVIYTQYVGYLRYKILQYSRLVLDKFQFTHILACII ESIYDTGNVELLRVVEPYLEGFAQGQHMEITYIKGWSQTNILNTALLAALKWLADLSP ADKTLYATLLESLLGSSTGIPWAIQAVTSYPRHNTATLQ AOR_1_2352154 MRSSIQPTSLLLALTALDLAAAERVLGAYIFARHGDRTPKVLGN TRLTDLGYSEVYQAGSYYHDRYIDASSSLQIEGISSNIVNLKQVTASSPSDAVLQNSG VAFLQGIYPPVGSSANETLANGTTITSPLGGYQLIPLSLVSTGTNSEDNTWLQDATGC NNAKVSSNSYYSSTLYNDLFDSTKDFYQSLSSMLDGAFAKDKMSFKQAYTIYDYLNVA SIHNTTNTPTTEQLEQLFLLANIEQYNLAYNTSDTVRAIAGSQLAGEMLQGLNETITS KGATKLNIQFGSYGTFLSYFGLAQLPAADVNFTGIPDYASSMSWELVTDSTADGFPDA SEINVRFVFHNGTITGSNDAPKEFPLYGQSSATIPWSKFVEETKKIAVTDTEEWCKVC GNTDGKCASYNSDGSGSDSAGATLKSGGGGVSRPVAGVIGAMVTLAVIFGLEALFLLV GGFTITKKRKGGADGVTSDVTENKA AOR_1_2356154 MSDHEDEMDVDAPSKSIQFNSDNTSGKAKRVASDLPVEAQDNLP WVEKYRPNTLDDVSGHQDILATINRFVDANRLPHLLLYGPPGTGKTSTILALARRIYG SKNMRQMVLELNASDDRGIDVVREQIKTFASTKQIFSMAPQPTSGGSSLASYKLIILD EADAMTATAQMALRRIMEKYTANTRFCIIANYTHKLSPALLSRCTRFRFSPLKEQDIR SLVDLVIEKEEVKIQPEAVDSLVKLSKGDMRRALNVLQACHASSIPLPVKNAPKDQPR PEPELITNGTIYDCIAAPHPADIQEIMTTLLATSDVTSCLSTVNTLKSNKGLALADIL AALGEQLQQLEVPAQTRITWLEGLAEIEFRLAGGGSEAIQTGGLVGVVRNGCELMGDK GVSMAS AOR_1_2354154 MPAYHSVFLQDQGIPVIGNFPVLPLRTRTRGPAYTLPPLPPNVP DTEIAVDSESYDCVDEILSLFRANVLFRNFEINGPADRMLIYGTLFISECLGKVRPNM TALEAGKALNNVALDNFAIPGDASFPLNQAFEPPRDRQDAETLRAYLSQVRQEIAIRL HARLYPGGVGPSKWWLSFAKRKFMGKSF AOR_1_2358154 MHSYVRPNQFVALRLPSEFTKIQKIEPDSTVFLGKFGSFPANQI IGRPFYLTFEILDDADEKDGSCLRIIPAAELHAETLIAEGEGDGEELDTNEDGTPMRT NREIVDDASTQKLTWEEIEALKKESGGAGREIISKLLESHQTLDQKTSFSLAKYMLRK RRKYMKRFTVLPLDVSILTNHMMEDQGAARIMELRDEMVGLLGCWGNVHHGGDASLDE AIAAKPNGRYLVVDDTGGLVVAAMAERMGILYPHDGDEYEEQGSSDEPKKNEAEQAHD DEQPPTESSTHRPARPAHMSASGNSITVLHPNKQPNLSLLKYFGYSQDNPDETHPLHK HLKTISWLQLLDPNADPIYSEEPEIIPESELYTMKSNKRGAYYRKRNRWTRVQSVVNE ARAGEFDGLIVATVMDPSSVLKYAVPLLAGSAHVAVYSPSIEPLTELSDLYSTAKKTA FINRRQQLREQKLQQSSDQPDANETELQDSDLSELMAEFYLDPTLLLAPTLQNSRVRP WQVLPGRTHPLMSMRGGAEGYIFHAVRVIPTQQTIQAAGNLSRKKRKVVTQETPTTAV DSGSGVDVEMKS AOR_1_2360154 MVLRKRAPPHLYSLNKGNARAEPRSAISQISPTSKSVSSSSPKR LTRPRRAQSSPQPHRLPSQESVYSPDLNTSPAFDLMPLEQAQRSPVRSSPSESMNPWA DELVERPGQKHQGYNGSGQSVADSAPPAESAENRREGRVPSILVAGTQRRMAANEWQQ NGDVNDAPDWEHVGNSPAPLQSNNPFLKARQPEQNPWDDRSPRPFSEATSASHDSANA RLGQDEGYIPMTARLSLFDPPSESPWAGERSAVPPPTLHESQYPAQDPSHPPQSAAGF TNAQSPFQPPQTTPCDNPNAYPPQLHQQGKQANLSPWNEIGTPATVSTANTGSSHALI DLNEASDAHIQTNQRTAASSVYSDMNGGQPHPMPSENSQLGPAPPLPERSNISQPGQP ISGTPVSEAEAQNQHEQRSETYSIRHVNWTDATGKLRDCPILAQNKNGPCPLLALVNA LVLRSSPDSQPPIVRALQTREQISLGLLIEALFDELTTCLGPDDELPDIEALSRFLTM LHTGMNVNPRLTLGSSDSVGTFLETSDIKFYGTFGVPLLHGWVAEPSTEADGALTRVA QFYEDIQLLPFRKQELEDRVFRGGSLTPEEEQSMKDIQIIQHFTEIENATQLSTFGIQ HLTEKLPPGSLSILFRNDHFSTLYKHSQNHQLFTLVTDAGYSHRAEIVWESLVDVNGS QSGFFAGDFRPVSHTSTGASDPSGPRTSSNTRHSGVSSAVSHEQQGRALSPQEQADAD YAYALSLQLQEEEQQASGRTPRDRNQRASVPYYPQGSSEGPAPARHRSTGHRPSHQPR RHSPSRDADDVPPPSYEQVANNAAYQSPPRSSNNRAAPYVAPYQIAQFGRRPPGVPIA IGPSDRPKDRNKDCIVM AOR_1_2362154 MQPLRLLALTAILKGAWALSANCTGSFDAISASDFVANINPGWN LGNSLDATPNEDSWNNPTVQESTFDYVKAAGFKSVRLPVTWTHHFTSESPDWTVDPKW LQRVSDVIDMITSRGLYTIVNVHHDSWEWADVTKSDANITQIEQKFEKLWYQIGTKLA CKSSMVAFETINEPPCNTAEDGAKINKFNEIFLRAINRAGGFNAKRVVNLVGGGMDSV KTSQWFKTPANITNPWALQFHFYSPYDFIFSAWGKTIWGSDSDKSELDSTLGLLRGNF TDVPIVLGEFDASPTNTEPAARWKYHDYLIRSTKKYNMSPIIWDNGLDHLDRSSGIWR DPVSIEIITNGNETNSLPDSTVDTSAPSQSSSAYIYHKVGTEVTDQTLPFIFNDNTLV SIQDSKGTTLKADTDYTVSGSNITFPASFLSTYYSETSEPGLLPNFTLKFSSGASPVV QLVQWDTPTLSKTSAAASSISGSDLSIPITWKGLPKLATVKALLNNGTYLVDDFTQWF GPFGEARTTYSNQWNWDDKNVILTQATVEAVVAAGQDTVFTFEFFPRVDTTTNTVNFT LTV AOR_1_2902154 MVETTLFDLLVKRSDLLGLPGEMPPQRLPRLWKILVSKIQTSLS ALVTTLVPALIIAVFWFGLFLICRRTQLRWYAPRTHLPNWHKHERSPQLPSGFLNWFG HFLKISDAHVLHSSSMDGYLFLRFLRVLCATCFTGCLITWPILLPINATGGAGNTQLD ALSFSNVKNPKRYYAHTVMAIVFFTFVFYVVTRESIFYANLRQAYLNSSAYVNRISSR TVLFMSVPDEYKNEKKLRQVFGDSIHRIWITTDCKELDKLVRRRDKLAFWLESAETRL IRSANSSHLKGRIPSDTSLDSEAGTSPMFHGIRRPTHRLTWFGEKVDTIKWLREQLVE ISQEVNHLQQKYKDGEMKTLSAIFIEFDTQSAAQIALQTLSHHQPLHMTPRFIGISPT EVVWSALNLSWWQRIVRKFAVKGGIAALVIFWSIPSALVGTISNITYLTDMVPFLHWI DLLPETIKGVIAGLLPSAALVMLMSLVPIICRICARRSGVPSSSRVELFTQSAHFCFQ VVQVFLVTTLTSAASAAVTQIIKDPLFAKDLLSENLPKATNFYISYFLLQGLTMSSMA VVQVAGALFFKFITTFFDRSPRRLYERWSALSGISWGNIFPVFTNMGVIALTYSCIAP LILGFAFVGLYLVYQAYRYNFLFVYDPRIDTKGLVYPRALQHLLTGIYLADICMIGLF AIKGAVGPLVIMVLFGILFVLAHMSLNEALAPFNNFLPRTLDAEEEAQQCKEEEEVHF LSPRSKWEAAWKWFHPNLYRDYAALRRKVRRNDVEIKYSEEERYNAYYEPCIKSPTPT IWIPRDKWGFSQQEVLATDPIIPITDEGAHLDEKNKIVWDKYDPNLPLSVLKTLY AOR_1_2364154 MTDSDCDLLMFTIIAQFDATSSEEMAFISKEQRMSTDSVTDDSA SHSVLPQFESKQPFPPLLADDLAIPSQDAPDTSFTEDDPDIAEEEDYDTNFQSQYSLD DQLFSDASTDESDGQSPEDDGIEIHPFRHSTSSLHGPNAFAPPFYNRPPTPLPPSPSL TSLLRPPFSTTTSRPTTPDSSDVETPNDTEAAVAKSARRATTVPRASPKVPTYEYYGF VLYLASSLAFLLYILWSYLPKPFLHQLGITYYPTRWWSLAIPAWLVMTIVYIYIALAS YNTGYMTLPMNSVENIVDEVANVAVIDGKARRRPGGAAKMKPGATSYQIMGPQNKKVN WKEIWSEGTDAVLDVPVGGVCEVLYGQGRDDDDEVCEEYDFVG AOR_1_2366154 MADRSTPPPQTGSSKPGELPRAPLTPEQLRKIEINRMKAKAIRE QREAEARASVDVSSAAQSTKGGVKRSYSSMTAETPATVRDATSAARPLDSIKPARNFT KYVEYDFSKMTDTKGGFLTQEDDPFNKALHVKDGKEEQKPANMTQREWERKQLLDSLR RNRTGPYEPALSVLDDKNKQKKCRECGSLEIDWKWEQDLRCCVCHPCKEKFPEKYSLL TKTEAKEDYLLTDPELKDEELLPHLKKPNPHKSTWNNMMLYLRYQVEEYAFSAKKWGS AEALDAEFERRENEKKRRREAKFKSKLQDLKKRTRVDAYRRSRQGAAGGNFGDDLGNG GRHVHQWGRSIENPETGIGVKKCVDCGMEVEELEF AOR_1_2368154 MAWQSPSAMVGAGGYGGPGDGSSNGGQPQGTEYTLQGVMRFLQT EWHRHERDRNAWEIERAEMKSRIGKLEGDVRTSKRLHESLGKHVRLLEAALKKEREKV KKLSNNEKVEDTRDPKDIARESINALKSQRPKLPMELNEAELNDSQPDFRQENEREKS RLYLSKCSQEVTYHVIPASHPPPELSDPELPNHIYGNQQLSQQALEEAYHIQQQRQKQ QQQQQQANHMMAREVSLQNHQPIVAQYSESSGIARQPNQFGLPPGSREALERKPLEPR QTPATAVDSRKQAPEHTIVDERTGAEKQAYEVYGAQGGVKEEIQPQQAEQQQQEDSDG WNFDEPSEKEPPTEPMPPHRPDVDAFPNANFVRPKSPSRSGSLSHRRKSSGARSKSEG SSDSIGGAAQKQDTNFKVRFALRGHLDVVRSVIFTGGGSPSEPEICTCSDDGTIKRWI IPATYGNFGSHNANSSNDLDITSYFTHRGHVGAVTALAACSPSRDFSNGGRALGDGWV FSGGQDASVRVWERGRIDPKATLDGHTDAVWGLCVLPGTTGSVFGDSCGHYGGPDRIL LASGAADGQILIWAVSAPPQLSSPQAGNRRAGGSRRANSISSGSNFPSSPQPSMATST PFHYTLIHKITRKDSPSPTCISPLSLAGVNFVVSFSDASIIVYDTRTGEEIVGMASLE TYDGTPSTGVNSVVATTVGFDGSAGLDPSRISGEEEVVHGATGSSGVEGVIISGYEDR YIRLFDANSGQCTYTMLAHPSAIASLSLSPDGRELVSAGHDASLRFWNLEKRSCTQEI TSHRLMRGEGVCSAVWSRDGRWVVSGGGDGLVKVFSR AOR_1_2370154 MYTSGPAAAMAEVNFIKSFISSLDSRPIKLPADYVIDPERVGRV PYLLPRMPAPHPEMPKKVKQAQAPGSAKSITVNLKSARNPVLEIKLSNKPISTTSVQD LKDAVRDRVVDAQSNKIPLDKIKILYKRKPVTGKTISEILADEPEMLAGGKEVEFGVM IMGGAKVVDEDQEMVDRGASPKAALGPSGESVLETDDFWEDLEGFLAQRIKDNEEATK LRSLFKEAWSSSR AOR_1_2372154 MGRGRRMKKQGPPAPLDESKITMLKKRKAGETVSKTEAGKKRRR AEVEEEPLKEAPKKKVNAAVNGKSKKEVAVPETKGKKKAVEQKKPSFMDSSDEEDEEM SDLDNEEMLDDEFGDLDGVSDGSMDSQDEDDSENDDSVMDSDEEDHPRQAMFSDDEDL SDAEEKLTAANIEGLSRKLDLEKEAEEEEAERELQESAMQTNIAGDRPDVFGDGEGSG PGLAPDLQLLRTRITDTIRILGDLKTLGQAGKSRADYVSLLLDDICTYYGYTPFLAEK LFNLFTPMEAFAFFEANETPRPVVIRTNTLRTNRRSLAQALINRGVVLEPVGKWSKVG LQVFESAVPLGATPEYLAGHYILQAASSFLPVMALAPQPNERVLDMASAPGGKTTYIS ALMRNTGCVIANDASKPRAKGLIGNIHRLGCKNTLVTHLDARTAFPKAMGGFDRVLLD APCTGTGVISKDPAVKTSKTERDFLAIPHMQRQLLLAAIDSVDHSSKTGGYIVYSTCS VTVEENEAVVQYVLRKRPNVKIVDTGLGDFGSPGFKEYMGKHFDAKMTMTRRYFPHRE NVDGFYVCKLKKTGPSPTDKKPEGEAASEPKSSEARATPKSDDEDVIDKTPITDDDGN VMETEGGSFGPFEEDDDADRIARAERNRLRRKGINPKAVLNKPSKKSKSEPESTEESK DSESSAPEPKKKTATTTPEKAKKAQKNTKPKSQESTTPEKKSEGSTPEKKKSKSQAST TDKKKAKKSTK AOR_1_2374154 MDTTETSVTVEQLPPVTPDIEPPSTTDTGATTVALDAPTNDAPE SSTDQSNNTVESPLLVPENNISSQDTSVEEHEPPVRSDISAPPRPPPPEAEHAYWAEM EEDTSVPDEAEMKEIESAADGDYSAYEYPYWEKNFHPDLDDPEYRPIEKARLTWKIHG VRGTKEHPNRAKVMRSPPAYIGGYWWSIKFFPRGNNVGSLSIYIECSPTMPLPDKTLP DSEFKVLRGPADVDINDRAPDLNLKFAHTDDSAAWLENYKSQYPPAANEEKATSNTWR VSAQIGVILYNPDEPRTGWMQSSCHQFNPHNLDWGWTSFHGPWDQIHRRQRGQRQALL RNDTLAFDAYIRIIDDPTRSLWWHASDSEPTWDSLGLTGYRPLGDSVINHSAEVAGLA TWLHIAPFCKIIQNANIVEHHGNCDVKPKPLCDALQRFLWQLRSRKNSLQYVDTDIIT STLRNLHEYSGDVCEFWERLRRTLELELAGTSAVKDLAALFDSPTPDLLLQETQGSDV VNTIPKDYNSRICVPADQVKTMREALSNYLDSKPGRWALPPILHVELSRQKLDKAARQ WRLIYNRVDLDEELDLSPWLLNGQRGKYVLYGYIVHRGRRTSGKFFSILRPGGPGTKW LAFDDGSDNRVECLTRKTALGPHLGLDASQKVDHKTGHDVAIAVMYIRSDLAPQYLPG PQGPWDAPPAMKEYYERGIHPYAESSDGKVAGDIQVEVYSAPQYDKLDSLFDTYDLMS QAKAANKVMYLTVPRSTNLIELRKKIALWASAGAQDQTGPEHIRLWQIGHTRDRFGPT LAFSRVSDLRTTLNLPLKTARFWIQVVSDVDAKYFAMADPREVDVAQNKPEEAVFERE DSDSSDDQQSVAEVEAGRAGPSGTASRVDDTAQVVTTETSSEHFDNNVTENSGEGAAA SLETSHPENDIPNGAENDAVIAAIIAEDIQQMETEQSTDIPQINEVMNMPRAIEVSTE SLTTDDVSAPSMEPEPALPVDHVYYFIQIFDVDAQALRTVGSFFSQKEETIKAAIRKH LQWPVTKDFQVWQRVDGTTVTTMSSAETFEVFVPDGTCFIVGDKLNKDKRLKLNQQGL LANPDQLVRYLWAKSRNHPTKAFTGFKTIEASFTNEFYSGDLNKGYYHGKGKHVSDSA ATYDGDFVLGKRHGKGFLEYPIGDTYDGDWFENQCHGQGTFVEKKTGNKYVGGYKDGK RHGKGISYWEVADEEMDLCQICYCEEQDALFYDCGHVCACVTCARQVEICPICRKNII SVVKIYRT AOR_1_2376154 MSSQPAEAAPPTSATNAVLVASEPVPEGTHQVQGVDFERFQGRD ITVAEMVDNMRYMGFQGTAVAEATRIMNDMRAYRHPESGEKTTIFLGYTSNLISSGLR DTIRYLVRHRHVSAIVTTAGGVEEDLIKCLAPTYMGSFTAPGAGLRAKGLNRIGNLLV PNSNYCAFEDWLIPILDKMLEEQEAAKKKAQQTGDEEDELHWTPSRIIERLGREINHE DSVLYWAARNNIPIFCPALTDGSLGDMLYFHTFRSSPQRLRVDIVDDVRRINTMAVRA ARAGIIILGGGVVKHHIANACLMRNGAEHAVYVNTAQEFDGSDAGARPDEAVSWGKIK ADAKAVKVYAEATVVFPMMVAASFARADQSSANAADQAQN AOR_1_2378154 MSSRYPPLSGFNSRDRSPQRFGDRRPPAGPRGSDDANLPPLGRE PPRGPKALIDPPRGAPFGGRGRGYPGRGDFRDRDRDLRDRDRDRDRDFRDARDGPPPF RRDLDRDWGRRDRDFDPRDSRIGFGRGRSRSPPPPRDFRDMREPIGRDPDLVRMRRGS RDSLISVSSTTADIPSSGPGHHPRGGPMRGRGRGDWDIGRGRGRIPYLDDRDSFRRRS RSRDGRWDRDRERDRIMDRDRDRDRERDRDRERDRDRDRDRERERIMDRDREIDRRDR ERDRDLDRRERFERRDELDRRMERDDRDRPADVWKRDQPPNRNENRIPSISAAPPVPP ALHTGPATSDRVPDHPNVEQTRKPSIAEPRRDLERSERPDTTVPRPEPPKEFHTPIKR SPPPAAPQVPAFGSVTAPIPDLSAEKRLSDAGGAPPLAPKVEKEHHEPLSRGPIQPPT GPKASRTSPQQPLEQRIRRDETLNTSSKHEPVARTSKPHSVARVSAQRQPDLSPPTAP AAMTGKEPPAPQVETPLANKSGPIVSSPELSARGPPSANRGSSPGPHTSPLMHSSSIP TGPRALQQRPSVSRGPPKSNKQWVRPGYRGPLPAPNAIVTPKRDSIDGKERALSISEE PKREQRMSIDDSTSGPEAGEIIPGKEKQESKREASPVSPERSRPLNPRAPSPSLPPTE DVGPKEKITGDKTDVLIPDFSRTSDEDEDENVVFTQEYLEERKRTFEKDMRALRAELP PPPLEDPNIVALLMRIQLLGAIANDVTPERAPNPPLPANKMEAEDREEVVIPPEVKLD KDAEDQESSAKMVLDSLPPADAVTIESLPFLNSGPPTPLSDLDIYQENAAAHQSIKEV FRGEMMKRRKEIARKNLALQHDYVDLYKEWRLRVHELDRSKEKNSLTPGPVSPPGTAI ATPTTAEGRRYKGNSELDFQNALRASEISAQEELERRRGNKATAQPDLSREAVIPDML ELDEAKARVYKDTNNIIDPDKAMDVFGFIPPPNDFSPEEHEIFTDAFMAHPKKWGKIA ESLPGRDFRQCIIHYYLTKEEIKYKAKLNKRWSRRGRARRSARPKSNALMADLGVVKP DYEGEEEPAPVTDTGRPRRAAAPTFGDSSADTEHTSNGRRGAKDGDQPEKPASRRGRT GAGSRGGRRGKVAQQQQQQQQQQQLQPQQSQPQPQPQPQPQQLAQPIQQEQPIQTVTM APIAPSVGAIPKLEVPEPSMDGANEAAMVPAREPTEKPVAELPPRARSGRGRQKEGVL VFESAEQETPTTTRQSEVGYGSLQPTSYWSVPEQRDFPQLLAHFGRDFEGISNFMKTK TTVMVKNYFQRRIDSGQKDFEDIVADAEHKKARGERTGPLPAAPNIASRRRYEATPSS IIMPRPLAPHTEAAAPEPDETRVVSKSKHTAPSPQTVPLHARPPQEKERNGSRYPPLA QASTPMTSGPVFSDDFSRARAHQASLPPRAPGPRLGYFPDERREIPTTVPPHTTTRPQ ETSISARQTPMPAPEMARIEPLHSQGYRTSGVDVHGSPLLPTQGTLPPTQQSYIQHQP QPSLMPTGSHSRQPSLTKPPSSPAQTLQKQEQDISPIRHDHISQRPYYPLPGQHVGLS QPPPVLSPPKEALQPAPTPPEPEAPRQVPAKRSNILSILNDEPEEPQPRKRFASDQAS NPAGTAASPSRPVYTGSHSYSQAAPRQEETHSAMATQKTPVYIQQAQYLPPSRGYVDY QSYTPVPGSSGASANNDWMARFDPRGQQQQQQPPPPHQQSSRSSGTLAPQPPYSPYAS SQSLSGSGPSLPNLSAPSPVPTPSPAPSQRASYQTTVYAPSPAAHAQAAAVGSRDLGA QNQVFRPPIGSPTPRTNTIAYGSRQGPPTPIQAPANLLGMSRPPASAAYATPASATPA PTHMSAQQHHSGHQTYQQHVQTMLKCLGQQVYLGHRIKQCLWVVLIHPRRFSNQTQQA D AOR_1_2904154 MSLSQTTVVKTMESLVGDGKRELTEDDCYDKLGFSFPWYKKWAI LTVIFTVQMSMNFNSSVYPNAVTPLSEHFHVSEQAARVGQMIFLVAYAFGCELWAPWS EELGRWPIMQLSLFLVNIWQIPCALAPNFGTIIVCRFLGGLSSAGGSVTLGMTADMWE PDDQGFAVAYVVLSSVGGTTIGPIFGGMIQQWLKWEWNFWIQLIFGGVTQLVHFFFVS ETRATILIDREAKRRRKTGEDPNVYGPNELKKPRFEAKDVLRVWCRPFEMFLREPIVL FLSLLSGFSDALIFTCIESFNLVFKQWGFNPLQIGLCFISIVIGYVVAYGIFLPDIWR QRQIRKSDGNTARLPERRLLLLLFIAPLETIGLFGFAWTSMGPDYTHWIVPLVFVFLI AIANYGIYMATIDYMVAAYGPYSASATGGNGFARDLLAGLSAMYATPMYSNIGGKFHL QWASTILACLAILVTIPIYIFYWKGPEIRASSKFAQTLEADRAQHAGRRASRLSAEEK PYMA AOR_1_2380154 MASNTINNDITIIRGTVDAIPAVLHLLDTAVQWLVSHDRTGQWG TAPFSENPQRAEQLREFATTGHGLWLAVKVANNMRILQPQSTYGEAPGVIVGALAVGE KMPYVAPVSEPELYVRLLVSDRQYAGNQIGKRLLDHARDLASKDGVSLLRVDCYAGGD GKLIRYYESQGFKRFERLDVKGEWPCQVLAQRLDEMKGEERC AOR_1_2382154 MVVSNSVQLPARRGICQLQSNDNFHKVRLRSPAEIKRGLQILFS ILREPSLAGQLRELKLDRTPLLSHRGDPYEIRPTQILLLPEDLQRLQLAVRNAGFEGQ GEHERYFSPFDKYLYFQAQALAVMLVFLSPQLESLAFCPLGLQQPSEIYLFENFLRRS INDKRDVAGLKNLRSVRFLSDIDNTADDCTLYWDYDVHDCLNLIRELPAIESARFEAI QPNRNVGMWPPPRSANYTDIMLYHCIMHSPEELDIIIQSAKRLRKFAFTVGGRFELDY DVSPVSAIHLLKSLLTHQHTLEELDLDIQAHVTFREMFDEDRGASWGGLYEEVDEGLQ DWVAQREKVLIVESLAPECALRSFPNLKHLSIGTHVLYCYARGFGAGRLKEPFSLVDN LPPHLESLRIYGYGLPGEYPHKYKESLDLDVEAQIANLLEQKDAKLPSLKVIEGIDTP IPHGHTVEDCDDEHLLWQREDYDWGAGFDS AOR_1_2906154 MGFGFYGRTFTLENSGYTAPDCPFTTGDTSGPCTHTSGYLAYYE IQDLLDKNPQITPAHGKEAAFLHFTYDKDQWISYDDKTTFKQKLDWARSVGLGGSLIW ASDQDTCDFAAHAALLGKANVTSVQEKVKARSLSVTQDVLAQTVARSLNEGGYTLVGY DKDKCQKSKKNYGQPICCDTDSAPSTCQWRGGGRDCNGQCHAGEIKLFTSSTGGGDYN GFQVSLAQRNASVGRKHFVARIALSIILPWTAIGLVAMDPARTASIERRAPPAFKAFQ IRQWKIMIRAFPWPASGELFKGNAGKVVFKKSFMLGASSCARTSVEAVDLDKVAKGVF FNTEHVVEKAYIQILLRSAVTGVLPSGSLMKTLSRAPSIADGDALIPNDRLFSALGTR NNRGTFLLTEKSLNIVKGKIFDIEDKDDIFNGVIHNPTDPRKFDKALDLVAKSGTKEE ELFDFIRRAIGVWNYLNHPELLSRVDTVREKLFAEAIVLAQTVPGFKSLPAILKEVDA DWYRVAASGTRDWVSAQLMLISLRYMGSRAANAEVVQTTAHL AOR_1_2384154 MPKQCVLHPSVPSSHNGGDPFAKVIGYYEGWMSDSKCHPTLPGE LLLGILTHLYLAFAYIDPKSYTITTMSSDMSEELFTQVAAVKDLKPSLKIYVSVGGWT FSDNDTVTQPLFGEIAADATKRRTFANNTLKILNTYGFDGIDIDWEYPGAGDRRGKPR DTDNYVKLLAKLRSTFNASGRKLGISFTAPSSYWYLKWFDLPGLLKYAD AOR_1_2386154 MQSYSLITILGLASATLIIGQQFKRYSYPWYTLNLTQACFTAIN SSVPSCPSLLATHTTGTGTVDILGEDALQSLSFKQHVRGRET AOR_1_2908154 MVYDNIAYPATFMIDNPLYTYDVSCYKDSSPGKYCDLILGEWRN QTNATNDNSSAHDCSDCILGPFKIQLESPIGYDDEWAEDFISLTSSCGATGYTYVAPT GYALNSSITSSGTATSTGTGSDAATPTSTHTCVTTYTVQANDTCHSIAKKHSVSTYNL MVANGITIFCSNLAAPGESICIPESCNSTTLLAGNSCAQMMCDWNVSMAQILAWNSII SSLCDNFHLFYGWEICRGPPGGAIEVPTGAPTTTSGPTATGVVYSTPAPVPTNAMAGY NHRCGKWYTTKYNDSCTDIAANAGIPYEEWLFLNPDVFANCTNLWDGYAYCVGVVGNV ATYSGWTVTAAPTTSFTRPASTTETWTPGPTYILPHAPGTLQDCAVYRDAIDANNNPT KEWGLGTDFLDGLGSTTQPWNPSLDVSDCVVHKGYSYCVLISYNHTSSDPPDDMPVET KCDTVDEAWIMKCTASDCNCYYVIRGKEAEGFECSSITEGSDLSEAKLVELNPWIGTG DCSTGLFAGLKGEEQRAVCLGTGPLSSSTTTSPTRATSPVTPTIGTSTSLAPSATVTP QPGAIKTCNAYHVVKSGDECATIAEANGISLETFYKWNPQVGSDCRTLWLGYGVCVSV SS AOR_1_2388154 MSLQTIAIAAIAVAYFIIRYLNRTDVPKIKGIPEIPGVPLFGNL LQLGDQHATVAGKWAKKFGPVFQVRMGNKRVVFANSFDSVRQLWIKDQAALISRPTFH SFHSVVSSSQGFTIGTSPWDESCKRRRKAAATALNRPAVQSYMPIIDLEATASIKELL KDSQNGTVDVNPTAYFQRFALNTSLTLNYGFRIEGNVDDELLKEIVDVERGVSNFRST SNNWQDYIPLLRIIPKMNREAEEFRVRRDKYLTYLLDILKDRIAKGTDTPCITGNILK DPEAKLNEAEVKSICLTMVSAGLDTVPGNLIMGIAYLASEDGQRIQQKAYDEIMKVYP DGDAWEKCLVEEKVPYVSALVKETLRFWTVIPICLPRVNIKEIEFNGAKIPAGTTFFM NAWAADYDEDHFKMPEKFLPERYLEVSEGSGTPHYGYGAGSRMCAGSHLANRELYTAY IRLITAFTMHPAQNPADRPILDAIECNEIPTALTTEPKPFKVGFKPRDAPKLQQWIME SDERTKDL AOR_1_2390154 MSAPADHDTSQVADNGDNRTIENDTESHDPRPRKRRKYIAKACG GKSNVMERHHVKDAEDSGLDVCTRKDSDKKLAGMNSKSRSVGWREVMLTETSGLDQLC EQMKAMQEQITALTTAVHTLTQGAAVPTSLSRPDQTCFSISSQRPFRRVSSAKELTFQ GPTTSAFSFDLAKSSLQRRGIVERNDAGEDGDLTQEPSPMPSPSPTQVLHTRQGDPLW TIGKDEALRLCRVYEEEMGIMYPVLDLQELLNQVEILYGQVETEGWSEASVQHNGHMK VDDYDVHILRLVLACAITAEASGNSDLAMRLFEDVQKVADNCVWGPPDIRGIMFLTLV SIFYFQMDEEALAWRTIGIVERMCLEKGLHRRETLQQPAILAEGKERILRLFWSIYVL DMRWSFGTGMPFALEDTDIDPWLPEPEEKTPYLRVMIRYSRIAAKVWKFISAFNNTNE IKKDEMNYLDWQVLRWAAAIPDSLRLDQPFDQAQQDPRSIRRLRSLLYLRANQLRMLI YRPVLHSAAHIMRYPAESQIVVDLAKDTIQFITKLNETSDIYRLQQVTFNWFLVSALA VIFLAVSQTPSQFSAHSKVEFYMALELVKGFSPRSYVSRRLWRSIKGLRKLGPQLGLQ THHQPEETVRHVLEPAAGTAAVDSMEQVPAPSTQSHTTPDGAQMTRELMEWFEAVGNI EDQIMSLGTGVQTYEEPWQYGARMGNGYTFDFGGELSSLMKDCF AOR_1_2392154 MDPTQGQTAHQVRLLGRQNHITNTSGLAPGYLQANLLILPSKHA EDFHNLCLRNPVSCPLLGLTQKGNPHIIYPSSCIKDKDFDLRTDCPKYRVYKNGKYLE SRTDLVNLWTDDYVGFLIGCSFSFEDALSDAGLKPRHQDTGTIVAMYKTRIPLLASGI FKNGTCIVSMRPYRVEDVERVREITRPFLATHGEPVDWGWDALERLGISDIEKPDFGE RQIFEEGEIPVFWACGVTPQMAVESAGDRIEGLVFAHEPAHMLVTDFTVKDLKTLGKS LYN AOR_1_2394154 MSTDQKDIPVENKNDRKTSIEEPSVGDVNDVVLDPKKEKKLLMK LDIAFVPIIMVTYLSCFLDRTNIGNVKVAGMPEDIGASDTQFSTAVSIFYVTYVLLES PWAVLMKKLTPRNILTGLCIVWSLATIFTGFVQNVAALYATRLILGACEAGLFPCLNL YLTMVYRREEQAKRVSYLFSCAAISGAVGGLLAYALLHMDGLGGKAGWRWVYIIEGLF SMVCAVLIWFGLPNDPAESYFLTAEEKWMMRVRNEQRRRYMGSDKFSWDEMWTALRDP KLAFSAVTQFCQDILLYGFSTFLPTILQGIGYNSLMSNVLTVPVYIWAALVFIAVAYC ADRFSRFASYILIANIFGIIGYILLLAVSNDPVKYFATYLCAIACYTGVGLNVAWLNV NFAPQYRRALAVGVQQTIGNCAGIVAGQIYRKSPYVLGNSFSLGALCVAQIVVFVHAM YLKRENAEKERIIDGKEDTRRVRTGDAEVEFKYHY AOR_1_2396154 MGSCFSLLGGDTSSVLVLAWEALTRVLEGLVEDIITIIMVVGDL VWEWVVVMGIMDIMEGWVDLGLVGIMEVGLVDTMEDIMVEEGLVGIMVVGIMVVDIKE GAGDLFLLTGVMRFMCLYGFNDIC AOR_1_2398154 MAKKRINKTIIDLGRRIKGGPKNPFSFHNPNLLPKKNVYSRPLF LLLFNISLTFTMDAAPTRTLLLIGSGPGIGVAVASLFAQKHFDHIALFARNSSQLQAD KETILSSAADVGRQVHVRTWKVDISDLEQFKAALTEVQSFGTLECVYFNAARVGGSNF FDFPVEDIELDLRVSVTALYVAVQWATPILVNTMQTNPGVVFKPTILVTNSLLPVNPI PEYFSLSVAKAAEANLVKSLQKSLAKGGTRVGMVIVGGIVLPDSKFLNPTTIAEQAWD LFNQDGADWKAQVSILEDRVDWCPRL AOR_1_2400154 MHQIFPNTKSPLIANAPMHGFADSRLASAVSSAGGYGFIAGGID FRTGSPHLEQLQAELNTTRSLLGIATPEEKLPIGVGCLMLQPEGLIDNVLPILCAARV TGIWLAFPNNGSDHGPIITAVHQLREKENWDVKVFVQVGTVQAAREAIDYGADVLVVQ GSDAGGHQWAQGASLIALLPEVRGLLREMGKTGEVHLLAAGGIVDARGMVAAMALGPD IAKEKIVSTTDGTTTTIKSRKHDVFNSTDVWPSQYDGRAIIGRSYEDFQSGVTDEEIL KRHSNAREKGEDDRTIIWAGTGIGSIKEVTTVKQLLNDARSGVRSIVAQMNNAFRQDE ED AOR_1_2402154 MDPETSQALATRISRILQNVIEISEKSLPRLAARELDSVYADYA TRSQPVTFLQFIQHIWDAFMRVAGQLDRNGDGHRKLARIIRWLEKLPNRPFPDDYDES DEILYGYDLGTTGLWDAFTRAEPPIPLSFEGLSSTDAEFQRCLNVHTFTALLTKSYLI PLPRQAILAIGQATEGATVQKEDGGPEFVSNDPLDIEQLDMKVAVAAIWVQHAGHVLW RHNPRYTIGEGGPLWKEFYERLSKEDPNYLSLYPIIAMSSHSMTATTKSTSASVAENA CAACREQKRGCDKMLPSCGRCTRQNRSCNYLWHSASDVSPSEGLTFLLRLESDNPKAP SLDIDLLYVNMLHQAQEEHSSTFTRVMEEYLSYYQSWLPVVMERPFRKSLERLGHQPR AETALLALAILLVVQGGLPDRATNPSHRSYILCKELYALLQLRRAPSLQLVQSGLLIA LYETGISSSGAASLTIASCARLGYSMKLNIDNGNAYEDYLSWEAAEERRRVWTGIYLL DRVIYQVVTEFKAPHVSEDLADHFRLPVDDACLRTDKSEATQAPSHQPMSVPIDVPLC YYAREIQAVRLLGEVQLLQRHVDVNSLPEKFQSLDHRLMQFAERLFEQTPQGWATLCG ANAITLTAALTLHRIRIDCAVKFQTLGTSDDAKASLLALTSFINMVRDICNKFNMLSA PEKIPSAPLPAVMCTGEAVLAGIKMKELLGGQFQLDYEPFRLTLLYARKTWKLADQYL QQME AOR_1_2404154 MKIHEDTPIEIINRVDPGRSAFLRAWCVWQAGNSEDTLVIWDLD YQSWVEVLVDQCMFNADMQLLKFSFIRDGRILTGYVFCCTQWLCAIQAMLESDERRVQ FEIITKEDYETKLEQAVP AOR_1_2406154 MPREIAWDAVFKVHNRMDPTKSGMFMAWDFARVWDGQTMYIRDL DYGKWITGLIEKCGFDPNTHFARYTVYYDGIEITGNILCEAHWHYATRMNLERGLDFE IVPQDGYLETNHDGTLNETISREEFLAWLDNQYFSD AOR_1_2408154 MVYFKKGTTSDDTRIIVRNRVYPDRSAILKLYSFVRNWGSDKKY PTFSYSSWKRALKSQCGFSSWSHRVRCKLPLGKGTQEIVYVSCEQQFAAAIDAMSQSG ADLEFEIVHKYKWFRVEKDPSLNGHRSHGASSKRGSSFYGAAEKLGDFEKCDLD AOR_1_2410154 MSRYAEAHANPQGPGDARPTALQIVKDTEMEGKLAGKSAVITGV SSGIGLETVRAIAATGAFLYLTARDLNKAKTALDDIFKPEQMELIHMDQSSLESVRNA AKTILSKTDKVSLLINNAGIMALPELRLSMDGYELQFATNHLSHFLLFNLLKPAMLAA STPEFQSRVVNVSSDSHRHHGINASDNYNFQKGGYEPWTAYSQSKTAVIYMANELDRR YGSRGLHATSVHPGMIATNLGQYLTPEQIEQLLQNTRWNSLWKSFEQGAATTVWAAVG REWEGNGGKFLAGCAEAVRGPEGTEDYVGTFVNHTYKPEDEARLWKDSLEMVGLSDDK AOR_1_2412154 MASTSWLPKSERSAVEPVDHGVMAGDEKLQRKRTQNRLNQRARR LRLRDKDQAHITANPRPFRVYRWRLEDESQTTSGASSKHLNHGPAPNNTEWSEHSAPY LSVAPFSIPNKVQRVLHGSSETEVSLPADHLLHLIQFNVLRGVHHAKVILAGSSAFII PGIEKNEIRPGHLWFLGTSMYYATRPGLPESLIPTSLQMDIEHATWINFLPIPRMRDN LIAHENCFDHTEFVRDLLGDKIVDYMFGSLWSRKPPIASKLALTEGDDDDVTASRQGL ILWGEPHRLESWEVTPGFIRKWAWAMEGCDELIASSNRWRMMRGEEPIRVTVCE AOR_1_2414154 MTAFRPARHPPRGRITWISPILGLLVILFIYMNHQNASAPIAFP QRQQNANTDCPDLPGLEDIFVVLKTGVTEARDKVPIHLQTTLRCIPNYIIFSDYAEKI HNVQLHDVLENVAEDIKQSNPDFSIYNRVRAAGRTALTSADLNPDTNSAFGKPNNPGW KLDKWKFLPMIEETLKARADAKWYVFMEADTYFFWPNLLSWLAQLEHQQPYYLGNQMQ IADVVFAHGGSGFVLSNPAMRAAVTLRRENVDMWDRVTNDHWAGDCVLGKLMADAGVG MLWAWPVLISGQPSELDFFSEGYRKKPWCYAPVAYHHLGPDQIRELWEFERKWYRDGN RKHVLYRDVFRHIVRPKLGGTVAGWDNRIEETPGKSSLSLVECRVLCYRDDKCVQYTY TDGKCWTSHVPVRGAQKDGVASGWITERVDALVDDMGSCPHAKWILS AOR_1_2416154 MAVAIYEPQLITRNPFARDPAIRLRAKTKPKGVQRARLKHRTII EQQQAAEAEARWKAAIAQKTEPDLLDRFFALPSEVRNHVYRLLIVQPCKFSFNHTFQC ERFSYDYPGPAHTATGPESNMNFACADCRWYAWGQRQPVFVSPARSQWSPPMTNEYMC DNCYSENIRAKREPHPTLRNLKCLCARRKNLHIFLINKRFYKEASHVFWTENWFAFEN PTILINFLSCIRPQTRSLITKISFMIDPNELGVNLDRKYVQQCWRLLRLCDGLMELEL DQFFLSNLQWVLGIKNITPRRRMEFMKTPDRAEIAYLMTYDRRIWQGASRRKPVTNIL TQTLTRSMLKQRPMTAKAVRALFDRHQRGEDGDISAGD AOR_1_2418154 MGYDFTIYKGSKDGSIQKSTTHRPALQKDQVLIRITHSGVCFTD VHYRTTDMALGHEGAGVVEETGPEVQDLVKGDRVGWGYEHDCCGRCSKCLTGWETFCP ERKMYAAADLDQGSFASHAIWRESFLFKIPEGIKNEDAAPLMCGGSTVFNALHVAGVK PTARVGIIGVGGLGHLAIQFAAKMGCQVVVFSGSDNKKDEAKKLGAAEFYATKGVKEL KVEKKLDNLIVTTSSQPDWNQYVSVLNPGATISPLSVDLEDFKFPYMPLLGNGFRVVG SIVSARQVHRDMLDFAAFHGVKPINMTYPMSKEGIEECLKTLEEGKMRYRGLLVAQ AOR_1_2420154 MASYLITGTSRGLGLALVSQLLSLPASQVASIFATSRSAQPSPN LKDLIDQSSGRASYIQLDVTDTISIRTAAQQIERQLHGRGLDVLINNAGIQPVTKGGA EYMDNLTETFNTNVNAPHEVIRTFLPLLRKGDRKVITNISTTLGSIGTASPFMAKLTP AYNITKAALNMLTVQYALSLGDEGFTVFCVSPGWLKTDLGGPRADLPVSTGAEAVAKI ILEANHKDTNGKFLNIHVPGWEQTEGFNQYDGAEIPW AOR_1_2422154 MNCPSRTDDTLLHVEWNQNPPFLAPDLTTRQDLNGISNARENKE GDGNGSDSGQSLACLSDKRRMNSLPIDPEKNLMWDNGASLTSKSGRPIDPMTSFNAGQ QSGRGQNNSQSFQTFKSWASWLLSVLFTAALISHSSIGRYLHGPEVPPVPSSGFEPIE RDLPFEKRGTCAQGGVGGSEYNLPLHVGGLFIILSVSTLACAFPVLAIWFPRLRIPSS CLFFVSHFGTGVLIATAFVHLLPTAFQSLNDPCLSKFWTTDYPEMPGAIALAGVFLVT VIEMVFSPARHCCRGGTSLSDPPPYLSRPTEKETPIKRAHVVDSTVCNERERPAGVEP LPHLRDMGPLIGRSSSISRAINQMGEDPERICRISSAPEVPQYRQEPRIEPVQEDVER SDDGHVMTPEQKHRKEVMQVVLLEMGILFHSVFIGMSLSVSVGSEFVILLIAIVFHQT FEGLALGSRIAALDWPEKAMQPWLMSLAYGCTTPIGQAIGLATHTLYSPDSEVGLLLV GVMNAISAGLLIFASLVELMSEDFLSDESWRVLRGKKRVYACIILFMGAFCMSLVGAW A AOR_1_2424154 MHEIYRDLVSNQTPGLEKPHGEVEEARASLDMFSFRRIFFGTPD EPKESIKSCKPMDMPVMFLPQSFEQQLEHLYNPVPGARSETWGQCMLLLALATGALGT EHYRWGDVLYDQVKATCGPLDDIVNLETHAHYQSEQGRPNSSFLHLGAASRKALSAGL HKEAPSQGGEPTDSVQERRLTFWSLYFYETWFCFHLGRPSSLSLRDVGIELPENPFLC TLTYVSKIIARLADEMFGHHHDSLLQMWRLARSITDDYRPYEMQMQQAIGVNLDTCPQ QGTLGVQQTILTTIYYHTVLLTFRPFLIFRGRWQQDMKDPSQHGSNRPTEAPAWLNEA CNKALGASCRTIQFLSEAAVANEFVRELRYHGYFLGSASFAIIYDLMHGKDLAPTHLP WIYAALQSLSTMREGDPIKSTITAIQTVLRKLNPAYEWVPPKAYNNTMGQQATTARPY SSDIPNPQTQSIPEPSLPGIPLQPLQTSNGLPILSEFQNNSLQAALNPPSGSLGSGED LLDLTLSDMGWDFDFSTMDLETFFSIYPNGETPTG AOR_1_2426154 MSTRDEGTSRVWTFSCDLNPSIPDRNDLRAPLYITPIDPLFQGM DNPSRRYLCHFATTLCEDLVISDVPNENPFRSLIPTCRDHPILLHIIVANAAMHISSM SRPSFDLHNSSVQALLPDHSAVNTPIHTTYSTDSSASATLDALSAKQKSIHLLKTALE NLPSTDINLIVTVILLFINLELIDSGKNAWRAHVKGAMKLISSLKPFQNDQLSPIALI RDRITSDCLTYHVLGSTLTNSTTFLDPFSLPIDITTILRRSEANSYLSFPTTLLQILF RACELSNIAFTLPATETPNLINEASSLLNAAQAFDVEAWANNVEGAPTHRTLNRIHTA LAHQNAVCIYIYRSIAYDPTDMDSETLVTEIIHHLSFIDPKDPLSKATSWPTFIAGAE TDNPVYRQWALDRLSLLWNVLPWGYVQTAVEVMRMAWRLRDEANSDIMGVSGWVQQLK ALGNHWLIA AOR_1_2428154 MEIRADSETSQDSQTKSDQQCTPDTVQLEQLGRQRPAIFANNVI EIGFCFSLLASMLLAEYFISGFNTILPVLTDALDIPEEAKTWPASVFSLVTGAFLLPA ARLADIFGAHIVFNSGLIWYFIWSLIGGCSKNYMMMIFCRALQGLGPAAYLPAGIMLI GTIYRPGPRKNLVFSLYGAFSPLGFYSGIAVSGLSGHYLTWRWYFWIGAIMLFVVSII SLLSLPSVKSSSDSKMDWWGCATIIPGLLLLVYAITDSTHALDGWRTPYILVTFILGI LFLCAAFYVEGWVASSPLLPFDIFKVKYMTPLFTSLLFQYGVFGVYLFYANFYIQTIL GKDTLITTAWFAPMAAGGLILATAGGFTLHFIPGKLLLIISGLGYLVAMLLFALIPEN PNYWAYIFPAMIGTTVGCDITYSVSNIFITTNLPKDRQGVAGAVINTTVFVGISLFLG VADLTVSETTYLGLKGSYKAAFWFGVACAGVALVFLLFIKMGKAESDLTVEEKEQLRA SVADGEV AOR_1_2430154 MSYRLTWMFLLVVVVGSALAQICTPEWGYEGYSYIINNQSDLDE IAAKCTTINGSIAMSYNYTGAFHLPNIRNITKGFKWFTILAVSMDDPKPTSINLPDLE FLGGSIWFNSLPTLKSFTAPKLKTVGSKAFIDVTQELDLRSLVESEYLSVRGDASSVR LDSLRQVREQIQICNSDECNSNNSSHGTLDLSLPALHDVGHLLLQGRFSSLDTPKLTN ISGFGSGSYSILLRSEEGPEIDLSFPELKYIQDDSLWLEGSIGSLSMPSLTNLTAWLY VKTYDRLDINLPFVEAGTMTLGVYNFQI AOR_1_2432154 MHSILSRLYRPESSIATDGSRGQSAPSHLRRPPSTQNAVGDTIL TGLAELGAYRVGCHHAFVTLLDNNEPYVIAEAIVPQAVGKDESERSSLLGVKTLGLQA SGSYPVNGFKETPNDKCHIVLDICADPTIGGHPFVTLCPDIRFYVEVPLHSSAGDIFG TYCVVDSAPRSLFADRQLDTLKQVASSVADHLENVSTLYKCRKSERLLDALKDFARCQ PTGDTKRNSHSSRKASDLISPRSSELPDIDRISLSTETVHDRSGMEKPKPQARAHASS FFKRGDVPLKAPPSPEKHQQHVRRLSDESSNLITVSEGATSSGTSALFSQASALLQES MELDGVLFMDASRSNSRSFSTASVSDWDEPSKDTDTSAPPQSPGLSSQGPWSEKQCDI LGHALSEDLLGNSDSTFFGMTEGLLHEMFAAFPHGEVFYPPAITRRFSVQSSSSRGHS RQGSDGGRSLYNSITVRLGHDFPDSKSLIFLPLWNWDKSRWLAGAIVWMNNKQRSLGA DDLCYLRAFGDTIVAKFSQLGWSATTKSKSDLLSSVSHELRSPLHGMLASAELLQTTS LEPAQRDMLTMVETCGLTLLDTMNYLLDFTKINNLTHIHNAGEMGESAFDNLTTDFDL GTLVEDVSETLYAGHRSLINAAKIAGRYLPTGAGVGNRSIGTEVKQLDNPDDLSVVVR IEEQTSWSIHSLSGGWRRIVMNLLGNSFKFTRSGLIEISLSKEVEGVGDQKTTIAHLS IKDTGCGISKEFLDHQLYKPFAQEDVLTEGCGLGVPIVQQIVTYLGGYVEVHSDVGVG TQVDVYVPIEYAVQPPPPSAPLKQGEGPGSRIMTRVCLIGLNPYAELKGARKGVITTE AKRKLSIRGALSNVLLSQPGWMVSFADSLEKGSGDIGVVEESSLKKIAEAGPIETEFN TIMVLGEHGVSLPRDFAIKNADIIYVSQPLGPRKLTNALQRFIDAHREASPLSESPIA GPFSGFPGRGRSLSAAFAAAKGSESPPMVGESVAALSPFPTPKALNQKNIHVLIVDDN DVNLKILATFMRKIGCRYETATNGLAALEKYKECAGQFDYVLMDISMPIMDGIVSSSK IREYEEQNSLPRSTIMAVTGVASSSMQQQAFAAGIDDYLVKPLSLHDLKRIMNIE AOR_1_2434154 MDTPSSGTVDLERGGGAIRKRLTLTFRNLNVRVTAPDAALGDTL LSYADPRQLLDVFRKSRGNKRTILKDINGQVKPGEMLLVLGRPGAGCTSFLRVLSNDR DSFDEVSGETRYGSMDHKEARKFRQQIMFNNEDDIHFPTLTVNRTMKFALKNKVPRER PEHLQEKKEYIQGTRDGILESLGIAHTKKTLVGNEFIRGVSGGERKRVSLAEVMAGQS PVQFWDNPTRGLDSKTAVEFARLLRREADQNDKTMVATMYQAGNAIYDEFDKILVLAE GRVIYYGPRTMARAYFEDMGFIVPKGANIADFLTSVTVITERIVQPGLEGKVPSTPEE FESRFLASDINTQMLDAIEPPEKLTHEKDDLVMAVANEKKKKHLPRPQSVYTTSLWDQ IYACTVRQFQIMAGDKLSLAIKVVSAILQALVCGSIFYNLKLDSSSIFLRPGTLFFPC LYFLLEGLSETTGAFMGRPILSRQKRFGFYRPTAFCIANAITDIPVVIVQISCFSLIL YFMSALQMDAGKFFTYWIMLIALTLCYMQLFRAVGALCRKFGLASMISGFLSTIFFVY GGYLIPFEKMHVWFRWIFYLNPGSYAFEALMANEFTGLKLDCIEPDYIPYGAGYPDSS SAYRGCSVLGSDENGLIDGAAYIREQYHYSHGHIWRSFGVIIGMWAFFIFLTSVGFEK LNSQGGSSVLLYKRGSQKKRTPDMEKGQQNMSQPAANTGALANTAKQSTFTWNNLDYH VPFHGEKKQLLNQVFGYVKPGNLVALMGCSGAGKTTLLDVLAQRKDSGEIYGSILIDG RPQGISFQRTTGYCEQMDVHEASATVREALEFSALLRQPASVPREEKLAYVDHIIDLL ELSDISDALIGIPGAGLSIEQRKRVTLGVELVAKPTLLFLDEPTSGLDGQSAYNIIRF LRKLVDGGQAVLCTIHQPSAVLFDAFDSLLLLAKGGKMTYFGETGQDSAKVLDYFAKN GAPCEPDVNPAEHIVEVIQGNTEKKIDWVEVWNQSEERQRAMTELEALNNDRKANTQE EEDQSDFATSHWFQFKMVLRRLMIQLWRSPDYIWSKIILHVFAALFSGFTFWKMGNGT FDLQLRLFAIFNFVFVAPACINQMQPFFLHNRDIFETREKKSKTYHWLAFIGAQAVSE IPYLIICATLYFACWYFTAGFPVEASISGHVYLQMIFYEFLYTSIGQAIAAYAPNEYF AAIMNPIILGAGLVSFCGVVVPYSALQPFWRYWMYYLDPFTYLVGGLLGEVLWDVKVE CKASELVHFSAPSGQTCGQYMADFLSEQAGYLLDPNSTSSCSFCQYSTGADYAKTFNL KEKYYSWRDTGISALFCISSYALVFLMMKLRSKKTKSARSE AOR_1_2436154 MATEKGALDGVVDEKAENAHASNVIAAAERGQAATDQYGRVLIE FDRAAERRLRLKIDLCIVPTVALLYLFCFIDRANIGNAKLAGLEKDLGLTGNDYNTVL SIFYISYIIFEIPCNIACKWMGPGWFLPTTTLLFGVCSLGTAFVQDIHAASGVRFLLG IFEAGMLPGIAYYLSRWYRRSELAFRLALYIVMAPLAGAFGGLLASAILTLDSFGSLH TWRMIFAIEGIITIGLGLIAFVTLTDRPETARWLTQEEKDLAIARLKAERVSTTEVLD KMDRTKMLLGIFNPVTLSTSFIFLLNNITVQGLAFFAPTIVRTIYPNASVVSQQLHTV PPYVVGAFFTVLFPFLSWRFDNRMVYFVIAPPLMIIGYIMFLASTEPMVRYGATFLIA CGAFSFGALCNAHVSNNVVSDTARSSAIGTTVMFGNLGGLISTWSFLPFDAPNYHIGN GLNLATSTLTMILGACLWMWMKWDNKRRDKVDIDQALRGMSQKQIQDLDWRNPAFRWR T AOR_1_2438154 MAGAKKPVNIFQLKNLGDPKQVYNWRLWLAVISFGLMGAARGVD EGLISGAFNSKDFQRTIHYDSYSKVEQTNIKANVSAMVQIGSVGGALFAFLVCDRIGR LWATRQLCVLWIVGIAIFMGANGNLGAIYAGRFVAGLGVGQTVVVGPVYLAEIAPASV RGLCTCVFTGFVYLGIVLAYFTNYGCQVNLGDNTHKRWEVPTSLHIIFAGLIFLLSFT QYESPRYLVKKGKYDEALRNLSRVRHLPEDHEYVVEEMTAIRTSHEAEMEATMGSGPI GVIKEAFLVPSNLYRLYLALMAQLLSQWSGAGSITLYAPDLFALLGITGSNESLLITG IFGIVKLVAAIICALFLVDVIGRKRSLLIGITFQAISMIYVAGFLTSVPEMGVVDDFV LPENKLGPSRGAIAMIYISGFGWALGWNSMQYLLTAELFPLRIRALATSLAMTLHFVN QYGNSRAVPNMLLGTDHGGITPMGTFWFFSAVTVIGWFWVLFTVPETAGRSLETIDRL FELPWYKIGLYGARDAEHRDMVMSDKAQIAEQSSHVENVGSRDNTTRV AOR_1_2440154 MDTAPPPYTPTSPSPSPSTSTSPTITPQNLAPETLLTRGFQIPS KSAYPTSGFTYPPLLAQYNITPTDWENFTSEVITTAKLSRKQWTTAIGKGLGVMVVGG LMVGFLGAIPAYYVARRAQRSREERNMIVGSGELRDVIERWNGGFFEPRGVLIRVDLP FEEVEEMEMMDVLERRRGIPGFGASREGERVREDAARKARIVVIPLPGKLG AOR_1_2442154 MTTRYRVECMTQTGPASHRRDQLIEWIKGLLAVPFVLHSQPTAV YQEHGENLVAIAADTHQRYAEIFRDVENLMRDHIHHIKTGAPGKSKLNHLVPTVGSFF TPLPLEDAFKYQDSQRFISRRRFVAPSFNDIRLILNSAQLLGLFRTSGLDLVTFDGDV TLYDDGACLTDDNPVIPRLIRLLQQGRKIGIVTAAGYTEAPKYYERLKGLLDAVHSSD VLTPANRNGLVVMGGESNFLFRYDHTSPDKLTYVPREQWLLEEMHSWQESDITQLLDI AESSLRACAKNLNLPVAVLRKDRAVGVYPLDRTKVTREQWEETVLVVQNTVERSVVGT RLPFCAFNGGNDVFVDIGDKSWGVRACQQYFGGIDRSRTLHIGDQFLSAGANDFKARL ASTTAWIANPAETVQLLDELAIIEK AOR_1_2444154 MMATTHAPAEPPKRRRIGVLTSGGDAPGMNGAVRAVVRMAIYSG CEAYAVYEGYEGLVHGGDMIRQVHWEDVRGWLSRGGTLIGSARSMAFRERAGRLKAAK NMIVRGIDALVVCGGDGSLTGADLFRSEWPGLLEELVKTGELTEEQIVPYKVLNIVGL VGSIDNDMSGTDATIGCYSSLTRICDAVDDVFDTAYSHQRGFVIEVMGRHCGWLALMS AISTGADWLFIPEMPPREGWEDDMCDIITKNRQERGKRRTIVIVAEGAHDRQLNKITS SKIKDILTNRLDLDTRVTVLGHTQRGGAACAYDRTLSTLQGVEAVRAVLDMTPESPSP VITVRENKLLRTPLMDAVKATKEVADLIHERKFDEAMHLRDSEFKEYHFAYKNTATPD HPKLILPENKRMRIAIIHVGAPAGGMNQATRAAVAYCQTRGHTALAVHNGFPGLCRHH ADTPVSSVREVSWEEQDTWVNEGGSDIGTNRSLPSEDFETTAKCFEKFKFDGLFVVGG FEAFTAVSQLRQAREKYPAFKIPMVVLPATISNNVPGTEYSLGSDTCLNTLIDFCDAI RQSASSSRRRVFVVETQGGKSGYVATTAGLAVGASAVYIPEEGIDIKMLARDIDFLRN NFAHDKGANRAGKIILRNETASSTYTTQVIADMIKEEAKGRFESRAAVPGHFQQGGKP SPMDRIRALRMAIRCMQHIETFSGKSADEIAADELSATVIGVKGSQVLFSQMGGPNGL EATETDWARRRPKDEFWLDLQSTVNILSGRASFGEGKTGWSCYENC AOR_1_2446154 MSDSFNLPLRPLTEKRERPDPLPVEIAQINAQYGSFRDVTEDSL RAKIEADKNKDPWFDKEENDNASADEDTTERLDQLYKRRAAITQFALQAHMEAMFALD FVSLLLSKHTPRQAEMSMSAYLKQVAPLGSLNSEIVNPPPKPESAARDTKNVSRGWRL QNFNSAASKLLDSATRLEAEVASETRYWDEVLAVKEKGWKVSRLPRERQALGVQYGFL EATPIFRDRGLAALRRTNDGSLILDKGLIPLKARTVRVRVRSRGQITGCSKNQPASDN TESIESRILQARDTVYEEELFHELVREARILGSQGVTTRQNLVQFPVSEEQEVLLDLI DSDQISPENDVVSSNEHAVVADALAHAIRILLAYAHRQNLRRRTQLPTPLTPKRRQTP EYQLLRPVMAYLQHSSHVRWLESLLNDINQILKSAGITCDFTATPFSSLSLRRTISGL PKVEALVQEFLLPYESTFSAQLVTPQSSFRVKVRTNVTTPPLGTHYEISVNMPQYPDV RPPNRIGLQDEAASILTHFVLLDILTAITHAKGSSVKGIKQETGHLLTWQAAYPHNGE LLALSSTGQHKKMKVSLSRHELTVRVYSIRGIDGFGKPAVDKTPAMRSQTWKCDGTPD QPNLMDFIAEVSKE AOR_1_2448154 MQTPALRTLITQDPGLPKPNPTSSYWQTPPHPLSDTQSPSLPPQ TDVVIIGSGITGLSVLLTLLDDHPDLNITVLEARSLCSGATGRNGGQLAANAGEEYLH LVEEFGREGAGEVVRFTFENLRRMRELVRRFAVEESEVEEVVKVRVFLEDDGFERFKG SVEALERDLPGFKGIYTTLNREVLKREYHLDGAGGALLPAGTVWPYRLVTKIFASLLE RYGHRLNIETQTPVTSIAFDATSPSWPYILHTPRGGLRATQIAHCTNGHAGHLLPGLR GPIYPFKGTMTVQDAGNIMPNRGGDLSWGFHYPVMYDKQSGRYAAGLYYLMQNTKTGY FFFGGEDTRIDNCLSADDSLVEEGSIKHLQEKLPHFLGHNGAEQWRLASGWSGIMGFS ADGLPVVGRAQASMTGRQGEGEYVAAAFNGYGMANCLLSGEALAKMMMGTDVSAWLPK AYGIHERRLKEPLTVDHAIKSFDLDA AOR_1_2450154 MLPRRTSFRPLTQTLLPNRANLSTRTKPQKSLPAAYYRGGTSRA VIFKKEDLPTDRSAWDEIFRRVIGSPDPNGRQLDGLGGGISSLSKICVVSKSTTPDVD IDYTFVSLGVKNTHVDYSSNCGNMISAIGPFAVDSRLFPVNSDGYEDVELRILNTNTG KVIRSRFPVVEGEAAASGDFAIDGVAGTAAKIQLDFLDPAGSKTGKLFPTGKVVDEFD GVRTTCIDVSNPCCFVLASELGVEGNITPEEIEAHPTLLDRLDSIRRQAGVAMGLAET AESVPGSVPKIGLVASPASNARALEQGQTEADVDLLVRALSVGQPHKAVPITVALALA AAARVPGSVVAGVTSGELVDPAGITLGHASGKLVVGAEFGVDGSLSHATVFRTARRLM EGKVFWKS AOR_1_2452154 MDTREIAEEIASHLDGLDIPNLLWGQTAHLYHLGLRSSPRDGPR VVEIAVSEHRIDAAFRHICAKYSVAQCDNAECCPEPQPDYHCHIEDGSKSGETTISLH RMPTVLTDLDTEIPNNPNSGDSNYVLVTDERLPNCSSDGSSGNHQGTLCVNRKRTARI LTLARHTESLILRLCLNLNRNNAPAFFTAIEQIVAYYGENAQYTGIWEQLLCDLDNKN DSYGKYLKKQKDDWKHEDLDPESWQDCAIRNCKRSTERMKHTQHLRDELVNDGKLPSD RKIDSFDEVTPNTGHQ AOR_1_2454154 METHKLRRPRKEIRMNWVERRKLSSDPYIVDTLKHSGIACYVSH ADILSCHYLAPMSQNSEIVVPDNQLKDAWKALKRAGFLDCDSIRACQAEFSTGSRPIP DAHLHYAGGVGRVDLYKHTTHFKFLPQPVVGDLSSEDRNYMLLSDWKVPDLGDRPVFQ YAPLIETLPQFKDSLGPTCGRRVNFYAVPAPTLARSISSWSYQFYHAVKYIYKIDRAI HRAKDDGVIRNLESKRDASIDDAWLWFVYLNAIVWLYRHHQNDYKWGHKLSWNQIMRG VDQNLVPWLVDWKYKYLVTDDTDKKGNKVKFEKCLTDVTKFLGERRSR AOR_1_2912154 MTKLCGSNLWDAPQKTDKSIDPRLSALGKGGANQFMNLLKWMCH IGNLNSSFEICLAFKVLRIGQIVGDTATGYWNPTEAIPLMLRTAKTLGALPALDETPA WLLVDVVARSILELSGIVSNEKAKALAHDPSVVYHAQNSKTFRWTEDLLPALRQAGLK FDILPKREWVQRLRESEQVPQKNPTIKLLGFFAEKYDNDAPGRSGLTFAMEKTESASP WLKGGMELIHTGLIKRFVDAWAPLW AOR_1_2914154 MDGTKDSGTDHVEQYVENPKDQDLRSVPTALLDWSPEERRQREK ALVRKIDTRLLIIMLVMYILNYLDRNNIAAAKSAGLQDDLNLKGEEYQVCVSILFVGY LLMQVPSNMILNRSGKPSIYLPGCMVVWGIISCLTAVTKDFGGLLAVRFSLGFVEAAY FPGCLYFLSAWYTRKELVERTALLYVGSLISGAFSGLISAGITSGLNGARGIAAWRWL FIIEGSLTTFVALLACFIVPDLPRTTSWLSNDEKVLAAWRLEEDIGEDDWVDSEHQSM FHGAKLAILDPKAWLLLGVIYGCTSSGAVTTFFPSVMAGLGKDNIDTLLLTTPPCLIG TIIVLIHAWDADGTGERYLHLCLPPTFAIASFILYMAGNNFAARYVAMSIMPGSIYAS YVVALGYISNILPRPAAKRAAALAFINAVSNVAQIYTPYHYPDTAAPRYITAFSVNIG MCRMKAWTRSF AOR_1_2460154 MAGCKHHQFKDYPEPRKGPDLPPNYDRKPNPALRGVILSVGAWL LDWLWFLPQIIWSNAGFGSLRTIRSHLDYIEPRYDPTVVPLKGNSDENPDGSVADTVS APPVKYPTKYYSVADYHALYKSGELTPIAVVKGLLPLIRRGLSTPGKHSVAFVDSRVD LVLAAAEESTRRYREGRPLGLFDGVPAAVKDEFDLDGYRTNMGSLNDYTLEPKSDDPS ITNWCIRQLEKAGAIVVGKVSMHEFGLDTTGNNIHYGTPPNPYHPDYYTGGSSSGCAY AVSTGLVPIALGTDGGGSVRIPSSFCSVVGLKPTHNRLSHYPSVNYASTTSVIGPLAA DIRSLAEAYRVFATPGPDTPFPAPGPLSLTPSTRGKKILGIPEVWFSRSTPDVQRLCR SLLDKLVTEKDYTIVPIDIPFLVEGQTAHAMTILADGAALLPDTTNITAPNRILLTLG RTTPATDYLLAQKLRRLLMQHLAALWQEFPGMIIVTPTTACAGWPVVSKSELKWGLSD GDRTLKAMEYVWLANFTGIPAISVPAGYADPEVPVGLMGNGEWGSEEQLLQWGLEAEE LGADLRERPPIWEDVIQRAKWVGSSSGSQETA AOR_1_2462154 MWGIKDPERKLVVKIDFFILSFCCVTYFFNYLDRSNLSNAYVSG MKEELAFHGNQLNVINTVFTVGYIIGQVPSNLALTYFRPRIFFPAMVLFWGALTMVTA AVHNPQGIMAIRFFLGLAEASTFSGTHYILGSWYTERELGKRSGIFTASGLAGTMFGG FIQTGIHSSLDGVRGLSGWRWLFIIDGLITLPIAIYGLLLFPDTPTTTTAPYLTAAEK ELAVSRLPTVNANRAPVNRAFIKRLFTTWYWWGFVILWVIAGETESFSSNSLLALYMK AHPTIHYSVSQLNNYPTGVPAVGIISTLFWATLTDILQGKRYLVSYFIGITGVVTSVL ILTRFDSTATVFGAYYWAGAVYACQATFFAWCNDAMRAQDARQRSVVIASMNMGNNAV NAWWSIIFYSANLAPRFTRGMWAMIGCSIALVLWTTGIVWRTAKEEGQGYRVEEPDRG VTKES AOR_1_2464154 MGLVADIHLDGAPGERPDVSPCIEDLPVPGPDANGLDEVDEFKD RKMSFYDDRGAAPATQFSFFTSQLDSVIHSSTIQSLRSFYKPFDTLLDTTEHSGLWWL DVTAPSDDDIETLSRIFDIHPLTTEDIKMRESREKIELFDRYYFLSLQPARQVEAVDG TRSSSPNVYAIVFREGVLSFNFGNSPHGGHVRNRIKEHRSHLALTSDWISYALIDDIV DGFAPFINRVQAGVELIEDDVSITRPDDIGLALQRIHRYRKEVLQIRQLMNDKTDVIR CFDRHCGSFGPSTMDVTLYLGDICDHVLSMVADLYNAEQMLSRAQEKYLSQLAFDSTR MRNEIAATLSRMTVVGGILVPMQFLIGLFGMNVTVPGQTPEDVDSPPVNWWYGILGVI LGLICLGLVTAKRLRFI AOR_1_2916154 MAYDNLLLHWWKRNQSINESPPLPLSCIAGCVSLAHVLTQKKLI QDTKIFLALLILRVIYEYYRDRRLPPGPRRLPLIGNIHQVPQVLPWRTFHQWSKKYIR VVVEESLRWRSIVPGGVPHAARKDDTYMSYHIPKGATIVPLHWSMSLDEQHFDNPLEF RPERWLAEPDDDRFTNFFGHGRRICPGRHIARNSLFILVARILWGFEVRPPTGPDYQP KIVEDMDFGSAFVSVPAPFEAIFQPRSENARRVIESEWESTEKDIIALMDSIKEK AOR_1_2466154 MTTTADKNYPTPNTPIQTIGLQEICEYSPENTPLPPTQPSPLYL SLIHENQGPDEPLHWSLFVARENEPGWLYQVTGDAEHMIYEPSDGKVAITSSESFLTL YQLASVTEGQAMVVKSIADQETPPYAVNRREVKENCQGWVVRVIGRLVEEGIVSDSKL EMARKMMQPL AOR_1_2468154 MPLHQYDYIFAIGTIFAFLDAWNIGANDAANSWATSVSSRSIKL WQALILGSIMEFAGAVGVGDSVSDTIRTKIVEVDSFAHNPPLLMLGMCCAVVGSAIYL SICTRIGLPVSTTHSILGGILGMGIALIGADNVIWWGGDINSGVVQVFLAWIIAPLLS GVAASLIFLITKYGILLRGNSALKALYTVPFYFLLTSALLTMLIVWKGGSSRIDLEGG EIAGTVLGVGAGVSLISAVFLCPWLYRRVILSDWQLKPWHIIQGPLLLRRGEVPPRPA HVQAVRNFYEGHKTLEELQADRSGDVENSSEHSSSDPRKESHVTSSSSNDAGPTNRDV INLCGPRPEGIWYNPVVIFWLFKKALFRGLEQDIVSAQKKDSKLAGDLEKTHAHSTHY DNEAEYMFSFLQILTACTAAFTHGANDVSNAVGPYATIYAIWQSGALDGAETPVPIWI LVFGGAAIAIGIWTYGYHIMSFLGNRLTLHSPSRGFTMELGAAIAVIIATRLKLPVST TQCITGSTVGVGLCNGTWRTINWRMVAWIYMGWIITLPCAGIISGCLVGIIVNAPRWG MPN AOR_1_2470154 MSHVLASGYPIPAGSKHTSVPYPRNRQQKLVEIRPKGSVDILAV PNSAKCRKRQHADAQKCQRDRMKVALHQMAQIMKMGGVGDEGTNGTKV AOR_1_2918154 MGYTHYWTVQDMQSDEWQSAWPPLVQDAGLIIHYANIPLTGPTE PDQAITERTPLILDEKNGIFLNGVGDDGYEDFYISKIGNNFSFGKTNFAFCKTGRRPY DLVVCTILLRAYVLAPSTFELSSDGDWGNDWVEARDLYHCIWPEENIPCPWEKE AOR_1_2472154 MLLGTLLSLAAVVAGAAIPNGQTLSLNEIPYYVSGIPVSTLQGY NASAYAALTEGIDLVPLTVIPVTPTTNLESLLSDYVERDDVFQPAFLRAVYLTASSAD DIASQVSNSASILKSSGTDMLLVDSEVHTASSNSTIAAQLTKELPSGPYFVSLYTGEV FKAYRLYPDDNLAFIQAGISDEKGGVLPLPAVTENAMTKDVAVPSRLYYTPTAEKPLA GLRLGVKDIYHVKGLKTSGGSRSYYYLYGTQNVTAPSVQRLLDLGAVFVGKTGTVQFA NGDRPTADWVDFHCPFNPRGEGYQAPSGSSSGSGAAIAAYDWLDLAVGSDTGGSMRSP AAVQGIYGNRPSTGAISLDHVLPLSPALDTAGVFARSASLWSRTVQAWYPHFRHNFTS FPRQLLLAGGGWDGKGISPEAHQSLTTFTRGLEAFLGTNHTNVDVSQRWLDTQSPTTP SLEEMLNLTYATLTSVDQFNHLAVPLFANYKAVHRGRQPFINPGPLARWQWGQANGGN TSYEVALRNMTTFRSWWEKSGYGQSDDASCSKSLFVSVYSVGTTDYRNQYYDAPTTPP LGFSIGRIAVLGGAPEVVVPVGESPYNSTISLQTEYLPVSVALQMARGCDHVLASLVA GLEKKGVLRPVSTGSRLYS AOR_1_2474154 MSAEVSSHDYQIPSHCKAGVVVNEGPNFEVKVEMVPVPEPGPDD ILIRLNITGICSSDLHMMQGDLGTPPMSTFGVRSPGHEGAGVVVKVGANVKNFKLGDR AGIKPLLDTCGTCDLCWGDKETYCPTAIHAGLMAPGTYQQYIVSPARYASPIPDGIPD EIAAPIMCSASTIYRSLTESGLNPGNWAVFPGGGGGVGIQGVQLAKAMGMRPVVVDAG ESKRALALEMGAEVFVDFIETSDPAAAVIKATDGVGAHGVFVTAPAAYRTALSYVGNR IGAVVMCIGLGPTGAMTIGGDPNAFIFKNLTVKGTLVGSRKDTAAALDFARRGKLQQI CEVYPIDRLPEAVEKLRKGQATGRMAVDFNK AOR_1_2476154 MRTPWCAVLALLAGVQAIDIDVDNPESVKRASYAVANNMLSHYT GMNPGDTPGVLPPPYYWWEAGAMFNALIDYWFYTGDDRWNDIIMQAMTWQAGDDGTFM PPNQTHAEGNDDQAFWAFAAMSAAERNFPNPPDGQPGWLAMAQAVFNTQAPRWNTETC GGGLRWQIFSFNNGYHYKNTIANGCFFNLAARLARYTGNQTYADWAVRVWDWTESVGF ITEDYLFLDGADELKNCSEFNYLQWTYNSGVYLFGAASMYNLTDGDPVWKERTQRILD ATKVYFQNDVLYERACEPINTCGVDQRSFKGYLARWMAASAQVAPFILDQVMPKLRTS ASAAARTCTGGSDHSTCGMKWTSGQWDQSDDVGVQMSVLEVMQATLVGGVAPPVTEDN GGTSKGDPSAGTESGGPRPHSRRTDTSTANRAGAGILTILMAMLIFYTVWWGINE AOR_1_2478154 MAITEQPYDYIIVGAGVGGLVLASRLSEDANTTVLLVEAGPNHM GDPRVETPGLLGAMMENPDFDWDYLTEPQVYANNRQLGQPRGRMVGGSSALNFSLVMY PSRANFEAWESLGNDGWGPDAMAPYLRKFHTYTPPSETTSALLSVDKYMNAENQGDQG PLPISHLDIYTPWNRAWDDTFARLGWNNHADPIAGRKVGSFTPPLSVDGKTGQRGYAG AYYTQDVAERKNLHLLTETMVERVILKKADSRVTATGIQIRTKNGQQLEVSATREVIV SAGSLNSPQLLELSGIGAADLLQKHNIPVVLDLPAVGENLQDHCMSTVNFEVADPQTS ADIARDPKVVQSLVELYEKTRTGPMTGIPVSVAYLPLVDHNGQVQREQIDDLLAQYLD SPQVKQISLGRQQQYKILRQMLRDDQTGSADYMFLVAQFNAKEGVNTMSYALSKDLPE NYINILVLHNHPFSRGSIHIQSANAGDKPIYDPKYLSHPLDLEILARHTQFLDKIAST EPFSSLLKPGARVPKAAVDLADLDTAKEVVKDRLFHCCHPVGTCAMMPAELGGVVDTQ LKVHGTHNLRVVDASIFPLELSGTIQATTYAVAERAADIIRATAHC AOR_1_2480154 MYLRGILSALAVLPFGIASVTSPGDTAVVPGWHLQSALHAPSNL TDLSLPTAHNVSAWYRISSRATVMAGLIQNHVYNDTHLFYSNNLATLDQTIFRAPWLY REELRLLAPPKGQHMFLITHGITSKADIYFNGKQIASNATQQGSYGGHRYDITPFVRT GKNVLLIQAHPTNYLRDFAQGFVDWNPYPPDNGTGVWRDVELKQTGPVSMSSPRILTD FIGVHTENVTATIKVDIKNHEDRIANGVVHGTVQAQDKGSQTVAFSKQFKLEPYKNAT VSIDVVLKNPKIWWPATWGKQPLYTVKAKTTVGQNEISDIAPPTQFGIRHVTSKLNSY NDTEFSVNGYPFHVRGGGYSPDMFLRFDLEHLRNIFRYMLDMGLNTVRLEGKQEHPEL YDLADRMGLMVMSGWECCDKWEGWKYNNEANGVKWTEKDYPIAEATMLHEAEMMQAHP SMLAFLIGSDFWPDEKATNVYVNALNRMDWPNPIIASASKRGYPKLLGPSGMKMDGPY DWVPPNYWYHDKLGAAFGFGSEQGPGVGTPELGSLQQFMSAQELESLWMKPDQPQWHM AKNDSPFADRSLYNEGLFARYGPPTGLEDYLRKSQMSDYEGTRAEFEAFAIRQNASRP ATGVVYWMLNGAWPSLHWQLFDYYLRPAGSYFGTKVGARLEHVAYDYETHTVHIINHS LKNFGKRNVTVDLIDLNGTSMHHESIATYTTPTSSKQVATVHEINKIKDVGFLRLTLK DSGKGTTISRNVYWLPPNTETLDWDNSTFYTTPVTKYTDLTPLNKMATANVSTSMKMT VSKHGSTFGEVTLENKSDVPAFFMHLTVSDENGHELAPVYWSDNYVTLFPREKLILTV EFQGRKWAATLSGANVEKRGIGRS AOR_1_2482154 MTIQTWQEKAHKKQTTALSKIPPEWRLPPSIQTLLTNNPNLNCL DIPAKSNLLTPRELEITNTGDATALLAKISKKEYTSAEVTTAFSKRAAIAQQLTNCLT ETFFDEAFARAKQLDEHLATTGKTIGPLHGLPISLKDSFNVAGIPSTLGFVSFLDRPV PTSNSALVNILLAAGAVVYVKTNIPQTLMTAESHNNIFGRVLNPYRINLAAGGSSGGE GALVALRGSLLGVGTDIGGSIRIPALCCGVFGFKPSGGRVPYAGQTSAARPGLTGIAP VAGPLCHSVRDADLFFKVIADSHPEDVDDQILGLPWSSPIEPKESLTIGLLPEDPTRP YHPSILRTISTAVEKLTAAGHRIIDLSGKCPSMKEFSDIAMHFFQMDPDRTPLGHLAA SDEPWVPSLKYTYNPNGTDPEPTLRQLYDLNVQKTDTSATIRKVFLNNELDVILGPGH QTCAPVHDTFGLPIYTMLANLVDYPACIIPFGTADEVADAGFVRDVGYVPEYTPKDVE GAPCHIQLIGRRLKDELLMQHTQVIEGVLKGK AOR_1_2484154 MADPLSIAASALAVITAAIQSTKSLVDTVKRFKDRDKTLRRLQA ELEDLANILDALAHVTATEKSMLALLGDPIKRCSQICCEFEQSMNVFSEKSKMGFRDW AKMEFKRGDINDFIDTIAGYKSTISVGLGTITMQTTKVSQHVLEEYNELVQDTVYNLE VYLRRIDEKLARIPFDTTDNTPGINLDLKDERAVTKQCLRICQDAKSYIESLANRESD LLQEAPPDTANDDTERLFDAQLLTRQALEANRDSFAEVIGHLGRRLQTLVLDRNPEND NDRQRLQEDINISMKCLEVCKVASEVSRQKIYRVGEAVADGDSDQVVVTTLADLFDVK KALSTGNSAQLVGSMTDDALCHLADKRYGSRFGVSAHPTGATTTKSPPAFETRRSKHS FPPQSGNDERFSKLETRRNEPSPNEMRKRATSDKKDQDYNKREAGI AOR_1_2486154 MAEPVGLASGLLALATFAFKASLSLYETINSFRSHTKRVRDLIE ELEALSEVLAPLQELLDTTTDESLSALELPLRRCGNACSEFEQEIVKYSSRSADRTSF RDWAKLRYLGDDDIDGFRRSLSGYKLTINVALTDANLRKSSANAEAIESYKALIETTK ADLEAHLEAIDDKLQLIVGQTVTAEDSDALELRRIKEERLSTVKCLQICNQLSDHIAQ IQLSTKSNDTSGGSSGSDVYPERVTDESLQDCKTKLADTITQLEKHMQALTDRLLVKS KAAMTSEQDILDLKRLQDEWQTAHQCMDICSKADTRLKENISNIHNYGTGDALQFMVS TNGKTLNGTNRGLGWRSRQVGGHLSDASVQQLSRDMTNVNFRIIEADGQTVRDYTNTI RDRNGDASSVEEFRERYGQGFTLTPKSRTT AOR_1_2488154 MFGQIFSSVFAWTLIAILAGLYRFTTKQRPIFPVVNDYRGDFFR RKAYREYNQNAKKLIVDGLAKHGSPITLRVPDGLKIVLPSALSEWVKTNRDLDHQELI REEYFAGFPGFEAQDTLHAPDGMLIKLLRTNLSQNEEIVPTVNRHIGPALQHYWGDSG IWHTIDWEDDTTGIISRAAASIFVGPEKAADDEWQTVVQAYVREYFAAVSELHTWRAS LRPIVQWFLPHASACRRLLHQSRAIMQEVVRKREREAQAAEDQGLVAPRYNDVLAWTM QVPDNKHPAGDIQLALAMAALFTTTELFKQILINIARHPELVEPLRKEIKTSLLGHGL GLTALAKMELLDSVMKESQRQIPVTVGLERKVIRDTSLPDGTKLPKGSHIMVDATDMW NPEVHVNPEVFDGYRFLKRRHAGDKASQFVQSSREHIVFGGGRHICPGRFFAGTELKL CLAHILLKYDIRLKEGYYPQPMVLGVYAIVDPMTQLEVRRREHTEDLVF AOR_1_2490154 MDESHQEKQSGHHDSLEREAAHRGLRKTGSRTLGWDTDHRDFPR NWPLRRKLYDACIIFFLEFYTTVMSTTGPSAAEEAMSEYAMSRVVMLTGFQFMYGAGQ ALGGLIMPPFSEALGRQKSYLVSAGAYCLSSLLVGLVPSPAGVFIGRFFSGFASSVPA IVLAGSIEDLYSQHPRLWLLWFWNCSTMLGIAVGPIYGSYIVDAIGWRWVYHISAITC AATFFLLIPVRESRPTTLLQRRFDNLQSKVGAVDMDIPNPDRINSTRELMQVILVRPA KIGVSEPILILVSILSASAWGMMYLFTESFTVVYSEFGWSSRATSLPFIALFPGIILS GFVRLWDYHQLKSRQKASQRPEPEDKIGGFAIAAPALAIGLWIFGWTVPPLVHVPWIA SMFGLVLIGFAATEFSYTLSGYISDAYTIYASSGLAVQGFLRALASGCLPLFAYPMYS GLGSNVATSIIAAVATVYCVAPYIFLKHGRRLRENSPFARYSAKVNDEHGAD AOR_1_2492154 MAWKSTFLLTSLLVGSYATPLALHNHARSEKIAWGNCEDEGVTA PAQCGNLTVPLDYTEPDSGKTLQLQLLKVPATREPKKGTILFNFGGPGLEARLSLFGD GDILQALTGGHYDLVAHDPRGTAKTLTAYCSRNITEQLAVRTESRFNDFPLPSDTMGI GRQWAGSGLYADFCSEGELQENGAYLGTAFTARDLMQIVDAVTDDGLLKYWGFSYGTA LGSTVAAMFPDRVDKMILDGVMNPHQYFNSYDTELWADSDKVFSSFFQQCLKTPEQCA LASRNQTAEQLEESIYQLLDDLKREPIVYEHSIIDHSYMKTYIRFALYGPSSYPSLAA AFNFILNGNVTGFKELADARVGSLLAAGMAGDDAAFAIPCADKKTGKHALDEIMPDIN TLSQTSKLLGEVGNAIAMTCTQWKFDAKERYEGNFESKTKNPIMVIGNTYDSATPLRS AQNISASFEGSVLLEHGGWGHATLAHGSSCTSSIIRDYWTNGTLPAVGTKCEPDYPPF ESGSLEDVLVNIGFLDKK AOR_1_2494154 MSGHLNPKDSMKSTWRRLDRADWSIFHWFYEILGIHPIALDKEV PVHNKEEKVPYMPEWHLHCWVLIHAFIPLAIHHVYTSYTGHNLSPLAAFVFYSAAFKA IAIHEIHILRRLGHTLGFLDGDQHERDGVPDVGVKKVVHSLVSTSTFRPMFTVFLSYR ASQPPSTMNWLWLPLEAGLYGIILDFWFYWYHRLMHEMNGLWKYHRTHHLTKHPNPLL TLYADTEQEFFDIAGIPLMTYFSMKLMGFPMGFYEWWICHQYVVFAEVAGHSGLRLHT VPPNTLSWFLRIFNAELIIEDHDIHHRRGWKKSANYGKQTRLWDRVFGTCGDRVECYR DNIDYDNQISMPLL AOR_1_2496154 MPHPVLLLLIALHWCLIHTLAVPTFNGQPAVSDDLLRDGRFDYV VVGGGTAGIVVATRLAQRSYTVALIEAGGFYEYQSLAAIPLGDIIPVGSDPRNKFSID WGFVTENQPGANNRPIHYARGKCLGGSSALNFMIYQRPTRGAMERWATAVGDSSYTFD RVLPYFKRSVQFTPPNQLTRFPNSTPSFDPAAYDPQGGPLHASYPNYAMPFSSWMRLA MNAIGIPDRDEFNLGSLLGGQYCTSTIRPRDQKRSSSESSFLETKPPLLTTYTYVLAK KILFDSQKHATGVLAKSKLGEFRLHADKEVIVSAGAFQSPQLLMVSGIGPAKTLEDHG IPVLADRPGVGQNMWDHPLFALSYRVGMPTASTVVTSISYLLRQAANAAIFRQGPFTS PITDYLGWEKIPTSLRANFSRETLQDLARFPNDWPEAEYLSAAAYVGDVSKPVLIQPR DGYDYASILGVLVAPTSRGNVTIRSADTFDLPTINPNWLSTETDQEVAIATFKRTRQA FESGAMAPILIGDEYYPGNRVQSNAEILEFVKDNMMTIWHAACTCKMGTAKDAMAVVD SHARVFGVDGLRVVDASAFPLLPPGHPQSVVYMLAEKISDAIAAANGTTERR AOR_1_2920154 MVLLTHDQYTIAWICALPLEMAAACAMLTKAHTPLSKASTDPNA YELGELNGYFIVIACLPAGVYGKVSAATLVSRMRSTFPRLQFGLMVGIGGGVPSNSND IRLGDVVVSKPVGKYTGVIQYDYGKAVQGGQFEPTGALNKPPQALLAHISRFEAKQMT GGEEDLSKIISEVLERNPEMKKRFSPPEQDTDVLFHSSYHHGKKGDTCETCDKEQLVK RQRRDTRAPFIHYGLIASGDQVMKDSETRDRLAQRHGILCFEMEAAGLMDDLSTLVIR GICDYCDSHKQKDWQGYAALTAAAYAKLLLSVVPACPMDVDSPKSHKGRHWVVSLARN PRFVGRQDEIAQLEELLTMQDGPKRIAITGLGGIGKTQVALEVAYRIRDRDKECSVFW VPCTSHGMIEQTFVNIAQTLGLHDVKPAEVKEQIKVCLSSERAGKWLLIFDNADNSEM WLTGNDTTPALEDFLPMSDQGHILFTTRNGELAVDLTGSNIISVPDVDKETASSILEN LLLQKHLLEDHITTVILLEQLAFLPLAIAQASAYINKKRLTLSAYLTLLQEEEDDAVE LLSEDFRDPGRYKDIQNPVITTWLISFKQIQHQDQLAADYLSFMACINPRNIPHSLLP PQSSSKRTLDALGLLNAYSFTTSQGPDISMHRLVHIATRNWLRKNGLFSHWVRRVADR IDKAFPNDHYTNRALWREYLPHGLALVHDSEFIVQRGRYINLVGKIADCLTSDARYHE AEALYKTLIRINQNRDGLEHTTTLVSIAKLASTYRSQGRWHEAEQLDIQVLETCEIEL GPIHPYTLASLGNLASTYWEQGRSNEAENLEVQLIKTFKRFFGVEHPNTLVSMSNLAS TYRSKGQWNEAERLDIEVLETMKTVLGTEHPSTLTSMNNLASTYWNQGRWNEAEELWV QVVEKRKAVLGVEHHDTLTGIGNLAATYWEQGRGHEAEKLEVQVMETMKIVLGAEHPD TLTSMANLAHTWEALGNLQDALDLIGKCSELSREVLGPDHPAARSTFRSLDNWINKYG LYPNCTAPAAPTEIQRSQYL AOR_1_2922154 MYNQPIYAETTPEILYQFIREHPLGILTTAIPSTHYPLLQSTHI PWILDPPNQIHGSPKARLRAHIARQNPQSKAIIDSLKDSNSTSGSQLSQEVMILFTSP HHHYVTPKFYTETKPVTGKVAPTWNYSTVQVYGTATVYFDPDVKETGEFLDKQLRDLS DHCESDIMGFTGEEGREEPWRVDEAPEGYLRVLKRNIVGLSVEVERIEGKVKMSQERK RGDREGVIEGLGRLGTDTAREVARLVRVRGDMRDGHGVGG AOR_1_2502154 MTELTARGGNDSVQPPLSQAVGYVIVVVIGLIIAFVMMLITKVL KKTTGEDNKKTEMFMTANRTVRTGLTASAVISSWLWTTAMLGSSFVGYDYGVAGPFWF AAGCSPMIVFFALIGISCKRKIPEAHTSLEVVRIRYGRTAHIVFMTLCLVNNIFACAN MLLGAAAVISAVTGMHIIAATFLLPVGVTVYTFVGGIKATFLTDYFHTAIILIIACYF SIKAFTVDEVGSIGRLYDLVQAAAQRHPVSGNHEGTYLTMTSKGAMLFGILHICSNFG LVIMDTSYFIKAFSAAPSSVVPGYTIGGIAYFAIPWALGTIMSSVAIGLENQPSFPTY PRRMTTSEVSGGLVLPYAAMTIAGKGGAAAILLITFMAVTSTLSAQVIAVSSILSFDV YREYFNRNASDRDIIRSSHFGVIFFAAFSAGFSTMLHYVGIDLGWTLYMLGVVTCPGI FPMAFTILWRRQSTAAAILSPVLGMTTGIGVWLGTAQHFYGSVSVSATGQILPCVYGT VASAFSPILYSVVITLVRPQNYDWNDFKKEKLALERLESDLTTVHSHDKTNRQEKRLE EGARHTSAFYPQELKRWGRIAAFWSIATFLGHWVIWPLPMYGSKYVFGKGFFSAWVVV AIIWLWVTMLVAIFYPIFDGGIQQITQVYRGLCVGNGRKEAVGNESSSSPSISDTAEE VKREDRT AOR_1_2504154 MTVTNDSSPPNGPRKSLILNAFVEMCSGHQSPGLWRHPEDESHR FNDIDHWIELAKLLESAKFHGIFIADVLGGYDVYKGPRNLEPAIISGAQWPVNEPLAV VPAMAAATKNIGFGVTVTTTYEQPYHLARRLSTIDHLTKGRIGWNIVTGYLDSAARNL GHTQQPQHDDRYAIAEEYIKVTYKLWESSWRSDAVVLDRERGIYTDPSRVREINHVGK YFSVPGPHICQPSPQRTPVILQAGTSKSGKAFAAQHAEAIFVAGHSPVVVAKNVAEIR ELAKTQFGRDPQSIKFLALICPVLGHTEEEAQEKFKYYRSLGSIDGALALFGGWTGIN LDTYGDDEELRHVESNAIRSAVEGWSKATPGVDKWTKSTVGQHITVGGLGATPVGTSE QVADEMERWVREADVDGFNLAYAVKPGSFKDIIELLIPELRRRGLFWDDYAVNHGTYR ENLYGKPGQSGPPDDHPAAKYRWNAGVDAEEHKIPDN AOR_1_2924154 MAWFPAATDWNDFYLPPGIQQELEDLETIFRNVPQPPNQNHPLS SNSQSDAPMVDATTLDPHSLIAPPTNHNTGYDNQLVMNLDSPFFSNTYQPQGMTPVFP TEQPLEESVKPKPSLKAQNPRSQRKSPQSQSNSTPPLRCGWKDCKYEGTFGRKAELMR HIDVLHVSPRSYDCPVRGCRKVCNRQDNLLEHIRRVH AOR_1_2508154 MDTPKPTVLVISGAWHTPKSYTKLANALKKEGYEVHVPRLPSMN GANPPNADLTTDTDLIHSYVESLASAGRTIVVIMHSYGGQVGTNALHNLGRNERKKQG LVGGISHLIYMCASLFTEGACILDIAREFGTADQIANASDILGMFPKEELKKLMAGPG VDDADAEELVSSLTRWNGDAMFQPLERYAWREIPSTYIHTTNDLAVSLEFQRVMVEKA RAEGGVFQTVELEAGHGVHLSMTAEVVKIVDGVVARGV AOR_1_2510154 MQSPIATERLLATTDHLIRPDQNLSDRQQPWIHPRLIQSVDPIK GRQLRVSQPVKKGELLLVDLPYALIPVVDHPEQSDDVRCSNPACHRRVARTVERVSCP NRCSAEVVWCNSSCRDADNLRHEFECTWLKKYATSIRSKWGEYDFGMLWLIVRILASR HVEFRNTPSSDKTSGSKTGSDSKPSSFETGWNAIRSFCGSQDSWTHSQVRHWTMLVKK YLRNSQSLPHGLTSSEVLVLICQEEANSFGLYPRETGAFPLPELAVDRGEQFAAGVYP TAALANHSCSPNIIHKPDDQSRMVFVASKDIATGEECCISYFDLSKKVELKDRRDHLQ GSFRFVCKCDRCVSEEPPEEECPEEELQWDEFPSMDAF AOR_1_2512154 MTNYQIDTPAKVTGPVFIGVLWGATGLSFLFVLARTLARIYAFR HLWLDDAFAIGAWLMLLVQAITWMTQVDSMYLLFQLDAGKLMPTPEILKRLAQLERAE CAILILFYTSLWAIKASFLIFFRRIGGTDRSWLIWFWCVVGFVAATYFVCLGDIQYPC LLRDQAYILENCPKKEAVLFQYNTFIINLVLDVLTDLTIISLPITLLWNVRLPLRRKM ILISILSLAVLIIIVAIVRVAVVATKEKNADMSWLWMWSFIEATVANIIACVGSFRQL FVKQDKNRASAYPVRFHTEYPSLDAKPGFQRVRTRSEGSSDLHLNSYDSHRELAPVV AOR_1_2514154 MMDAEKSAVVETSSVSAKSPDSYLSGWQLAAVIFSLFLGVFVVS LDTSIIGVVIPSISSHFHALDDAAWYGSAYLLTITAFQPLMGSMYKFFKVELVFQICL VVFEVGSILCAAATNSAMFIVGRAIAGTGAAGILQGALSIIAITVVLEKRPLYQGIVI SVFVISVCVGPVLGGAFTTHGIWHDALRVTLTSTSNVPIGGVTLILLLFVLRIRNAAN DNRSLPLKVKLQHMDPIGCVVFIGAVCCLLLALQWGGQTKPWHSATIIGLFVGFGLLS ILFVGIQVWRKERALIPLRVLRQRSIWTSGGVLFCLGSATYAVTYYMPYYFQAVQGAD AVHSGVDLIAVFIPQMLAVIVTSALVTQWGYYVPYMIVGELICIAGTVLLTRLKVESS TVYWAAALVVHGLGMGLAMQLPYTAVNVVLHEDDVPTGNAIAVFVWQLGGALSIAISQ TISLNNILREVPRQIPELSPERVMSWGASNLEVLAPTADALIELRSIWCTSVSHVMIF ALACICASVLFTAGMEWLNAKKVANQRREAGGGGGQTGVGLV AOR_1_2516154 MLQDLIATLASGAQMYWASSGVWMRVVLTVSAIWIFWRIWRFTI LPLFRPNEPVELPYWIPYFGHAGAFFKDSHQLIRTALKRFGTLEPFSMIIAGDRYYVI TSPEDTRPFFADVKAMTTDGFLDRALLAFGCAPERLHTLWQRNTPTKVNPKGKNLIHL TQDLFKHDLVPGPTLNVLLERYQGALDELLSWDRLVGAYPSLVSTQTEAISLYDICAD FIANANQIVLFDRALLAIDPDMAVEMRTFTDELWKLVHRSRLVDTTEVTRILRQYSSA FKSYLQLPPEARPNETPVIRTLLETYAELGIHEDDRAAMLVMICWAGDANAYKAAYWV LAYILYDPQLREIIRQETAPAVGPDGKLDWPYLAKRCPRLSSIYHEVLRLTKRDVIVR QVVRDTALAGKRLRKDSIAVIPTCQLHDNPDTYGADAASFNPDRFLKQPGLAQTTFFP YGGGRHYCPGRYFVEMEIYGLVALMLNRYEMDLAWAAPFPRRDESLVTLGISRPVPGD DLHVTLNWKEGNKN AOR_1_2518154 MLLIIAVALLGWTLYSVFCLVGNIRRIQKIGIPYHVIPCSPVNP LWILLEPLIFFILGLLPFEFGRIKHYGRRTWQFTDKAQSHMRMGDAWAIATPNEIFVY ICDADAITDIIARRADFVRPIELFTLLNVFGPNVATTEGADWQRHRKIVAAPFNESLN SFVWREALTQAQSMLTTRATAGPSGGLGTDTRTLALNVLAATGFKRSTRFQSAQEAQS EDPRSYAQSLKTVMLNTFLIMLIPPSVLKFPIFPSWCRRAGEAVEDFKQHMLNMFNTE KTLLDQGKPGTGTLMSSFVRESTVDPKSNKTVLTLDEILGNIYVINFAGHDTTAGSLT YVLFLLAAYPNIQEWIAEEIRTVFPNPDRDTWDYKEAFPRLKRCLAVVLETVRLYPPI LALPKSVAPQSTSLRLPESNRTIVLPKGTVVLPSLLAAQTHPKYWPDEPTTWNPRRWI ETSNPTDATTSTPEDHLAGEEIMEPRAGSYFPWSAGVQNCAGRKFAQVEIVAAMAAWF REYRVRPVREDGEDFEKAQARILESTNDSYQLLVMQMRDPDSAKFVWEQVE AOR_1_2520154 MATANSNNAYVLGVGMTQFLKPRRTREYPELGYEAGVKAMIDAQ INYDDVQTGIACYCYGDSTSGQRIFYQFGMKGIPIYNTNNACATGSTGLHLARTMVKG GTADCVLVVGFEQMRPGSIKSVWDDRPSAHGPSTRLMEEVYGKDPAPRNAQYFGNAGR EYMTKFGAKAEDFAEIARISHEHSQRNPYAQFRTSYTLEQIQNSGTIFAPLTKLQCSP TSDGAAAAVIVSQKFLDARPHLKSQAILIAGQQLMTDGPEVYSQSAIDLVGFQMSKQA AERAMAEAGVTPKDIKVCELHDCFSANELLLLDALGFSEPGKAHELVRRGDITYGGRG PVINPSGGLISKGHPLGATGVAQCAELTWQLRGWANNRLVKGTNVALQHNLGLGGAVV VTVYKRADGQSNPALSDAEVRQKSALGYNPAVEARYVRPEDGEKVRSRTKRHDHPLKE TVGTLSARI AOR_1_2522154 MARLSLLLAPLFAVLPLVSAFPAQLPARATAPALPVDDPFYIPP EGFESSAPGTILRHRTPPNPIAALGFAKVNIQAAHQILYRTSDSSGNAIATVSTILIP HNADYSKLLSYQVAEDAADPNCAPSYALQLEAAHDGILGLVIPQVELLFFGAALNKGW VVTVPDHLGPKAAFLANNLSGQAVLDNIRAALASSSFTNITSDPTIALWGYSGGSLAS GFAAELHPTYAPELNIVGAALGGTVPKIRPVIDAVNKGLFVGLVPSGIQGLANEYPDI QQLINDGLKPSKRADFNKTQNPCLSGDILQYLGQDIYDYTNDRNIFDQPAAVKVMDAN AMGQHVPKIPLLVYKSVGDEISPVNDTDALVETYCNAGASVEYKRDELSEHASLEITG SADGLLWIMDRMNNKPVQQGCTKSTAVTGLADPKALLALGDEILTLLKAILAGPIGPG VVG AOR_1_2524154 MAESARPATPDRQNSARLDRDNRIRILTLRDAGFTYQKIVDQLP GITYRQVQYTCQVQTYSPKKARGKTPKLSAAQVDDIIAFISSSERTKRLPYKKVIEEL ELKVSTVALARALQKRGISRGVVKS AOR_1_2526154 MPTDKKRAARACDECRRLKEKCEGGIPCSRCSHFRRSCEFKNRV SRVREFRAYVPRSPAVRADVRELAERSTYMERILRHTMQGISLDTDTLSQMANALASN QEHPREPPLEPEEVDGLPIDDEACTIDPVEDTTTHYSGEFSYWNFSMRIKHQIEHQTR RSLAQHTRNADQRVFEYWRAQQLRSGQSHLSAAISSCPPRQIARFLANTFFKYAETHY FFVQKRWFFENLNVLYSDPGSFGRKGAAVISILLTVFAVGTQYAYLDSPSHNTTSDGD FSEDDIGANFYQNAVRLLPEIIESSCLESVQACLLFGFYSLPIDASGLGYIYINLAVR LAMQNGMHRKCKSDVFNPDMIETRNRVWWTAYSLERKVSIFHGRPLSVLRSDVDTDVP EYREGMHIEHPPWNIARAVTSVQLIHFLEDFFHELSLLRHCEKKAVPNILARLWDKKR AMADWWDSMPQDVLGGSSQPQNLSRAAVHLRLEYCLVNMFIGRPFLLRDRTTQSPRSS PAGPEFTSTGGENGEGSSPKQTSSTQSLVKDCTEAATEVIRLCQILQNNGPGLARASY IEYSSCRASLLVLIAYSIQNRSAEYHKTLQDGLDMIREMAASGDSARSEVALIEALER ALARLHSEAQPTQPSDFPSETIPTMSDYEAFKQWGSSWRSGGALNMCDNVAVPETTAV VSAGSALPPVNSDPNSVGYMEPLNLTASSNESRRDMQMDALNAWDPVNELSIFGAGNL ALSSAWPTQTETQVLEQFLAVPEAGFVPRLEADRHGGFAQMFPYRASQDARTSPR AOR_1_2528154 MTPKKHVVFDVVGTCVSFDAFYNCIDRVIGDKLRAQCITPRFFG FSWMTAAELEFTFLSISERYKPYKEVITALFYRTLHMAGIEDPRLFATEAERDQCVQG YSELELRPGTRECFAKLREAGFTVWCLTTGDTKRVRGYFERAGVDMPLENFISCDSQG VAKPTLAAYRPAMGKFAEEDVKWFAAAHMWDVSAAVKVGFRGAYCTLYEKESCAEIFD TQLEVLEDSLPEMADKIIAVSG AOR_1_2926154 MPPHTAEYPSFDEAGPTSIAEKKGFHDNVGSYDVENTAGQLEEV HDFKQGLHQRHIQMIALAGTIGTGLFLGSGRAIATAGPLGAFLGYSIIGLTVSSVVFG VGEMGALAPLTGGAIRYLELFCDPALSFAIGWNHVYSYVVSIPSEIVAAAVIVQFWVT INNAIWITVFGVVMLITALLFVRIYGELEFGFSMLKIMLVIGINIMALVITCGGGPDH KAIGFSYWKNPGPFVQYLGVEGSLGRFMGFWTTFDNALYAYSGIDNITVAAAETRNPR HAIPQAARRIFVRIFLFYILTIFMVGLVVPSNDPNLLGSTSTAAHSPFVIAARNAGIS AVPSIINAVVLTSAWSSGNSNMLGGSRILYGMACNGHAPKFFKRMNRFGVPYISVALY GLFVALGYMTLSDSASTVFTWLQDIVAISTLVNWVCICIVYLRFYYGCKKQGIDRHKE LPWAAPFQPWSTWFSLILLVILFFTGGYKTFMHGHWDTETFISSYLNGPLILVIYLGY KFVKKTRIIPLEEIPIRPFIENYQNNPEPEPKPKKGWRRLNILWS AOR_1_2532154 MQLPASNGTVAASTAETPPTGCFPVPNPGECFWQTQPHPKSNHR STEQLPEHSDIVIIGAGYAGISTAYHIVKDHKDFNKSITILEARGVCSGATGRNGGHL RPDFYGHIPTYIDRAGARAGAEIAEFEIAHLPALKKVIEEEKIDCDFTLTRTIDVWCN GEAAAKAKATFDSVVAQKFEYMNDAIFYTGKEVEGICGVKGAVACASYTAGTVWPYKF IMHLTESLLATGKVNLQAYTPATSIAPDSNGGYVIETPRGKMHADNVIHANNAYVAGL LPEYEKNIIPCKGICCRITVPEGTTAPLLNNSYINRTEDNTLSYLIPRADGSIIVGGA AAKFRPFREQWYNNVNDSVLIDSAKDYYTDYMQRTYRGWENSGAKVDKIWTGVMGYSY DSNPHVGEVPAKDGQFIIAGFNGHGMPVIWLAAKELAKMVAQGTSFEETTMPRLFKTT QLRIDRAKNGSEEDGDILGTGNFPATKQ AOR_1_2534154 MRFLSIFAAIVALTPAVMAQGQNPKNCLEECHPYSAGSNQYCDA EHQALYREGPGCFKCCESV AOR_1_2536154 MTTDRTFDEENLSSEKEAVMHLEHQPRGLSSDDEEFLANFSDEA KARVLRKVDWRLIPMLVLLYLIAYIDKTNIGNAKIEGLLPALGMNGNQYNIALSVFFI PYVLAGFVQNFGSLVGIRFLLGLFEAGFLPGAVLIISKWYLPNETQTRIAILYTSAAS GGAFSGLLAFVIAKMDGIAGYEGWRWIFIIEGLATICLSVLTFFLLLDSPQLSSGWLT SDEVRFLEVRQIANSTQGAHKDGVAWSALISVLTDWKIYLLILANWSNAVPNYALKFT MPQIIQSMGFTSARAQLLTIPPYAVGAFSAYIFSVFADRYTWRMPFIIIPQLLQVVAF SILFTKAADIRDNIALCYFGVCLACFGMYPILPGVNAWNVSNLPNPTKRAIGIGYLVC MGNAGGIIGSFIYQEKEAPRYPTGYGNSFAFASAGLVACLVLEFCLFRLNKQKAQLSE AEIRDRYTDEELNEMGEKSPLFKYTL AOR_1_2538154 MCSIQAPEVNIDERATSSSVNVGDGAPSPELVIEGQYADPTSGL TSFHQAWRKISMQNRDIASPRSSEAEMNQPLVSAGDRPFYQDAHSSDPFPDPPTARRL LCFYFESCVVTYRIFHRQTVEGWLDAVLDNRRTNRPITHSIGNAKYSIILTILAIARF RTFKIERKFPNNDEASALTETDPLFCAAMDLTETEKGYPRLESAQARLIQVLYLLQTS RVNRAWYVFGNVYQIVSSLGLHRRRSRKQNIASNGLSNYIKAQCAKRVFWVTYTIDKY LSVVMGRPQLLHDDDIDQDFPDSVNDEDIGPSGLLSVEDPEDCHVDALIFHAKIAHII GRISRQVYSCKARNDQQLGNESSYVKLFDLAEKCRAHLAWTGSPSSPGRRYAAILEEL RLEAQHSGQGMVEGVTQPNSQLNVFDSSGLAEASFECIASIDRPVNTVPSMLDAWQAV DWLDLDASAFYSALDDLGISPTW AOR_1_2928154 MTSGTPNHATSPTSNVTPIPSSRKHPLEDAYDPGSENRRKDPKE ALDMYLCQQILTWSASYTSALIGIQGEGNDKRRSKA AOR_1_2540154 MVGFDMRGLTPAPVTPFTESGDVDYEAIQRLGSWLGSIDGVKGL VVLGHAGEGTFLTAEEQISVIKAFVQSVDNKIPIIAGITGEGTEVAALEAKRAKGAGA AAGLLYPSHGWLRFGYQDGAPQDRYRRVYEVSGLPLILFQYPDNTKATYSLKTMLDIS AQPGVFAMKNGVRNMRRWDTEIPVIRRERPELQILSCHDEYLLHTSFDVDGFLVGYGN IAPEPLIELIKAGKAKDYKKAREIHDQLLPVTKSVYHRGSHMEGTVALKHALVARGIL KHATVRSPLLPLEPGAEQEIHAAISAASLARVA AOR_1_2930154 MTSVLRGLRLGREEPSTHQHSWKISPTSLAPASSREEEVQIPDP AIFRGISIPPSHTPSALDASDIDKFDFLYPSTNHLAMHLCLIECFYKLRNYVMNSREL DTVIGPLVSAENTQSSKTRLTAADADMVRTSQIRKWELFVKAAVGRFDIWWQNVDKAI RHAKAYTTPAVAQLETSTLSKDYLPPLDVLLVWYSYILHPSYSSDCERFGKQSAFMLC FPWHALYEVIDRKTLVYNLPTAAANMFKTMSSQSEDLITCLASPPPYTASMGAQYSVD LEHAVAMQDSFVNDTHELLWLRSPACSGSLTRCMERYKRFQLLVHLYPDRRASLRPTW DIELALRTHQLYADNFHTFASQLVGGHLNYAKAPRALETEKPMANTMTDTNTAELWEK QFREPYTSCPCWACECIKDAIGASGMELSTKCLSPKQIKEIQKALQFYASVESARESG QRLPAAPRQDKKKDEKWELDWGHGWREVAVKGKFDEHGNVVKRIVREKPYTSQINWML GV AOR_1_2932154 MDEVIYTLDPEGDIVLVLDNVSENLPGNLSDIDDSTLAGFPSMH PEPLPESPEDIINPGEEPPPEPPEDVGSLEDENRTVEVPYREDTDQSLDPLQDHQHVE KCLQIRASSKHLTLACPQFKRTLQHGFQEGNELKSKGYLRIPVQDWPPLPFLILTMII HGRTRTVPRKVSLERLAEIAIIMDYYECYEAVEVFSDMWINALAERPLESVSDAEKWL FISWVFQQDTIFERSSKYLQLRYTTTMATIPFPIPSSVRDAIDMSREKAIQRAIECMH DLLSRLQSSTVQCSYEYTLPKAKI AOR_1_2934154 MTGDTIPKLVVLIDADNARSSVVDPLLSEIAKYGTAHAKRAYGD WTRTNLQGWKDQLLKQSIQPIQQFAYTHGKNATDSAMIIDAMDLLYSSRYDGFCLVSS DSDFTRLAARIRESGLIVYGFGENHTPKPFVAACSKFIYTENLVHLDRLVPHANSVVV PENHSSAQAHKDDHLASMLRTAVEGASNDDGWARLCRVGQMLTKRYPDFDSRTYGYHK LSDLITASSLFETSRRSFRKGSPPDIIVRDIRRKPKSPAK AOR_1_2546154 MLLCKMNKLSGRILLPWKPIRVFRPNPHCHKFLSSFSPAQACNS SQDKGTVESQKGNCGSATASITANKQSLSAWQLSFWKCRHTWKRAGINTLRCLVGCTL GDFSALWMLQTFYPGLGMGTIMAASMASGITTSIILETVLLRRGADQLSWPVALRTAM GMSMVSMVAMEAAENTVDYHLTGGVVALDDPSFWMAAAVSMTAGYLAPLPYNYLRLKK YGKACH AOR_1_2548154 MKTLTTLTISLVAITTTAVAKEVGYFQSSDCVDSSGFESCYEDA DQRFANCVSNNCAGGSKGCVESCGGNPECVQSKCPNLGIDCINVCDCVKNIEYIQCAA TSCWNQVYSCEYQNTAYDLLDSCVNTDIESVPFFPPPDNAPGGCSCNLGKVAKVQRVA ENAMQKCYDDMKPDWPYEQTMVYGSTCGCCGQSSYISSIWDICPNTIPALLGADVVYK ALLENTDWDKCGTYLDNDTCIADLGFSNLTRFHGPGQLPANGTETLYNTGGVISTPVS GNTFTWTIHDIPHPVTAAATNKAVPTKSESGGKSATATAESGGRHEFRLSLWTLVSTI GAGYLLVR AOR_1_2550154 MSSNQSSKKHPQWMKPLTNPDKKSDPSFGGAALGAALYSANHER KKAWGEYVANGGVGRAQQHRQDMQSPLVQASGKEAWNADPASGTTK AOR_1_2552154 MSTAIPPQDPLRGKTTLMGSPADTAPPGRGKNDEVYSSALDAVS IQTSRPSTAVPADVLATSLAQAKHDLEEERQQHQQTKMDLRQKEQEARELRKSWLETA NELNRYLRQGHGYNQMTDDDLLQHVAQLRFTITGFAAQHFSHPQLRDRKMTAEYDFFQ QYLGISKTDFEAYIHSVTLRSSLVRALLWAFLLRKVFDQFRWATLEAGAHPLNDQAKD VVPDARRRCSMWRANTSNLVLDSMIFEGDKPYDDRQQFVTMNVKVLSKYLASLSLSPK DVIEARLQDLFTQSLELDQELNRQVASITWNSDVRLPCPFDPDHMAMEAAESHQTDEE LTVRLVLAPGLSRRGRASGDRFDEIVQLLKMEVSCELAVYNEPSRRSRPATRIGRLVS DMGWGNDN AOR_1_2554154 MPSWKTSSIAESESGFLRKTSEVRNERWGMKALVNKIKKKKKYD LDDFLVIGIDFGTTYSGAAWATVDDFERDEINLITSWPNHGREEGKAPTELFYEDGKV MWGYDIPSDADPVRWFKLLLLREEDMAEELRQSEFILRGRKMIRETGKSAIDLIADYL RALWQHTLDTIHKARSKSVIAALTFQVVITVPAIWKDYARQGMEEAARRAGILQDRPA GPTVLSFAPEPEAAALATLCERERDIESGDVYVICDAGGGTVDMLMEDAPRQDLITYR VGDLDPIEIHEAVIGTGGLCGGIFIDEAFEAICRDRLGRQWNKLSQTGIKHILKEQWE YGIKAKFKPKNTGEEFPVAIPAEAFQGSDLNDHSRKPFITNGRIHFSSSDIQKAFTPI FADIEGLLNEQIAKSRSKSLPVKNIILVGGLGSSPYLYEHLSERYERDGIDIIQSTGI RPRTAICRGAIVKGFLDGPSAAMTGTPVLSVVSTIARQSLGVAYSPLFDESRHLEKDR NWDGDEGVWRASNQMMWYLRKGDDVFKAEPVRHEFYRTYADKTEFTDSLTETILQCDD DKPPSRRNSSVKELCKIRINRRHVSFNSLEDYMGKNGQHLKKWVYDIEMVPSGASNEF AVIYQGKRLGSEKADIEFQ AOR_1_2556154 MSNSSSNHFTCPSGGTWYVCPDAPHFVGCCSSDPCTNVDANSTT PCPNLHPASFDTSIFDEILPNLCIGSANAHWYTCNTTDPPFLGCCSSPACSKTGCPAD DLLAAAWSSSRRGQFALFQDEGTGDDDNKGSGGGGGLSGGAIAGIVVGVVAALVIVGA LVWFFMWRRNKKAAAMSAHRHTPSVVEGEYQMTYQSPASPYHGSEFSSPAGTTIGTGK DPKYMSTSSAGISLPSPGLPSEGGRPISELYSNSTGSEDMSQQKWTPGQSYGLGVQGA GKPEPIPELDSNVAEVHELDGQGGNRP AOR_1_2558154 MLCSLPRELILHVADFLPDASLAALRRTQRGIARLLTPCLYNKV VHETLPIEAEDNSDSDLDDFRSISDTSEAEMPSLHWTECVPRWHSEVIIEPPASRCSG GNIDVVQILISKGVDIDRCHNGYSPLASAVQSSQEEMALWLIGQGANVTEWRMGTGLI ILSIAAGFCSANVVRRVVDIIRDRVGNIGDIFSPADNVLILHRAISKGDAESVRILLA NGADPSGWDIHGVSALTLATASGNEEIVTMLLDLGANPLPHDAVERSAVGCAASSTKL SWKTVERIFHAYRNAGGDINCTYVTIPEFVGGAPRPLQTLPLHQFAAAGSVPGIELLL RYGAKVSTKGRNGMTALHAALFRYRYNRDTYQNIDEICQVLVKAAIRLGEDSNNQLTS SSSFMPMRGTTALHLAVVCELEEIVRLLLDQGADVKIVDEEGKTALDRAKGLGNQPII DLLTAKDV AOR_1_2560154 MRFTLSSLALLVAATAVAADNVVTLAVPGSNDSEDNGMSVLSSL RFKIAGINGATTSLLPNCTASATATTTASTSTATDGFSNGLVNCEMTIVSGPKTFYVA YEGETVERYDMGDKSATGYVTWTTTNGTSTMESVESSVVPVTSAAFTITGTAASVTPL ASASASAKASVSGSANATSTSASASASHTENAATGLPTGHSLFAAGGAAMALALAIA AOR_1_2562154 MSHNGRPLSKKDAYLPDDPRLDIVSGIDLMQSVSSVTDQLKAKV HDVESVEVVFFCAYIEAHDFESRREVNTRLLRTAIEAISGIAPNLESVILQTGGKGYG LEFSNELKISPPLHESMPRIPEPWRSKVFYYEQYDTLSELSKGKKWSFSEIRPDGIIG FVPGTNVMNLAQVHGQAAEVPFPGMLHGYRSTHSDTFHDILSKMEIYAALNRDKCPNG SAYNVANGDVVSWEQVWPGICSHFGLVGTGPQGDQKKIEDFVRENRGAWTGLVEKHGL RKGSLEAQNWPFIHFMLVEFDFDREYTLDAARSIGFTERIDTVQGYRVAFDRMAAARI IPSSF AOR_1_2564154 MTLSSMFVAITLNLLSTLCQKTIGRLIPINIDRFPMFHQRRVMD DPQDGEDPQEWVDTLDWDIPYITNPSLSATDRTVFHNQGCTVFGYPSTGGVLIKEADL VDMLFLSLPRSHASQCSPSADEEDRFCNLMRRTGATLWPNKQNWRQVETGFRKRTKEQ AKVMVYGWPTDGGVWVLRFESSEELPHDFGRIGFAMNMGEKIQIMREYGATFVEDITQ VEELNTN AOR_1_2566154 MTLRNPILATFVAVSTLLSVCHAQAPEAVVYTDSATGITFDTWN VPSSSKAGGLTFGVALPSDALKSDATDFIGYLRCIATDKSAAEGWCGITLGGSMTDAL LFVAYPDGDAVRTSLRFTSEYAMPGVYSGNATVKPISATANSTGFLLIFHCQDCLHWS QGETTGSASTSSGLLDLGYAQSVKAPSNPSCAAELKLARHDIQGTWTAMLDDHAASDS YDKWRALAKDAVPEKCSA AOR_1_2568154 MPRAAKKASARAPLDAADLVSQPQPPTSTSHIPDVKPGDENPSP VVLSPDKSTQSMEVEEIDDEDPPLDILKWNCTQVRRKIKNFIESKEMKIGEFQEAIGV SSRSYNTFLKMTGEKGSESNTYFHAHRFFLKRELQGIKEPKKKPASKQAKLDTEKKYD VSGIHLPGEEEGKVQVYDTCDEVRKKIYAHLRDPNVTKAGFLREIVKSYTPEQAVKFQ GNSLTRFLDMSGANAGNTNAVFYPAYVFFEKLRICDGQPKNKFREEMEKIWRSHGGFG IETPHHKGYWCHASEFVYVDKYGQTGFGKRR AOR_1_2570154 MATHTGARRAGRSPLIFFAMLSYLMMIPGVLAMNFVSRAEWKAR APKEAYKPMTDAKGVKVHYLGPQFSGKQHSECDDFMRSVQNQHMDESPEDYFDIAYNL AVCEHGYVFDGQGKGHRSGANGDVQLNSDHYAVLAFLGKSGVTEPTKEQIIGLQDSIA YLRRAGAGDEIKGHRDGYNTECPGGPLYKLVTDGSLDPGKLWDGGSHTVQKGEDLDTI SAKYNVPKQYIITANDLQSPYRLTVNQTIEVPARGVPLTESGN AOR_1_2936154 MHETRWHPALAFVAGVQAMTLFTPRATSPSSIDPNLPFPMPTEP TNYGFAHGYKTWYGPASDCGYWGDRGTYGCDDNFACRFHSSNADYPGMMGCCPQGPAG PCNGFFSTCYGHHEITKTPSLLSSTDDFFAMFCTKDDWPYCLPWTWPEIGVSAFECTN ITLRKTATVHTLSTYTNAAWFGRTAVSAVSISWIKDREMITRLNFKPTRTATSHSSES TATKMSESSSGPSPTLVGAVVGSVVGGLVALSVTVLILWVWRKRKLSKGHILLNQESS LRGVSNRSDSGEQPLALAEPKPSELDGNSVPSSPQADPDSRGIDEYGHLRCSI AOR_1_2574154 MSQSNTNTGLYADEHAIFRVRRDLQFPVPEEGELLIETQFSGAN PADVKHATFLGIYPAVLGYDFCGKVLQAPPQSSFSPGDTVAGYTPTGLNRPAGYGTHQ QYLVCPEDMAFHVPANLPPHHAACLSVVTMTAADTLYSIFKFPLPGTKDTEKTGKPVL IWGASSSVGLCAVQLARASGIYPIFVTASPQRHPLLLELGATQCFDYRSPDVVSQIKS ALEQGQWSSIDYAFDTVGSYGGTGSAQLMAECVSEEACLVSVVVQRDPKFKMPIATPN RDCTIRVHGAPRPITIPARPSDYVRAWQALQWAVTNYGAGFQFPSVDVVSGTAENALE QVQALGDGARGFGKLALEHPLL AOR_1_2576154 MSVEEKLKAQFPDTVYTALAPPDRHPGFNYKGFHPGRVTRLPRG HVKEPGYQAFPVDVTWEEDQAIPMRDGIKLYADIFRPADESERVPAIVPWSPYGKVGT STLNYDNMGPWRIGIPYQNLSGYETFEGPNPAEWCSRGYAVIDVDARGSGHSEGNLMC WGEQEAVDIYDTITWISEQPWCNGSVVMAGNSWLAISQLNFASRFQHPNLKAIAPWEG LTDLYAHQICRGGIPKPAFFELILHGSTGVGKAENIGAMVNSRPLFDDYWEEKRIKPE DIKDIPMYLTASYSTGLHCEGSFRAFELAQASRKWLRVHSTQEWHDLYRPEATDDLQR FYDYYAKGIQNGWEAETPRVRLSLLGYDGSITKTIVERPEEQWPPARQHIRRYYLDAA TQSFSAVKPVNSASITHEGHSLTASSDFTLIFDKYTELCGRPFVKLYMSCNVKDDFDV VVQIRKISASGELLESLNWSPMPAPGPKVPNVNVAKHLGQQGMLRASHHVSLQPRASE DEVPVYDHRRREAITPGDIVPLLIPIWPLGVVFEAGEGLVLRISGHDMSLPEAEALRL TAPGDENEGQHTVYTGGEYESYLVIPVIEG AOR_1_2578154 MAKRSLSPDPASAERRFKSISTEKRPFRIINLSEGDLVHQTCVA VHGECPELDDAADTVFVSVSSSHMFNQEPQTVNHWPLHKGQWKALVMLAPGTNTLIFK LHHARRFLASLQINVNYIPLLQLPPLHLAVLVAKDSPLLIDCPPAKYGGISTAHSTID AAISKLRMSAYMWQALTAEDFRQKGLGRRSFRLEEEWSANTTVQAGHQATTGTKSSMG SVAKVHIIRSDRNVAELRDADVAQQNPRGRDRDALHRYFEAALAKSGPPFESSCRPVV AGLILDAHYSNEQSMIMGHAALGCHKPDGISLGIFGSHLTYSWPRFLEEVPVCLTDMT PTGDTVGNDNGECDTMRGACFVGQGAFLHEVGHAFGAGHTTGIMARGYSKAWAMNFVA HETNGTAENDAKWDLQDALKFKSLPHFALPGDKLVSNDFRLAHVRIEVDFGLDNPDIM SMEGEHPEGLKVSCTAGLAQVSIENGVKPPIIHDFINAVTRKGACTRLSIDDVCAKFD QTQPLKVTALGMNGKVSVVKDFWAMLKERPYIIIPGSNVTLRKQSVRSEDLDSNDHDQ EFIKWAMLLHRRGRDGQLHRATSIDLRVGCTMDGAIVYYADGQQANCGPGHPHRFGGH ASQRHDIPVEETITKVRVCKDDHGWRSLAGICMTLSNGDEWGHLNHNDLDHDSDSDND NGNGEGGKSVVTLEPAEDEVIVGFYGQSHPMSGYTFEFGILTTPRGVDLPGNVYDLPE FRNN AOR_1_2580154 MQLPSTKPTLHYHDIGSLGRGEVIRLFLKDAGVEFKDVRYPYDD TWPAASAVLKEKGLSVTGHVPVLEYEGKILTQHLAILRYLARELGEYDGNTSLEKYFL DAVADVYNDWRIQWVNNLGNVTDKFRNEVVPNYYNVLARFYAQQEGPYLLGERITYTD FLVYQSIDNDSQTGTLPASLPEPLVKFKAAFEARPTVAPYLESRRVKK AOR_1_2582154 MPKDDAPELLLVFLPLPEPKEAIDSIRQQFPTIEVAFVEIPHGT LEITDDVIPSDLLQRATIMTTAFGVIPHPDQTPQLKYLHSFSAGVDHLVNHPIFRDTN IRMSTSSGIHGPPIAEWVVMNWLVYSRMYTKILEAKNQQRWLTFKEVRQWEVDDHVGQ TVGILGYGSIGRQVARAASGLGMRVLSGALGGAALDVTDPEPLPVGHPLWEQPNVHIG SHMSAFGKRYWERSLEILRLNLTRIHEGKELINEYHR AOR_1_2584154 MFSQEGTITTPNGLHTRAAAQFVKEANIFTSNVTVSDGRKTVNG KKLFPLQTLALSQGNTLTITAEGEDEQNAVEHLCKLLAELD AOR_1_2938154 MPLTSQPGASYLEILNEPDWTRTHSHRVGTRDRDARYIGLTHGG DEIPYDLEEVAEEKLNELRQKVERGELVTVRDIMTKQIDFHLRRPDVHPKFWRYVLHT TESFIKQEQPWPVNVAKRGGEEKRRAKEEIEDPGRGKKGDSEEQSKGQEEDAQKDVKP KMSFEEAALLKYLQHEQKYRSSMRQNDGRGRSPFHDEVLPEQIDEADQFSPDSWVPRS KSLKRLTGKHPMNAEADLTTLFDAGLITPSPIHYVRNHGAVPHLLWENHKLDVVVDRP LTFGMDELISRFNSINIPIFLACDGSRRKELNMIKRTRGCLLRDVLLEAGAAELMERE SEKCFWVHYKGADELGEGKYATCVPLSYVLDPTNDVLLAYEMNDHPIPPDHGYPLRLM LPGWVGARSIKWLTKVWVTEFENDSYYHIYDNRQLPSFVTDSESEIAKIMYHHPSTLC TQQMLNSVIVKPSQEEKINLADMKKGKTYRIEGYAYNGGGNEIDRVEISLNGGDTWLY CVRKYPEAPIRHGKKFWTWLHWHIDLDITKLARAESIIVRAFDEHKNTQPPKPVWNLE GMMNNCWYRVRPEIYDDPANPDAYLLFRHPVDQGNGTNGWMKESTEERIEDVKRKASA PGKQFTRDEIEKHHTEGDCWIVINGNVYDATSVLSWHPGGKGAIMAHAGAVHMDTTEE FESIHDNYAHEKLKECIIGQVTEKAMAHMQKDANSKKAELSHTDGGDSKAALNEHMWT RAKLVETTRLSQDTQRYTFGLSPPATKLGLETGQHIQIGFHFKDSLVFRPYTPVRPVL DKEEDGTFDLVVKTYFPDENQPGGTMSNILDCLQEGEEVEVKGPSGAIRYQGHGCFSV DDKTYTFDNVSLILGGSGVTPGYQIIARVLEDKTDKTKLRVIDANKSEDDILLKGELE QLSKEHGNQFEIVHVLSHPGDGWGGLKGHVNEDIIKKHAFEPSDRTVALLCGPPTMIQ KAVLPVLQAWGYDEDKNLFGF AOR_1_2586154 MPTFPLTHQDTPNDEMPKTALFVVDTQAGLISIPDTAIPHSARI WEVGNKILDRARNVASTELEIVIVQHSDDAEDPNASLLPGTKEWELSLPMKVGADNER IVSKTTRDTFKSNPSLADELKSQGITTIVAFGIQSECCVLETCRGAVEAGFTVVLLQG AHSTYDNQATGLRAEQIERQVEQELCAIGVQVVPWEQYVF AOR_1_2940154 MCGIFGYINYLVDRDRQFIIDTLLNGLSRLEYRGYDSAGIAVDG DKKNEVRAFKEVGKVANLRECIAEAKPDMTKSFESHAAISQTRWATHGSPSRQNCHPH RSDPTWEFAVVHNGIITNYKELKVLLESKGFRFETETDTECIAKLAKYLYDQNPDIEF HVLAKAVIKELQGALGLLIKSVHYPHEVIAARKGSPLVIGVRTAQMKVDFVDVEYSEE GGALPAEQASQNAAAKKSSTSLLLAPPDKSLLHRSQSRAFLSDDGTPQPAEFFLSSDP AAVIEHTKKVLYLEDDDIAHVHDGQINIHRLTKDDSLSNVRTIQTIELELQDIMKGEF DHFMQKEIFEQPESIVNTMRGRLDPVNKKVTLGGLRQYISTIRRCRRIIFIACGTSYH SCMAVRGVFEELTEIPIAVELASDFLDRQAPVFRDDTCVFVSQSGETADSLMALRYCL ERGALTVGVVNVVGSSISLLTHCGVHTNAGPEIGVASTKAYTSQFVAMIMFALSLSED RASKQKRREEIIEGLGLVSEQLREILKLNEPIKDMCAKFFKDQKSLLLLGRGAQFPTA LEGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDSLFTKSLNAYQQVI TRGGRPIVICNPDDPEFSAAQTEKIVVPKTVDCLQGLLNVIPLQLISYWLAVGEGLNV DFPRNLTKSVTVE AOR_1_2942154 MPGLILDHERDSRSDVDPLGSAIRVSSNTPLQTASGMLALSAND FGGGKREKLIDTAVSIYAATRQPTQADFIPIEHISLDSKNKKAEKLDLDIPSDNVFWI KSFFILHNLQGKGIGGATMNEIERIATQEPLCAKTLMLDTVERGDQLREDFAKVTYGG VPKFTNQDWNGKVWDTKTIFMRKDLL AOR_1_2592154 MAPDIAIVGGGPCGLALAAMLEQQGIDYVVYERSAENTPPRGGC LDIHRSSGQIVLKKAGCFEEFKKYARGGYATIHCLFDHKGNKVTTFGEGRDSPEIDRA QLRQVMLSSIAKEKVRWSTYVKSSSRNENGDVILEFEDGTIASGFKLVVGADGLRSKI RHLVTQAEPKYAGILFLTLFIQPGNPYHSTLEQLAGQGPMIFCGKGKKIWIQRQGDGH YRMDFGWKGPADFPCAGEVDLSDEDSVKDLLLREEYFGCFTEVVHEIIRNSTGPFRTW PLYYFPVEHLNWQTSPGVTLVGDAAHVTTPFVGDGVNCAMRNALVLAQKIRDWGITQE AVMAYEQEMFPYAQDVITRSVAAGELFFAWDSPKGFLENMASPNRLVRDEGDY AOR_1_2944154 MDNLTPKDQPPHDSENGIPAQEKFKSSPLQWPQSKRVGHVVLVS VLTLVMNLSSAIFSPGARYFAEEYGITNPSLQSLIVSINMLGLVCGPLAVAPISELYG RLMPYHVCNLIFFGFTIGCAKSTGTVMFLAFRFLAGCAGSAPLTIGVGTIAEVIPKEQ RGVAMGMFSLGPTLEPSLGPIIGGFVAEYKGWRWTFWVLLVLVTWMRCIGVPCVFMRE TFTGIPQDSQGLASEKKKLMAKEMPAHFLRALLTPIKLLIFHPAVLLTSLSVAYAFGL SFLLFTTSPSVFQKQYGFSLGISGLCYLGMGTGMLIGVSIFSLTSDKLQYWHRRRNFH EPENRLALMAIFCPVIPVGYFWYGWSADKVTHWIVPIIDTSLIGLGTLFILVNASAAL LPLAGQPLYDKLGFGRGNSLHGFLAIVFIPIPWLLYRYGRQLHSKGKKP AOR_1_2596154 MGDCCLKGFRWNGKPAGRETILAGMSCYTVGTNSSVAILLLHDL FGWTFPNTRLLSDHLAEEVGCTVYVPDLFGGERLPPDVLLDESRWNELDLPGFLSRNT KAIRETDIFNCAKALRGEHKYSSIGAIGFCFGGWAVFRLGAKDVRLVDCISTAHPTFL EQKEISDIGVPVQIMAPEHDQQFTEELKAFSNTVIPKLGVPYDYQYFPFLTHGFATRG NPNDKDEIAGMERAKNAAVLWFRQWLHKTSTAN AOR_1_2598154 MNANGIRNVSRTQIASTARLSCEGCTKRKVKCDRLIPCTNCRNS GILCIPVERRRLPRGRSRRSVNLSSPVPLEQSNSHENMPTGFIQLLQGRGREAHPTRS YFLARVITPIEERDHTEGVTFHTPQHNDLTCMVDECTRLIPNGMMSAGRGDLNLNSCG ERQQLLYIYLTQVDPLVKILHRPSIQAHLLEGECYLHYEPWHPAPAALASAIYYAASC TVNQDTCLSCFGMDKVSLISKYQKESTAALERADYLLTDDLTVLQAFVISLIAMRCHD RTRRFWTMLALALRIAQALSLHDPSPPFPVKPFEKEMRRRLWHAIGLLDVQASLSSAS EPMIKSTWFRFEPFRDMEDDEFSTDLEIQISPRRNVAETAMFHVLSYAQETARQLNIS NSVKSDTESVQQRQQLIISFKTRTDELFVGCQTEQNNLNCYAKELAHSIGIFLHLLAL RPVEASSSSCNSQNTNVNLLRLAVEALDSRCRVYSSARTEPWRWIAPLFFPWQALATS LAEILVCDDLHFVRSVWPLIEQSYESFTTLGIESPYHRLQESMKEKMERARSFYDSML LPFLSSGGGGSALSWGLSPLTMFQYQDPPKSSESRFTEDTPTRSTENLLPCSIGPRQI DFSTWNGDSELSEVDLTAFESQFQFEDTATFDASGVSEASYEELFLQYIQH AOR_1_2600154 MDRTTFPENGNMPRPRPVVQPFAGRIGGNQGLVVDRTDPDNADL LKKVPDAAPLMTFREGFDLRGFWDIDLWKFGFIECMGTMMMVFVTSWIAVRPASAATN VSATSSSGVFSTSTFLGPLFGGISNWLFLTLFIFSFSNVSGSHLNPTITLATFFARLI SLPRMVIYLLGQTLGGTLAGLILRSAYGSRDFTVGGCAVNTRLVPVDEAFLLEFIFCL VLIFLSFGVGLDPRQSSIYGAALSPFLVGMTLGVVSWGSSFTRAGYAGASLSPARCFG VYVATSFPGYHWIQWVGPLAASIGHGIVYFVAPPWGH AOR_1_2602154 MAVECKEAIANPEPEPELGDKSDATEKDDSSSVGEILRAAGIDT EDDDPTEAVLTLRMWVLGIGFCIVVSGLNTLYTLRNPSITISSAVVLLLAYPLGKLWE KAIPSWNVPLGAWSFNLNPGPFNKKEHILVYVMSNLSIYVRLGADVLTEQQMFFGYKA GWGFQIPMTLAGFFVGLSLAGIFRSLVVLPHELVWPGLLGTSALTSTLHESKKKDAQA IESFGYTTWKISRYAFFSLVFCISFCWYWFPDFIFPALSYFAFPCWIAPKNTVVNQLF GMKSGMGLLPLTFDWSQISYVGSPLVVPSWAIVNVFGALVFWIWIVAVACYYTNTWYS AYLPFQSSSVFDNTGSTYSASKIVNKASRYQLDVAKYEAYSPVFMPVTYALNMFGLSF ATLTSLVVWMFLEKRQEIADAMRRVQHKFVTGNLKEIFAPDNSPNAEVPMWWYLCTTL LALFLAIFSVEYWDVELRWYGALLACAVALSFFSPLALVYATANQKINIDIFCRIVAG FVFEGRVLANIWFFNLGYVTTIKGLYFCQDMKLGIYFNIPPRKLFIAQCGGIIAGTLS SVSVLNWGLGNIEGVCTTDAVNGFSCPFSRTHFNTSLIWGAIGPRRFFNNKIGYHSLL YFFIIGAVLPVIVFIARRRYPKNVILQKFHVPLFLGGLNYLPPATGTTYGSWALVGLA FGWIIRKRLYAWWYKYNFVLSAALDSSVSVAGVVIFFAIFFSGASSHFSWWGTKVYKD TCDWRGCSYLPIPESGKFA AOR_1_2604154 MPPITSSLWIRDCESDSSADSCEKPVSNFTKSGVPGIIVGVLFL IAVGVCCYFLYRNKKRDAAEAKAAHKWNQGDL AOR_1_2946154 MNVVRMQLHDLPTLASDSSTTSFNAVRSFNLKPQNQATNKRYQQ SSNMKITTTIGLLFTLLTTSTSATPFYYGWSDSVTLQLSNDWSGRHAEASVATDGRPH AIEELFDNSDLEQDGKIFATSAQLTKFHHNTICRVLQNQPRVEVTLDDENTWSFLDRG AWVDVHRGVVICFEK AOR_1_2608154 MQFKNLALAASIVATAAAAPAADSTSAPAATPSSTPATDAPHFF GVIAIHSGSGVQNAGFSAAKGSLIAGLQNKSNSCQETSFYINDGVLNIYDDTARPQEI YVDRSGMGQGKIGYTVGVEPAPKNAERKGWAIKDGHLEFDGSSLIACPGADGYSIWAS SGVANPGGNKDCIGIAAHVVGTKEPKPCWAN AOR_1_2610154 MAYDCYCAICGVSFTGMHIESPSETAIERRRRWIEKRCRALEAG QDISQIPTEENDAPVRSYDPRLVDTDNISWLYKAYCLGSNPPSGTSKTNKAFIAGPGY YADIGELVVKPGNDQYQPSSRKTFMCYDEGTEDAAGPVLPFHWSCFEILTRVLTGSTE ISRVNLNALYGVMSALTNHSSLHLSYGNDISRSQGRYWECIPGAEYCAKNPTDTPMVD ELFQNLSTDSKFKRPSLEIELRERRPTDPFGQLPLEIAQQICMFLPGDSLKALAQASL SVQMITQDNSFWKRFMQWDMPWLWEFQTLQNQKDVNYKSLYLWLNKMTTPRYGMDDLN LMGVANRRRVWGVCEQLASRYNKTTGQAPAEAMKWGRD AOR_1_2612154 MSTLQPLLLAGGRSSRMGRRKELLPLVHDIPIYMHLLRTLQLAC PQSPIVYLSLRSRDCLPDIQNDPRVTEVSPDMLSIEIDGSSTLVQVIYDRPNGDTLDT ENDMGPAGGLLAAHRFDPQATWLVVACDYPFLSVSDLHHLQQEMAGPVTCFQNADGFC EPLLGIWSPEAMHVLDQNVRKGDCGPKSVVRQCSGKTIRPRDDRCLFNMNTPADLDMV LKLKDGLDSGM AOR_1_2948154 MGLSYTEAIRLVEAEAYRKRNVFLSRAETCSIYAARGRIARHTI YSPISTPRFDTSAMDGYALSSAATKDATVEYPRTFEVKGITAAGDEPFTEVKHDGPIP PCVEIMTGAPFPKTVEQEDFDCCVRYEDVIVEERSGRRFVTVTKPAKPKQNRRLAATD FQTDNAIVKEGELIHPNQVMAMASVGITELSVLLKPRIAVFSTGSELLLAKEESTKLH RISDSNGPYLTATLEDWGAIVDFLGVIPDQTEDLEQALLRGLHGSRYDLIISSGAVSA GRYDLIPAVLERLRARTVFHKVEMKPGHPVLFSMLPRLETAPDSEVAFFGLPGNPVAS AACLRFIVVPYLNTIRLQPQEKPYKARIMTMNDKLGSHHDIPLGSGKPVTTVPMEKDV FRPGTLCGSTDQDLMVQLISDHSPGKIKPFLEANCWIRIPHGVSEIREGDSVGIYPMR AOR_1_2616154 MAASTYETRDSIQDVYGDRTPYKHEWPTRYDMRVLDTPDKWVQS ACVLCSNGCGLDIGVKDGRVVGVRGRATDRVNKGRLGPKGLHGWESIHHPDRLKHPLI RRNGKLEQASWDEAMSLIVDKAKEIRARLTNHGIGFYTSGQLFLEEYYVLAMIGKAGL NTLHMDGNTRLCTATAAASMRESFGSDGQPGSYGDIDYTECLFMVGHNVANTQTVLWS RILDRLEGAYPPKLIVVDPRRSETAKKATIHLAPKIGTNVALLNGLQHLLFKNGWVNE AFVSKHVVGLQQLEAVVEEYTPEYVMQVTGVPTTLLEEAARIIGTSSSLLSTALQGVY QSNQATAAACQINNINLLLGHIGKPGSGILQMNGQPTAQNNREAGCDGEYPGFRNFQN QKHMQEIADHWNIDLIRMPHWNQPTHIQNMLNYIENGSIEMFWVSGTNPLVSLPNLHR VRDLFTKPDLFLVVQDIFPTETTAVADVVLPAAQWAEKTGCFTNADRTMHLSQKAVEP PGEAKSDLDIFLDFGRRMGFKSKDGGPLIPYTTPEEVFDAWKKMSYGRPCDCSELTYE KLTGGSGIQWPCTKEYPNGKERLFTDGKFFTDPDYCEDFGHDLETGAPYTRAQYQAMN PAGRAILKAAHHKAPLEAPDDQFPLLLSTGRNVFHFHTRTKTGRARRLQQADPQPFVR ISEADARALYLTEGEMVVVRSRRGSVELPVRIGEINKGHVFIPFHFGYFDSKDPRARA ANELTVDEWDPVSKQPMFKSGAVRIEKCIQKEGGKESHPKEEQTAAIRSVEQKKGQGK APAANAFNSQSGVERIRRLELWLGATHQALEVLNDVYMDLIPRLVHDLEVYSGLEVMR RITLDILRKLNPVISRYHESRQYGRKVATSLKDSLFPVVEEGSDAYEALVALQALDVF LTYIEGHLTALSPASQALWDLEFVDAVKFSQHGIQRQKAWVTQHIKVKSPQTLLVPVA ALDELHSDESTLSGYLRC AOR_1_2618154 MSKPEREEIERASQETINTVADKPTESQIVFPHGWRLCFVILGL MICLYLVNMEVTIVSTSLIAITSDLNGFNKTSWIITGFLITFTGFMSIWTRVSDIIGR KKTLLAAEVTFLAFSFGCGLSPSVNTLIICRSFQGIGGAGVYPLTLLCAYETVPKSKI PLLGGLFAIAIACAALTGPLIGGVLAQNSEWRWVFYVNLPPGAVAILMLLIAMPANHG SVPSKSIAYLKPSIALFKDLDLVGAVLLLSACLLPITVLNETNLSFEWASGTAIGLLV AGGVSWMAFFAWEWVIDGCPGYHPIFPKRLLFNRAWMGMLIITFASGCPWNVIVVYLA QRFQVLERLSPVDAGIRLIPYSALATVGTAGANLACLRGRIPFVYLILFGSLLQTVGM ALFSIIPQTNSFPSAGYGYEVIAGAGIGVTIGICVLAVPYVVETRDLATATGTLNQCR FLGGAIGLAIAANIQYGNLKSELADTLSPEQLQQLLENSSTVETLPTQLQAAIGDVFA KSYTHQYQAMIAFAAVQIPASLLMLRRGGQYVVKEDETTDSDSGSDTTV AOR_1_2620154 MTAQGDSPTPTRDGTSVASVEEKPPKADTNKSTSTPEHAGGLRS YIRIFSYADTVGWVLNVLALIGAIGAGSALPLMDVLFGKMITNFNNFATGSDSPDQFR SELNKFTLYFVYLFIGKFVLVYAWTLSLSVSAVRTTKSLRIAFLTHLLRQDIGFFDRN ESGSSVVQLTTNANLVNQGISEKLGFAVQGTATFVAAFIVAFVVQWKLTLITICIAPA ILIVTSVCAGILVKQENRILHVNSIAGSLAEEVLASMKTVHAFSAFSKLTSKYDDHAK EAKRLGLTQSLNMAILYSAEFFCVYAGYGLAFWQGVRMYARGEINEPGKIITVIFAVI LAATAMTQIAPQIIQVTKAASAAQSMWEVIDRDSPIDGLSLDGQRPDKCEGNIEFSNV SFSYPTRPQIPVLHDFTLSIPANKTTALVGPSGSGKSTVTGLLERWYNTQDGIITLDG VDIRQLSIQWLRTHIRIVQQEPTLFNATIFENVAYGLAGTDYANAPKQVQIERVITAC KAAYAHDFIETLPEKYDTQVGERATMLSGGQKQRIAVARSIVSDPKVLILDEATSALD PQAEKIVQQALDNVSASRTTITIAHKLSTIRKADQIVVLSQGQIVEKGTHEELQAAGG TYHRLIKAQDLGTVDNDGPLPEKERNEGSTGITPAISRQQDHSKETQLVERLKAPSGR GRSLIRCLAILLRERRELWFEFIVTLVTCVVGGATYPILAFVFAKVLDVFQIQPTSKM VEKGDFYALMFFVLALVILVVYGVMGWVTNVIAHCVVYTYRLEMFRDYIRQDMTFYDQ PQHTTGSLVSDLSTKPNSLQELLSFNVGIIIVALVNITASSILSIAVGWKLGLAVLAG AMIPMVFCGYLRIRLEFRLDDATSHRFSESAALAGEAMSAIRTVASLAIERVILEKYT TKLAGIERKSIKSLTWTMFWLALTQSLSLLSEALSFWYGGRLLSTGEYSSTRLYIVVI GAILSGEAAASFFMFSTSFTKSQGACNYILWLRSLQPDVQDGPSDNGSGESNDTAARV ELQDVAFRYPTRPTRPVLNDINVEINPGQFVAFVGPSGHGKSSLISLLERYYNPTSGS IQLDGSDIRDMSLASYRSHLSLVQQEPVLYQGTIRENIALGLKEEATEERIYEACRQA NIFDFVSSLPDGLATSCGSRGSLFSGGQRQRIAIARALIRRPRLLLLDEATSALDTES ERIVQEALDQAKDGRTTVAIAHRLSTIKHSDRIFVLVGGRVREQGTHEELLQRRGIYY EMCLGQALDKAA AOR_1_2622154 MEEAQVFVRNDTVEVRVHNVPIPKPGAGQILIKVVATGTNPKDW KFPAWMENFNGANTGDDIAGYVHEVGEGVSGFQVGDRVAAYHDYTAPHGSYAEYAIGE DYATFHIPDNISFEQAATVPLAAMTASLALFSRLGLPEPWFKEKTWSQKPEGGVLIYG AASAVGTFAIKLLQKADIHPIICVAGRGKDFVRSHLDKSKGDVVIDYREGESAVVAAI RKASKQLRYALDAVSEKASFSVVSQVLDPDCGAMSVVSPVGPEECPEKIRVEFTDVGR AHRDEKEFAYVWSRFFTLGLREGWLTTHPLELVPGGLQGVQIALTNLKEGKASALKYV LRIKDN AOR_1_2624154 MSSSNAVLANATIREYRDLIRNQDDEFIKQMPKVEMHVHIEGTM TPELRWPLAVRHRIPIPNPRTGKYCRDLSELKGLYDLLDDLEQGGVEGGMLRFFELYY GGFDVLRDEEDFYLLAMNYFQRAARMNIRYCEPFFDPQGHTRRGVSLDTVMKGLQRAQ LEAAEKLNVQSQWIMCFLRDMSPESAMSHYIDALPYRDMIVGIGLDSLETDRPPLLFE DVFQRAREDGFKITCHCDVGAKDSLRHIAQVIDQLGGTGADRIDHGLHAADDPSLLAK VKEKDLGMTICPWGYLCYSGETQILERIRIIHDAGIKIAIGSDDPAYMEDVWLNNSLH MLRELCHFTDDDFVALQRYAIDICWASADVKREILAELQEYQKQAL AOR_1_2626154 MSFRSLANIISRQGPRLYYRNVSTQTPLSMKIAITGARGTVGRA VVKAASEAGHATVQVDRTDTEYDGTPNSEMKTADTANDYKATLEAFRGCDAVIHLAAI PNPLDKGDDLVHNNNVNSAFNGFRAAAELGIKKFCYASSVNAIGLEYATRPLKFDYFP IDEEALERPTDSYALAKDEAEMQGKAFARWFPGMNIACMRIHAVASRSEAQKGHAENW DSSAVKSLWGWVSAQATARACLLAVEKSEKLNGCEVFNIVAPTTTQDTPSQELAKKYY PDAEIRGDLSSNQSFFTTEKAKRILGWVHEEKE AOR_1_2628154 MRLSYAISLLPLAASVGALQVTSPKKGEDVDLSKSFTVKWDAVD TDPSSFDLYIVNNAVYPSVEQKIASDVDSSKGSYDVSGLSDLTNGKGYQINFLSNSAK NSGILAQSQQFNVEGSSESTSTASASESKTTTAATGTSTATTGTASSTKTSSTETTET ASSSTGLTTITSTASQTSTGVSTNTLSTTVSSSARASASASASANSTSSGSTPVSTGA GVSLAAPVSAAAGLLMGVLALNL AOR_1_2630154 MVSFFSRCFPKRRKGQQQQQQWKEIEEAARDLESRPSWNAPDNT LLLEAFEWHVPDDTCHWRRLQHALPGLKEIGIDNIWIPPGCKAMNSSGNGYDIYDLYD LGEFDQKGSRTTKWGSRRELEDLVEEAKSLGVGVYWDAVLNHKAGADYPERFQAVKVD PNRRNVEISKPTEIEGWVGFDFAGRGDQYSSMKYNWQHFNGVDWDESRRENAIFKIHA PGKDWAQDVGKDNGNYDYLMFANLDYSNPEVREDVLNWGTWITNELSLSGMRLDAAKH FSAGFQKEFIEHVRKTANKDLFVIGEYWSGNLKDLLGYLQQLDHSVTAVDVPLVVNLC RTSYTKGGDLRKIFKGTLVQSKPENALTFVSNHDTVPGQMLENPVAQYFKPLAYALVL LRKDGHPCVFYGDLYGTLGDKPLKRACKGKLPILTRARKLYAYGEQQDYFDQANCIGF VRYGNARHPSGMACIMSNGAAAEKRMYVGPKHANEQWTDIMQSHESVVTIDASGYGVF PVNGMSVSVWVNSAAPDRDILHQPFDDKIYE AOR_1_2632154 MVSSSSLGRFAVLVTSLVGSAVAATTAEWKSRSVYQTMTDRFAR TDGSTTAPCNTTQGLYCGGTWRGTIDKLDYIQGMGFDAVMISPIVENIEGRVSYGEAY HGYWPLDLYSLNSHFGTHQDLLDLSEALHSRGMYLMMDTVINNMAYMTNGKDPAKNID YSVFTPFNDSSYFHPYCKITDWNNYTNAQLCQTGDDKVALPDLFTEHEDVQQILEKWA KEIISTYSIDGLRIDAAKHVNPGFLKNFGDAIGAFMTGEVLQQEVDTICKYQNNYIGS VPNYPIYYSVLKAFTLGNTTDLANQVEIMKNSCDDVTALASFSENHDVARFASMTDDM ALAKNVLTFTILYDGVPMIYQGQEQHLDGPGTPDNREAIWLTKYNTDAELYKLIAKLN TIRKHAYKLDPNYVSLQTYPIFRGGSELGFRKGVEGRQVVMLLSTQGSNSSAYNLTLP VSFNGGVQVMDVLNCVNYTVNPQSELIVPMDKGEPRVFFPTSLMPGSGLCGYTTANVS FVELKTKGAAAAMSLGAKTTSSAAHGVLLSVLLSSLVAVLL AOR_1_2634154 MKWAFSSAVLALFATTVKAWPYEESLSAYNLNENKSATNPAQYW GEWPDHKGKYFPSPDNWRFPVYTLFMDRFVNGDPTNDNINGTLFEHDISSTQMRHGGD VAGLVDTLDYLQGMGIKAIYLAGTILMNQPWGSDGYSALDTTLLDQHFGDIATWRNAI DEIHKRGMYVIFDNTIATMGDLIGFEGHLNDTTPFSVKEHKALWKSNRRYVDFDIGND YNQTCDYPRFWYEDGYPVQQSMTEGLVGCYDSDFDQYGDIEAFGVFPDWQRQLAKFAS VQDRLREWHPSVRERLIRHSCMIIYQLDIDGFRYDKATQSTVDALGDMSMAYRECARA VGKENFFISGEITGGNTFGSIYLGRGRQPNQYPETAEKAMKMTNESESQYFLREAGHE AIDGAAFHYSTYRALTRFLGMDGNLAAGYDVPVDWVDAWNLMLQSNDFINPNTGKFDP RHMFGATNQDVFRWPTVEKGVERQLLGLYITTLLLPGIPLLLWGEEQAFYILDATASN YIYGRQAMSPATAWRDHGCFSLDSSQYYQWPIQAGREGCHDPTAAYDHRDPAHPVRNI IKHMYQLREDFPVLNDGYSVQKLSNLTEEVFYPGSNGTATETGLWSILRDVNADVQDL GSDAKNQPVWLVYHNTNRTIDFKFNCKDNETALISPFATGTKVRNLFYPYDEHTLIDG PVKLGLNGSTELNGCLANMTLDAYEFRAYVPSARFTKPRPMITQFTPGHDVPVRSTVA PNLDESVKIELYFSEEMDCDSVTKAISISSSTESKKVPTLDEKTVDCKGIPASNTSWT GQLPSVFMWAANLTGVYNGIHRVTVKNASSTNGNATTNAVDHFLFRIGQIDNPMVFTS ANYSTSLLHEESNGTLFIQHHAAGADKWRYSTNWGTTFSEWKDYTGGNDTITELEWSG TKKQRWKGHHVRVEYWSKWTGSSDYVQEGDAGVHSNVPRRFPHIFFNGPYNQYGYDGG LDNVVRQDSKDGLWKYHFTAEWPAQAQLNIWGMNPDGKPDQSWVLGDADNDSVLDRMP PSSLSATLINITEHPPKPYLAWNIYINDATMKFQLFPVGHQNTQIAMFVLFWIIPVIT GAACVYIFMKSFYKVKFNQIGVSEKATLIPLALRRKFKRNRGGDEERMNPLMRLANKS GFLQTNTAIGGAASGKRRMVLIATMEYDIEDWQIKIKIGGLGVMAQLMGKTLGHQDLI WVVPCVGGVEYPVDKPAEPMNVTILGNSYEVQVQYHVLNNITYVLLDAPVFRQQSKSE PYPARMDDLNSAIYYSAWNQCIAEACKRFPIDLYHINDYHGSLAPLYLLPDTVPACLS LHNAEFQGLWPMRTQKEKEEVCSVFNLDIDIVRRYVQFGEVFNLLHSGASYLRVHQQG FGAVGVSKKYGKRSYARYPIFWGLRKVGNLPNPDPSDVGEWSKEKAIGNADEVHVDPD YEAGRADLKRQAQEWAGLDVNPDADLMVFVGRWSMQKGVDLIADVMPAVLEARPNVQV ICVGPVIDLYGKFAALKLDHMMKVYPGRVFSRPEFTALPPYIFSGAEFALIPSRDEPF GLVAVEFGRKGALGIGARVGGLGQMPGWWYNVESTATSHLLYQFKLAIDAALNSKQET RAMMRARSAKQRFPVAQWVEDLEILQTTAIQVHNKELVKHNGRPFTPTGTTTPSGLMT QPASPLGTPGMQTPLAHSRESSYSNLNRLSEYVTQPKTSYSRDPSPSGTEKPKSGLQR QLSLGVRSGPGHQSRRGRARQRDSIPEHEDTQEAHGGAITDVEEESSDDDIVNHYADD EYTLTPAQVEEGRRLQAAQQQAGGRRYSQDSLHPRNVQPPSSPGTPPAASQSLLPPPR LLDPGSRLSSASVLSLDSVVGGKKDFKLQKVDPFFTDSTGEYYKIFDKKLDELNGSNS ESQLCIEEYLIKSEKEWFDKFRDARLGRTKSPTPSVYRDKHGASPIGSFYDDNGSRMS GSDGPHSNDSEDDEFLLGKDYVPPTGLKKWMQIRIGDWPIYSLFLALGQIIAANSYQI TLLTGEVGQTAEKLYGIATTYLITSILWWLVFRYFKSVVCLSAPWFLYGIAFIFIGSA HFESNSFTRGWIQNVGSGFYAAASSSGSFFFALNFGDEGGAPVETWIFRACLIQGIQS AYVIALWYWGSTLSQAQSEGLLTPTNNISNSWKISAICYPIAAALFGIGLLLTFGLPN YYRQTPGKVASFYKSVFRRKIVLWNFVAVILQNFFLSAPYGRNWQFLWTSHHAHHWQI VILCVVFYGFVWAGFLFVVSRYFKSHSWFLPVFACGLGAPRWAQIWWGVSGIGYYLPW VTGGYTGGALVSRSVWLWLGVLDSIQGLGFGIILLQTLTRMHMLFCLVCSQVLGSIAT ICARAFAPNNVGPGPVSPDPTFGGSAVANAWFWVALFCQLLVCAGYILFFRKEQLSKP AOR_1_2950154 MPVIVYVADREMFRDDDSIFHEILASHGIQKGDYEVELYATFPM LIFDELSDDVISELETIEVVQIERVD AOR_1_2952154 MLAKGLKRIVPVVGVVLVLVVLGLQLYHRNYLNRNSSLPFGHSF PKPDPSLSPDASGQPWGQQYEQQQYGDQHTGLDASSDPKIDMSMTHTELYSVSTPDKK LFKIDFSGRQAINPSIIPHPEQPNTWIITAQLHKPADKRKSSVWFAELVCDAVFRDGG RTLGCVEPPLILPIAATVGDSNNCLGDLSYFTLNIGPHDARVFYGPEAPYAVYGSNSQ FTCFGQWIHDFRPLVDWKGDMDILSDHGFRQATELQRPLPYGAVEKNWFLFWDAAGQA YVHYDVAPNRVFARLELDGSVGPDLAPLAAAIDRQCLQRHLPALKDAELESIHQATNS LTITLCQRSDPLCQATDLNTFILTIFQHKSFYAFHSVYEPYVMLFRRSAPFDVHGIST KPLWISGRGTSGHGKKPKALTAGESEAWDHTEMLYVTSISWKAQGNKYHGYLDDVMFI AFGREDEDTAGIDIVAGDLMKDIGLCGML AOR_1_2640154 MTSYHEDSAVGDIPKMRTVDLEGTLEKEYWKSRPTSPIRSLPTR AAQWCVDHLRPAFLTGIGGHNSHQSRRTAYLDGLRGFAAFLVYWGHHELWAHDGIGAE MIFENAYGYQKKHYFVAFPGVRLFFSGGHFAVSVFFVLSGYVLSAKPLSLIRAGDYLQ LGDNLASALFRRWLRLHIPVICTTFVYMTYLHLFRIHATPEIKSTYGEELWNWYVELK NFSFVFRTGGEPWFTYNFHSWSIPVEFRGSIVIYTALQAFSKCRPNARLLCELGLIFY FMYIADGWFCALFMSGMLLCDLDLRAARDELPDVFMMLEPFKEGIFYAMLFISMYLGG VPSRTWEEQFLRESPGWYYLSYLKPQAVFDFKWFYLFWAATFLVASISRIHWLKSFFE TPFNQYLGRISFAFYLVHGPVLWVLGDRLYAAVGWVRDSHETTCPGWINRFPLPKVGP LGLELNFFAPHFLLLPVTLWLAEIVTKFVDEPSVRFAQWCYRKTLAPANQS AOR_1_2642154 MTSSMSGVCLPRQIRRIIPGCLAAILFVSYLSMTDTFSELSDGL SYRSCETHRFFPKKIWQSWKVAPFEFEERDLTVARSWTSKNPGYRYEVLTDQNDLYYV ETHFGPDGFNRPDIVDTYRTLTAQIIKADLLRYLIMYVDGGVWADIDVEALRPIDRFI PERYDEHDIDMVIGVEIDEPDFNDHPILGQKSQSFCQWTFMAKPRQPVMMRLVNHILE WLNELSVKQGKPIGELELEFDDIISGTGPSAFTAAVLAEMSINAGHEVTWKTFHDIPE SKLVGGFLVLTVEAFAAGQGHSDSGNHNSRNALIKHHYHASGWPSKHPRHNHPVYGEV EQCNWNKECVAQWDANTAAFAALSPEEQEHQIALKRALDAEANPVQDQTAWINPDPDP EWREPEWQPEWQ AOR_1_2644154 MFVQLTTEACTWAGVSHMGADMGEEYASLLQYTRSLESKVAELE GRAQRNITPASEHQVTSVTAELSTNVALLSMNATAEPFFVGATAGFSVSKMVEGILSQ SPFPHPALDSIASSSMQATNDVCPPTNNHTRPDPQVERKLVDIFFSRVHPRYPFLDRF SFEEFYQSYQLSKDTSRVSPSKPFHLHMVLAISARIMQLHPEMGGNINPEFYYSNATR YVDEALQVPGLERIQSLLLLALYILRTPGSISNLGGWHIIGFAVRYAVELGMHRNIKC GGPRAQDPYRIEIRRRVFWSAYVLDRAVSLTLGRPFALSENDIDVDLPVALEQSPVSG KEIQYDQSSAALTETKTSNLSSFVHLCRLRRLESRIKQELYSASSPATMPDYTYDTII DSYRQELLEWLNNIPFFTPDGKLQEGYSLYDTPEFFRIQYSKALRMLLQHRITHFTSE TNSPKDKEYLALSARAAGDICQYYRTLHQRRPLGWNFLALHSIFTAGLTLLYCIWAER EHADLARFEDIRSCSNVLFAISERWPTATKFRDIFEVLAKRMVDLVSLSSVPHSGLDD APFLPDVRISPAGALQESTGFPAMNQDDFWAMLDELVDDDYIRSQFQLNGVESSWGLF DS AOR_1_2646154 MPSELSGDNYQHVVVHGLPYDRGFSHGQQVKDKILRNITHYKRP GNLISWPTALRIIRECYIPGLEKYWLSGLKEMRGVADGAGVDLEDIILLNARYDLSHI SNPSTTCDDPTRSLHQLTSEAEDKPYYPSYPRASSECTSAIILSEATGNGEVYTAQNW DMSNRLYKEDGIIYLEVHPHPSENLPSLFLVTEAGQLCRSGMNSDGLGLCANSLCSSI DAIPFSLDTGVGKGEMPAIPPSSALRRLFLESPSYADGLKRVARTPRHVSCNLMLTTA DNMGICLEITPRIIFKISGSAGSDTPYILHSNHFKTQSFLCQSEIQDTLAGGSSWYRA DRLEVGIRRKALIGFLTESDIMNAFKDHAGYPHSLCEHSARKATEGPFVQPGRPNPYS GSTCTVSCVIYNLTKRSIKAINEMQNVHTLGMEQYSAFLFSQGMDSRGLHLHVDGPFR KLVWSDFTKIVP AOR_1_2648154 MSSKALDVAASSCPRADVERSKQPHGYDDAIKIFNGESGEIDVE FTDKEASVVRWKIDLIIVPMMTVSYILSFIDKGILSTAAVYGLRTDLNLKGQQYSWTS SIFYFGYLFWQYPNSIFMQKLPIGKWIGSMIFMWGLCVATTAASTNFATLAVNRFFLG LFEASNNPAFTLLVSQYFTKNEHALRSCIWWAGGPIGAFIGDGVSNGIGHVHGKLSQW QV AOR_1_2650154 MPSSPMSAWFLTPRERKIAVVRVLHNHAGLHNRQYKVYQVKEAL RDPQAWMLFSIVFLQCIPGGGLNAFNKIILTGLGFSSVESTVVAMPEHAVQLVSVLLA AOR_1_2652154 MCMSLISSNIAGFTKKMTVSVMVFVGYCVGQIITPQFFLSHEAP TYPTGFRAYFVTSSMMISLEAALMFYLLNENKRRDKRALESAASQSTGEHRIVETDLL DLTDWERAQFRYAW AOR_1_2654154 MHLAIKSLFVSLLGASVLASPLPSNALVERNAPLNEFLSALLSH LPAIDGTIDAVSGVITDFDQLLADLTGARTTQNGYIGVCTDYTVLFARGTSEPGNVGV LVGPPLSEAFEQAVGAKALSFQGVNGYNADVAGYLAGGDAAGSKSMASLASEVLSKCP DTKLVMSGYSQGCQIVHNAVEQLPAADASKISSVLLFGDPYAGKAFPNVDASRVHTVC HAGDTICNNSVVILPPHLTYAVDVTNAVQFAVAAAN AOR_1_2656154 MSHTSAKSSSDLSSFLQPLRIGIDTLYDLSYRLSLTYKKLAASP EHFFPTPITRLPTGLETGRYLAVYVGLSYLRVAFIDLLGDQQRVRRTLEKAWPIEEHL RRDRAPDLFTFIGDCIADVVRDSLNSPSEEVPRELTTGISFCFPIRQKCLNEAILMPT GKGFALKTDLNLHQALLDGYERHTWSPGEDEQRMPVKRRKLFNLPKLRIAAMTNDTSS TLCSLAYTIHSFPNTRAVMGFIVGAGSNATVPIKIADLHERKIQHIREKDPSAQEALV STEWTLSSASAPIFELNLRTKWDTELDKHCQRPGFQPLELMVGGRYVGELVRLIAFDW FHGVLGIPRSALPANLVAEYSLSTDFLSLKVACNQSDERLAMELSHELPPPSMSDWRW TPDSSRDLRVIASYVQDRATSLVAAVIVGLLVCAGEIALQPPHNHRDLSAPNASNGKA RTDESTTRWKNGPEELAVAVSGGVMQHYPYYKEKTQRYIDQLLIRAGPQEGGKSIFLR DVNDGGLIGTGILAGTTAGEIGGIIGSTFEVSSFNSI AOR_1_2658154 MRTIIVSLFVVVLASAIPAPIDLPNLLNPVSPASPASPASPVNQ ANPVNPVNPVKNPVGPKKVVPGTGFMVRTKRFIPGHRGLCTIDTGRGTNVIKPTAKCN IRKSDIV AOR_1_2660154 MKFHAFVAVTLALFQTGLSSALPDSASITARQDRRGSEQIPGLG SRKQQVISAGGNTMDLAVAMLETTNMGTDYRYGDGKTGDATNFGIFKQNWYMLRTSAS EFLGQSVNDVRNGEILNKDLGKDIKARHDGEAKYGFDVWFAGHRNGASGVQNPNTADI KRYRDAVQWIKSQIESDKKYESDNTRFWVDVTPI AOR_1_2662154 MKLSAALSTLAALQPAVGAAVQNRASDVADLEHYWSYGHSEPVY PTPETKGLGDWEEAFTKARSLVAQMTDKEKNNITYGYSSTANGCGGTSGGVPRLGFPG ICLQDAGNGVRGTDMVNSYASGVHVGASWNRDLTYSRAQYMGAEFKRKGVNVALGPVA GPIGRIARGGRNWEGFSNDPYLSGALTGDTVRGLQESVIACVKHLIGNEQETHRSTPS MLANSRNQSSSSNLDDKTMHELYLWPFQDAVKAGAGSVMCSYNRINNSYGCQNSKAMN GLLKGELGFQGFVVSDWGAQHTGIASAAAGLDMAMPSSSYWENGTLALAVKNESLPST RLDDMATRIVATWYKYAEIENPGHGLPYSLLAPHNLTDARDPKSKSTILQGAVEGHVL VKNTNNALPLKKPQFLSLFGYDAVAAARNTMDDLDWNMWSMGYDNSLTYPNGSAVDAM MLKYIFLSSANPSAFGPGVALNATTITGGGSGASTASYIDAPFNAFQRQAYDDDTFLA WDFASQNPLVNPASDACIVFINEQSSEGWDRPYLADPYSDTLVQNVASQCSNTMVVIH NAGVRLVDRWIENDNITAVIYAHLPGQDSGRALVEVMYGKQSPSGRLPYTVAKNESDY GSLLNPVIQSGTDDIYYPQDNFTEGVYIDYKAFVAANITPRYEFGYGLTYSTFDYSDL KVSTSSNVSTSYLAPGTTVAEGGLPSVWDIIATVTCTVSNTGSVAAAEVAQLYIGIPG GPAKVLRGFEKQLIEPGQQVQVTFDLTRRDLSTWDTEKQNWGLQAGSYALYVGKSVLD IQLTGSLSL AOR_1_2664154 MDTSMPLVGRSRAIWTVCVVMMCLSILAVLLRVFVRSYIVRAFG WDDTLMVAAVALFTFLNICCIIGTESGVGHKIQDFTSLHILEKAMLWWWLGQMLYIWS SAVAKVSIALALIRLTVRRMHLVILWTVIAVVIAIGLMFWLVLLFDCNPVSYFWLRIN PMNTGTCLSTDILLAIAYLYSALTIFCDFTLGIFPVFLIWNLQMNGRTKAALGGILSM GAIASVAVVIRLPFLQNYKDTDFLYSTYQIAIWSVMETGLAIVAGSLITLRPLFRWFL DGTVSYPQNEHRGKYPLSSLTGNTSKTAGSRDPRYWRPDITSDESPTFVVTSVSSPHR LHANDNSSQEVLYPVSDPWLPPNSVNVHKTFRVGEEEA AOR_1_2666154 MYRFLVSLLGLVAGTTYAAPVPEVSSMNAVRNGPPPGCLTVGPA GQHHTVGAALSALGSSPSPACIYIAQGTYKEKVVINYKGALTLYGETSDTSSYKGNAV TITHTISDDAGTLDDSATLQVKSNDFKMYNINVVNGYGPGKQALALSADANHLGFYGC QFRGYQDTVFTKTGTQFFSKCLIQGSVDYIFGGGSAWFHQCDIRSTAPGYITASGREK ADNSWLAFDHCTISGASGVNLKEKVFLGRPWRPLARVIYQNSDLSDVVSPKGWSPMAK GATPLFYEFKNSGAGSNTSKREFLSPIAAAVTRESVLGNDAAQWVDARY AOR_1_2668154 MKSYLYHAVLLLTAPLVTAKKNNLQWAICDSDPQTVLQKLGLGT PEPYKENPITYYDTSPPVYISDGLMFRTKTSKGEDISTVKVRLQQESSDVPDSAECVW DRYGESPTYTCEKRCPLRESTIWCDQQIELAEHYEKVNWEELTNYGPYPNAKWKIRIE GYKAKFDNVAAGSLHLMEIEAKVPKEKADKAYEEVTQHLESLGIVLCDPQEGKTARLF RAMGYTKDERDDL AOR_1_2670154 MGIIDFIKDIIRPGNGVDYPKAGDMVTVHYHGYLYDPTRSWNRG RRFDSSIKRGIPFTFQIGMGTVIKGWEVGILGMSLGEKALLTFGPHYGYGARGAPPFI PGNSTLVFNVELLAINGRTLRSDDSE AOR_1_2672154 MKFSIPSALLVTATLSSVGNAAFPNVPETCLEIPQVLGQKPFKL MQYFHTQVCEKANCTTTINQHNQYLHNKVLPQLIQDVNTKLGVSASEQALYNQTSTQI IAAVQKGCAAEGNKPLCNNPEGLFNYGVCAFKASQPILEKQVKQLSSSVQLTEEKCQK IKQLDSDEAAWSKSLPGYIDRFAALCEKDN AOR_1_2674154 MHLLHTILFLLPLASLAVPSAPSSNLNKRSITCLKVGASATATW TNSAGQTCSFVGVVGSNYGANSAGNGDYSCNGRCGAGCTGTAIGNVYTQDCFSHDICS YFENASGGASDPNCGDAYNAAVDDTAGGLLAGCSQTNPSNTVSPPNSSPLVGLFLYY AOR_1_2676154 MVTHTEPQEDLERPLLADSPEPSYEAIVAPTGASDAAPRATFRR NLGAVEAFGIVISIVIGSGVFTSPGAIDTNVPSPGAALIVWLVGGLLAWTGATTMAEL GTAISGEGGVQPYLQYAFGDIFGFLAAWTWIIAVMPATLAILSIVFIESIYSAAGITD QAASIQHKLLSILVLIAIGVANSISTKVSTRLSSFFVTTKFVTITGIVIAGLLVVIVH LSSTHWDGPATDWYSKSWFGYRDTLAPDGKEIHWSDLAGWEMLGHYSAALYGALWAYS GWDKAIYVTAELSAPATQLPLAINMAVPIVILCFIAANTAYYILLPWSVVSTTDSVAV TAITHLLGPVAGVIAAVLICLVVAGSLLGNSFVAGRMIVAASNSNWLPRFLGIIGRLR SPSAENGPSLPSDAETSSGKSDAPINAIVLSTALPIFYILFGDFRALLTFNGLGEYTF FLLTVLGAIILRFREPGLRRPYKPSSIIPITFAIVSGFVVVRGAIFAPVQALVLLSLW IIGVGYYEVRKRWAVDRNA AOR_1_2678154 MVYDPNRDEAMAQSKERLESSDYAERPPTSDTTDSTSTTSSVDY DPQLHTLRSRATEVDLERHTTRASDALSRIHTQRLQHALTVGESVKSHPSKAPLPPFG AGKPYPPPLPAREDYVVEFDGKDDPLYPQNWPLKRKVYISAILAFTSICSTFDSAIFS SSSKSVANAFGVGLEVSTLSSSLYIIGYASGPLIWAPMSELQGRRLPIVIAMMGFGIF NIAVAVAKDLQTLMISRFFCGIFGSCPLAVVAAVFSDIYDNRTRGVAIAVFSSTVFLG PLIAPFIGGFINMSYLGWRYTAYIPAFMGFFAFALNVFFLKESYPPVILISKASELRR RTKNWGIHAKQEEIEVDLKDLIINNFSRPLRLLINEPLILAVTVYLSFIYGLLYCFLT AYTLVFQGVHGMNAGVGGLPLFGMVVGLFIAAGYIILSSGSYNKKLEANGGIPVPEWR LPPVILAGALFAAGLFWFGWTGFTDSIHWIVPTLSGLFTGFGLLIIFIQLFNYLIDTY LMFAASAIAANTFVRSLVASSFPLFSRQMFNNMGIQWASTLLGCLAAILVPIPVAFFF FGKRLRMKSKYAPIYEKAEEPMSDENEIAAETNGPLRE AOR_1_2954154 MEQQSYHRDLLHDLLKVMRSVGESHARGLFDLLRADSSVEELRS YIDKTLSEVRVSDHDGETIRSLERLWEKVDVSSGAPPSRPTVMDLNYLCDVTPFRVPA KPWTTVTNDDNLVSHLVSLYFTWDYPFYAFVDQKAFIRHMTLGNVDSDLCSPFLVNAV LANACYYSQYSEAYVVPGDVASKGRNFLAEAERYLPSHQLEKGGGVRLASLQGALVLY ERYAMSGEDDLGYTMLNIAIEMAEALGIINREPLDLSKLQLSDEMITSGGSYQLFEAE PGHERQSRPDRSERVWCRGSLGSVSDKRPRQSWLSQYFEEACKLSFLARDISHHLYHE TTTGTDQYREKQVFYNKLRQWERALPDYFRAFRRPPPHVLLLRMRYHVLIIGLARDGF GVQSFFLGSEEQRRKMDESANAMSLASAREISALARIHRQEYGMERAHQFATYAMMLA LFTMLDDPSFDVLDHDFLSLTSAFSITASRSQVGRHLFHIFRESVRSRNQEERVLQSD AVSDEVKELFGRHPSSQLPDRWGNYADGLERYRGSFSSGSWNYTASGVRDMLEKYERL SLGNHNNTHPRRASSPNDGWET AOR_1_2680154 MFSTILTSVLALASSVTARSVETRSLTPRSITPPEGCPIPTWTV DNFRWFNGSHSLDCIHSEVDKNTKGCLCGRDWCEPNPDTCNGTMVNVCYTGMPNYQPW GYGPPQTLAIDFEDGLHCGDTYIGYRIHDIAHGESNCGYADRGLGRIVSFYGSSNEAT STGHMDYVLGSGHALECANGSKITYSGSTDFTLNCVHDEFFNATCTAEPFEVPVLSYS WVN AOR_1_2682154 METILKRPTHPDSVSKGFSIFWLWICNSFAAHTFCQVLELAAPS TSYKMMMGTD AOR_1_2684154 MVKLIIPSFMGGLTFALATNIPVVPGPADNLLRRDLGCSLCQLV LEPIVALKNDDTKDMDIRGALENACRSLPVSQQKCENFVGVYSSLIVNFVQQDLGPAA ICAAVGLCEA AOR_1_2686154 MASSTSLRSDLYSNKDKPFSNLSPDLVGKFPDDESNFAASVLDD SPTRAVAARRQANQLLRQRLSSRVSISARDQTVEAYLREGTEESLNRNPQRSLLEHCS RRAKALSSSHSILGTSQTSPTRPAWIPKNAEYIELSDDEPDDGISSVATHSDVPTTTG HLQTNEQIPFSVSANSAQTDSIDLSDHETLGSESPYCVVSQQETLRTDVCDDGLEQRQ TEPERTSDACTTEDIILAIRDVICGWTWPQEKASKEGYAYIFYDRSAESLCYKIGHSG NVKVRTQQHQNQCQLRRWSSRKSPALREYKLLEKLAHAELRSLRCKFTCLCGVEHREY FLGKVEHGQAILDSWSRWLIEKNPYNDDGNLRPFWVDRFQVFLDGYSRFFHCTANEGN QHPLDSNACQACLRKGWQKFTEPTAQDIFEYDCRIKVPFAGGRLLLQACYSRFSCHRA KLVWMIDSIVFVCRMLDFASSIQVILLALLGKGLYLTVCSIWNHTFDVSRLPEFYLAC AAAYRLFLLPTVSIDTVDQGLPQGAKRIAWPTRKSPRKNSKSPGMPTPASNQSQKTSK PTHKKRLLEEPSNIELFHDISNPKEEHGDDINEIIGRRLPDSPPTLDLNNAHQQRNNA TQRA AOR_1_2688154 MAENTATKGNWETRVAEKRKQLELQIPQDWRLNAAFLSTLPSNG HLIEANIPRHSGLLSEEELDLTEHYTAAQLLQKLAWGEVTSLAVTTAFCKRAAIAQQL TSCLTEHFFDRALERAQYLDDYLKREKRVIGPLHGLPISLKDSFCIKGIQSTVGYVSF LENPPAETNSALVDLLLDLGAVLYVKTNIPQTMMTGDSENNIYGRTLNPHNTNLTAGG SSGGEGVLVAFRGSILGVGTDIAGSIRIPSLCCGVYGFKPTADRIPFGGQVSGAIEGV PGIKPAAGPLAQSLDDIELFMSTVLKAEPWRYDVTTIGSPWVSALRLPSLLTIGVLGE DPDFPMHPPVRRAMESAIAALAKKGHRIVRLGHEPSRGVAYASRLAFQYFTYGPHVDH IAASGEPLVASVAKLANPLFTGPFPVDQELGIFEKIDGLHNARKAYAEEWRRTWVQHD IDVLLTPGAQNTATSYDTYGWPPYTVIWNLLDYPACIVPYSKASKALDPDPMPVHDGV QPSYEPDSVDGAPCALQIVTPRHQDEKCLLFAGLIDKDIR AOR_1_2690154 MTLSKPADSQGNGFYPNSPPMRRPGLSFIYRLECNIEPEEINVG APHGAGVIRSVANIAGGSFRGPSISGTVLPGGADWATVIEGTHSMTLDAHYTIKTDDG CYLYVRAHGLYRPGPGTEYAKQVEKDPALRPPPTVTQDDVEFFSHLRIETGPGKYNWL NGLVCVGVMSCENDRILIDAYHLTNFEDSKPEDVMARRNAC AOR_1_2692154 MAFVQALLADPGIPIKDRQDALHRVFADPGIPGPLRESTSSFWF KDPHPQLANMQSPELPQEADVVIIGSGITGASIARTLLESSDQLGHIGKKTPAVVMLE ARDTCTGATGRNGGHILETVEEFADWEALYGLEAAKALTRFRLAHLAEILKVADQYGL KKETQARKVEFLSVHFDEERWRQAAQCIQRFKVCMPVESAEWKLFEKSEIPKEWCLPH AIGVVAGPAGALWPYKLVTGVLEELRRENPGNFLIETNTPVTQVSTDDTSSLRYAVQT PRGILRARHVIHCTNAHVGHLVPELRGHVYPVRGQMSAQNPGSKFICQATEHSWLFNY ERGFDYLTQLPPAGESSGKMMLGGGFAQGRNNGLADLGIPTDSELSLSCDIHLSGALS AVFGRENWGHVAGPSVEQMWTGNMGFSSDGLPWVGQLPSSRRGYGASLVKWNGCGKDA VVQRPKAPLKARLLILVPRADAAY AOR_1_2956154 MSFRSPISKCSTILPSLGRLEGFQYANGVQQYCGIPYASLEKRW TRSQLMTQWPNDYHDGTKLGSDCSRPRTEGDDSDDLVPVPPTGHFGEPQTDELSGLVM NIVLPCVPGSQRFPVMVYVHGGSLLYGGANLPIFDGVNLVSHSMEIGMPIVYVNFNYR VGLGGFLASAAIQQELQRDGHQGCGNFGFTDQQLAFEWVQRFIGDLGGNPDRVTAVGE SAGGISISNQMLAAHPPPFHRAVCMSGLSVSIPAWTMEQHEELFRAVCRHFNVDPSQS DVLDRLRRIPQQELANATPIIQGVLSGTGNPCLDGWFYDRDPREIHEPPQWIESLMLG DTYHEGVIFHVNLLNDSFASIREILSQHMPLAVTDKVLAEYEIQPELPQDVLLSRVEH MCGDAIFKIPNYATALASVRLSREKAVFEYHFDQRSRLKNALEGTAYHAHELLYLFGN LDNELSTEERAMARSFAESWVKFTYGYSPWEGSKRHWKVWGPESVQAVKHEDEDEEAR SYSRMKRMLAMDHGETWKRWLNGVDALVNKRMNMGKNYLL AOR_1_2696154 MSTPRHRSHDGCWTCKRHRRKCDRTRPTCKACSSRGVPCEGYEI RLRWGSGIASRGRFTGAEEPVEASIPPRVKGRQRDLSRERRRKCANVTDGKAKAGSYR PQRGSAAEGTADTQSTERDRLFEEFLSSGINVLHSTSVNDSRNLLEPRLPILCQQSSS LYAICVALQASLMPTVRPHFYEYFDVALNLFRTELSSNVTYLEDGTFTAGLLLCSIGL MHGMPWSMHLHGMFGLLQAHGLYGPGERTEFRTHLLEVMGVMDLPTFTVGRSTSLGFW RQHCCNRASLQHPLGDEVEVVSGLPRSLLDIMSCIGHGATEEDFWNWPGSPGSLTQHQ LWEAYRLAGMLAIRYGHLLLVPQSSGTLTGSTAQANEASSRPLILPSTTVIISRIVSH LDALRRAFTETEGVGSLVFNAIKYPAFIAGLQADIINAQPELKDVLRCCLSIHYHPHG FERDFELLLEVLEEWWSHHHDMTNAHELALARGMEIGLL AOR_1_2698154 MASTVYSLLPYLDPSSISAVAQTITLSFVGDPLIRWLRPSAAPW STQQHETTKWQYRRVQQAILEGIVLRSTPVTQLAQEFPTRSQQKDPLTSITEINDGKE EPEAGTVALLFPPKNRQTWTLSKMVLAVKLWFLSWFDRVSDHGADEKRVKILLDAHDR ALKMITTRYNVKDPWYLEVVAVHPSLQGRGLGKVMMERILDYVGHAPVVLECTAERNV GFYTTLGFEVTEKVELADSGEAASCWFMLRRATRKGNSSSQ AOR_1_2700154 MILPQEQFFLSKMMEETRLMDNEGSFSAHFHPVTLTSHWLSIQQ IFWQLMVVDLNVTYAQLNNAMSVNFVGLAMGCVFFIPFAKKFGRRPVYLISTALMLVT SFWAAEIKTLAELYITNLLQGLAGATNEAIVQITIADLFFVHHRGGMNALYMTMMMIG SFLTPMAAGAQATRQGWRWSYRTMGIFNAVIFVLFVVFYEESKYTPTIEGVTPTARPT DGVQQIPGDESGNKSLVKGATRTEEHSISGPPLDHIFPMKTWAQRLSLVTYTPEPIWP YFYRPFEILFTFPAVLCCGLQYACGVIWLTILSSVIALVFPLPPYEFTPEQIGYMSVG PFIGNLIGSFYGGFLGDWSIKYFSRRNSGYYEPEMRLYILHLPAVALCGGLIMFGVTI DRGMHWILPSIAGALFGFGLGSISDACLTLVIDSYMEITGDAFTGVAFLRNAFSIGIP FAISPWMEHSGLTKMFVACGFISLGVTLTLLGMVMYGKRIRRATAKRYHQMASKSG AOR_1_2958154 MKASLISVILLAAVATVSAQPGGPHNTGVIPEIVVSPPSDGNGV GDKNVGPTDANKLGQSGMLYGICDTRTRKPTCKKKIGEKWTSKKCLNKVRGLPKLFAA RWMY AOR_1_2704154 MSSADSSTFHTQGGAMFNGNLTTNRDINIHHITTIQPLARTLHH SLFISSDTFKQVQTELALLVALNTFKENLESTNSSDTLLSELDKEPQNCHWVLLDLEA LKEHFQNVGPDTQVTWEREGWKIDEVAEIRARLSSYVGMLNLWSINTIKYESTDPSLG EMGLDVERLFPRSSQADVEQMLKIFIDEVRSGKREASVVSSDSLSLTGKEAWRQLRKK LEGVGISPTRLPRIGLSFGQRLKELSRKRALAEICGSAFMTWMS AOR_1_2960154 MKNDAGETALSQAAANGFADVVRFLINHGAHIDIQNRKHEPPLA QAAKYGHEEVVRVLLEQRVDVNRINQNDDKDMTAVHTAAEHGQDEVVRLLLANGAHID VKDFWNMTPLHKAAKSDQVKTMQILLNHGANLGDVWRYAGSDSSHVISNWQNLLT AOR_1_2706154 MYGFTKANLAADAAKPGCPSLTGNITVNAYQLYPEHADFDENDC LVYLSALYNSTVAVYDPYKKKMVNTIQLPGLSGDPTLHMSGVVVDPQGLLSVIVDAGA AFDTQGQDISGDNFLVKVDPSRGQVLWRKNLTEVTNGVYGGYQDAAHDTRGNTFVVGT FPASIVKVGPNGSTAIPWYLQPQPNQTVPGLSGLAVKGDILLGTDGSDGQLYRFNMTD EMGHKIHVPLRGRNATRIGNSLDGILLPKQFNGTVLLVSDTTDGTIVLRSADGLWTSA EMVGTVPNLYASQNGFSVDNVEIGGSLYSVTEFFLDEKVPGTLAGNRTQFPLVDITQQ VLRLLK AOR_1_2962154 MKSPFVGWMLSIILLTPFAATNGLPSNSSIIVDTAPNSVRPYVL PKYKGDAIKLTSSQTIRFSITANSSDGAFSLLQHNGKASGWLSARPHTHGLWGQKNAT NSSHEARVATPGDYGNIPPGSIHTFQLVDPDSQLTHVFHPGGFEKLFKVFSQGDHDTH GISAPYVPIPDDPSPFGPMTPREYNILVSLDLYAAPEEEYIPRRDFVNGTAGEGKQSW HGGPNTPPDDSMTPYFVAKDYGPKYLNTDIGYKVIQPLATPTQTEGNFTMGTIIMSSK LTNESISTATLPHHFALQMEEGQLAFQVQGYELVHLLHGDVAFVPAGVSFSYYATVPY TKFMYMNAGSKGLDYQLLRRSVSWDFPAYPISPGFKANITGSKFTQL AOR_1_2710154 MAQNLNVTPDVQIEPLFRQAFNYADKSNTLRLEDWLSILTLCLS PLIAHVLSGVPTVVRRCPSPPTWLDTLCLYNPTTILWRYLAIVDRRVRYRHHWDAADM AATNALFWTSHGFDGSEDMMRQSRTFCTRIPHHHRTEVWSIDSIKTVIITLQGIQALV VLVRGVMALANIGNQPFNATISMSTIFYPLAVLGLLRLFAAPWLTENYTYNEHETYES SRILAQHIMHIPSSDGASYAAVRTSPDTKNAPPSNTSLLPRSSMAMRDPSPDVYARPK GFHLPAQAVAVAVRCCYLGLMTAILAICVCYMIPYNGALMILTQPASSSVLWLLIVMY IVFILVSIILFVTYLIRCGRDTTTVIPCLRTWWYRAYTLIFITAAIALIVLSGVYTRR TSCGQLTVFPAEFDQEVCNGTPMQVDKGIGPFGIVTQAPGLTPETWILPLEGWCSGTL TGEILPVVSVS AOR_1_2712154 MDYPLNHEYYGIVLGYCGPPLTYIVVFIVVKRRYEHLSLFPNGD TSSGRDKSRSTEGDPVELSSIRLDTTITVQSSDVFHNPASTGGVSDSPSRDQLSSQVP LSAVKSLPSKYDTTSTERRLRCADTPGRRGDSTEQRDKKIRYAEVRRVMLLNGYPAFY VLLWIPGLLNRLLESLGHKVRWLQILQASTQFIGLANAFTYSYNEGFRRQIRSLIARQ RRSYHEQL AOR_1_2714154 MSPTHSSKPSIATSVDTYLSSDTTGDWKRYSANVDLEAQQPPRK CFASLRYLLLTIYRRLFTLIYCANAVAFVIIMVQRQDKLLAFVNAAAVNLLICGLARH YLVVNAIFVSICRIPQSTPLWLRKIAAKAYHYGGVHSGCGVASFLWYVGLVALISREY WMFPGSGTVSLPVVILAYVLLADLMAIIVVAHPTFRTKLHDYFELTHRFGAWLAVALF LTLLVVFSDQARHAEGVSLGRYLIKLPAFWIVLVIVATIVHPWLMLRRVKVWPEYLSP HAARLHLDHTSTAFGKVIALSKHPLRTWHSFATFPDPDGKSFSCIVSKAGDWTTRCID QQPTYLWKRGTLMYGFIHVMRVFRRVVIIATGSGIGPCLSWLSEKNRPPLRVLWQTRN PGRTYGSAVLNLVRQLDPNPLIIDTNSSGRVDMVPMARELVREFDAEAVCVISNAVLT KKVVFQLEASGVPAFGPIFDS AOR_1_2716154 MASTYPDGIFDNLGKFYASVAIVWMVALLVGSVFLVLHRHEQCI RIRNLPLALSAVSCLHIYWILCMLAYTMAGAYPCGVEYWIMSIYLPLGIALFQANSMQ LLSVSGIQEKMLHTAHHPQRASYSTGSKGPNEYLRQWKQMNLVHRTEVAIAVGMAVQL CLSLSIYLASRKFHGFGSFSEHGSLAECRRGPEWIPSILWQLFWSWIFAPCILWKIRK IREIHYWRLQITICVIAALPGSPLWFIALNSTAEPWITINRYWVPALWFAPGIIAMEG VTIFFPCYELIVSRKQRDRILGEIRAWNEKKGGDSESDSGTSRSHAGSSRTNELYTIK ALEKCLSEDSHALLRFAAVKEFSGENIIFLNYVRDWKATWARINAKNPEYDWHRDPQY HRLYFFKIAVEIYSACVNLKTAEFPINVESRIYSGLTTMFGEAVQCSGRRVSRGAATH MEEDTRALCLDENPYVSQSIMQIKSRVPDSVVVPSNFGISVFDEAEKSILALVFTNTW PKFIDSSSDDLSITF AOR_1_2718154 MDRSRTSSQDRDVLPPRGDEGRISPSLDKEKSPGPEDQPDAPPD GGLTAWLVVVGAWCTSFCSFGWVNSVGIFQNYYESHLLKHLSSSTISWIPSLQIFFMF AMGPIVGKLYDTFGARYLIIGGTFFHVFGLMMASISTQYYQLLLSQGICSAIGAAAIF QPALSAVSAWFNRKRGIAFATLSTGSSVGGVIFPIMVDRLIAKVGFGWSMRISAFMIL FLLGIAIVTVKARRPPPQGPKPSGVQLLQPFKEPVFIVTLLGYMLLTYGVFIPINYVI VQAVASGMNADLASYLVPMLNGASLFGRLGAGFMSDRYGRYNIFIVMCIVAGVLVLAL WIPATSNAPIIVFATLFGFASGAYVSLSPALIAQISPLKEVGYRTGLLFLFASVGGLT TSPIAGAILQNAGGDYTHMKIFSGVMLLGGTAFIITARIVGTGLKLVVKY AOR_1_2720154 MILVSDNQFLHLGALAAWHDRATEHDLYDVQQLSVENFRHPPEL SPFLYFTHMTMERSCTQCHQRKVRCSKTLPCSACVRLGATCRFPTTDANAHRPRRVQK ITITDRIAQLERSLTILANSAGPLQGPENPNVRDTSTTLLPEPPQSPNRAQEVLVPDG ASTRYINETFLSQILDKERALYKVIGTPRETEEITSGLRPEGLLASSRRPTNWSNELE LSRWQSAQLWQIYRNNVDPVVKILHLPTVEPLVYATMNGEGSDDCRALLFAIYFAAVT SLSEVDAANLLGRDRRSCLLDFQACIEKVVIDAACLDAPTMLSLQALAIYITCLRAHR TSRSGWIMNGVLIRAAQSIGLHRDGTHFHLTPFDAEVRRRLWWQIIVLDYRAAEDHGL AVHGFGSRSDTRLPLHVNDSDLSPELRILPGPRAKWTEMSLFLLTSEIAIAFQKVYHT TVDHTDNAQRLQTVQELTAYLESTYLCHCDTNIPIQKVAWLSTRSLLSKFEFFVYQLS LNNEQSQEAVSSAAERTLISACVCLEQSMELQTDDLLRGFRWLFASYNQFHCLMYVLW HLCAQPTGPHVVRVWNIVDLVFNVTENDPTRPDPGPTWKVLQHLREKAAQRRGNVAMS SPTQAHGPSDERVPESRTAEQHSGQELEGTGDGFGDLLAPPLDPSSLTEWINLSENLG MYRFEP AOR_1_2722154 MSASLSVIIFAHSRQPYVPISLLAITRSCRISNLLSFFVQLKNP IRHTETGRNIPGPSYVWPNGQGDIEKYVQGRSRSEQWQRKYGNVYRIWAGMTPEVVLT RPEQLHAVFKDSDKHTKATNSDSGYFMSRILGQCLGLMAGPRWKLLKGTAAPPFMHPT AVRSIGRIQEHVRAHFHDLETNGNLREGRIHPVQDLKMLPFFIVAEANYGSLTPAMKS ELDSLAPARENLMKFVLFGGLARFNISRFFPTEANRQLRRFRSQWRAFNRAAYERARE KHPSAMVVQMYDAVHKGVLTEEQVAQTMDETLYANLDVTTGGLSWNLVFLAANPACQA RLHEEISALTPAEEEGYISRNGTYLAACVLESSRLRPALPFTIPQSAPTERVVDGYRI PAGTNYVVDTWGLNVRDEFWAPDNSTYRPERFLNSSNTDLRYHFWRFGFGPRQCIGRY TADVVIRAILLHLVKHYELQMLEEGDFTQDPECWITHPDLQVKCVRRT AOR_1_2724154 MSIFSSISSLGLEACYRHHVRTSPNATAVVDGDQSMTYRELETR VNDLASILGRENIEEEEPIGILVPMGIAHVVAQAAVLRLGGSCVPMDLSFPDQRINDL LRALKTRIVLTVESEKARFAEFQTILVDSKYANLHQNGYHEDTIPAVETGRNHRTHIL HTSGTTGLPKPVEIMSKGITRMAFNTQCVEFKSTDRVAQISAPSFDAALFEIWTTLAR GAAIVLLPKNVVIDPVALHDSLRKYRITSILVTTALLNHVVSAIPNAFEDLDYVLTGG EAANPSVMQVILENGPPKKLVHAYGPTECTIITTYHLTTLEEVRRGQTPIGRPLDNTT VHILDDNLQPVKEGIVGELYIGGDAVARGYLGRPEANAKSFLEVSHLSKDGSPVRIYR SGDLVRMLDTGAIEFVARADNMVKIRGFRIEPAEIEGALLKSEMVQGTVVLPVHRPGK ETYIVAFVIPKHDGAFSLEQLDEYLRRRLPAYMMPRLEAVASLPLTVHGKIDRVAVMK KHMEETKRAEQQVLISSNVKDAGDSVTWLRTLWTSVLGISNIDNEASFFHLGGSSLQA AALLVHIRRRFGLTLTMQQIYDSPTLLGLASVIDAGHAKSKVDHSRLGIFIADSQLAK DIPVLSKEAPDWRSPSEGKVFLTGATGFLGTYFLRELIDRPDVRSVKCLVRASDAHSA RTRLLGALDKYGLGWADNLDKVTAIAGDLGKDLFGLSETEFHELALWTSVIFHVGAHV NYVQPYEKHRNTNVYGTLNCIKLATTGRTKALHYTSTAAVTGPVSHFTGADKIPEDVD LGEFQGWLPYDIGYTQSKWVSEQLIHSMIAKGLPAIVFRPGFIMGDSLRGKGNCDDFM CRVFIGSIKLGYRPILPNQSKIMIPVDFIKTALLHITSNPYNFGRTFHLVPQTPEEDT DIETSWNMLKELGYDLKAVEYKDWLEILSKDKDLLTNPLLPMLPVLQELVRKHLTRWE LYEDMATYDVTNTRRSLADRGKLKSGIGLEDLRRHVEDWVARGLVPSRN AOR_1_2726154 MRFRQQVETCLNYWPAEGPVQRELILGTVGAYRRPIDSRPVLIQ DVRGQEGTFTLDIHGFQFIKHISQHVASFDEASVKTHMYPEAESILKNVTGATRAHVF SHITRTAPYESVEAMADSTDPDAKATRVMVPARHVHVDQSESGAFEVLKDNMTALEAE HLLKTRWAIVNIWRPLKPVPRDPLAVSDARSFRDEDLLEIYGRVPGKQAKKDYDAATN GSGFGMLYGKYSPGQQWFYMSDMKPDEALLIKCYDSRDDGRTARRTPHTAFVDPRTRD VKVARESLELRCLVFFEDQPLA AOR_1_2728154 MGAPHEEIQALKRRREQNRLAQRRRRDNVRRRLRDLGLDTGSPA SASQTSLCSSTDSRVTLNPHQSLRSTDSLSFETSNSDIEMSPYDAPLQSKIRLDSQIP LAEISFPSYASSVSPSSSAGPLSSSPSPSQRPFIDSTDLTSLHSVYNPTSLAVHLDES SMPCGEAEIPTRQDSNFPRTPNLKSLMSGCNDPSAYQPWILTSSTVGEQMSSQALPHS PGPQHCSTPLPAETRPRWTTALHMAVAQGNFSVMRLLLSYGADPNAVNSEGATALHVG VMNGNYTMVAELLQRGADPTLTNAAGWLPLHQAVHAGDEGCVRVLLEADQPVDYPISD LDYT AOR_1_2730154 MYRRSVFTNITPLPSYITRETVVEALHNHAEMIELNPLVIRHQP CRPPSFSPADEFHCTWYEMTDRISYLPGGLVQGNVSYAGCFYDLPRGLQTHVYAPTGL DIREKWSVCGNMPGEPREPVELGIPDAPREGLYLREDVNMRSQIWATAFVKRTLKRAH AVLVDRLVIKADLEKQRKDSISGLPTDSSMPKPASPSYYQKSSHEEDSMSPQQNRWST TSDIAEVPGSLQAGQRLDERRGQSVYELE AOR_1_2732154 MASKEPYDEKTGIPDVEETSPSPVEYDEDTPTEEELATLRRVPG SLPIVAYLICVVEFSERASYYGVSGLVSNFVNRPLPVGGNGYGAPPRGTQQTAGALGM GTVKANAVNQSFSMLAYALPMVFGYLSDAHTGRFKMIYWGVFVFGIAHVLMVGATAPN LLANGGAKAPFFISLYMLSVGAAMFKPNVSPLLLDQMPNTKAKIKVLSNGEKVIVDPE VTTERAMLWFYLLINIGGFMQVATSYAEKYVGWWLAFILPLFLYLPLPALLFWLRKRL VLHPPGGSDLLNVCRVLGICLRGGGILRIGRHGFWDAAKPSVIAAKGQNIRTHWNDQF VEDVRRTFQATGIFCFFPIQYINDNGLGNAASFLSTMLTTNGVPNDVISNFNSLSIIA FAPVLNYGLYPLLRHFHIRYGPVARITTGLALSTIGGIGYTVLNYYAYKLGPCGKYGS SDTCVDADGVSLVAPITIWWMAIPYALGGISELFVNVPAYGIAYSRAPKNMRGLVSAI NLLNTAVAYAIGLACSAVIKDPYLTWVFGGPSIVGGVLTVVFYFMFRHIDKEEYVLSE NAEETHVFEGHGKSFNNRIYFLKIYVPEELRLHGLNSGTVNDMVLKLNGKFFDQTKSE NEVSCLSLLEYFVPEIPAPRALAWSDSKNSLVHKLTVAGTPVKKELEIHSDTDGQLQG WILMTRLPGIPLSTLHLDTDKLKVVGEQLADIVYRWRESLPTWASAGNLACGLPHGKD QDPNSVEVAGLRIASSSNMPGFGVKVVEPIISQLQYYRVRLETRLQKLQALDIFAGNR HLIAPIRDFIAVRLPQLGISNGKYPFLFTHYDLSPRNVLMSVDHTRITGIIDFEFSGF FPELDEFVNDSVANEGDWPNAFYEAYLNRLEVCGMKTPMNGIKEEHWKEATWLSRLED NIAPWWLENLTPEDRRKPSEDLRKSETVVLEAIRVLGTGV AOR_1_2734154 MDGMWDTHIHCLDPIHYPYKSTCAYTPPAAPLEDLIRSTPATQI VLVQASVEDGFDGLAAHLARIHTEYPNVLARGIICMDENWSLLKTEDFDNLHALGVRY CRIHGVHGGVNMDAQSLQRQIRHFATSYPSIHLNWGLSAQFPLATWSSLKSLFLEDAD VKKLRIVADHVGNIVPSDIASPIFKDFLEMVRVGRLNVKISALYRRCGEDISKMKSIV QRIADTAPSALIWGSDWPHVDASQVKSTEVPPTADIKRELSELQDWLSKDQFRKMLVD NPQRLFGA AOR_1_2736154 MASPLPPTKSSPDSQEVQSKDQKDNWVEHVQDENALDLVATYHS YEPEFRSKVEKELLRKIDARILPLIVVIYLFNYLDRNSITQARLYGLQEDTGLKGAEY QTAISIFSAGYILMQLPSTIMMTKFRPSIYLPTCMILWAITSGCTAATQSTPGILLVR FFLGFVEAPFFPGAVYYLSCWYTKREIGVRMALLVCGILLSNAFAGLISAGILSGMGG VAGLAAWRWLFILEGLATVVLGCLALVVLPDFPSTTKWLTDSEKVVAQARLAVDSGTS TVNDEEVPIMRGIAWAVKDARTWIFACLQMSTTASISYSHFFPTLIKQLGFENNTIVL LLTSPPYFVAFWWSLSWAWVADRKQIRSIPSGISQALAMVGTILLIAVSGQLWARYAF TFLVCCGTFGVYSTTYAWLSSTLTQPPIKRAVAIGLANTCANIASLFANYFWLDEYEP AYRQSWGCLLAFQALGMACILTLRFLLQRSNKKFEKLAAEGDINDTIFISHLNDDERS AVQNNFRYVV AOR_1_2738154 MGADTESHLSGSDFHYDFKNGDKTIKTGYDFVVSTTETSINDGL RAYLNNSDQPVQYLCFLVDSQGNVDKTITLDELLKLTKNVNPFTTPAGKVDQAVWQPL VDAKFRYGIKLQMGIPPGLLPKDLDIVEFADEDATHVRFRLYCSEVSIIEFQIPSGWG STDHTLVLHSQPAGKPWYAEMKVNLKVEDLDTQLNTTYLNNHPTVKQEIKDKLKNLKD TAFSLQQLLYDLDSATLDSTPDFPGLHEGSDVFPLLQKTFSGTWSKSAAEKGLPLVAI AAVAQSNDSSPLVMSDYQRHVSLQTTKEGKKFRTLDYFCLTGNKKLPSNPSLPKFTWN WVVPEDKATKSGTMAINRTTFANYLKDQLVPHAQKYCGHPIVKVTGDDDPLKPHINFF PVRIEYGKDPDKIEVTEKGKDVIHLSYEGYGEDKAHSGLFRLYGAVEVWNKYNCSVTF AGSSMTIEQHHVVRFFVQKNSTTDDINAIDRKSTVSYSLQVNDHGRLETVKGKSSDED KSQRGDRSLFIDTFSGINAVVNSLKGVDLAPPELESINGDKLQSFIFPGSKAFAYKSV NFSDYQDLVCDITYANPS AOR_1_2964154 MATTDNSGKKLVLSYDTELIQNYIQGEIVSPKNKFEALQTKDGH TLLFGIDSSNVFHVIEESSGQHSTGWAQIDLSTTTISSQLPGKKDATVRTFDVGQSAL DQTIGMAMAVRVEGKDNLFVSLKNSNSDTAWTKKPEWTLVPFDAANETQSSITVAGIW FAETDSQKQYLVVDVDRAGSSTIKDIARYYVDPSETSGSRWVKHDVPVDIAAGSYQSW SAQLDYVPLENIFGDGPPLPTRFKLPDNKIPSAIATARNGNGETDLYILNGETLYRIA AEKQKDDATADAVLTNSLLSGTVVLRAMIHQGVLTLFGKNGSDQVYCLSCHIENVTDQ RAWNVPVPIANGVEQISAYVNRADGGNTIFTSGGGKLGKITQDINSLWKPQNLKLAPA STTEKALVFKSYTTFIHVMDENDLAASGATLKVSTASRTPVYINGLYYVLGQSPIEVE ADSTGSMTVIEETPNINGATLIVSTDGGVTTTAINPMEKSFEKLGKLNSKDSLRDASF PSKTCGGGVVGTPKKSPLVESSTKDSDLDKVAANMEGLNKAYAHVKTTKPAGQKLHGN LRATSSGDFGDNILIGIGDLFSWFESGVEAVVEVIWHEATQAWHFIATIAGDIYRAIL DTVEAVVAAVEWIFNAIKTAIKAIIQFIEFLFEWDDIKRTKNVLYNISKQFFQHQIDS IGDAKSTFNNKIEYVEASLNEWADVDWSPLGDTVSKPASSSSKSNSKNQTSGSQLLAH HYKNNANSVSVVADSPFLGDINKDPVQKALDDLHSALSKEDKVISGFRDQIGEVAKQF ATMTVEDAIKKIVAILVDGILASVEVVVDALLDLLQDLATAVVGMVDAKLHIPIISDI LNAIGIPDISFLDLFTWVAAVCYTVVYKIAKGEPPFPDNKDVQSVIDAGSWNDLIDTL HPPASFSVASRTVYDMPVSRLASASATSTPSQPTVLQDAIFIAGHSVSGICGVIGAFV NAVEAESPTGDNPMSTPSAILGFIGAASQGVADIVSPRDPLQEPIFSALSTATSVTTV VSKVVFSSYGQKKLAKLGLPTAKDPRGMGAGINVLLVGVAAIIGEVSNLTSYISRISY ALAVNDIEEDTRQVVIAVMTVSNLITAGLQIAEAIVD AOR_1_2742154 MLFTRPSNEKGAAWPAILVGLFAAFGGILYGYDTGTISGIQTMP YWIEEFDNPNAGRIALIVSILSVGTFVGALAAGIMADITGRRWGIILSAMLPFNLGVA LQTAATSQPLFIAGRFFAGLGVGLISAQIPMYQSETLPKWIRGAVVGSYQLCITIGLF LAAIVNWATQHRPDSGSYRIPLAIQFAWALILAGGLFFLPETPRFLIKKGNDTQALRS LVFLRRLSADDPDLLAEMEELKNNWEYEKSIGSASYLECFKGTAGKRTITGIILQSLQ QLVGINFIIYYGTSYFAENVQGLPDSFILQVIVNSINVVMTLPGLWAIDRFGRRPVLL TGALGMGVSQYIVAACGAATPTSNFTSQCAQFAFICIYISFFASTFGPCAWVVTGEIF SLQTRAKGLSMTTAANWFFNWLLSYITPYLTGALNPTQSNVFWIWGSFCWIAFVFTFT MIYETKGLSLEQVNELYESVSKAWRSANYRSELRRMSVSEAYRKESVDEETKPSEMAC EDSSKV AOR_1_2744154 MTQCKALTTPDTMWNFCPSIGAAYLLTVLFGLTTLAHIAQAILH RKLYCWVIAMSGTIQTVTYIFRVLSILNPASYDDYAAWFVLILIAPLWTNAFVYMVMG RMVWNFTDDARVMRMRPWHFGFSFVTLDIIAFIIQVYGAAQAAGNNISYSTEMTGLHI YMAGVGVQQLFILLFVVCAIVLHRKILRQHPPDTKKALQLLYVLYACLVFITLRIIFR LCEYGQGLNSTVPSHEAYQYCLDSLPMLLALVLFNFIHPGRLMPGKNSDIPSRKERKK MGVCTKPKMTGNDMSPARLTLYPSTWELHGQVWFLVR AOR_1_2968154 MIVPTAFLFLLLGFPLPAACRAVPPPALDQFERPPVTSRIKFRY WFPDASVPVASVQRDIADLASNGAGGLQLVPFYYYGNPSDAPPLTDWRTFGFGTEAFR RLFEAALDAAVENNILMDFALGASQGQGTPAKPGTEGLSLQLQLGVTTINAGTQVTGP VPGPQNLTETLLSGGGFMHGLAGAEKGELKAVIAGRVISVSSGENTATRTVKLDEASI VDLDEFVENGHLN AOR_1_144 MSSGLSLERACAVALGIVASASLVAAGPCDIYSSGGTPCVAAHS TTRALYSAYTGALYQVKRGSDGSTTDIAPLSAGGVADAAIQDSFCANTTCLITIIYDQ SGRGNHLTQAPPGGFNGPESNGYDNLASAVGAPVTLNGKKAYGVFMSPGTGYRNNAAS GTATGDKAEGMYAVLDGTHYNSACCFDYGNAEVSNTDTGNGHMEAIYYGDNTVWGSGA GSGPWIMADLENGLFSGLSSTNNAGDPSISYRFVTAVVKGEANQWSIRGANAASGSLS TYYSGARPSASGYNPMSKEGAIILGIGGDNSNGAQGTFYEGVMTSGYPSDATENSVQA DIVAAKYAIASLTSGPALTVGSSISLQVTTAGYTTRYLAHDGSTVNTQVVSSSSTTAL RQQASWTVRTGLANSACLSFESVDTPGSYIRHYNFALLLNANDGTKQFYEDATFCPQA GLNGQGNSIRSWSYPTRYFRHYENVLYVASNGGVQTFDATTSFNDDVSWVVSTGFA AOR_1_2144 MASIQLPPVQTPVAITDQFIAQQTESLVLKERILSLSGDSFDVK LVTGEPIFRIKGKPLSISGRKSVFDMADNHLFDIVKERLHIHSTYVVQALDGSKLLEV KSSFSLIGSNATVIFTPSTTEGLVSLNMKGNWRSRKAAIVDAATGAVVAQIDRKRFNA RQIFFHQQTYVVMVAPGVDLALITALCVCFDEKNND AOR_1_1792144 MDQIQLRIETPAPHDFEAPIQTIINAAIQSTNPSPAKDAALTLD AVYLDYITSPNKDPESVLTLFWELINSFAKLADITSEQTILNQKLWRNLPYFSSEFPQ TWEVVSPSADDKEKKRRFVNLQAYAARILGLGLSSLETYAIWALSDVAEGVMIPVRGS PDLVSADPNDVDQLPFKAAAAGVWILYAGHALHGRDEAIGGTQGCPLWMLPKAERKKL RRKFRGTQGLCLDRWLLWKQQFAAIRDCGSVDTETRRIAKNVVETMDGVDGHS AOR_1_4144 MTKYKPLQEEDSSDGCEHFEAHYKAPHIPKQRPTLAFRVRYTFP ILLATFGFLDLTYRTYTLIQSRRPISCNCGETVEEAIANSCKYDSIAAAWLPPACRND ELLAQFETSGPNPDGSWMYYADKNKTRTLSLEEVSMLPKTGSHFFTTHQWHLVHCAYY WKKMFLAAEMGTVIEARYNNLAHINHCEMMFLKRDALDTIVTEAGVSLHSDRMVVAKK HGHEGDKQEKGY AOR_1_6144 MQFNMIVALLAIGAPLAYTSPIVGQDNEAIPFYEKRADNSEAIP FYEKRAGDNEAIPFYQRRADSSEAIPFYEKREEESEVIPFYQRRADNSEAIPFYEKRA EESEAIPFYQ AOR_1_1794144 MRKAQDDFKGLDDGICSSLSQEDLAKPLLIGNDIADRHDHGQGR WITRATQWAYPKGNAMMLWLTFLNLVLLTISIILLNLAFSRQAYVQYLSDQEKWKSTS HYAPLLDRVDIPRVTLTPNASLYDTDPPSILRIPSGPEADAEWFRIGTGVMPIIISSD EIYKLGKDPSVAVKIPEEHGYGNDAYIAQTEVFHLLHCLDMLRKEISYEHYYFPRFGN HPDAEHIAHISHCIDILAQAIKCSSSVDVILFNWVEGWEQPFPDFNNQHVCRDFETLL RYVNENSVSRSVWKTMKEPPAGYTVRDSKPLLCYRAYSRTPSAVWEPTDSRKEATLNL E AOR_1_10144 MAKKKAPMLTNVDDMDNEGRVRLLAVIDNLRVLGINDNVSLPQL VVVGDQSSGKSSLLEGLTGFSFPIASDLCTRYVTQIVLRRTKPEDSGTRITIIPGPSA SDGHRENLLSFERSSQEEDLDSSEIADIFNEAARHMGVPGPNTTDPEHFDKRFSDDIL KIEISGLQQRHLSVVDVPGLFHNPTQYQTLEDRAIIRGLIEKYITDKRTIILAVMDAR NNLANQEVFNMARSADPQGSRTVGIITKCDAVQEGDEENVIRIAQNEVEKLHHGWFAV RNRSTKEIQNGVTIEGRHHIESHFFSTEHPWTKLSKDRVGIKCLKLFLGGLLYSHIKG EFPGMVKEIEDLSQETQKELELLGPSRQTTVDQRRYLTQIGTLYERHVGNALSGNYDP TWDSNSPLKLRMHIHSLNELFAKDMAHYGHLKIFQTVSGEVDGSFDRSAGDQQNIYEW IRTLYRDSRGAELPGTVNPAVLENMFRQQSSPWERIAQDYVEKASSKISDFIRMSLEK IVGDDEVRASLMSQIVRRQTTTSTRAMEILSTILSDERGGILQTVNHYFADTLAETRQ ERVMARLRSLDLEGVPMNVTDVLSGIHLSNEQQAVNDIHDILKAYYKLALKRFCDTVI LQVTERCLLGQDAAIRLLSPDLAGGMTDRELADVAEENFATSTIRNDLLSRIQRYQRA LDIVRQVGI AOR_1_12144 MTSRTDRLAKFFDFVLSGKRPVATVDNFTLLLEAIFEKKNHAAC VERIVASPAARNAIHAGVRFNTKPDFLNRHTALFLQYLSDPSIKTLCNGQHLRDIVEV MIEPRTLWNAFMKAFQANALTEPAVQAFTWLVIECLTHASANEADMVDDAQTVVSSGS LLKSTSPETRAYGHKLKLVLELKASNTFIEKSDYVPGGRHDNDHVDFRQIAIYPTNDE SCSVEKPFYRRADEILQLPIEKRVAGHLDNQFRLLREDMLSDIREELQAVKGRKKRRT VTTLKGLSVKEIFNGTERRMTPCGLVITCLQGLEALKARDKEGRKAFLKNDRGYLRHQ SFGCLLRGKEIVSFATVDRQIDYLLEDEPKIVLRIIGDDAVRKTLSYFKLYSDLMFLF VDTAVFAYEPILKRLQEKAELPLAEDLLNYQRDSEISSSNIIEERLIKDLDHGVRTLQ DVLTSEKPINLDSSQMQAFVSGLTQKVSLIQGPPGTGKSFIGALIAKALFDHSKEAML VMCYTNHALDQFLEDLLDIGITSSAVVRLGSKSSQRIMPLKLSEQHSSNRRTQASYRI LDQIKEKSRELREVLNGAFGTYFNFKLNPDTILEYLEFEEPHFYEALTPPVDPEGMTL VGEGGREVTSQYLYNHWVQGKNYPTAISSHLSEQANSVWQTSKSSRQAKVESWTKLLL EEQVANVQGLMSRLDRCEERRGELWSETTREILRTKRIIGCTTTGAAMHARDLGAISP GIVLLEEAGEILESHVLTALGSHTKQLIMIGDHQQLRPKINNYNLSVEKGSGYNLNRS LFERLVLSGFPHSTLAKQHRMVPEVSSLVRNLTYPELLDGDKTMNRPAPRGLQDRVIF ISHSYPEGSLGGVADRNDIGGKGSKQNHFEVQLVLRIVRYLGQQGYGTDRLVVLTPYL GQLYLLREELRKETDPILNDLDCYDLVRAGLMTQASAQHIKRPIKLSTIDNYQGEESE IVIASLTRSNKNGDIGFMAAPQRLNVLLSRARDVLIMVGNPKTFLSSKKGECVWRPFV DQLQTSGHLYDGLPVKCEQHPKRLAVLQSPDDFDAKCPDGGCEEPCGTKLSCGMHDCP QRCHQLSDHSKMQCKAATDWICPRNHKQLTPCFQKMGHCRRCADEDREVERQRQRDME LDAKRQAKQQEYTHQLAVIQDEIAHERRVQQEKQDEKERQRVLQQTLDDLERLKTRGA ERKRETSPGVVNSDRTGQDSQTLPDRSKIVSQTASGTTIGPDVMQALSSSEQDWLYQK QYEGAQSKELDQLMGMIGLESIKAKFLNIKSQVDAAFRQNIDFKADRFGSVLLGNPGT GKTTVARIYAKFLTAMGIIPGSFITETTGSRLANGGVSDCEKQLNKILNNGGGVLFID EAYQLAQNNGPGSQVLDYLLAEVENLTGKVVVVLAGYRRQMEKFFAHNPGLPSRFPHE FIFEDYTEQELLRILEHQINKKFRRNMKVEGGMNGLYCRIVARRIGRQRGHEGFGNAR TVENVLARITARQAARLACERRNNAPNQPPVDDFLLTKKDLLGPEPNKALQHCSAWKR LQNMIGLTSVKNTVQALLDSIQSNYERELNEKPLVEFTLNRVFLGSPGTGKTTVAKLY GQILVDLGYLSNGEVIVKNPADFIGSVIGGSEQNTKGILASTLGKVLVIDEAYGLFGG GTRDRSGSNTNQYKTAVVDTIVAEVQSVPGDDRCVLLLGYEEQMKDMFQNVNPGLSRR FALDDAFVFEDFSDIELRQILDLKLKDQGFITSDKGAKVAIEILARARNRPHFGNAGE IDILLNGAKVRQQQRRSAKIGNPGIDYLELQDWDPEYDRGEREEINVRMLFQDTIGCE AIINKLEDYRLTVKHLRELEIDPRQHVPFNFLFRGPPGTGKTSTARKMGQVYYDLGLL SSAEVIESSATDLVGQYIGHTGPKTQELLEKSLGKVLLIDEAYRLAEGQFAKEAMDEI VDCITKPNFFQKLIIILAGYDQDINRLININPGLTSRFPEELEFASITPDDCIKLLTK LLQKQKSDFGLKINGFDLDALESPRPEFTKKLRSRFTRLTQTANWANARDIQTISKSI FGVAIKSMRDKKIAICEDLVISKIDAMMSERIKRVTNQSVARNPTLDLLQSQVLGRQP VTPVLTTTFNPPAASKGSAENDERSPPAPSALEAPSDSVTRDDGVSDEVWEQLEQDRQ AAKAAEDRYKELLDDERTAEKEVNDLPHPSSVKSSDSDVDTEAKKQREQRRLEELARR AKLENLRKKREAEEKARKKEQQIQQKLRHMGVCVAGFQWIKQQHGYRCAGGSHYILNE SLGV AOR_1_14144 MASIRIADIHRPDLTNSEKIGPSITDVEHLSSYNWIESSTPTIA VPGCPPLWSPAKTPKKVAKDSGLIYIAQNAVRHPESPLEPLFRSLYIENPLYNIRLVD LITDRNNIRKLLSFINPNLSKNGLEPFTIGVEVTNNTAIFCRMEAETCMFIGPHEFRG YGHEFEKAFTTTQVSASTGHHRIISYNLGDLKFIVRYETDAYVDELSGVQSRNVELEN GSLLSMMKTMSLSQLESRSRLPAESKLVMKEEGKQVSIQSTLEIKTRVAHKPINIQEV LPQLWVSQTPNLVRAYHKGGLFEPPEVEAVTREITKWEEDHASDLRGLVILIKEIIRV ARENDGNAVIKYDGRSDNLEVWRRNGSKMLPDDLYSKLDNKMESVQAIGSDS AOR_1_16144 MGEVFDVAVIGAGMAGIVAARDLSKNGHKVVLVEARDRLGGRTY MDHACGGILELGGGYVHWTQPHVWCELQRHGISVNPPMEEGMHYWLADGAVHTGSAAD FYKTALPLLERLFADSRDRFPMPCNPTAIDNTEIEKESIEDRINSLNLSSYERDVLEG VLSGVVHSYKDHGIAQLLHAVATYFGNFSAFFETAGTWSIQGGTKRLIDAIMAETSAK LLTSTPVSSIADKGSRVIIGTRHGKEIHARKAIVALPLNTIGDVQITPRLPDMARSMI ARKNPTMAGKLWIRVRGEIKPFSIYAPAGKYPLNAARTEKYFDGDTLVLCMCSDDASI CCEDRVGVQAALRRFIPSIEVVDFAYHSWVGDEFSKGGWMMNRPGFFTTGATELRRPH GNIHFAGSDVSASEPGSIEGALSSGAQAARDVFIKLNKQRL AOR_1_18144 MAFCKDQEVELSFAESQHIELARNGNEAHGSRTDRAIQNDADFP DGGVNAWRTALGGFLAFIASIGFMSGGSVFQSYYITTALSSSSASDIAWIGSVQLWGC FFFGIWAGRLSDKYGPALPLGLGTVFMVFGIMMASISKKYYQFLLSQGFCVALGMGWI FTPALAVQSQWFLRRRGFVVGAVMSGQNVGGIIWPVLTNKLLIDNGMSLGWTLRIIGF IQLGLMTAATLLVKPRFPRTSNIDGFPIRQYFTHKRTIAFTIALVIMDLGIYIPWFYI TPFTMTYGASASLAFYNAAILNGGAFVGCHALGIVADSGLGFFNCLTVTTFASAVVAF AWIGARNVGGIIVWTVAYGILSGALQAIFSPCLSLLAPTPEVTGSWNGIAITIGSFAV LGTGPIAGKLLGDEDGTGYVLMQLFTGICLALAGVFYLATRVLVSRDRWI AOR_1_1798144 MSTDDVQTCLLPARTWIKSSPYHPVPFVTRYDKGDLSDKSFSNA INTNDTIPLILSLARKENLLPSLLTVKKESDQPEGLEEPHFVAFCQLESGVTCYINIT HGGVLAALLDETLGLCAEPYRVFISDEQEH AOR_1_20144 MTDSTNLKPVVFIGAAGEMCRVAVERFAKASNAQLVLADLNTTV IESLAASFPSGRATTQKLDLFDEAALANLISGAGLVVLGAGPYSKTSQPVVKACIKAK VPYLDFDDDVESTQDALNLNQEAKKAGVPLYIGCGASPGLSNVMVMDATSELDTVNSI DICWLVGDEASVGKAVLQHLMHIAAGPCLTWVDSKPTVNESWVGTTYAPMYAEDGERL LHETAHPEPVTLPRLFPHASRIQCFGSLDPKPLNGIARGLGSAVRTKALSLDDAVDFL YNLASKPPLEGGLGGAFEACKGHLRGGDITLKELFGVISHSVGPLRYALWGMLEQIWN GECTTAEVVTYIMNAITGTKIENRGNTLVRATGMRGGVPTVITKRNPKNGKDSYLCQS MGTVTGTSTAAFMVMALEDGRKRSGVFCPEDWAKPDVFYRALERVGVPRDEIIESI AOR_1_1804144 MGFGNLPREILSLVISLLIERASPCLDPHDNLQHVCNARLVCRL WNTLARPFVFENVRLAYTDGEYQAWNDMLDSEAVRQAVRCAYIRSAPDDDHPLGIWNA YTDCGYNGLLSAIGRISELDRMKSLHLRFSRHCAGVETDDPRDEVVEDIRRRQEILES VFKTIQRRSSNKCSASTMRSLTIENLQNAPLPEFTSSELFRSVTKDLDALHLMVANEY DEAGPDWDTYRIERQVFEPYLHHQWLVPLSDHLVCLTLSFQMGWGTIPGYFDGRGLHF PRLKTLNLGNFVIGHHNQFDWVLTQSSLMSLRLDRCSIVSHITTHEDNIEKWHVRTND WYEYPLGSFGIDGPYVIYGFSGTWEVIFDSIRTGLPQLTDFCYHYDDDPVFPVPPGAL SVSLSNKRYTTFDDFWHDADEGSGGQDFGDSEWGYPDKRYVNRSEETKMGDSRALNAL LQEIRQRQQALRLG AOR_1_24144 MPSDTTRPGFQVTGFRLRRTHEKSRNGCVRCKQQRKKCDELRPS CSRCTKRMYRCRYQSRPSDDNLSEQEPTHFPSTIVPGSRSPSARLSKHHLVSSPPSGG QPAASPGLSADGERLGLNSNIPDTLDATELGLLAHYITHTSQTIPFDDLDLYALSVGV PNMAFNSRAVMSSLLALAAACKSHDIAKRGQTLLDHQSLMQIRELLTLAERHHRASLR HIQATMHNSDSYDFVLANAALMVLYASASHSIRVHLAAGAKQCGQQLPDELLPQHSQW ISFTRAAHIASTAVLNDIVDAADKVQSAIPSPAIDTGSNSPIPVLCGTGVLSPQDGPS ENTKRLFLPLVASTYTRAFESLRRRAEFTAARLKRSELSPCNTLQLDASLETLSVLEN CASLALSTREGNESVQVPRNHALSSDRSHRVSPWVASYMISVTSMESPRVLRRIIMSF LNKAPAEYLSLVRSVLDSPDVEAGVENGLIQDSPGAEAPSLNAAHLLAMDIFAHWLVL VMLLDGVWWIGDIGQWELSQVLSLTKTQNVLGWSVDTRETWWPESMYLVKRELNSNVL Q AOR_1_26144 MRIALLHLLTIAAVASSASLRIKTTSGTVQGTVNSTYPHVRQFW GIPFAQSPVGNLRWLPPHSLPDNATLNYINATAPPPACPQSTSASNVFAKYEPEIMIV GGTSEDCLTLSIWAPNNGKDLSDLPVIIWLFGGEWRIGGTDVPAWNPSSWVERSQEHI VVAVQYRVNVFGFPASRALQDQNLGILDQRAGVEWVQKNIAQFGGNPERMILWGESAG AGSADILNFAYPDEPIVQGFAADSGSVFLTLNTRSTDAAGTNFSTVASYFDCSGSAQH ELDCLRQVPASEITAYLATSNGSSLTFAPFIDNEVVFGNYTERYLQNRLSNKPVLFGS NLDEGTLWYNASNTAAAQDMTLSYFQCPVPYSVAHRQSLGLTTYRYQYRGNFTNISPE VGLGAYHTSELPLIFGTSGIYGPDSHFEKAVSVKMQDLWVAFAKDPEDGLVHQGWPKA ASTDGKVMILADSDSHTVSTVMADRAIDDACHGYYSSY AOR_1_28144 MVSTSALRSVAAVMIALAIPASAAPQPVSKRSTSELSLTAQLRL ADTAIERYQLLPKDEDFVFNFTASEVPVATSQIFPALVGTGASFSIGELPACSMSFLH LHPRATELFALTSGRVLSEMVPEAGVLDSEGKQRVIRVELGPGMVTIYPAGSFHTQVN PDCEPANFAVAFNLDEFAVGLVAAETFSLSDDVIAATFGQSIAGEDIETVRNAIPTTM AIKVEECLKKCGKQKRQA AOR_1_1806144 MLVNTQYEDADHSFNATTRDQERRGFSNASTFTTKLYLRIPRPN KFGYFIGFGSQVLRKTQDSAPCEKFHHPRIEGYIEEAEEDWWYNKLCDEELEVMDIRT LNGFVPAAAVWIEYCGKEIHDKGGYIDQEIPAWDKWTGPAGWSKEPWAFWKERFEWIS TVTALDRQTRKIATKPVEQMTSIERGEDGII AOR_1_30144 MQANRAPRQFVLCFDGTGNKFSGNESDSNVLKIFRMLDRSGGDQ FHYYQPGIGTYVTSTSLSNTGRIHKIRSAYLKAKDAAIGSTLAEHVMGGYKFLMRYYR PGDDIYFFGFSRGAYVARFLAEMLDEIGLLEPGNEELLRFAWKTFAKWQQRRHNAEEK DNLSRYMEAFRETFSQPVSQIRFLGLFDTVNSVPRFENAWMQRSKFPYTARTKAKVIR HAVSIDERRAKFRQDLIGEVRPPCPTGPTSRRQQVRDHLARHHLYLPHGPVHHHRHHD KGQQKGTMGAHGAQNAEKAANGGRKHTVYRPPARRLRDDHSAQSVASAAASCSSLSLE AEEDEEQDIEEVWFPGCHADIGGGWKLEDGETYALSHAPLVWMVEKAHEAGVKFSVKK RKDFNCWHDPGCKAQGREQDRVSPENHMSNPAPIVIAPDDTDEKPDLSHFEHVLRRSS TDGKLHDCLQFRKGLPWTSVLSWKVMEYMPFRRMDLQDDGSWKPIRWPLPRGEVRDIP NDAKIHVSAINRLKFNPEYRPGNLIIGGGGRGVKIAPKECGIEDWEVAAHHGCPIQET YLRKQISHIAAA AOR_1_32144 MVAETRARRDSKIRAGGDNANCWDRLDTLVVDAQDLSPFPSNSV SHIMGSLVYFMLPDPMKGLIEAHRVLQAGGVFACTSWAKVEWMELLVQAAQKVRPVVK DNNSTQPRETSLIPTHWKDAAGVREELEAAGFRDVHTEYVEFNWAVEDNSRFAEMMCT SSNPGSKMVLGDLIAEEQYHVRKEYAKILEENRNVCKGVAVLGVGRK AOR_1_34144 MTSESRGIDPAWPPGTVRIEGAFGSDQGSNIILQPKPSHDPNDP LNWSTWRKHLNFSLVSYYVIMVFALIDVATVTWGPVNAELGFSFEILNDSYAAGCGAL CIGSVIIIPFALKYGRRPVYVFSTAFQCAISVWTARMMNVADLMLVNILSCVVGALAE VLVQMTVADIYFVHQRGLMNGIYVWIMTVGTSLSPLAGGYIVDSQGWRWVWWWMVILF GAGFVAFLFLYEETKFVATPTEQVPPSMSPEKAPNRKGNFEHHENVTKDEENPQEDSK IRVEPEIGYWIDPSIRKKTYLQRLALWTISPSSLANFARHSYEPFVMLFTIPAIFFMA VVYGAMTAAVTVTVTTLSSWMTIPPYNFTAAQIGLMGLPSFIGTSFGILLAGPLSDKL IVLLARRNNGIYEPKMRLWLSLAFKIFVPAGLIMFGVGLDRGLPWPVPAIGLGLASFG STPPSSVSLTYLTDAYTDIVAGSLVGVTFIRNLISTVFVFALAPWIASSGLTGFYITF IVILTVILLGNILFLIYGKKLRVLGARRYLYFAGNQIDLRE AOR_1_36144 MKTFLPLVSLLMGVGMTSAAPALKVAAERDVNPESAHSFKINAY SSPPSDGQVNDALHRREVTPEGANLFIIHSYGNPSEHKVDDALERRNEDSVDGARIFN AIESYGS AOR_1_38144 MAPPTSAERTSEDSSSPTQPFLEQRIPFREEFLRPPRSSLSWFI HILAFCVSLTLGLVALTMKPSALQCTHQLSPYSPLIEEGLIKYESKNFDNEFDKPSNY RGQPSKLTEASWKALWETPAIGVPREKLEVLNKSGPTSLNWFHAPPSPDHGARDELAA LVEVFHQLHCINTLRLEVHKDSYHDHFGEWPDGSGPGNEAIKKTHIDHCIELLRITLM CTSDVTPLLFIDDPHAFQGRTPDFNTMHSCRNFWEIREWVEKMGLPPLS AOR_1_40144 MKRLLHDIRHKDGMSPQRHLYTHVDSNGLSTSPPSFVARTVPWF LQLAFFFTAFGFLAFRYQTVATDQVCTSRLSSYSPVIDAGIIRYTSYNIAGDFEQPSI YRGRPTNQTETAWNKLFMAPGINIPETKLPLLNKSSTASWLRTPKKNGDGYVGYLEVF HQLHCLHMVRLKIYEKAYEEEFGYPASQFESQGTAVTATHIDHCLETLRLNVMCTADV TPVMIVADDTAPLGRYVDFNTMHKCRNFWDIRAWVDQNKVID AOR_1_42144 MAQTERLQTFASKPRVFILSDISNEPDDAESLVRYLLYSNQFQT EGLVACTSTWMKTKVCPQDMHKIIDGYEKVVDNLNAHAHPQDPYPTAAYMRSLIKKGA ETYGMSAVGDDIPLSEGGQLLLERIEADSTEPLWVLCWGGTNVLASVLLKIQNTKSEA VAAALRSKLRVYTISDQDDTGVWIRHRYPDIFYICSVHGWNMYGMAAWCGISGDGWYG FDKGGPDPSKISKEWIRENIQIGPLGSTYPDYMFIPEGDTPTFLYLIQNGLGVPERPE WGSWGGRYIATDISAKGLRNGHYSDTVDEAVGLDGKTHKSNHATIWRWRDAFQNDFAA RMQWTLSPELSKANHPPVIILNGSKGLEPLHFELEAGSTFELDASETYDPDTNDSLTF TWYQYKDPSATQWSVHHEVGQLQFEPLNSTGSKVEVTVPPPEKCCLELISREALPRGQ LLHIVLEVKDNGTPPLTSYRRVTVQTLNEKLLGGGGGAEAIGDVMRDMM AOR_1_1812144 MLGSFKQSALYQELNARLVFIGCFVSLGAMGFGFDNSWWGGALG LDQFNKKYGAYDPSTGGYSLPSEKQSAGTGTGSAGIILGCLAAPWLCSHLGRKPTLLV MSGLLAVGTVLEASAITSFWQLVVGRIVVYSGIGVASNVVPMYQSECAPTKVRGAFLT AYSIWNTFGGFMGTLVVFLCQNIKSEWAYLTVILCQLFVPVGIVLGYAFLPETPRYLV YRGRLEEAEASMKVLFGPDYNAKEEIQLLQLQLEEQREFHKATSLLDCFRGVNLRRTV VAMGTQILQQAQGISFINNFIVTFMEQLGFPDPLKSNVIVQSCGLVANLISLYTFDKL RTAY AOR_1_44144 MWVLKAAIVSGLLLPPTLANRLYLPPVYHNGSVEVENFSVPGNL DGFKMKTPANTTSFDFWYFDAFSRNTSAAINIVFFNTGDFAANPHPLTVQISGTFDNG TQFSGEALASEGAFITNDESGITGNWLGSGASFYGTNLEKPNVEYVVKLNSPSIGVRG TFKLKSRAPPHYPCNPNVDGLNTLLLPHLHWSNAVPDAQANIDLTINGTEFKVTDGVG YHDKNWGDASVITSPKYWDWGHASLGPYSLVWYDLLDYNNTEHVYAYISKNGNLMHNN CAEKAVEVRQWGANATYPPTSGIATNEGLTARFDLGGGQAFVANLTKEVIIHDQIVYA RALGSVTGGIEGQEQYQGRGAYEEYVYGLLYGKS AOR_1_46144 MSEYSFYHYNPSVAAAVIALICYGASTGFHIFQLWKLRSWFFTT FVVGAIMMTVGYVFRTASAKDKTAIGPYIGQNVCILLPPSLYAATIYMIYSRIVLFSR SLELSIISPHKVTKIFVIGDVIAFLMQASGGGMMAIPSMSSLGQKVTIVGLFVQLVFF GGFFTLSVIFHQRVQKLKCVRVLSMPYGPLLYTLFGVSLLIIVRCLFRIVEFCQGNAG YLASHEVFMYVFDTLLMFVVQTVFHFLHPANVLRERAYREVEMRG AOR_1_48144 MPLARRSHRKTRTGCPNCKRRRIKCDEQKPSCGNCLQHRIECEY AESQAMNGYSSLTSATASPSPSPSNRGNTRALPPTSQVQSGSSPRPQASLSDDDVLNS RLIHHYSTATYLTLSDKPEFQYIWQVEVPEIAFEHRFLLPMLMAVSALHMCRKGLPEV RYMSYSYHQYETALKGSSLALSNILPSNCHALYAVSALAFVFELGTSYNHDSLLYREA GVLAPWIMHIQGVRTIMLSTWAHIKAGVLGTMLDSEPSGNGPLELESCVNEFVGYIET MPLASEQIIVYRSAVNELIKWSKMPYSGFFGWVCLFGDEYGSLLARKDPYALVIFGYS CILLRTGGPKYWISRWPEGLLWEVYGYLRPSLRGWLEWPMGELGMNCRQASPE AOR_1_50144 MVKLFKNSSPRKIQPTYFDIRLDHNTIWIPAAGHTIKYGHAQGK VILCLSDPTCVRDVKLHLEGRYYINWDTTFPTDSHRHCKAFWKELPFHHDVWSFLRVS AGSSATTLEPGNYEFPFQMCLPGRLPESMRGIDDCYIHYFLRAQIYGRKGESVSTSRE VTVRKVYNTPSRTAPSSVENDWPDKIMYKVSIATPTVQFGGNIRVTYRFVPLLKGLTV KSIRSGVIETHTVLRPYASRSREVLTDAFDPPTWEEMDISTDDRCWYQCSRMLHLPKS TRQCLQSVATTVLKVGHSIQFSITLLNPDGHLSSIRLSLPIVIIFYPSTSASLQMLSD IATWDEEENTLPHYSDHVRDSKLVEICPGNPSTPAGPGSNEKPPDYSVSHDVSDIPSY WDTI AOR_1_52144 MSQRPPQASIDSSPNDVLPKKSFRLPPFLDHFNGRELKVFFRCW VALWVASLLIFITPSLTSIGTATFFASLVLLFNPPSGIVFIYLLGALTLFIGICLAWA WGVITMKAAFAARPAADTQARLASLQQTAIAQANATGTATASVSQQLIYDGYMLDTRV TAITFCLICTFIYFMARLRASNPKAALTSIFGIIISDLFLNFTPLLPSFSGTLPLTLV KPAAIGVGLGFACSVLFFPRSTSHVVLDSMEDIVELLKQPLAFTSVTLGKKAQQPDVD RLHKTHAQIIQEYRKMEPGLAFLPLDFSVGRWGPEEVASFKEPMRQVVAAILLLLEFH IGRIHGEVRTEDILREHAEQTKNGDITDEKQPRKVGAQQLSQLVELLEGLRSSGNQQL PQEIVDELVHTSAMAIDACLEGLTATRECIHMVNCRRWVRRAPPTEREDLYQRSRTAL ENLRKAHSGFLRDMTELLIGHFAPTTNGESRDQRKIGALVVGMVFEEHVCITITRTQA LLDRVSTAFHDSKGTKLWWPTSLRYAASWAFRKKAKAPTTTTVVEDDPDEAEDLTKAA QEKLRISRGYRPKNRSPLGRAILGTYHWFTSNEGLFALRMVVVTIALGIPGVIPHTAG FYYREKGLWGLIMAQTGLLVYMSEFTFSTLGRLVGTVAGGVLGLLAWYIGSANGPGNP YGLSAVMAVMLAILMWIRLYLPPNLVQGGIMGGATFMLVVAYSYDDTHIPSYGNPGVG YTVFWRRLLLVLIGVGAATIVQLFPRPPSAARHICKTLSHTVRTLSDHYALLLSCWGR SRHDGRVLAEPISLQLTEGLVMLDGPIDMLRFDFSSSRFDSESLGRVKRLCHIMNRTL GQLLLLSGTLPNEFQHRLAQQTALLDHQCIGEIMAVLGMCEQALKTGDALPEILPTPL VRRAFDYWQSHPAEIDFSPETVRDENYRRFCVALSAYLKFLGTIDELVLVIKGVLGEA HLVSHELGDLV AOR_1_54144 MPADAYGQYVDIKIEDGMKYDLRIENAHLDSGQFYRQGDQGDIM TADDIDDMIIRHNGGIREVCSCGETDSMSGTQGTIDLIDDVKDTRICTLAWSAPMQSG RKNRFSMLNHDPRYKVDIGKWQESGTMGTVNVAIKDE AOR_1_56144 MAKQDNIRTQLRNLPVLEGPFAEVNFANFPDTPQDAFRMWLDEA IRAGVKEPHAMTLATVDEQGYPDARVLILKNMDERGWHFAVKADSPKAQQLEANGYAA LTFYWPQVGRQIRVRGTAIQLPDAECREDFAERPFKSKVSAMASKQSQVLQDREELTR RIAEVECTASSGQEDGFRKWRATICAG AOR_1_58144 MAAPSPRNLSAQQLADISPIGTAVLNSRDEILFLNRRFRELMTC QSRRAFDGWSQSIARGDYDRVAAVYDNALKANKALRIEYRTCDEPCQWRLLMLTPFGE EELRGLPLGPGGGSYCTITDITEEKRAEISQKKIAEEAQRRKEQQERFIDMISHEVRN PLSAILHCTEDILEAVQQKDRRNIRVEDIAQAAETISLCVAHQKKIVDDVLTFSKLDA EMFTLLPQRVQPRQHLAMSLMMFRPELRKHNIDFEYKLDHSYADCGVDWVVADLDRMS QVLVNLVCNAIKFTAKAGDEKKISVFMGASKVRPTSYPPNVVFFSSDESALRLNAT AOR_1_1816144 MTIIRSTAPSLQGYKVVSHQRTQDEFGEQGLDNNQDYSANLNEK RHMAPTKGSLYPYDRIKDKRDESTHSSESFWATTGRPFVHWMFTPIGFLITIYGLNIV AWGGMLFLLMCNAAPAMCHPDCDSVDAPRRIWIEIDSQILNALFCVTGFGLAPWRIRD LYFWGLWRLSRSERSRQKGLVRLADIHSSWFLRPQGIVNMLPTTSSSAKFG AOR_1_62144 MSPSHSEIFEEAIKVTPLTSHTYSAHLHQDWCIGNVPHGGYTTA ILYRLTTTHFARSDASPYRSKPVLPISFQLSFVRATQVGPATLSVQEVKLGARTSTIQ VTLSQDAASTGPQTKVVGYITVSPVETDQKGPVVNGNSILHPNPPSGSKPDGGIDFAA LAETGRDGEWTRFPTIPAMAVSQHTELYGALPASTVQERIKTTVDQWARFRPGGQVPA RWTNEALMCLLDLFPMALDRLGAMATSAWTSTNDPEDRQDRSPGPFWFPTVSFNVDLK KSIPNEGAAWLHSRVVTQTLRAGRADLSVEIFDENGELIAISSQVCMVVGFDRNLKNG KRARPAQGKL AOR_1_64144 MKATTPFPIENLPYGVISTPSEPTPRCATAFEDYAIDLNELQRD GFFDSIPGMIDGAFSKPALNVFASTPQSTQVEVRARLVRFLPSASEADKEKYFIRLSQ VTNHLPMETANFSDFYCSLEHARNCSEIMGLEVNPNWYYIPSVYNGRTSSLRVSGQPI RRPWGVISGPGASSQATWSRSKRLDFELEMGVFLAKPLPAGQILDIRNAKEHVFGFVI LNDWSARDIQGFEMAPLGPFHSKGFGTTISPWIVTIEALSPVECPVSIPQSPPPLPHL AWKGDSSNATWDIELSARILRKGKTYHITSTNLKDLYWTPYQQLTHLASAGEGLSTGD IFGTGTISNDRLNGVGEKSGLACLLERILPKNRLACMEIDGLEYVEDGDEVVIEGWCF HPQSGTYFGFGECRAALVPALDQ AOR_1_1818144 MDSRGKEAVGNVQRAVVEDTKVVLKATDERILWDEKQSRAVVRK FDLRLLSLFTVINLFSFIDRVNIGNARLLGLEKDLGLSGLRFNIALMCLFVSYCVVEL PSNILCKIVGGHIYIPTLVVCFGIITMLTSLVEQKGGLYACRFLLGVFEGGISPGLVV MLALFYRRHELGVRTSIYISASSASGAFGGLLAIGLSRIPDWGLIHTWRNIFFFEVGI YLPSRRYLTVPQGLVSVILAVVAFISIPSGPETARFLTESQKQVAVDRMRIDSAGTTE HSRTTFRHVVQGLTTPPIVFCALGFFFGK AOR_1_68144 MLNLQSFGIVLPLLAGTALAAVSYPPIPEDLTTPYQQRLAIYGP NAISVGWNTYEKLNQSCVQYGTSKDKLDAQACSSTSSTYATSRTYSNAVVLTGLTPAT TYYYKIVSTNSTVDQFLSPRSPGDTTPFNLDVVIDLGVFGQDGYTITSNNAKKSSIPS IDPALNHTTIGRLAETVDDYEFIIHPGDFAYADDWYLKPKNLLDGKDAYQAILEQFYD QLAPISGRKPYLVSPGNHEAACGEIPYTTGLCPLGQRNFTAYMHRYDETMPSAFTSSS TNTTAQRLATKAQSLAQPPFWYSFEYGMAHIVMINTETDFPSAPDGQDGSAKLDSGPF GQDGQQLAFLEADLASVDRTVTPWVIVAGHRPWYSTGSSSNICEPCQEAFEALFYKYG VDLGVFGHVHNSQRFLPVYNNTADPNGMNDPAAPMYIVAGGAGNIEGLSSVGSKPSYT EFVYADDYSYSTLRILDAHNLQVDFIHSSTGEVLDSSKLYKSHKEQFVVQ AOR_1_66144 MTEAEKSDIEGSTEKIDTDVRENEYPSITKVIVVILALYLAVFL VALDQTIIGVAIPKITDQFKSIEDIAWYGSAYFLTSTALQPSYGRIYKIFSVKWGFLI AVLIFEIGSLICAVAPSSTVLIVGRAVAGIGVAGIFSGALVIISMTVPLPERPLVFGM FGMVWGIASIAGPLLGGAFTDGVSWRWCFYINLPIGGLSIAVIIFILRVPKKSEFSGT PVLDRIKQLDLIGASLLIPAIVCLLLALQWGGNKYPWNSSRVIGLFVGFGLMIIIFTF SQIKLGDRATLPPGILKRRSVLSATMFALFFGGAFFLLVYYVPIFFQSVKGSSAMKSG IQLLPLMLATVISSVLVGAFVTAAGYYTPFLIGGTAIAAIGTGLITTYSVDISTGKWI GYQILVGAGVGAGFQIPMTAVQTVLPPEDIPVGTAAVMFFQTLGGALFIAVGQSVFQN GLIDGIREFAPTVDPRAIVGAGATEMRHVLAALGQLDQLDAVIRAYMSGLRDAYRVSL ALALVALVASCFLEWKSVKKTGQESKNDVAVPAL AOR_1_70144 MYDVQCVRCKGTGRRCTYAVNQTHTRIACPPTPSIGSNYALCDV VNHEWQERRAFEYYFYRAGPAIGGYLDHRFWKGIVPELCRSEPAVWDAVIAISALFED PDPFIGPPMVLKDNKLVGEHTKAVSWYSRSMARVRQRVTHNDFNPHVALITCVLYICI EALQGHTVEALQLYEQGAHLIMTLRQTSTMAYYEDVLQEIIKPIFFRLGAAAMISGGY PVRESFRPVTMLGNSSFATLAAAQTVMVDLVTEAFLLGNEAARYHGFQGCDSNIESSL KEQQGALTLRLDSWQRAFAHLQDREMGKPPNSSNIGAVSTLLTYHVVASIMTSTCLAD NEMVYDAQMDRFREIVKHATSALDAFNVVDSTQPPFTFETGIGLPVFYTAVKCRDRFL RRKALSLLRRAPPVQAFFKCAAWAALAETIIHIEEDLVPESHSRIPSAPTSEDKVLAS DSPVSVSYNLPHAPCAHQITSAQVVALQHDRVPLGGLENNRSDSSMCVGHIPDHRRVR EFGVFLAEANSSCPANSSDRYSEPTTQSHEQIFLRFTRRRRNGTTAQPRVAECFLPMK YGEC AOR_1_72144 MAKDDSDGNGSLIVGMIILGAAVCVMIYCGIRQMLEDLPRYVVR DRTPEQISYMRESLGFPPEIPNGDGGGFIHAVMDDSQPWLQTANRVLIGVGLAINTPL LIMRIYTKIRLMRRFWWDDVCLILAWIFSLGTQAVILYGFEHAGYGYHISQLPLSVLS RFRKCMLAAPVIYVPALAFAKLALLMLYYRLLQATHPLYVYIIYAVGFIIAGYSLALP LALIFGCSPIQKSWDITITTGSCINTAGVYLATAITNTISDVILLLLPIPVVWGLRLP VIQKVGVLCMFGVGFLTTSISIVRLATLMPLVTSQDQTRDIALAAIFIDIEANTIIIC GSFPYLRQFLRYHAPRWMDYSLSSRRKSSSTESPSAQMRKPGLTQLQDDIERAINNTD GMTSVEGNLERGPREVL AOR_1_74144 MKSKSKTLYHLRPKLDEILNDTAPVPYTLGTFIAFLAQNHCLEV LEFILEAKRYRKTYDWLQDHGKACGEDGAHEERLRTVWDRIIDTYIESGAPREINVPN ETREELLEYTKTNDSIPPPSLLDNAVQHMHELLRESILIPFLRSCSGTSHVQPLSVPC LSGTERLSPSPRASDDTARRRSKLSGLIPSSPADMYSSDGSEPPTRCISRDTSSQGPP GSSSSEIAREITGGQDWDVLPNGVGTEMWHANTAPERKRRSPESPKKRWPRLHGFTKH FRRSSD AOR_1_1822144 MAPHAIVFDLLTALLDSWSLWAEAANNDRKASYRWRTRYLELTF GCGAYRPYEDLVYESAKDAGLPASAPKALIHNWDQMRPWPETKQVLLQLKEKGYRLGV VSNCSAELGRRAVALCGVEFDAFVTAEEAGFYKPHPKAYGTILSALGVEPRDALFVAG SNGDVVGAAAAGMDVVWHNQIGLPRLPGSKPRLEGSSLHVVLDHLRQVESTPSSSTSS RSSRL AOR_1_78144 MVLAGEDLVAMFTVTHVILALGAYVALRFAYQIVYYRFFHPLSV FPGPFWGSVTRLWIAWHNLRETEVPTVYALTKKYGPVVRITPTLLLVSDPTKLPDIYH RNADKTGHYITGSFGETESLFNIRSHKTHAAFRKHIAGPYSFSNVTRMEPLVDARIDD WLNKLDETFASTGAKFDFAWWAVYVAYDVISEIGFGAPFGFIEQGKDVGGLIQGFHDG LPAFGLLARLHPFTSWMKTTFMKKYLVAKPEDNTGIGVLMRFRDRLIEQRLREIAEGK DVGRVDLLQTFIDARTEDGKPLDMDYLKAEVLLVLLAGADTTGTVFQSLVNHLLVNQE VYERMMEEIDTASRKGLIPETIPQYDAIQEHLPYYVACVRETLRLNPPAPNIFPRYVS EPGIELFGKFAPAGTEVTGNPWIMHRDTALFGEDAEEFRPERWLDPEKAKLYNKYIFT FGYGTRVCLGRDIAMEELFKGPLQFFRRYTPSIATDKPSARFEVKGGIGYWRDMWVSI NRRPAVKPL AOR_1_80144 MADSKGPTVTAVAVFFAIWTFIIICLRLFSRIFVLQKMGYDDYL IVCACLTSWAFSGVTVVSVKYGLGSHIADVDPNKMETYSLMVWISSMCYLATLGFVKS SVCVIVTQASSFVLVAAFQCRPIEKAWKSTLPGTCVNINVFYLANAALNILTDIMTYT LPLRVIFKLQVPVKQKVALGFILCIGLFACVSSIIRITYIPAMLSDPDSTWVIAEAMY WSVIEINAGIFASSIPSFKPIASRFIPRLIGEYSRDKGYSGWSGSHDARKFSGFSKVP EQNIGLDFMDPRQPDGDNMIGTQIGYGGTQSQERIIPQGKIYAHTEIETNVEVSQDRS CRTISHSP AOR_1_82144 MRSALNILAGAATLTVAQAASLSDVCTNSHVKSALPSIDLINGL VIDPSSITTNAVYNASTPGGDYFPAASAYDFCNVTLTYAHPGRNDRVHLKLWMPAPDQ FQNRWLSTGGGGFAINHDEQQLPGGVQYGAAAGITDGGFGSFYTQFDQVFLLANGTIN YEALYMFGYQAHHELSVIGKALTKNFYGTGDAKLYAYWQGCSEGGREGFSQVQRFQEF DGAVIGAPALRYGQQQANHLYGNLVEHTLKYYPPPCELEKIVNLTITACDRLDGRSDG VVSRTDLCKLHFNINSTIGAPYSCPASTTTTGTTPAQNGTVSALGAAAARKMLDGLRT LDSRRAYIFYQPSATFDDAQTKYNPETKQFELEVSAYAAEWIPRFLQLQNYTLSSLEN VTYDTLKDWMELGWQRYEDVLQTTWPDLTPFQSAGGKVLHYHGESDPSIPAGSSVHYH ESVRKTMYPNMSFNESNQALNEWNRLFLVPGAAHCASSTDQPNGPFPQATLKTLIEWV ENSIVPETLNGTVLDGDHKGEQQQICAWPLRPLWTENGTVMNCVYDQASLDTWDYEFD AYRIPLY AOR_1_1824144 MPSRGPKATGELIIVHQESRKSRTRARAHLAHNGIRKRIQKQRG QDHDSPAPPGSRAVAASSIPAPVALSGFSMVEYLISTNIFPDLNSTWGVSPAVCPGPA NLSHTLSRASDVLECIFRANLAFHWLDLNLWTNPEKKRNMKVAALTYRGQALALAQKE LLHRRIPSSTNRDTKRQPVHPANREICFGIVLRMLHLDYRFARCDVQAHFVACRQLLR DSSDGSLTEDQQSLEALASTIRNPHLHHLMITFECINRTPNSVIWDDADLSFLTRNLY QFVNRIQAGDEVSTENRTLDESIPTTLPRVSPSTILWRCLTKRPTSIPSNIYRDVCES SAQIAALLLICSVFLDYEDDSERTDTSIPYQCVEELENALFALGEENAVSSALNSAWL LAGGLGLPLTHRRARLWSVSGMLYALKRSFSLDLTVTASGHVVNAQYVKQGISMKAVG YAILSTGSFPALAPRRVSRTASALVASGAIFTMVNPSLLPPELRKLSDDVYVYDPFLD SQGDSRSSASDPTTVVLYTWADAHVRLVQKYFQGYRDLYPSTKIVIVMAKTMKTFFAG RETNQAVVRDMVVKELWPLSSRKPSTSSELPDSLKYRGTSHPRILMHAFSNSGGVNLE ATALVWHSLQLSLGQSIGPLPIQGLILDSTPGGDSFSREFQRWTAGVALGFAFLPRLL AKLVAAIIVLLRFGLPGLFGKESLPVRGRRVTNSPDYIPTTSGRLYIYSDSDPLIGDK DVESHGHEAKAKGYQNIELEKFQGSGHVAHMRQDPKRYWAAIARFWDERCV AOR_1_84144 MDTLFLLPGKFCLRCRSYIPEVPVHLPYSRGPLYLNLLASAYIS LALASSALLHVSNVMQKFLRAVSHDSEPEGSRSLPVPIMKDPRDEGYEPIFDSSETVA EAREGRAHGVAEIEALATVWSTKALHIGYALVFLIFFTNSFQQETTGSLSPYVYSKFA NHSLISRTNVLTNVVGGVAKLPCAMLIDVWGRPKGFGIMTGLCTLGLFLMAICGNVET YVTAQVIYWVGYNGMDYVLHIFLSDTTDLVNRSFVYGMASTPYVVTTFAGPAAAQLMY EIGGLWWGFGIFVVLTPLVTAPFLWLLWTSLRKAYTEGLIRKAHSRRTWARSVKHYFI EFDMAFVLMLLPLAPASHASNDICFLPFNRLKDRTLLGACFLAASLFASFYCWDLYLA SYLQVTFNTRIRETGYIYNIYTIGTCLWSVPLGLIIRKVDRLKWIALAAMPLIFLGTG LMIHFRSPQSHIGYVVLCEVFKALAGGTLVICQQMAAMATGGHESVAVSFALVGLFTK LGGGIGSAISGAIWTSTVPFYLEKYLPTGKKHKAWELYGSIEEVLSYPIGTPERDATI QAYGVAQRRMLIAGLSILPLAVASILLWRDIRLKKVSQVRGTVF AOR_1_86144 MPTKYVDRPIPQISLANFDQRIDEITADLVHAAENVGFFTIVDH GISIDEIETMFATTERFFNLPDDVKATVPWNPNNVGWEKKSQVRPSTGQPDTKESYQL QFGENMTNLWIGDAHLPGFRTTSLTFMHRVQGVSEQLMRCFARGLGFPEEYFIKCHDV SRHNEQTTMRLLHYFALPEKSDGNTYHRAGAHADWDFLTLLFQKDGQSGLEICPGREA VTEFGIGDEWTRVEARTGEIVCNIGDLLMSWSDDRFKSTFHRVKAPLEPGDYYGDRYS IAYFNQPCKDALIQGPKKKYPMLTGEQFNTRAMQRNFAALQEKLKTMEASA AOR_1_88144 MMASAVIIDPYCLLRAAPLATSTGSLVLATSELIFYSGLVQPPI RKKSDSILADYWRYIFPRGVSLVLVLNFTTIGTSLCNILWTNPCSRPLPVSRTTFYWA GLIGAIGHLAFVPFVAPPIQRILNNTDPDPEAEASKEMDTWLGVHRIRMLVADIPAWL AYVGAAMLIDP AOR_1_90144 MKLANLALSATAGLSLKATSETVQGFDISNHQATVDFKAAYNDG ARFVMIKATEGTTFTDKVFSSHYQGATDAGLIRGGYHFALPDSSSGAEQAEFFLKNGG GWSKDGITLPGMLDIEYNPYGATCYDKSAEDMVAWIKDFVDTYQKATGVYPLIYSTAD WWKTCTGNAGGFGSTCPLVLAAYSDSAPSTIPGDWATYTIWQNSDSYKHGGDSDIFNG GYEQLQKIAKAE AOR_1_92144 MYFAGSSLVLLLATHALAAVPAALHQNKARQATPYAVKEPPLTT PWTDKAGTDPWPEYPRPQLERSEWKNLNGIWQYQDAQSLAALDSPPFGQPLAHEVLVP SCLESGLSGIQGKDTLYSWFTTQFTVPQSFKDQNVLVNFAAVDYEATVFVNGKKAGFH RGGYFHFAFDITEFVNRDGENELLVFVHDPTDSGDDVIPIGKQRLVPAHIFYTPCSGI WQSVWIEAAPANHITRLDLAADMNGKVTVTANTATTSGSPVEVKVYDGDEEVGSGQGT SDQTFDFVVDGVELWSPDSPKLYNVTVTMGDEEVHSYVGFRTIAKGKVDGVVRPLLNG EFIFMFGTLDQGYWPDGLYTPPTREAMVYDLKFLKDLGFNMVRKHIKVEPALFYRACD ELGLLVIQDMPPDPCATSDIIPNASQQEEFSRQLEVLVNQFKSYPSIIAWVIYNEGWG QITSYYPEFELTDRVKELDPSRLVDSTSGWIDHGAGDFSDNHHYANPQCGTPFYSLSS SPHDPSRIGFQGEFGGIGHNVSIENLWNVQEAINHINETYEIDETLEAWNYRGHILLT EFEDQVRRFECSGGVWTQTTDVEGEVNGLMTYDRRVKRVDEEQWKNDIQALYDAAAKR GAPSRRKM AOR_1_94144 MLVGNIYVIAGIAVVGGALFGFDISSMSAQLNENSYKCYFNQGP QGPPFTDDADCSGPESLVQGGITASMSAGSWLGALISGPLSDRIGRKTSIMAGCSLWI IGSTIMCASQNIGMLIVGRIFNGLCVGIESAQVPVYISEISPPSKRGRFVGVQQWAIT WGILIMFYISYGCSYIGDRSAFGYSTAAWRIPWGLQMIPAVFLFLGMMILPESPRWLA RKDRWEECQTILAKVHCKGDMSHPFVALEMQDIREMCDFERQFKNVTYFDLFKPRMLN RTIIGLFMQIWSQLTGMNVMMYYITYVFSMAGYSGDSNLLASSIQYIINVLMTLPALI WLDRWGRRPTLLIGAVLMGTFMYANGAIMAVHGEVVPGGIDGVAQQSMRLHGAAAKGL IACTYLFVASYAPTWGPVSWTYPPELYPMRLRGKGVALSTSGNWAFNTALGLFTPPAF ANIRWQTYIIFGVFNTAMFIHVYFFFPETAGKTLEETEAMFEDPNGIKYIGTPAWKTR VTTGRIEQLERGDVEGLESKAQQEPAAREVEVTQPEQKTAS AOR_1_96144 MSWDLKKRKLQALWSHINTRRSQFFTARHGSSSQAKGWRPFTLR PIYLTFLACLMFLMLLILEGLRRYTVLYGGLVFLEATEEVSSAQSFAYNYIPIIVALI LVTLWSLVDFDVLRLEPYFQLARPEGVPASVLFINYNFGQTFVTPFTSAKRGHWVALL VSIVTVLIRMFLPALQSTLLELREVTVISNEQMKTWPELVDLGTQANWIAAQERSSFD SANSAVASSTNSLQKSRSPDFAVPPVQIPTNDRRESTVWKVNQTIYWSQLACHDLATN DALPVTINQTDVEHPILSWNVTGLPLVNGTNQDCSLDFQYDSIFYPETDFLQVRYWEP VWTTDTFDSGDVRKAFRPRGCDPFDLYGVLLSVNATTAPADAISSLGSQYTSSATMFA CDIEYHKATAEICMHANSSITSVRVIANTTTNLTNQEFNIDEFQGLLSHRAPYTSDVL FMQYNDTMGDLTVTELAVISQDVDDLEPMPVLDTATVMEQGEFEEKTKRVVIQAFVLT MGRLFNPDIPPATVSAVRFAHSVTIAVVSFAATLSEAILFLCTVVALALLYFYQRRPN ILQSDPGSIGAMCSMVTDLFSPSNILGNAQSDFHQLSTRQLRMRLRNFRLYWQEGPMG RRVELVSVDGENHDSARLRDRIRTRVDPRPHFLVIPIFILEFLLLVAVIAIMAVVIAS LAHEGNFQHLTQSDSSFFQVILSFLPSVVASAVGALCNSIQRNISILEPWVHLQRGQA KARASLSMNYASQTPWLVLLKTIRDRHLLLGLVSLACVVNTVLTVVAGGLFTQKLTTS GFSTDAIQANYSNSIFKQTDFAADFTEYDLIQTSITSGVPMIPWTSPDYSFVPLKNTK PNVTASYEATTLGIGADFECQQLSISNHLKKNDTTGALYWQYQPFQNESRQCTVDMFQ QKNEKIPLSIHFLSPAALEDMDQCQTFTVLVLGRWNYTGGSTITEKNTIALHCEPQVQ MQNFFILFDQKGQIQDYDPVPNTTITSGPMYENATVSLGQFNKVFASIPQSFVDEEQQ GPTVSSYDWAGFLVARLYKQRENGISALDPQDLITMSETVYQWVYSTYFSLWGPTYLE PLEQPYTATNATVYYSTWTMVPSVPSLTIALSIIALDTLVVLVVFGTRRGRFKGPRIP RSIGSVIPWIANSRMLSDFRGTYSWTSLQRRDYLERLDKRYAFRMFPGSDAQWRFAVD EEPLVREDKPTVVTDPGALDPAKQPGAIELRPIGNDDTGAPRNHE AOR_1_98144 MFRSALFLLLAPLALSHTTFTTLYVDEVNQGDGTCVRMNRDANT VTYPIEPLTSKDIACGKDGEKAVSRVCPAKANSLLTFEFRAWADGAKPGSIDISHKGP CAVYMKKVDDATADNNAAGDGWFKIWHTGYDESTEKWCTEKLIDNNGFLSVRVPSDIE QGYYLVRTELLALHAASETPADPQFYVNCAQIFVQGGGSAKPETVSIGEGFYSLESPG VKYNIYEKPLQLPYPIPGPAVYESKGVEERSVCPAQKRAVTAQNKGLKPAGCILQRDN WCGFEVPDYSDENGCWASSKKCWDQSQVCYDTALPTGVSACDIWMTKCNSIDDACNSG DFNGPPNKGKVLTPEPKTLGGSTQVFKRDVRKYKKWTA AOR_1_1826144 MSLKDLKPCIRQLLVVFDFLPSIAHVIHTDIQLKNLLLPTPMPK ALSDFEEREVKAQSARKVLQGRTIYTSARFPPGDGLPLPSDFGEARFGDQPHSEDIMP NPYRAPEVILKSNWGYKVDIWYVAMVA AOR_1_1828144 MKAIAALALVGGAIAAPTKTVESRQLPGMGSLPGLGSSGSSTGS GLGSLASMLPGLGGSSSGGSLPGLGSSTGSGLGSLASLIPGLGGSSSGSSLPGLGGSS SGSSLPGLGGSSSGSSLPGLGSSTGSGLGSLASLIPGLGGSSSSGSGIPGLGSSTGNI PDLSSLLGGSSSGGLPGLTKRASITENGVTQNAGCQELTFIFARGTSEMGNMGSVVGP PVAKQLASLTGNKVTVQGVDYPADAARGNQGNANMGASGGPKMAELIQQAKKQCPNTK VVVGGYSQGAMVVHNAASKVGADAISGAVLFGDPFKTQGVGQLASNKVKEFCASGDPV CLNGMNFMAHLSYGSNAQEAAQFLVQAAGL AOR_1_100144 MRVSSVILPLAGTLLVEASSSSPGCSALKGSVNSSIFYPNTDVY EYEAQNFWSNTEIMSPGCVFRPQSSAQLGEGVKALVDAQAKFAVRGGGHMGIRGSNNI DNGVLIVMSNLTTLELSDDKSIVSVGPSHRWEDVYAYLADYDLSAPGGRLGPVGVPGL LLAGGVNFYGNQVGWSCNSVVNYEVVLADGSVVQANKTSYSDLFWALKGGSSNFGLVT RFDLETVKSTKVWAGSYTVSSEYVDQFLEAAATYAANISDPKTHIVPALVPGDSLLAS VILFYDSEDTSYPDIFKPFTDIPAVASTLGFKTVSEFAAETGAMVVPHINDVFVAGTV VGTTYAELLQGISIINTTFFEQLPKLYDQIPADNISTIQLDWQPIGADWMKASEDRGG NALGLDSSKIYLCYAEVVEWIGSSYDDIVAQWVEETTYAINNATQKAGLYDAFNYIGD AAGFQSIFPGYGEENVSKLQTIAKKYDPNQVFQTLMPGGFKIY AOR_1_102144 MADSTIIPAMSPPAGQTSNFIDPPYIGTKFLVVNCIFLPIAAIA LLIRTWTRLFIVRSFRWDDYFMILALILSAAMTGVTLEMLNWGLGRHMWDVPAQYLSP WFMKLNVIAAIIYCAGTGFTKVSVLVFYMRIFPSRNFHRAVWSIVFIAAGYSVASVLA NVLSCKPVDKAWYPTKTGHCMNRPVFYFANAGLGIFTDFATVIVPIPWLRRLQMPLRQ KIAVSCILAMGCFVGVVSCIRLSTLYTLLKSPDLTWSTTDALIWCTIELNLGITGGCI TAVRPFVRRYFPRLLGLSYGNGYKYGDSSRKYGHPLGSVPRANAPDFSNARSNQYSTL TTATTVMPAADNGSEEHILQYPEMPAKSADGIGIVRTVEFDVENSSARR AOR_1_104144 MTESIKESISHLETVADERGVTGSQDMKEPNVDPNHPQNWSTGA KLCTYLTVCFYTFLGNVNGSNFTVATKAVIKEFHVSQTQAGELVCFNVFLLGLGNVFW VPLMRVFGKRPVYLLSMLSLAMMNVWSSRASSYGELLASRTLSGFAAAAADATVPAVV SDMIPPQDRGHYLMFFHLALTAGLFIGPLINAYLVQEEDWRWMCYFLAIATAATFVTA IFTVRETTYVQRNAFAPKRKLWQWMSLTVGYNPDASFIRTVSDIVCNIAYPQLLWAAF AIGISVGWNIVVQLTASRTFTAAPYNWPAGSLGLLSLSGFIGSVLAFWLGGRLIDIIS TRYTNRQGGPRLPEYRLPAIIIPGVIGPSGILIFGLCIAYQTHWIGAAFGYAMQAFGV AAISNVAVTYSLDCYRPITGEALVIIFTVRNTIGMLLSLYAADWIERQGPAPVFGEMM AIQVASILLAIPLFIWGKRLRAVTSQYGPMKRFQDQVET AOR_1_106144 MTTLLSDLTSTLNSALANLPAPENIKDEERVQLLGAIGQLQSAL ETPVQIIQKHCFAHYGIAGIRVAQGMGIFDAFVTSNGAEMTLAELSSKTKGDVELLKR IMRFLCSHNICKETNTETYQPSQIAMLYGTGSVPGDMIKHFHTNMQITSKLFDYFEEK GYKNPSDAYDAPFQLAYQTNEHYFEWLSKRPATQSVFNSVMTESKRHYGVEWFEIFPV LDKLQVPPERVAFVDVGGGVGHDVIALKTRFPQLPGKYIVQDLPQVIDDIKEPLGEGI SAVKIDMFEGQPIQGAKAYHLRTVLHDWPDKPALEALRHIRKAMASDSILLINEHVMP EGANVPALSATLDIHMMEVFSSLERTEKQWVDLLEKAEFKVTQVWRSDADFRTAVFEA TLA AOR_1_108144 MASVEAPVPRQRRAQRKRSKTGCRTCRARHIKCDESPGTVCQNC TSTGRICEGYDMCRLPHKRTVVCLPDIANRLCWVMNSDEKRCFSYFHHHSISNLTSFF DSPLWQRLVKQLSHIEPAVYHAANMLGAVQEDSEENSMRLAGVNLHRPRHRFALEQSA RSFALLHKRHASHDPQFKEVTLVCCLLFTLSELLLGQYDTALQHLRSGLQILNEAATY MHCLSAIDQSLVEAFVRLDAQSSHFGKDGPLLHLKKAGEEKWSHSDTMSLPRNVHEAR RELNHVLSKGIPFLSECWSLSSTEIKLHYNSMCLTQQSLLASLTQHKQRLELFCEQSY AKLSPKEQRGVDIIRLHYLAQILSIKTCFFNGPTPGYLTPEYVSLLSAHEALMAKFPE RSTTTLDNGIIPGLYVVASKCPDYRVRLRAIKALQSWPHCEGLINSNIAASLAFESLK RELMQGNKDELSLIVGDNEVELVRFLFDTLSSTEHAAHWSMIKVSRILHDEP AOR_1_1830144 MSKEIDPCGCNDTEPTRELVLCFDGTGNTFRADGSETNILKIFR MLDRTKDNRYCYYQRTDVTAGSFASLAYQPFTNLPTKRIVDQALATSFNEHVISGYRF LARRWVPGSRIYLFGFSRGAYTARFLNEMLDYAGLISADNEETIPFVWEAFIRYKFAD AGKERDDAEASLRLSRETMCRSPGLVHFLGLFDTVNSVAEFNRDVSKDNDIQPSPKIM RHAVSIDEKRIKFQPVLFDPPPIGGMKARTHHVSTWAANGEAIISGLPHPVVKGDFEE VYFAGDHSDVGGGWPSLKTKASQIPLMWMVQEAIMADLTFDPIQLKKHGCFDPRIEDY DPDIVQAAEQAEIHDSLGYDFGRGLEVLFWRMMEYMPFKRPKVSPDGEVHETRWHGRG FRRPLPPAAKIHSSVLHRLHYDPEYKPYNLGMGNKGTAKEQDDEQREIGQWRRIAHGK LCEYWVKLPRNGK AOR_1_112144 MTLAGSCMCGAIAYTSDSEPLVKALCHCVDCQKWTGGPFTSNVI VPRDSFKVTKGEPSFYDVTGASGKNNRHFFCGKCGSSLFTELELMADKTVIKAGTLDG GEANLRNKVDVEFYTKDRVSYLCAVQGAKQETLLG AOR_1_114144 MSNAPNTAGNERFNAEAANWDNNPSVQEATRLAYETLRPLIENL SEKQATTGTGLDVLEVGCGTGLLSVRVAPLVREIVAVDTADGMIEMLKAKTTLPGSPR NIVPICKLLEDPEDPVLPPSDEKHPSAGRRKFDLALSHLVLHHIPDLRPFLQMLLGCL KPGGRIALTDFEDFGPEAIKFHPKSKLEGVERHGIPRQWIEDLLKEVGFEQVKVWVGW KLDKDVEGWEDDNGSHDGGKKTMQFPFLVCEGVRP AOR_1_1832144 MDRAPASAKPRLRGHMHQRCAAGLPPKDSFSNARQGTAELKECH VRQTRAKFRSAAQALYLNLCPLMHQSGILRKTAMFLATRQLLLVGTAASFLLLLWYTQ RIFVSRTVSLPAPTLTPTPTPVPTPAERVSTTPKPPVQSAGNSTLGFQSILALSTGPS WRTRGLLAAASLTGLDIQIPPQPPVNPNLVDAFEHMGPDNVQHPSHGASIAWLAHLDL IKHTIQANLDTVLIIEDDVDWDVSIRSQMVQIAESVRNLTHIDTLDQDMEPYGREWEV LWIGHCGEYWEEQFETVLYDDPTACPHSDYFGWAKQYIEQVLGGAQDEAFDVSMMHAC KAGQLKCISVVPEVVHQYFPAQSFGVKSAVDVGNGQEPGPEEAEFEHVMGSTENILES ARCRALWGQRCLRKQ AOR_1_118144 MVSESDINYLRRCVDLAREALEAGDSPFGSVLVDAAGKVIYEDR NRTVTEADVTWHPEFTIVKWAQKNLTPTERAAATVYTSGEHCPMCAAAHANAGLGRIV YASSTAQFVQWRMEMGIKPGPVAPLSINQVAPDLLVDGPALGLDEEVRGLHQRKQARS VS AOR_1_120144 MDTSNSTGQSYLSHLPTELLFKIFQQCPDVSALWSLLTTSSRML AIFNARASEIVDDVLNLTVPVQTRLYMRQVLVLRTGIYSYSSFEEAQTDISLLSEKVI ATPEQLRSFVALSHRIHVLAHLCIERCLQRCMDSPLGEREFHVGFCFPTWTEEQRSIL SFWRVLFYNELKVEGLKGHLEWSTLDLSELLHHGPYQHFTTDIAKFQAITALRFISEQ TTPEGSEEELSKGAEQLGPFQLPRLPEGKEFGWTCQPPPSLWAVTQGWNPDDPRLLEP RAFIRSKTSLRILASYSESDDEELLLTPLESEEPESEESEIYESESDEDDSDDGWGVG RGGCLLRIPSSSSEESVTLEENPSRDPEITSPVPLIQDFGQFPTGEECQKLHGQTIGV QFWNRMRRRSNAGPGQYIRSGAYLKYGFAIWEQQRMIDMGLWSTQARADASDCLRRWY SFLSEEDLNYHDSRRRRIEYIS AOR_1_122144 MTVTSGLQMGSLPTPPLEYVGYNLAEPKSSEQIICGPLDYLLSS GGKNIRHKLMLAFNEWLQIPPEKAEIISEIVNLLHTASLLIDDIQDSSKLRRGKPVAH SIFGVAQTINSANYAYFLAQQKLSSLHSTRANDVFVEELLNLHRGQGMDLHWRDTLTC PTEEQYIGMVLDKTGGLFRLAVKLMQLESNQTCDCIPLTNTLGTIFQIRDDILNLSGG EYTKNKGFCEDITEGKFSFPIIHSINSDPRNMQLMNILRQRSEDNSLKAYAVDYITST RSFTYSYQKLNSLIAEAKSMVEELDAQMGPSRGMCAFLEMLNLN AOR_1_124144 MGQTASAPKPGTQIQVIGAGLPRTGTASFSAALTILLDGPVYHG GTQLTRGSPSELKSWIHILRAWLEDDKRTVLSIVKSRLEGYAAITDAPGCQLLPELLE LYPDAKVICTVRDPIAWEKSMNQIHSFARLSFLKILLLPLPGMRHFVDFTWLLRQQWG NLYADGRRFSSVKEVSDTLPQRAIYSKHVAWLQENVPADRLVFFDVREGWEPLCKALG KDVPTDIPFPHVNDSEEIARTAKYHIRRALIRWVGIFAITGIAITGFMRRW AOR_1_126144 MGVISVVTFLASAKEHFIISILLLFPIALILRTIYRLYIDPLHH IPGPKLAAISHLYEFYHDVVRGGLFIWEIEKMHQEYGPIVRINPREVHIKDPYFYDEL YAPAHGWRDKDAKSVEIFSSPNALVSTVDHHTHRMRRKLLTSFFSRRSIERIEPVIHE SLSKFLDSLITAYEEDSVVELIDRLQALTGHVITQYAYGEDYGLHEPQNIGKGIVKVV QEGTEQIHLHRFFPLIQRFLRLIPSFFMTQLFPARAAMYDLLHGVRKKSIEVLQQKDV CTPTERTTMFHALTAPEVPPEERTLQRLEDEGLVLFAAGTETTATTLGVAIFHILSDP MVLTKLRKELEQVMPTPEGLATWRELEKLPYLNGVIHEALRFSGLAMRQQRVSPTEVI KYKDYAIPPGTPVSMLQYFLHTDPALFSDPEKFYPERWMLAAERKESLSRFLVTFGKG TRSCIGMNLAYAELHTALAAIVRRFDLELYETTAEDIRFVRDKLLPRAKNGPWRVRVK VVGIRKD AOR_1_128144 MKDNPVGVLVDMKERSLFKSFDKSYHPTYGLGTMSGNIYDTAWI AMVRKPIEGKSVWAFPTAFQALLQQQSHCGSWGGTTSELDSIASTLAALLALQRHAED SYDADRQDLNSRILKAKAFLDAALKGLNGLLRTCTLPVSLELRLPAILDLLEAEGHTF DFDRTYLNKIQSKKLSKINLDTIFSGPQSSLLHSLEALVGKIDFKGLAHYKVLGSMLA SPSATAAYLMYNPVWDDEAEEYIQRAISNGAGHGSGLVAAGYPTTVFEWAWVTTNLIR HGIEPSSRLKEVGKQIESEIELHGVVGFVPKACPDADDTAKALAALALQGAQYSPQVL VDRFEREKHFTTYLYETHTSISTNANVLTALVLLSADDRYQPQIEKCIRYLCDAWFQC DRMVKDKWNISPYYPTMLMCEALMSYIQRWSEGHLAALPDDLMKFQLPITLFQSLIRT LRTQNQDGSWGSSNSAEETAYAVLILKSVAPFSFTNMISAEIKDAINRGVQFILTKGQ RSQTDDQLWLDKTLYAIPTVSDSYIMAALQAEDTIDKLAEIPHMLANVSTAMVLKMTE YFSRLPSQMETPKWVIQASVIEAILFGYRLKTLDVFSTGGALGEKYIKYGACFWTLAN NSSPEYLLSTWVVYSMIELSIGIFQEDELMEKSLVNLPDFTTDMIADYIDELCNETAL CKDSSLHGHSSRTNISDVNEETLTRLKSIRENIGTWFRFVLDDNLKANTSPYHRRDLQ KELEMSTLAATQQAKAHRSLNNRLPHSGTECATVSTGQTFYTWLHTSAVHDVKSAVVS KSLVCKIGNGGDVFPTAREKYLAEKLWRQISVEGRLWNDFGSIERDRLASNLNSVNFP EFSSPQSLLLDGDVGTQLLQLAEYEHKCTLSCLNDLTQILDSTGRQTISLYLQMYYRC CVIYSETCVKYAFGSTTAT AOR_1_130144 MRQLPAEVILHIIECLIPSAHPVAFPPVDPVTQTLISLTLVSSL THHTAKRLLLKHCLHLDSSKRLDSVLSRLLTDDGKQQAAPTGLFLAPFPGNNLEEPHV VKQVDQLSSHICGSLRRLVIDVPLRYLYPDEDVQGLRKIIRAAFVRLTELEEFCSVQD EMYCDTIEDGSEPPVWSLWPRLKHLALYNQCVDRPLFLQALQGCTSLTHLVLTRPDGL ADPIDSGLEGLRWWSFLKRIVIINTARDHEIQLKWREPDWTTSFLGCLVRLQHPGGAL SDNLSPDPNPVLEYISIDLPPGREEDDIDLCQEFVHQHAGQGTLWSYPGSRYSLDD AOR_1_1834144 MVAAYNVARDSNLVLVQVVTVKEDVRDELVDLYLELIHDKPHTL FHPSHLKSRIRNGSVAKGTLHSILALAARFSKVQGTRERTKDFFRSAKDHVKMSIDDI TLDNINATILIGNLCGSDGDSSGEALYFGIAFRMAQILRLPEPSQDDDEVMRESKLRT WWSLYMIDRWSSAGLNIPRQIQDCDQFQLPMSELDFHNLAPGQPVGKDSRITRPGLWG YMVILARIFGQIQHLHRRIADGTLDNSGIESSTRQLASEFETFIQGLPSGLQLTKENM ETHSTLGVGQAFVALHLGYHHYATLLYFPYLGSQMTHILDQKLFATRCKYHAAAFSDL LRSSNETKGCQAVYFIVAHMTVTSSSALLHTLLFGQEDELFNTKKRLYYNFQILLRLK SYWRGVGMMIERLFTFQKACMLSMDRIYTMDKWIVKFLLQHALPIEGNPGPPATSQLA ERDRFANDALSMLRPQGS AOR_1_134144 MGSVKNLDQEADVSIEHAEIASSHAPPAYEISRVTWWKLHGLRK LYAMMPLLFLGSTINGYDGSLLNGLQTMSPWQTYFDNPTGSTLGLFTAIQNIGGVCAL FFASYVADLLGRRIGVAVGLVVIFIGTIIQVVPPVNSGMFIAGRFLVGLGSNISQGSA PLLITELAHPQHRGTLTTMYNTLWYIGSIVAAWTVFGTIKYNSEASWRIPVGMQAAMP AIQFVGIWFLPESPRWLCAKNRPDEAFNILVKYHGNGDQTDQLCAFEFYEIQETLRLE EENSRNGWQTLIKTPGNRKRLLLIVLVSFFSQCSGNGLVSYYLHSILNSVGIESSHDQ AVINGGLQLWSFLVAIGFSSFLVDVLGRRMLFMIAAVGMLETPEQEAR AOR_1_136144 MSLPNTIYPHLRPTDNGKQLIVNGRPFLSLAGELQNSSMTSAKY MDTVWQKLADTHINTVLGCITWEMIEPTEGQFTFTELDRVILGARKHGLRLVLLWFGS FKNAWVKTNPKRFPRAKLRKAGGVLQTADVLSIFHDEAPKSDANAFSHLMRHLREFDG SHSTVIMVQVENETGLLGDSRDGSSTAEERFSQPVPEDLLTFLARDWDSLHPDLRSNL VHFKAQSQPHGSWVDAFGRGPHTDELFMAYHYAHYLNQVATAGKKEYPIPLYTNVWQN YVGEDGDNDFPIVAGGGGLPGDYPSGGGTINVLDIWQQFAPALDFIAPDVYLNDYAQS CRKYRHRNQPLFIPEQRRDEYGVRRIWTAYGSYQAIGVSPFGIDTLEPSTNPFTKHYA LLDSVSQIVLDAQRRPGSSVGFFFDELAENGSDPSKPVVRHYAGLEITIERCFVFGKP GPGSGMVIHLGGVKFLLIGWGFQVRATSLSPTATFTGILRFEEKFVVNRDTGELKTLR VLNGDETRSGIFAMMPNEDPDYGGFPICVTIPARTMIAEVEFYSIDDETAV AOR_1_138144 MTTTICPATPDEIPAIVDFIIAARADMFSMLDPSLHLQKAQREL ASFQQSYLEHPNGAFFTARVDGRLVATIGYVAYDQRFPQLDFGHERVVEVLRMYVHPD WRRIGLASKLFAALEQRARQEGIRRMYLHTHPFLPGSIRFWERQGFSIVHIDDDPIWH LLSTAA AOR_1_1836144 MRFSAVLAAGGDSSAIVINHHLTSTLALLVLSFSFPLIQLHRTF HLTMGLIKGVLKLTTYGGLASAGAFFYTTRNDVFVPMSPTDPIFQSAAYRKFNPEQNP TTHDLCVRKVPLSDINPTLLEKKGKLAEAFCAGVWSGWGYAFQRAYLARKYQGPETAN HLWERPELKASTYDVGTLITDHFEVIEKTPERIVVRCGDSPRKQDVRESDGLFEMSAV VKPEEGVAEFALKSCFYKGKGKADSQPMPPHILWLHKQYTKLWAETAIRNVLRQ AOR_1_142144 MIKTILCALAILAAIAPLTTAEKIKCNNATAYCGATLINRGGYL TQISEILKNHDEDAGGLLLQHGLFWCKEDGKLHDAYKKCKRNKCRANQRYYPESGDEC KGEWRGSILPDMK AOR_1_144144 MAYSILQIALATLLGYFVLVDAAIIQQTRAEAHPPKAKVQNGTY VGVHNDHYNIDYFLGIPFAQPPIGILRLAPPVSLNSSFSGTRNATNLQPVCVQFQLTA ENKPQTATIDCAISEDCLTLNVYRPSNCGDQKLPVLVWIYGGGYTQGSNSDPRYNLTF IVNKSVKMGKPIIAVAINYRLNGFGFLGGPVIQEQGLTNLGLRDQRLALHWIQENTAG FGGDKSKVTIWGQSAGAGSAGSQLLAYGGRNDSLFRAAIADSDGPLAFKSPSNATQLQ TWESILNLTGCSTASDSMACLRGVSSANLSYAVNASRVTFMPITDGGFVETHSSAQLL NGQFVQVPLLTGTNTDEGTNFVGSPSHIGALPTIAYPNDTSFLDYIAIGVPHSHKGRL NSTFGSQYARVATFAGDFMAHRDRRLSAQMWFKYNVPVYTYRFNQWPIGGLPDTTGTT HFTEIPLVQDHEVQDGYLAPWYPAGGEFTSMDSDFYALARLMNKAPIPPLVTFFRCYL KTP AOR_1_146144 MEPQLQTPHLLSLVEEIKDAALKECSSSRSATSHYRFLGLIDQL KYTVETPTETVLRLIYQPPQNAALRTVIDLNIFPLLLEHPINGLSATELSEYTGAERA LIIRLMRVMAALGLCASIEPEVYLPTDKTIAMTQPIGRDGVPCIYDLTVPTLSKLPEY FREHHYLMPKEYTRSPMRWAVGQSQFEWLAQRKHHQALFNSYMSSRRQGKPSWFDVYP VERLTHGALEHDDAVFLVDVGGNQGHDLIRFREKFPDVTGRLVLQDLPKVIASAPAGE QVEAMAYSFLDPQPVKGARAYYFRAIFHDWPDYICHKILVNTISAMNAEYSRILIADF VLPDTGAALLQASIDIQMMSIGSGMERSERQWRELLGAAGLEITGIWSGSPGMESIIE AVPIRAASRL AOR_1_1838144 MGIPQYKESSDDAAANITDSEQLIARFGRLHVLDDLIRLRAADP VQLPILAYPKPSNDDGISYEYFTGQDLDCMVDQTVSTLIDCGFKPPRNDGAVVALFTL SDLNMVVTFFALSRLGYTVMMVSPRLSAAACVSLLDMVGCDTILYGQTPSIRATMGEI LRLKLVACRPIIQRPSLDAPQETDVLVLHRTRNPEVQKQKIALILHSSGSTGLPKPLY LSHKAIMTHPMRGPGLTSFNSLPWYHLHGLSTALQAMYMRKTAYMWDASLPLTASSVT SALEAAKPESVQGVPYLLQLLIDSPKGLDALRQCKLVTYGGAPCPDELGDRLVAEKVH FGGSFGLTEAGLVAESLSRPSGDPFWNYVKFFENLRPFIWMKPVGTDLYECVYLAGHP ALTASNSDEPPGSYHSRDVFTPHPTIPDRWKYVTRLDDRLTLVNGEKVLPLPIEGSIK QSPLIQEAVVIGVGKSVPGLLIFRSDEARSFTDEQYLDLIWPTVEDANSRAEQFSQIS RDMITILPVGSICPRTDKGSMIRAQVYAKYADVIEEAYSKLEQTTDGTLKLDQSNTVA HVMRVCREELGFPISSPDSDFFSEGVDSLKAIHLRRLILGDFKITDSKTIGQNVVFET GSVSRLAEYIQAVQSGQDTEVEDEVSLMPGLIEKYSTFRMHTPNPSIVSNSRSVILTG ATGSIGAHTLFKLLNDDTVSAVYCLTRREQPKEEILDALAKKGLEVMPFRTKKIIALN SALDKPDLGVGKEMLAEMQRSVSLIIHTAWPVNFNLPLANFEPHIQGVYNLIQFSLSV HLPAPAVVLFCSSISTALGAPTSAIDEAPLDDLNSALEMGYGRSKLIGENIVSNARKS GARSFSLRIGQVSGHSKKGLWNDSEAIPLMIRSALTLKALPQLDTSCSWIPVDKLACS VLEIAKACSVNTLEDSGGDATTNQHIDDTIYNLSNPRAFTWSDLLDALRRSGFDFQTV PFHSWLQMLRDSESRGEETINPAVKLADHYEAMYGEEAPPPKTFVTEKAERDSNTLRN GRLRIIQDGILNRYAQDWLRRWKTT AOR_1_1840144 MSSSSFVWTVGSIALSSLITPTIADGSGSRYQLTEAWQGEKFLD HFKFFSGSDPTNGFVTYANQSYAESSGLIEVTESGSFYMGVDYKTKLSPNGPGRDSVR IESKEYYDEGLYIIDLQHMPGSVCGTWPAFWSVGPNWPYDGEIDIIEGVNKHEANEIV LHTSGSCSLSSENDMSGTMTSSECGESSGTIGCVVKGQDGTSGAPFNEKNGGVYAMEW TSSFVKIWYFARSEIPQSITEGNPDTTAFGTPMAHLQGTCDFGERFKSQKFILDTTFC GDWAGGVFGDSGCPVSDPSNPIQSCVNYVAENPAAFKEAYWEINYIKLFQTGTGHSTA SVASQAETATAVASNTVDSIPSVTSTAIPETTAPAPETVSAEAPATSSAVPEPANPQT SVAGAETTAAPAPSPETTAAPASPSLDDSDGADAVSETTIYVTETTTICGASTQKGTI QTIGGGETEVSPASSTVESAATPAAPTPTSQKPVASLPGTTVNGGTPVPTDVSPETPA EETAGESGAPTPSAEQPEQPQPAATSIETGTVPPAVSNPAPTEQGTPEGASPVDATES RHDSDEPAPTSAAPIRSPSPSSWTISSSSRVASSSSFASTTSSASRTTSATKEATAPT ETDSGASTGTNPESPVFTAGASKSVGISGLTGIVCGIAMAMLA AOR_1_152144 MIPAPPPVDIPKSYETLLLTDVKVSHHPEGAPVATPVVVVTLNR PDKNNAFSTHLMDAFEKLYPLFDVHERVKVVVLTATGKIFCAGADLKEPYKPAKERPL DFRDPRMALAIYRCRKPTIAALQGSAVGIGIAVTLPCAIRIACEQAKYGFVFARRGLT LESCSSFFLPRLIGYSHAMFLLTTGHMLPPTSLHFGSLFAETRPDPEQVLSRALELAT EIAENVSLLAWHLNHALMWRNPGTAEGTHIVDSTVIYHMFDGRDMEEGTRSFLEKRKP HFTATLEDAPPNYPWWTEIDTGRRVRASKL AOR_1_154144 MSHNPKNNPVLAVVGVGPGIGEAVSRHFASKGFSVALIARTEDK LRKIQDSINESYPNSAKYYVTDVRDESSVIKTFDSIKEDLGPVHVLIYNAGSRRIRPR TILETSSSEEFENFTRINMFGAFFAAKCVLPDMLAAGTGTIIFTGATGSIRGSPGLSS FSPGKFGLRALSQIITREFQSKGVHAAHLIVDGPVQSDIIGGWLRKKWEREGEEEKLK EMHRYVMQPSDLAEIYWFLYTQPRSTWTQELDVRAEREGMFSKL AOR_1_1842144 MTQANMFPRLGKVGEDNRYTFTKRTTRGVEMFFCDGFFRHKYLN DITNGEKKRVQSLPDLVSYEHLLAHEWTHVDLLGSSIQVMDLEVRNLDLGVQSRRVFG AQWASVLAWYGPSLPRINVKYNADNYAWFWTNNWFNEKWDWKDNGFDPKYAPDNTTNA LGGPENISGPGLGIMNPEKNQTTEQKNCHAAANDPREVFCDYLGEPYSDWLKDREKPF TSEGGCELTKQCWSSFGDYAIDPGCVCKCDGEKTPLSDPKCAGFRGGPPGSHSHA AOR_1_158144 MAIESWGWFIEWTPAFIGSGMLVGMNVAASFVLGSILAWGVIGP YLVSHGLAFGKEAASADGLVSYFSLSAEYADAQHPSPRYWLLWPGVVCMIAAAFTELF CQWRVIWIAARGFWQTLKTRRQKEYTYTTIGGEKNLANEDDIRLWMWLPGLVVIIVVT CFITSVQFEMSVAETLLALTLAFLLSLLAIHASGATDTVPLSSLSKVSQVVLGGITQG SASIASAQRLNLLGGALTNIGANQACDLMGDFRVGFLLGTSPRLQYAAQMIGTLVAAL VAPTMFLIFASAYPCILSAEDTAGDGGDYQCEFPAPSVAAWRAIVLAVTRPESPIPRS SWVFSIAMAPYYPNMMIMAMAFTLPSTQYGTAMFIGAVIASVWKRKWPDGFEKYAYAV AAGAMAGEGIGGVINAILSGIGLDGGNFGTTVGTP AOR_1_160144 MSGYARPAGSQPIEMTDMREVHRSGENETRQRASSISTDEDEEE NDALPTDPFEPFGDVEPATGNILTFRALIVGCLCGTLVNASNLYLGLKAGWTDSANIF GSIIGFAILQPLTSYLTSLGYQSQLFGPQENNIVQTAATAAGGLSNVFVSAIPALYQL GLLTTPSKDFARLVAITAAGGYFGLLSAAPCTR AOR_1_162144 MKATTICSFLLATGTALAMPAKRAKQTITISELFASQTDQNGYV TFKLDDPNYNDVTGANVIWRRPGNPIEGARTSDAAYYVQFPGGVNDISVFILQLQRVN STEKVSFTLNDNGSGHAPGTKWHCNSTESGTQTVKKCNYDGVISL AOR_1_164144 MFDITMARNSIIRVLFVWITTIIYLFGSILAEPVQYCKYGYKGK EEDGDVDFCMAVSMHENRSSNAYDMYLSMTVTRASDLGWTAIGTGSVMAGSLMTIVYG EPLTGEPPVVSIRTAAAHHQPKPITQEQAGGADVRVLQAEWRAINEDGTLTTLSSSSY AYVAEVSLVCYSCNLWPAKGSGSSKISATATSLPWIWAWNEDQEISALSDDAHLNGHR HRRGNGGWGEFYVDMARSLSTVENPPPVPSIQPGVRTLGTSDTPTDRSKKSTLFSRGT IHGFLMGMAFLVIFPLGVLAMRSQSSKSFKYHWIIQLIASLCTGAGAIIGIVMSRGSF NSPHQVAGLLVSDTEPGSRMPTFGPEDLL AOR_1_166144 MALTLITGATGFIGSQVALRVLQAGYRARLAIRREEQADKLRRI FADYEKQLEFVVVPDITVSGCFDEALQGVEYVLHLASPLPKPGSGDLVTPARRGTVVI LESAAKVPSIKKVVVTGSVLSLVSLGELKDGLVVREDNEINYTLPPDSTIPTLPPMAQ NHASKLAAHKATLDFHSTNSPAFDIITLHPVFVYGRSLVQETADQLSGSCGGLFQSLF SETPSFPQFNGVHVDDVADAHVKVLDDGVKGFRSYLLAAETRSWGDVRRFLEGRYPGV GFGLKGGDDGVGYRVDAGRAERELGIVFRGLERMVGDVVDQQFELRGGI AOR_1_168144 MSSLRAAVYIAPPTPFKTPGKGSGGGLWSPISCTLVYSATEAVL VDTPITIKQTQDLIAWIDRIAPKRKLSYIYITHGHGDHFFGLPLLLQRFPEAKPVATA ATVQHMKQQVEEKNYQTQWESRFPGEIARPFVLAQPLPESNEFKLQDRWLFQAIEVGH SDTYDSTALWVPDLRLAVCGDVVYGQVHQMLFEANTTAKREEWIRAVEKIEALDPLYV VPGHCQEGEVLGRWHLANTKQYIRDFAKVLEKKPKSPREIVEAMTKLYPDRYNTGALI MGAMGYFQALKESRI AOR_1_170144 MDGGMQLQTYEGFGDPDVPLLPWDEIYQKVLLSPRFRPSLLESS LATSQLTSLLSASSYPIPEPYYPHGQFPPEGYSAPLPSPNDFLFPGSSADRERVMNLD RRTPPNDTQRPTQNAQKTTKRQLNESTGAPTSKKRGRPRKTLDTRMGEDPEERRRMQI RLAQRAYRSRKEANITSLKGRISQLEATLEKMSSAVVSFSDNLVQSGALSSHPDIASH LRDTVQTCLALAKEASKDGEPESPDTSSHGEETTSSSAGPDGTPTDQYTSPSTHAEQT TPPESGPSKPISPPLSEPLEPSAMDIPLFIEQLHLACVYQGYLVLSNPSVPMSRIERP FRFLFTLMDRPHLTAAFEALLHAKLSQKRLEECYAGVPFFKLGGAGTHYVRSTGQPQE GERPLCRYQQWTTIHDPLARFSPDIRKEMEGDWFDMQDLAGYLREKGVLLFSSAPSET DRKSSRTAINVTRFTQTLISRGICLGRTPGFRRSDVDNALRASVWT AOR_1_172144 MKREDITYMGAGPAALPTDVLAQAAEALQNYEQTGLGIAEHSHR SEIAANILNTMKANLTSFLDVPSNYEILMMQGGGSGQFDATVYNIVSAWVEKQRQKIV NELGEASEDDVVRELRKKVESELRLDYLVTGSWSSKASQEAIRLLGPEYVNIASDSRK VNDGKFGKIADESTWKLSPKPTMVYMCDNETVDGVEYPNFPKVLEPTGSEEEPFVVGD FSSNILSRRIPIKNYSIVFFGAQKNLGCAGVTGVIIRKDLLVSCPPTILRKLGLPIAP TILDYCVTAKNNSLYNTLPIFDVYLAGQVLKKLLATFPDKVDGQQAEAQKKADMIYEA ADAYPEVYKVVPDKSVRSRMNVCFRVIKGGNVDEAEKAFLKGAVERGITGLKGHRSVG GIRASNYNAIPVSGAEKLVAYLKEYAQA AOR_1_174144 MTIIAVAGGTGGVGRTIVETLVQQAKHQVILLTRGVPKSDSLLD KIKQVQVDYNDATALARTLDQHEVHTIISAIGIISDETSQSQLTLINAAAQSSATKRF IPSEYSFIQTEDLLSIDPSIKYWLAAAELLKNTTLQFTRVIPGFFMDYWGMPAVRTNL QPFTFGIDISSCQAAIPGDGNDVICMTYTYDMAAFIVRLLDEEDWPEFSVIVGSQTTY NQLLQLAEELRGKKFQVVYDSVDKIKEGDVTIPPMPSDTGYSVEELKETTALVSRLTI SGVFDLPRENRLNARFPDTETTKLKAFLEKAWGNSQ AOR_1_176144 MSTPKAPANETAEYIEDKTYENVPTSLHLGNDLNIYLTPEQDME DRANEHLEDINDEHLDYLGDLDDGQ AOR_1_178144 MDFWSRLIGGSRSLSTKTYRASSPTERLTAFKRTCNTLQQIWRS TNSPSGDQSATTHARNCIDRLNSVLSDESRGPAPHPCLAYAASSQIFVTVTKLALSSY DDGMLRSATVFFNTLIDSEVDGVVDNRLFARALVDLVRRADKHSEDVEGRLVELLFGV ANNIRLQPDILPAWFAPRSEDQDREQQTNTGAEFAGATRKDDFPLFYLLVEYVHHGGR AGDFARTGLLYLIETASRSKNLERWLIESDLATLMATGLGALYSQLGSLSFDATSDEK MPHIVALSDHAKQETALPPALGATVDSFMSYLLFWQDTIDHCKSAEVNGTLLDHFQVL FLEQLLYPSLLESSDVEGGSTAAVLTYLYRILESINQDDLVHRILHFLLASPSDMETS PTLKVNMSVSRRKSLDVLAAFSEEAAKPSPSLFNLRDLALLGLQSANRQTVLATLRLM AVILQRHHTFARSLIRTVPGQYAGQRTVGQLNAELEQLTEMATSIVEDPTLNDSFEDY LKDASLILESHLYIPPAETGLEDDRPLEIRQEDPIVQELLNCVETFFTNSVIVNLALT EVLMSIASSHLISLDSWLLVDPSKYVYDDQTTAQDGPMEILDQIKLAYQEPSLSSSET PTLTSALQKLVQKIQQWRKDVPDFDILVAARRDLLHHDDPAKDTGRLQQPFEASTRLP SERRPLKMPLDSDIGSPRGRSSLVQDLPGTPASRQAAVGSPLRGSSLRSPDSRESSSP RMTAAEELRKRLSKPFQVDHPHKATMAGEEPEPAADAIVEEEAESAIADEEPRSATLG HVLTNVVILYEFLLEVSATVQARSTLFGEAGYPGVGWSAPLDEELM AOR_1_180144 MTDQDNSYRPRSPDFSTLQSPIPSIPQPIYSFANPLVHHRASYD ASRYFTPQYHPVPPPPPRQASQQYIPPFADPIVDPDMARRSSRIARAAEVVPMPETKY VEPTYVEPSYVEPPYVEPTPVLPEEPPQPNPTAGVEVKTKFPVARIKRIMQADEDVGK VAQVTPIAVSKALELFMISLVTKAAKEAKDRNSKRVTASHLKQAVVKDEVLDFLADII AKVPDQPAGRKHDDDGSDQNEQPKRKRGGRRPKDDSD AOR_1_182144 MAATDQPSGLMDIASSLTQDEIPFKLRCAICNKLAVNAFRLPCC DQAICESYQVSLPETCPVCAHTPLSSDLCKPNKALRTTLKAFLRTEEKKREKERQSAT PAATNDATSVQGTPAQQETPAVSDIPETKQEEALPEVAPTVEAPSEEPLVGGDPSEAV TEQTDGNHEVPLESQPPGEDEQTETVLADGTENPDAMQQNGSGEGEDADDAKLAQESE QDMTQDPGSGQMLPNGMPFGMAPGMFPMGWNNNNGDFNPMSQFMGNGMFNPMGMAGMM DPMVANQGMFGGYGMNMNGMNSGMNFDAGQGMYGGWDGSQNNMWNGGQDKFNPNAFAN GMGPQYGVPSGFGGYNMSQPNGVHPQMQQQQFPNQDFQSGYYGPGYGRGNFRGRGRGF YPGGRGRGGFTGPMQANYPPNANYPAFNSPNFNQDMSSQLQEGVSAEMSSGDPNGTEA TNANDENAPGGHLDGTKDPSLNENAADVGDNGEASSAKGPTMEDTTGPEESGLRGIPT IDSLDQANAAQGMHNGPMMPGPMGPGFGRGYMRGPFTGGRGGPFAGPPFMPGSGMPAP RGPGVEGAPAAPRAMREGLPNTSVLRQRNFQGPGRGSVLSVRPSDASQSTTPAPQEDH RPRSTSRSKSRARSASRSKSRSRSRARSQSPGRRHNRPRSASIENGAEDSERRRERPR RPRREDKYDEQSQAEDEHRTRSPSVDSRRSSRRDRERDRRSGRRSHRSHRHRSQSPSR NGDSRGADRLALIPEEKDPGSRSRTPVISEAQESSSRTYRSGKDRTSRRDDERERDRD SRRRDRERDRDRDHYREKERDRDRERDRDRERDRDGARDRHRDRDRDRDRDRKRSRRD RTESPVDSDYSSRHHSRRIKRSREDEIRDKDRTRDKPPSSSTKTSEPEKDPHTLEREA RNRERLLKEQQRREAMHADRDVGKPSRRRDSRQERTAAGGRRLTYKYEDDESDAARAA RVEKEREASRWA AOR_1_184144 MSGRFVRSSKYRHVFGRNTRKEQCYDNLRVSANAWDSNLVKVNP KYLSVNWAAGGGGAFAVIPLEERGKLPEKIPLFRGHTAAVLDTDWNPFNDDLIASGSD DGKALLWRVPENFTLRPDVDLDHVQDIAPVGKLSGHPKKIGHVLFNPAAENVLATSSG DFTVKIWDIEAGAAKLTLNVGDMVYSQSWSANGSLLVTTSRDKKLRIWDARQERPAHE VQGHSGAKNSRVVWLGEHDRIATTGFSRMSDRQLALWDMRAPAEPINGFKVLDSISGV CMPFWDDGTQCLYLAGRGDGNIRYFELENDKFEYLAEYKSADPQRGIAFMPKRGVNMH ENEVARAFKTVGDTYIEPISFIVPRRAETFQDDIYPPTVGLTPAMSPSEWFAGKEAIP PKISMASLYEGEGLKEITGVQDKPTETLGAPAAQAEPAPKPAEPTPVKKAPEPEPTPV HKPAPSMKEQGASMAAMVNKFADEEDAEPAAEESSFDEAPKPVEREARTVESASPVKT SAWHQREESRSQTASKPSTPVPAQNNDRSPAASTPVDTSSGFNIPEFTNTAASALHGE IQKLTHMVGPLLNEVHEQKQQIESLTKTIESLETNQEKQIQSLNEKIVALEARLS AOR_1_186144 MMDNENLSQSRAPARTRIPAAGLREMSSANTNSRSGILPPGSIA KTSSQPTRPRSTTQGAETTRARATSTTLSGKPLGRANSHATTTLTRSASTTSRTTKAS PATGSSTRPHSALSRPQTSLAGARRPNGHTIARPATSLDTHHEEDSSILGKRKGAEWD QETREKTMEEFFNAFVSRVSQAGQESFGLKETVELYKSRVNELEQSRKDLTEANLALR VELESMKARIGTAEGALHDAQREHELAMDEFSSRQRLEVETVRADSKKKLDTIVAQHE DQLCELKRRFERELDDEKASRLREINQLTSQTALDTQRSQIELDRKDREIASLQNDVQ ALQQEIERERKSTQGLRQNLDTASSNSVTLESSIRALKARIEFLESGREEQSQAFERL NQQMMDALAETNATKDKLRKEETLRRKLHNQVQELKGNIRVFCRVRPSLETEPQTGIA QIQYPDASEECKEINVLGLEEKSSLGAVTKKNNNFAFDRVFGPSTQNAEVFDEISQLV QSALDGYNVCIFCYGQTGSGKTYTMSSLDGMIPRAVHQIYETATSLKEKGWRYTMEGN FVEVYNENLNDLLGKAEELDKKKHEIRHDMQRGKTIITDVTTVRLDSPEMVENILKRA AANRSVAATKANERSSRSHSVFILKLIGENDITGERSEGTLNLVDLAGSERLSHSGAT GERLRETQNINRSLSCLGDVIAALGQGKDGGHIPYRNSKLTYLLQFSLGGNSKTLMFV MVSPLQAHLAETLTSLKFATKVHNTHIGTAKRQARVRDC AOR_1_1846144 MCNRLDKLDFAVDILAHLKRLLHVFTAYVNSFLPWGTVQEKIYH LDNKAVTKERDNLLQEVQEIVSTGQTRYNMLEFPAPYALAKYLLEQLLLDRKDNQHYS TLIIRPSNIGPALRHPHRFYGFDLKIPLHSYVQSLLRTDNHGIEHLCSIADPKCIIDE VPVDIVANVSLLHLASGTTDIVHATSQLYVPYSLSKVASLVASNMSQTTSMSICQRGG VVLSPKHAEAFFQMLARFNRGWEFSCARSECLRQTITSGPLSLRLDWHDPEEFMRVRI RMLVHKFQKLLFNTSRGPDSALYGECV AOR_1_1848144 FDANKAPEAFRFLQRGTHIGKVVLAMPHDGISLPPGPDSQHHVE FSPDASYLLVGGLGGVGRAISTWMVENGARHLTYLSRSAGTSPADKAFIKELQELGCS VECVRGSVAIIEDVRKAITRCRMPLKGVFQMSLSLEDRPFPDMKYDEWKAALAPKVQG TWNLHDAVADIQLDYFVLFGSLVGTCGRPHQVNYAAANSFLEGFSQYRQQLGLPCSVL SLGPIEEVEVVSRDPKMLQTMRGAGIWLLSEAELLEGLRFALLECQFPSSNLEAPSKH QTHRRLQSLVAVGDDLRFSRYAQLTTSESQEGSQSRNSALRDLIAGFRADPSTILRED ARALLKQELCLLIATYSVAAQEIDAEERLQMQIDSLMSIEIRSWVRCNIQLDVSLPDI SKAKTFGGLLDLIMERLKAKYLSSSN AOR_1_1850144 MKFISVVALLAPVVLAAPQARDDSGWIGLIKEQCPNMSEQCVGI AMEAHKPLSDIGQITQAMPACTPAYVECINLIADVSTFPQDVTQGELATCLQRIAPDH IKYFLDNDRAYTPIPYNQNCALRELHRVAHVELGPLA AOR_1_194144 MTLKEMATQEQGSQGTQQSHSMTKRAVVSSFIFHFPPGQPTKPS VALFKRSEKVRTYRHHLAPISGSIDPDDSDPLAAAWRELCEETSLTASDLSFWRTGKP FTFSDPSIGREWTVHPFAFRLKDPAEGGQGEEAIRTDWEHEEWQWYDPRTVLEDESLN TVPRLQDSLRRVWFEGITNERAGRALATGLERLRADHESGAHELTAIALTIFRDFIVY TQNHLDAEWWEMIRMAAWHLIKNGRESMGAATQNAILSVLAEIEEIMEQKTGTEQKWD RILALIDFHLRNRADTAQQVKQSFISYLQSHFSSGGAQKDRLTMLTISSSSTIRDSIL EAYDALGIQTLELRVLESRPLFEGASLASSILSTFKSQSKSPDKHLHITMYTDASAAL AAKDADIVLLGADRISATRGVSNKTGSLPLVLSAKHVTPDVRIVVLSDMEKVNGFAGV IDDELTEGNDPFEVASAWSSDGVKGIQVLEKGVRESKSEQENSSVTVENTYFEWVPLD MVDAFICEEGILEKDSIQEKSRKLGERADRYFGNL AOR_1_196144 MTSTLQRTLYNSTYRPRLNLSLSQFSRPNLYCSSSIHFFQSPPR AMPTYPGSCYCRDIEYELSLASPDDARTSLCHCKSCKKAFGTNYGLTAKIPKDALRLI KGAPKEHVADNGSGSLIHREFCSNCGSFICEYGDAVKDQFRYLCVGSLDDPEALPPKG EFFCSSRANWMPEIPDVFHKQKIKE AOR_1_198144 MVHIRLASVALLHSLLLTYGLAEDTKLSESLVGCDEVSCPKENA HDRCTVGEKTFLGIGLSRIPNVPSTLEGLSLIKGVNISEGGEGKRKFNSAYYLGTPSE LDVNDLSGCVVAFNDPPEKQFDGPVKTGKDRNITEVQAARGTCPDIIEQECIDTLTER ARSVTNATSNGGVCATLERELRRNAFEECRDLGGKGRGLGNFTVTSFGNLSTVRNSTD CWPVKPKSDDLALITENTAVMEEEYTRIADIIRHRAKTHDKKRYLVAIAGVPGSGKTT TATAIAQRLNSGQTRIQTELVSMDGFHLSRATLDQLPNREEAYIRRGAPWTFDAARFI AFVHQLRQWTDTFSDETIYAPAFHHETKDPVEDGVMISSDASIVIIEGNYLLLDEPDW RDVARLVDYRVFVDTDLQEARDRVAKRHVSAGIEKTIEDGYRRVDSNDYLNALTIRDK LIQPDMVVRSITEVSS AOR_1_1852144 MSSAMRIAIAGAGGLARYISEEFPKHGHSAIILTRSEKEYFRNR LNITQVITDYSVPSIVTALRDCEILISVILSYAREFIDIHLNLIKACRLSPKCKRFIP SGFFGDIENYPDLPPLYSEIREPIRKILRQQTEIEWALVCNGWLVNYIVPKGNLYLMD IGEAFPIDIIRNRIVIPGTGKDAVDVTSTRDLAIALALLAIAPVWKPYVYVSGTKRCW NDLALLIQERYPAMREGKRVGSAQIPGTIQNSTDEEELLLAHYQIYVPLGAVSLDPEK VEAHRRKFFAGLKFRSPRQLIDEVEEYTDKVVLS AOR_1_202144 MSSIPTQNIYDDPKFFKAYSTLPRSQHGFDAAPEWPVLQEMVLK NNKSGHSGIENEHVLDLGCGYGWFTRWARENGAKYVKGMDVSTKMIERAKESEQDLRE KGSIPPSYGTLCYEVGDIETVSFSASEIDSYDLVYSSLTFHYIEDFSKLLQQIRLCLK KGGRLIFSVEHPICTAPVNPQPDWKVLPIEDGDGVGRKIWPLNSYSDEGPRMTSWLGV DGVRKYHRTVETYVTALLQNGYVLTGLKDWVPSEHDVEEHPEWKDERHRPYFLLISAE IHSDY AOR_1_204144 MTLTMKRSTPDNLESGDNLKSYSCLTCRQRKVKCDRCTPCSNCV KAEKQCSFIPPVRGKRKRTKPPRESLHAKLRRYEELLKSCGAKFEPSGDFDDSESETA SQPDVQMDEDAAPPSQRINLEETKPKLIIDRGTSRYFDSAPWSSLGNGLHHPEVGEPI DGSNLQDGGLFFEPEQINKPENLANLHLSLQTLSKLKDIYLDRVDPMIKILHLPTFWI SATDRLSDPQSMPTDLEAMMFAFYLATISTLKEDECQHLFGVRRSVMHSRYRMAARQA LMNAGFLSTSSPTTLAAYALFMTCIRKSYKCDTLFVLSGVAIRLARKMGLHRDSSALG LSPFESEMRRRLWWHLAYVDFRLADVLGTKPSLDLSCSDNQMPLNVEDEDLHPDMVDP PLERRGITTTTPCLIKYVIMESLRKFSTSCPSELRWEALSSPDVTIMKKDSIIGHIED QLEMKYLRYCDPSNSLHTFVSIMIRSSICKMKLFAHNPRQFANNPIKVPQDERNIFFD NAMKLLEYVIFMQEGSHGLGKYKWHFGTSVLWNVILYVLIEIRHRKTGSDVDKAWRLI GTVFSYYPQVFDESPGPVYIALGKWTLEVWDDHVAALKADGLPEPLTPDYINAIGHCR RPAIESPSRAKVQAVAPAPATWETVAQDRIHSPNHEGNHAEGGALDIYDLPNLLSFEM DPNEWIQWEQLVAEQGSFAQGDSM AOR_1_206144 MIRTSPNGEEQPPTSREDCKSNADDIVYPTGLRLALLLMSIFIG MFLVSLDRLIVSTAIPQITNEFSSAGDIGWYGTAYLLTNCAFQLVFGKIYTVFSVKPT FLTSVLLFEAGSALCGAAPTSVAFILGRAIAGLGGGGILSGVIVVIVYAVPLHKRPKY QGIFGAVFAISSVTGPLVGGAFTTDVTWRWCFYINLPLGGIVMMPLKDKLRQLNVLGL VALIPGVVCLCLALQWGGTTYAWSEGCVVALLVLALALLVTFALIQIWKPENAIVPPR TFKQRSIASGFWVSSCLGAHMNLFVYYLPIWFQAIKGISAVDSGIHLLPMLIPVVVAS IITGQLVSRIGYYTPFMIFGVCLTAIGTGLLTTLGINTSAGKRIGFQIIYGYGIGSCS QAPNMAAQTVLPRRDVAIGASLMFFGQQLFGAVLTSVGQNVLFNQLAHHLSGIPGVSP KLIQNIGATEFLNRVPAEDYAVAQEAYNDSLRKCFQVGLIMACLSVLGALSMEWCSVK KNLPSKERDGQQASEEGKC AOR_1_208144 MGHGFKTGKELHQVHTYANKNENVEDPINSTTRNPIIFLHGFLG SKRENRGVGKILAQDLSQHVFCLDLRNHGDSGHHPKHDYMEMAIDVEHFITTHGLNNA TLIGHSMGAKTALTLALQSPDLISKVVAIDNCPIHLDLTEEFPRYLKAMEEVQDARVK SHQEGDKILSKYEDSPSVRLWLLSNFVRERDSPHLKLRVPLDVLTTAIGPLGDFPHKG KFVQFPKPALFLRALQSHYIPESSFPVISSFFPRSRIVNIDCGHWIVQERPEEFRQAV VQFLREKDEVDSLDL AOR_1_1854144 MDAHPDPELRKTCDNLDVSPKNPLRPSVSRKSMVRPFDFSAARK LHIVVAGIYFVFNSSLGSSLPSGAHDAIADYFHIPQDDLKMVLPTSLYMAGFAIGPLI FGPLSERFGRKPILVTTFAIYSLFTMACALAPTFPALLIFRFLCGLGGSAPNAVLGGL FSDIYNDPHQRGMVMSIFMFATTFPPLLGPIISGFVSTVSWRWTFWAGFIIGGVGFPL VLVMPETYMPVLSRKHEKALGQSHIDSEAAKPHLQGPKLSDNIGVVLSRPFTMIVREP IVFCCSMYLALIYSILYLFFQAYPIVFQGLYGMTPGVAGLAFLPILPGSILAFVLFCL YSSYHTKALKNGLAWAKVEEYRRLPLACIAAPAIPIALFWLGWSSRSSIHPVMPMMSG VFFGFGYLLIFIALLNYLTDAYKQYSASASAAASTLRSIFAVCLPLATTPMYTKLGIN WASSLLGFFAIAMAIIPFVFIKYGSWIRGNSKFAQRAAQGYTIS AOR_1_212144 MAPSAIESQKTKPEAPVVTEKQAGQPLDASKLIYTYTTNPRDVP DETTAHSGDETICTDHMVVATWKASTGWSAPELKPYGPLNLMPTASCLHYATECFEGL KVYRGYDGKLRVFRPDRNAARLNMSASRISLPQADADEITKLIFALLEVDGAKWLPKE RAGNFLYLRPTLIGTQPTIGLVKSKQAILYIILSYMPRQDTPPGGMRLLTSPEDMVRS WVGGFGYAKVGANYGPSVLATQDAMQRGFHQILWLYGDQGECTEAGGSNFFVVWHRKD GKKEIITAPLDDRLILDGVTRRSCLELAKERLSDEFEVTERKYTIGELIEAEAEGRLL ESFAAGTAWFITPVSAIQHREHDIPIPTGPDGGPGEVTGKIKGWLSDIMYGRAEHEWG IVVSERE AOR_1_214144 MEKFTQFRDRGSGIAPFLPIPPQPAGFQLPLRVFLFFFRLPLFI FVCVSYFLVLQWLPIGSLGKKASLWCILGVPSIWWIDLQVDGVRKGSLSKQHQARLPG PGSVIASSFTSPIDAVYLAAIFDPVFTASYPNSRKVEQISLFQAILRAFAIPQANPPP KARLVDLATLVKKNPGRPIVTFPECTTTNARGILPPSPSLLSVAPKTKIYPVSLRYTP ADVVTPLPGSYVSFLWTLLSKPTHCIRVRIAEGVVSGVDGEGGFTTRKSTYDTNYLDT LDKDSTYGSLDTEDAELTRAEKALLDNVADSLARLGRVKRVGLGVPEKKDFVRMWTKT RRTW AOR_1_216144 MGLRRRKEKLQPAQGASSVAHTRSTSLYMIPTAASTVHSLSTAS TIYSHDNNTTTSLSLSGTTLVTEGSFTESLSQYEAQDSISRKQRARRSLAKYGSYADL SNAISQTKAMASDSKLKARSSVINLEQQMREYVTNVTHSSVDLYGTMLRKLDHMITSM DEGLFRDKEDMVTAYGDEVSYTKTGTEKEVKATSTGPSFFSKTYLYHNSRLPAHLPPV QILPQTYALIRLAAQYSSSAYKKPVELTTTSNPYVSANIRQGTKAMVIKPLASDDLKS IVVAIRGTQSFRDWAVNMKTLPTAPENFLDDPENLCHAGFLTVAQRMVPSVAAYLRDL LTEDPNRASYSLTLTGHSAGGAVASLLYCHLLSTSVSSELKHLASFFGSIHCVTFGAP PVSIRPLFPTKSPASLGSMFYAFINEGDPVPRAEKPYITSLLNLYMSPAPFSLGTSRT YPFVSRKDKPAIWRVPPATLHLAGNVVLLRHQSQDPVLNLPHGANTIQKDEIEACQIP SEIIHDVIFGDPIKHWMGLYQERIEHLTRRSQEPPPYQP AOR_1_218144 MSQDMVEVKVSKPNDTELLIEYIAPVAQISALKSTHYHQMLKAT HHDRFLQNRGSETADGIRIQVTHGSCDVEFEDGRYRIQCSTDDIRFLILFINFPFRTI CQPVGQTIIEFGTRFTFDDWYKSISFDPAVINSFERRYKKRQRNKKRRRCSWFTLRCW AOR_1_220144 MSTRDSSAENPFSAEVNAEDARLWDTIRHLHRNGFINLVTRRPP YIPRWAYKLLRAGRGQRKTLSDGEYGYRINLADMHRMYMRHLQARLIQTAITLHFDHG NARPKEEIQTLESTLRKYVQAVQDHEYMARYAGQRNDPFIASSERIHDNYLLMREMTR YGKTASDMEAFKEGAIPTGPWETGEGGAQPIGATRTETLKRGLWSRIAGALVGGAFLV GPMWLLALKQELYLQLGVTTGCVSVFGLLMAWYLSSLEAVFAASVTYAAVLMVFIGVM IQEKGGK AOR_1_222144 MLLSQTRGRMPSALRSLSRRSAVNVRPLSTTLPKQKASSSEDDN ALNKVSRHVTQPISQGASQAMLYATGLTEEDMNKAQVGISSVWYSGNPCNMHLLDLNH RVKQGVEQAGLIGMQFNTVGVSDAISMGTKGMRYSLQSRDLIADSIETVMGGQWYDAN ISIPGCDKNMPGVLMAMGRVNRPSIMLYGGTIKPGCAATQNNAEIDIVSAFQAYGQFL SGDITEPQRFDIIRNACPGGGACGGMYTANTMATAIETIGMTLPGSSSNPAESRAKDL ECLAVGGAIKKLLKEDIRPRDIMTRQAFENAMVVVNITGGSTNAVLHLIAIADSVGIK LDIEDFQSVSDRIPFLADLKPSGKYVMADMHKIGGTPALLKFLLKEGLIDGSGMTVTG ETLAKNLEKVPDFPEDQKIIRPLSNPIKETGHIQILKGSLAPGGSVGKITGKEGTSFT GKARVFDDEDDFIAALERGEIKKEDKTVVVIRYTGPKGGPGMPEMLKPSSALMGYGLG QSVALITDGRFSGGSHGFLIGHIVPEAAVGGPIGLVKDGDVIVIDAEKRVLDLEVDQE TLAERRKQWEADKEAGKLPPTGLTMRGTLGKYARTVKDASHGCITDALD AOR_1_224144 MISPDSSQSTDRSALFAKQPILLHEASATTASGNNGISSVSSVS SGVEGICSPVSLNGEFSPNIKDEMIHQFHPGAPQPLPSLQIRTDLPRTAQLSPSKESD PFMHHSISSSSLPRRTSSLRGFLERPSSGGGSLSPASLLSSPQLMAMGDITPLPSPIS GVSPWKISRRNSQSLSRTPSLLSRNGSSLSLRLSDSSQVLGPSECRSRSKQRGMAEML GADKHSETPSKPRPDGAPKHARNRSLSEYVPPTKAIPIKPRPIAVSGSGVSQGIFSSS STDSKSNNLHREQHLAVHRGIAIPAIRPPTPPRSSRSTSDGDVEPVILSPQSMDGSDE IYSVRSIRSQQPRKYRKLRELGQGTFSQVCLAVRMELQDDMDTGYSSSLQGVNAATQK LVAVKVIEHGPAGGADEERLEVSLKREVDILKSVNHPSLVQLKAFGSDEKRALLVLDY CPGGDLFDVATSGPRPMSPELIRRIFSELVAAVRYLHANFIVHRDIKLENVLVTLPPA AMEEITDWRTYDRAVVTLTDLGLSRRIPQPPESPLLQTRCGSEDYAAPEILMGQPYDG RSTDGWALGVLLYAMMENRLPFDALPGTRGDPAKLRARTPHRIARCEWSWYRYADNDG EWDPQKGKDLEGARECVEGLLKRNTKRKSLDEIASLEWVAKAIDVPGGLKRGDKEVP AOR_1_226144 MALAAEYFSHALSQPYGSSFWVAVAASLVFICYITCDTVYNLCL SPLSSFPGPRLWAVSNIPRQLSILGGRSHLKMLALHHRYGPVVRVGPSELSFNSPQGF RDIYGFRRGQPQFQKDPKMYGSPLTGISNSIGGHVDSDTHSRHRRLLSHSFSERSLRE QEGIVVYYVDLFIQRLRERTSVNKIHRAEEDLKSWFNFTTFDIIGDLMFAETFDCLKD SQLHPWIALMFNNVKGIAFLGVLNEYSLFRKMQGALLPKALKQKMLENHKLCAQKADR RLQKGASRPDFVSAILKHGLSDDKEEFIENQPLMSRAEIHANSIFITIAGSETTASLL SGCLFYLCKHKYIMDQLNKEIRTTFSKDEEITSSKCFNLSYLNAVLKESLRLYPPVAA SLLRLVPKGGCTIDGHFVPEDVTVSTHHYASYRDAANFTFPEQFIPERWLGTDNRFDS DRKDVVQPFSLGPRDCLGKNLAHMEMRLILSKLLFNFDIHLTPESENWGQQKMFIVWD KPALMVRLTDRFA AOR_1_228144 MSVTSTATTTAASATSTCHQKLYEIPVKDAACAMPMQGNNSAIM SSCCSSASVVSYSDCDYYCLAQGQNVGDLAECLLKASEPGEVWCNTNANATATGSVPT TGAGTIVATASATGSSATGTKSSTGGTSTSTTNAAGAKKSVGVLALLLFGCTAGVLV AOR_1_230144 MFWGQLVNIGLFLSLSIGGYSRLVTIPTIPFTETSDTYSLARLT SIVVDARYANAVDNDGATQIPPTLQQFAETFQNDLQSTLGIDIPLTSSMTAAPNSIFF TLTNETGFHDAAGRNTSEAYTLTINEDGVEIAGASPLGAWWGSRSLIQAAVVSGHTLP QGSAVDAPGWTTRGIMLDAGRHFYPPEFLIEMCAFLSFFKQNAFHVHLSDNLYNNVDQ YSAQQSMDLYAAFRLWSDDPALAGLNKRANESYTKEQFDNIQQQCARRGVTIIPEIEA PGHALVISQWRPELALDDLSMLNITHPNTIPTIKSIWKTFLPWFHSKTVHIGADEYDK DLVADYTSFVNTMQDYIFKESGKSMRIWGTFIPSQGANVSTDVSIQHWEFFEDNPYFD YIQNGYNVLNSDDAFYIVGKWSGSYPSTLNKTRVFHGNPAGGAYAPNIFDTKNSTNNP PRNDPHVLGHIAALWNDYGPNSTTVLETYYSWRDTLPALADKQWGGSILEDEYDAIFD KIHAAIPGQNLDRQVKSHTDTILHYKFDKDTETVIDHSGNGYDGIIRGCHVQNSTLVL SNGCYVDTPLGSKGRDYTLSFWVNPASQTPGTLFTGPDSTLLSGNGSISNVTLISGGN PYSLNYSLPLDTWTQVNLIGKGNQTLLRVSDSGAESTSMEFLARLGINGESQVWAPIG IEAPLARIGEGFNGMMREVVLRGSAD AOR_1_232144 MSFWDSLSGRKQSKGPEFDPSTAQDATSFLSEVAIPDPTSLHPL AGLNQDTLDYITLEDSALDETPGSRSLLPSRGWSDDLCYGAGTTYLAGLTIGGAWGLA EGMKKVPATAPPKIRLNGVLNAITRRGPFLGNSAGVVAMVYNGFNSGIGYVRGKHDSA NSVVAGALSGMLFKSTRGLKPMMISGGIVASIAGGWALVRKTVL AOR_1_234144 MDSQAGSSVPGDAGYQKMEAISQDIQLLPEATLKEISKGLLVKF VLSCEDIDKVNRVWQSLLKTFSTASTSNTHTVASCNALSAFLDTALTSKYEGTRKLAF ANETWTAVFDIYMARYKDSNPKGMRQILECLVNLFNKNPQGTDKDVIRSNITETTIPS IILGEPRSRLKASFVSLETLLRKSAISPIEFISMVERWLLENRERWISLLQEDCKALS IDITRLLGSAPSSESKQIVAEILLFRLLTQAKTAELAASSGDLMAAFFLKVKSSEISQ NLSSIWVAPVRHLALQKLGNLELMSNYTLQPLFKLDPVGFRAFLDGLHLKNLLAGDMS EAPLPELTLLFASLQMAKKVGLVHEDNYIPKAGSSTNDAQNALVLKSEMIGQFLFHRE HSIRIAALSLLITAPATTKPVSSATIRAILKGLPSMHSESADPYARGEILSLIRKLIP RLKGGILSEHESLAEANVSNSKRHPPKFARDDSETQKCLKEYLDLLKDDLRPTASYPR HIMALKTLIHLLESGLDARYAGHIAAKQVGNVTKWRLNMDIFEPSLLRLLVDLLLDPY EEVRATSLTLLNLFPQDVLLSGYLRSRSNGKHEKPQLVDALNRAEQLASNTSRADHAD TVARLYHIFFTAADDSSSKVAGSWWETKQGVVDTLLKKLEEKLSLAGGLFNSSMRDAP LHGYVSALRYIVLTPDFHVLISNAQTGYEAWRAVHSRIVAVCDKIWIEVKPVLCIDSP EGHTDEPIDDLNVGPKDILSYSWRALRESSLLLYATLANRTYGPTGELGLTKSDFEMI GVASFTQLAELRHRGAFSTVSQTFATCCLRCGQSSDPEIASLPHNWYQEARKIIFETA SKLTRRSAGLPALATGILSSNPGGQLFQEVIKELLEISHLPVQQDDDNQEMELPQVHA LNCLKEIFTNTKVAAHTEPFIMPALNLSAEQLGSPVWNLRNSGLMLFRALLTRMCRRG TGLGFGGNSGSEPGGRISFQKYPGLIQLLSDLLSSSNARNNAEQGDHAMVTERVFPAL ELIAEKVPNVYDTDDAMLLELVREQLKSPVWGIREHAARVYASLLNRPDILKDIQTLL DTERDLKSQDYLHGKALSIKYALRRFGSASVSFWNEHIHEVSAALRQIFATLFPIAES PFVANTLLEILGESVEKSFESGMEEKMLMTICYTYDSYGFQDILEYLFDSSNPNHNSL SKTRASSMLRRSLPWVGVLQMFVTGELDELAPFVKTVSTFDPNAGVWLLQRIQDTIGA KDKYRKTLLQLYPSIILGDYPEDVKGSAISSLASILEDLLDFHHDNFKDVELPWEELD QHINSKPNGEVWNRDRSDAGIRLQGCLLAAKVISNQAQISETDIRRWATKLRFAMEEE TEYTTRDAAVTSLTAFARALRSKGKAPLVDKVYLEIYLILHDMLSDDDEDLRDMAAGT ASWVLSYSSVAPSKAVALSPLNAGQLLADFMVDNYPESQLLSTKAIHYITGQEPKLSG SVEPTKLATVEAQLAELRKESTTLFEEERQNLFIEEIREVDIWSRRLLGLREAAFNER IVKETSKWVSDGLSYVADIMANESGMDGLVGWASKPETFTLGVRLISLAAVLVSEEFA APGYLFEDRNSLREKLQRLLDNGEKSLLHENWVARIQDALGIEG AOR_1_236144 MNDRIIGGGLIVNGGNAVGSGGGDQPAQQECSNQGMDNEWGVGL AEQDNAQYTGPQDEPSQPGPIDDEWRASSEAVAGSSGGPTSPNDDWPNTGDNEGPQEA TQSEWKANDAMEQRDNGGQQEASQGTWHPNDAMEQRPGQQEMDLGNQSW AOR_1_1856144 MTILGTIRFLDHFSDLYGNRLTVSARRKSDAVLKAVLRAFSLQW LSSADSPTGVQSTTNYNSPIGRDTPRNSPMDAFYDSWFQARSLIKNALSVQSFRVVYA ILMFDGISIPAKASGETLVAHEFLDAGLHKLNCLAGLVEQYCLNLGSHSTYGTIMEAS LSVVRWCNHVRDIGAALTADHVCKLSDVLGNDKGQATSSGYEPTSPYVFDQGFNQDFD NSVPGICRAATAEAFRVWKQVVAIKGFLFSSIQNDIELCPNLSADVTSTITAIAGLAT LRLKYCLIPMDYNERLNYMVNTDVRIRSSSVAKPIEYNMSTQLEAASIARVSLHDPAH FSIQHSQTSHRLALLQHWNIPTGSNVLELGCGQGDCTTVLANAVGELGRVVAVDPAEL DYGAPYTLGQAQGHISQGPLGKRITWVQQSPLDYLSSLSSPSSTSSLPASDPKAFDAT VLAHCLWYFGSPSLVLSTFRVLKQHSKRLLLAEWSLVATHPSSQPHVLAALTQAALEC RKPKGSISNVRTVLGPKQLTELALAAGWHLESETRVPGSEGLLDGQWEVSACLSPSFG WEVEEQVSGERERAVVLALRDACEASLEGIPGGREGVRAMDVWVANFV AOR_1_240144 MANNPTIVIVPGSWHCPKHYKYLIDGLAKFNYEAVGVTLPSVNS SPPHASWDQDAQAVREVILKSLDNGNDVIAVAHSFGGVAMSEAVKGLGKEAREKQGLK GGVVRLIYMCAMALPEGQTHVGQIQPQTPEEEELERQRQELQAKYGGMRFTEDGAMLL DKDIIRDIFYNRCDPKDVDEAVELLGSFPTGPLTVPVTYTAYREIPSTYIVCENDEAL ALSYQERMIAQGDDVFHVERCQEGHSPFLSNPTFVVECIRRAAGENI AOR_1_242144 MFRTRVTSAACAAAQKAPAARNFARASPVSSASRNHKVVVVGGG TAGLSISHQLLHSGKFTQDDIAVIDPAEWHHYQPGWTLVGGGLKTKEELRRPMNSLVD PKLKFYNDSVSTFSPEENLVTLGNGDKVNYEQLVVVPGININYGSIEGLPEALESPDS LVSTIYGYNTCDKVFRTVQKLEKGVALFTQPAGVIKCAGAPQKVMWLALDHWKRAGLY DPSNPSSSAINISFATALPAMFGVPKYSATLEALRKERGVEGLFQHDLVAIEGNTATF ARLDGQEKVKKQFDLLHVVPKMGPHAFVKNSPLANEAGFVDVDDSTLRHKKFSNVWSA GDGSSLPTAKTAAAITSQSPILVRGLLSTMEGKEPEGNYDGYTSCPLLTEYGKVLLAE FKYGGQPKETFGNLFGIDQATPRRAFYHLKKDFFPWVYYQSMVKGQWGGPKGWVN AOR_1_244144 MNSLRASQAALGSLEPMANSIQATTLRRPLRSLCQHANMRASYT TKTYYLPISADFRRASLKNKLDERRTLSCNNSPSSRSITTKIPQAVARGRASYSTEAT AAGEPTIHAVFETKTGTWQYVVADPSTLAAVIIDPVLDYDPASQAVTTFAADSLLSLV KEKGYKIDRILETHAHADHLTAASYLQSRLTQEHGHKPSIGIGKRIGQVQRLFGRRYA VPPDEYSVVFDTLFDDDEKFKIGNLVATAMHLPGHTPDHLGYKIGDNVFCGDSLFHAD IGTARCDFPGGSAHSLFNSGRKLLSLPDHVKIWTGHDYPPEGRATPIPWLSVQDHKSQ NKHLRDGITEEEFVSLRQERDAKLAEPRLLHQSLQINIRAGQLPKPTEAGHRMLHVPL KLGDVKW AOR_1_246144 MEDPTQRVIIIGAGIVGTNIADELVSRGWKDITVVEQGPLHMPG GSTSHAPGLVFQTTPSKTMTNLARYTVEKLLSLDCFNQVGGLEVATTPERLQELKRKH GYASSWGIEARLIDAEECLKIYPLLNKEKVLGGLHIPSDGLALAARATQLLIERTRNA GVRYLESTPVTGIERSGRRVTGVRIPNGVIPADIIISCAGFWGVEVGAMVGVPIPLLP LAHQYAKTTAVPALAGRAVNDLPNGLNAERPILRHQDHDLYFREHGGQYGIGYYGHRP MPVVAGSLGLTPKHVDEKNMPSRLEFTREDFDPAWKETQELLPALRDTEIDDGFNGIF SFTPDGGPLIGQAPNVEGFYVAEAVWVTHSAGVARAVAEVLTTGRSQIDLSECELSRF EEIQLSRDYVSETSQQNFVEIYDILHPMQPKESPRNLRVSPFYNRERELGAFFLELGG WERPFWYESNSELLKYLPAQWQPVERDAWSARFYSPIAAVEAWKTRNAVAMYDMTSFH RFEVSGPGSVDLLQRLTTGDITAKPGTITYTLLLNDHGGIRSDIFVSRLSEDAFQIGA NTAIDLEYLVREGRRQEQHTPSRWVQVREITGSTCCIGLWGPRAWEVISTITTDDFSN AGLPYLGVKSATIAGIPVTAFRKSYVGELGWEIQTSSQYGQRLWDAIWQAGKCHGLIA AGRAAFNALRLEKGYRTFGSDMTTEHNPYEAGVASAIKTDKKENYVGKAAIQRLSKQA PTRRLRCLAVNDGRSMVLGKEPVFLNGKATGYVTSAAFGFTVRKPVAYAWLPASVTEG QTVEIEYFGRRIPATVTAEPLYDPQNSRLHADGSLVVPELQKPLKSLL AOR_1_248144 MRRSEGNQLTQNNRANRRSRVALACDICRLRKSRCDGIRPSCSA CGRLGFECVYTTPNHSQNVIIQKEYFQALEDRVKSIEETLASMKDDFGASISSQTSDI EVKGAMNDTNSPVQGIGLSYLIDENEPGFLGPSSNDHFLRCLCYAITQGKDMHIITTS TTESYVGSSQTQYPTPASERKQPSEVNIFALPPANEMTELVQKFFFQIGILFPFIYPV TFLETYSHLLKNGCLSFFATYIAQSDVYYQRAVDLFGEQVFNGENIEDVQFLLLLGQY LHGRHKPGQAWTVHTLAVKAAFKLGLHSQNALKDMPALTKEARKRTWYGCVMIDRYMF LDNAYSPDVNIGRLKRPCDSGY AOR_1_250144 MPETIIISSSSQGSQTTLKPTTIFTGEVYFDTLHTDETTSMANV TFTPCARTHWHTHPGGQFLKVVAGSGWICDKGSEPRRIKMGDLIWAPPGTTHWHGADD GSIMTHFVVGLGKTIWLDPVTDEEYAAKKD AOR_1_252144 MKAVHIDLEHESMLYDDSLRELPIRQLSSKLVGLHTFKEHRFRI VPERAGEDTDDVGQLFSSCLVLMHLKDIYERCYGDDSGDDERYSDERILCFLQKFTEE YRVYLESLSDEKVSEILGVIEDPKFGWMLNELRKRRTKSTMRQG AOR_1_1860144 MYTGYAVLLISRVPRCDEQKELSKRFERFDLQELIRTALDVSDG SRYCTRVLKCLEGLHNKAFIFTMDHGVEVFAKLPNPSAGPARYTTASEVATYDMLREV FKVPVSRIFAWPADATNNPVGAEYIIQEKVHGVRLGSLWNQWRRKAKLDLIKQVVDME IKLTTMAFPWHSCIYYKNDLLSLTGDAKALTVDSVAPEALEHFAIGPLTSAELWTGVR KDMRLDRGPWLNPIQYTHALGKNEIAWIKSHAKSRMNYYRSMEDQEHPEDGLALLTQY MDAASYLIPSSDDEAASSNVLWHPDLHLDNVFVDPNTHEITSIIDWQSACVAPLFYQS GVPRMVQHTGPVREG AOR_1_254144 MSSPANNPRVPRGPVEAEIVFYKDPADGSAPFNYVEEPPEGQPQ RNYSEAVHKVHITDIRGQEDQFTLDRDAFQVLRDIPSQTTYETFNSDDAIKQLYYPEV EKLLLEKVPGAHKIIIFDHTIRRADPEASRQPVRQAHGDQTKWSAAQRVRRHVPDPEE AEKLLQGRYRIINVWRPINGAVESFPLAFASAATLGDRDFAKIEHRYPHFSGEIMGVR HNPKAEWKYLSGVDDDERLLLKCSDTEEGMAERVPHTAFVDPRTAPDAKGRESIEVRT IVFG AOR_1_1864144 MPYELTKDDLDCEAEWNPAPVRAAGNPNPAVLEALMNYYWEGLS HWNKMSADERKLLEHYSPNNVICAIEVKFTQNVNILLDAGADINGISAEDLSDYSARF LRGRDDDIDISSFGSVPFRAQLLATGKTKGVEY AOR_1_256144 MQPIILYSHPYGPNPWKVAIILEELNLPYETLFVSFQDVKKEPF IKLNPNGRLPAIEDPNKNITLWESGAIVEYLVDNYDTENELSYTDFATKYETKAWLHF QVSGQGPYYGQAGWFNRAHPERLPSVIERYGNEMRRVTGVLDSVLKNREWLVGDKCTY VDLCFMPWQRWVSKYATDAENVDRDFPHAAAWFKKLSERPSVKKVFADQDRAIEESIK NQTGL AOR_1_258144 MSNDSLATQALHADNGLDGKSDIALPIHLSTTFRSWFGTFCYSR DRVPTRTRLETVLSALIHGPTLTYASGLAAVHAYLVFLKPKRIAIGDGYYGSLQVANL HQQLTGWEALSIRRFADEAHKRGAYLIIDSTLRPPGLQDPFALGADIIMHSGTKYFGG HYDLLCGTLSIQPAREESWFKTLHEQRTILGSVLGSMEVWLGLRSLRTLELRVRRQSE NATALVLWLYECLKSEFDYVVKKIVAAFGPVFRTEMKTEEMSRRLSGLMRLFQHATNL GGVESQIEWRRLLDVSAKPTLLRVSIGVEYLEDLKRDLFQGFEVLRHEIG AOR_1_260144 MLPKIFYLSLLPAALGHPHLQPRLDNGLARTPQMGWNTYNHYSC SPNETIVRSNAQALVDLGLASLGYRYVTTDCGWTVADRLSDGSLTWNETLFPKGFPAL GKYLHDLDLLFGVYQDSGIKLCGSPPDNVGSLYHEDQDARTFASWEVDSLKYDNCYSD AATGYPNVNYEPSTSPQPRFANMSRALAAQNRSMVFQVCEWGIDFPARWAPALGHSWR IGNDIIPHWRAIYRTLNQAVPQTSFAGPGQWPDLDMLFVGNDILSIPEEQTHFSLWAI LKSPLTIGAALKDDNTSINDESLQILKQEEVIGYNQDSLGVSASLRRRWTEEGYEVWS GPLSGGRTVAALINWKDEARELTLDLPDIGLQFAGTVKNIWDGTTAQNVKTSYTAKVQ SHGTILLELQDTTASGQYPTDTFATSTDSSTTFESIYGVTTSFRYNITVKLSEASSSG DVNIQSTASNKTITAQVSASGTEASAQIPLLAGSSNSITIVSPQSVDAITITPPNGTY FPNTAFTTTGDADTVSCGAGYCQPVGSKIGNISTNGTARAVIPATAGTKYLAIDYINN DVAFDSAWDWGSNSRNLTVSVNGNKPVRIEVPLSGQHSELFGPGKGWWDTATIGVLTE GWKDGDNDVVIGNEGGESGFTSYGPDFVGLRVL AOR_1_262144 MQPSKTILVTGASGFVAAHIIEAFISAGYDVRGTVRSEATAEKV RRTFPRYGEQLSFAIVPDIVKDGAFDEAVKGVDGIMHTACPGATETDSNERDIVQPAI SGTINILKSAQKHGLQVKRIVITSSFASMIDMSKGTWPGHTYSEKDWNPMTYEQAVSE GTSSVQAYLAAKKVAERAAWDFVRDENTSFDLVTILPPMIYGPNINATHVSQLNITSA DIYRFMSPDSKPSDPIPDNGFWSWVDVRDVAQAHLKAYEVPEAGGERFFICAGNYSYQ QIADILREKVPEVKDRVPIGKPGSGFGGVELYTPDAGKSQRILGLRYRGLEESAVDSA YAFLELEKHSN AOR_1_264144 MDDNNLTYSLSQTAPNSTVDKTARSHADRTEEDTAVGFPDGGWR AWSVVLGSWCAMVPSFGLLNTMGVLEAWLANDQLKEYSKASIGWIFGLYSFFLYFGSV QVGPVFDAYGLRPLLIPGCIGLVGSLMVFSVASEYYQFMLGFSVLGGISSSMVFTPSI ACIAHWFHRRRALATGIAATAGGFGGIIFPIMIWNLSEVVGFQWGIRITGFICSFFCI LYVLFLRTRLPPKKLGGGKVDIRALREIPFSLLTVAIFLIDFALLIPLTYLTSYAESH NMKESLAFQLVSILNAASILGRVVPGYFADRYGRFNVMIGTTLVCTIFTLALWLPAGS NPAAIVAYAVLFGFWSGSAISLAPVCVAQISSTEDFGKRYGTTYSLVSVGALFAIPIA GEILKAQSSGGEEEDYSGLVLFFLVPSRLIPEDDWMGTYFARD AOR_1_266144 MSVSRSSISSSHPSTSGTAVDLPQCSSVSGTGDTNSAQETDPSL RHIIGLLTPFSGEQSLSVDPGLLWGPQDTEPPSEIENPVLRVYTSEKDIANAYYIYIH PYLALLPASVSPQYDDRPEVFQPPAAEAALSAILCLIPPPRDPQPSGECHVWMRRAYA RLYAQAALSIAEKEIDDLVSPNNLTSYAEDDRLHNELPFQLYPVLALVALSIYEYCQC GNVSRMRTRANQAITTAMDLGLHRLDTNASEAHRRAWWSAVSILYHTSVVQVSSPIIT ANDPRITTPFPKFTAFIDGPEPWPLLLKAQEAYISVSDLLGALGLVYKAPTQSLELRD QIYQLDSRIMSLATDSEFYMGLTCKDDAEGVAMQGMGLIAYLLLHSAWIRLHRFRAFM DIPLFVEKHCDLTAINDKAPYPEVSSNFEAVFPFTEQQSSVSIIYHFGGDLSKCLAVL AOR_1_268144 MVGVSSSKGCRMCVNRRIKCDETRPQCLRCIKRGCECPGYNRYF KFRDQTQALQQRYRREPLVRPSRTPPRLQKQKQTRQTELSELIIDEVFAPGLTFAALD IQTKELFNDFLEYYFPAYSIWSSQLEVNWMDFIRQQGLTCPQALIWAIRALNTFHMGV VRGDKGAIACGRHMYGRGIKHLAYILQTPAALTDETLAAAILLGGYEMLDESCKNSWV LHCGGIRHLMCARGPAAHKHGIGRTLMLSFRNFLVTEAFRQGESCFLEKPEWSSMATE FIFNETVRCPGYYAATRAIIESQVNTKPAAIGQITLASKEDTTTTFQESSTGMTASEF AKYVAEQPCISLLSSVLALLDQLMIVLELHQSSRSRIDSKSENDGVRTDHQPHNSSRN VALGAKMQEGLNKFSAAMVVPSIRGIPIRTVVEE AOR_1_270144 MSPYSGTFRFPNIALVIVDASAMGYEAPIPSLLVPPLIFVGLLL ALWTWKCFWIVVLQDKLLYLSWLPPLARSEKITDYEAECRPVQWTEKQICSLDGTQLA VCEGRIPLHSRDYPRGTKMKKRRSVVICYFQGNGGSTPLRLPLLSQVLRTIKEKSMST PLSTSSPDGTEFTIVALSYRGYWTSSGRATQSGIELDAQAFLDWVSETYTAPETDLEV ILWGHSLGSAIASSATATYLSRHHGDQSSVSKGGTHLPVNIAGLILEAPSSSIKDMLI SLYPQKWLPYRYLWPFSWNHWSCTTAMERIARWRDQNEEQSDAIIQRAKSGAPMLPRS RHNCISLESFRSPPPILLLSAESDEVIPPHVADGLESDGKRLKLELERKDVRGALHTE APVKVDGREALVNFIFRCTSERARVA AOR_1_272144 MLSYIIPFCIYLYFSLAVLASPTTRLRDVYHFPNGTWLENIAVR SNGNILVTAYNLAQLWEINPFNGNNSHHARLVHQFQNPGTITGITEIDQDIFVTIASN SLWKVDLSTTEPVVNPINITIPAGTLNGMATLNDSSLAISDSSLGLIWRVDIEQGTYD IMIRDNTTATSTALGPNLGVNGIRILDGYLYYGNSPQRSFYRVRVHESGNTVGQPETI AQGVLADDFAVTSSGAYLAGLTDNVITKVSLNGEAHVVAGTRNSTAVMTATSAAVGRT RMDGNVLYITTGGETQEPVNNTDHKGGKIVALKLDI AOR_1_1866144 MIKSIILQAIMVLSTLTSVHGANSSGCGKQPTLVNGVHKINDRE YILKVPDNYNANKPHHLIFGLHWRGGNMNSVVNGESVEPWYGLETRAQGSAILVAPNG RNAGWANINGEDVALIDAIIKQVEDDLCIDQSSRFATGFSWGGGMSYALACARAKEFR AVSVLSGGVIMTRFCHLTGGVTLANKFAANNGCQQTPVSFIAYDGGHDAAPLGVGNPL APDATWKFFMAA AOR_1_274144 MKLSFLALAAIAPFVSAHYFFDTLIVDGKESSPNQYVRSNTRPA KYNPTKWVNTRDDMTPDMPDFRCNKGSFTFAGQTGTAEVKAGSKLAMKLGVGATMKHP GPALVYMSKAPSTAKTYQGDGDWFKIYEEGICDKNKDVKSDAWCSYDKDRVEFTIPKD LADGEYLIRAEHIGVHGAHAGEAEFYYECAQVKVVGGGNGTPGPTVKFPGAYKKTDPS FTYSVWGGYKDYPMPGPQVWTGSSGSKHFSKVVDVNATGATSSQGNAATFDGSFTRRE HARDFSY AOR_1_1868144 MVKVEDPSILEESAKDEYKDPLPQKIGPDGRTIYLSRNNYGPTL KTTGIITITDFDLFVNGDRPNNGCIQAEIYRAPEVNLDAWFTYSADIWSLGVMLWDLL EGKKLFKDVDPLHDQEYNEPNHLAYITSLLGPPPEDILARGRRAGLFYTADGTLRIEA RVPATFKFENLIRNIHGDDKRMFIEFVSKMIKWRPEERSTAKELLEDPWLYADFDDD AOR_1_276144 MQLLQSSVIAATVGAALVAAVPVELKARDSCTFTSAADAKSGKT SCSTITLSNIEVPAGETLDLTGLNDGTTVIFSGETTFGYKEWEGPLISVSGTNIKVQQ ASGAKIDGDGSRWWDGKGGNGGKTKPKFFYAHKLDSSSITGLQIYNTPVQGFSIQSDN LNITDVTIDNSAGTAEGHNTDAFDVGSSTYINIDGATVYNQDDCLAINSGSHITFTNG YCDGGHGLSIGSVGGRSDNTVEDVTISNSKVVNSQNGVRIKTVYDATGTVSNVKFEDI TLSGITKYGLIVEQDYENGSPTGTPTNGIKVSDITFDKVTGTVESDATDIYILCGSGS CTDWTWSGVSITGGKTSSKCENVSTGASC AOR_1_278144 MINYPSSYSALAASSILGYYGYSDVPVALKQPFSNDTFLDTWSY QLGEYASKVAYNWRHTASMPWGDVSSAWDPVELYRKLLSEAGDHSVTIASIGFLDNLS ELLSSPGDTYSSLSGHGLVKAKVKELVIMGGAYPCGYEYNFYGSNASATAHVVNTWPG PMTFSGGELGATVYSGARLTVEGPVSDPVNAAYRWYTGYNISRSSWDPLTVLYAIDGL SNMFVYANKGGHNYIYPDGRNEWLPDSPLYPQKYLKLRMSEEEAGELLDNIYLDTATR AAR AOR_1_1870144 MSKSLKNFATVRSALDKGDWNPRSLRIVFLLGVKDSSSQGVAPD GSSDPIISLAQALATAKEKVHEYFCDSFDTPKVMGVISELITTFNNVDQNANPNEVQE LAQWVTRIVTILGLNGKVSPESCGIGWEGTDIPEPAKQFLYPLSAMRDALREATKSQG EVTHQQLKAITAETIVDERLVSQASRPYFQVFRDFHARISPSNSEDTPTSSKELLSLC DRLRDVELFDLGIYLEDRENKPALVRPVTRDLLQSREEHARKMLLKQQEKEKQEKLAK ERLEKGRLSHLDMFRTSEFSAWDEDGMPTKDAVGEPINKSRSKKLRKGWERQKKAHEA WVASQRTDS AOR_1_280144 MGITPFIALDPKGRKVTWYACGPTVYDDAHLGHARNYASTDIIR RILKDYFKFDVEFVMNITDVDDKIIVRGWQQHLFSEFLSSHPDVNADVLNTTQLAYYA YIKKNLRLIDEETEPEKFRTEVKRVYGGVLKGGTLEGNKKPGDAEAKVRMHVRTVTIA AAMISRVAEMFSQGPGEQPKSLENEMITSEAFYEATQDVILPYLDQLRGSTVPGDAYE IFTKLTKKYEEHFMRDMRDLNVLDPDEITRVTEYGQEIADFVEKIVTNDFGYVTLDGS VYFDIKSFEKAGHPYARLEP AOR_1_282144 MWNDEDNNPYGAFDPEARLSESLHSANLSPTLYEREYTPPSPSS KASTQDPTDDYLSHPQDFSDEENEGYDSQPASHGYYRKSTYDSRIEQILYENPEMPIL ITDAGKNHEGGGSFIVYTIRTGDLEVRRRYSEFASLRQTLVNLHPTLIIPPIPEKHTM ADYAAKPTKAKEDTAIIELRKRMLAVFLNRCRRMKEVREDGVWWRFLDPNVSWSEVLH SHPASSVPKNNLKAPPLDPANPTAAHAWLPLPSSSAKLKGTSGPTASAAPPPVDTPSP DVLGRFPPESRKLSEQELDPYFINFEASTRELELLLQGNIEKVNRRTVSHLSSLSADL MELGARYNGFSLSEQSPTVAAAIERIGQAADTSYIETEELSNALSASFAEPMRESAQF ASVVRSVLRYRVLKRVQEEMTRDELAKKKTLLDSLERSELEAKRIEQYLNRTSAQGSG TRSQRSLSTSSATSGPGSHGADVRPSGQEDTTSIDSDFPPTHGESIGSQASLPAASPS RRPETSSSPAHRKSASGTFMTNKLFGRISHAVHGFVDVDPERTRRDQIGKTKESLLQL EQALEVSEKDVKDASTGVLQDLKRFQKDKEADLRRYMVAYARCHLDWARKNLETWTEA KDEVDKIVVR AOR_1_284144 MNVPLADFRCAGEEDVSGLLDGDAAGNDACEPIDSPWVGGKSES IEVLFNTLCFQLAPFQRIKQGLFLR AOR_1_1872144 MLSLVTSLNTAGLDTKWLSDRGFINMVLVATLFSLFTVSLCRSI PRSSPSSSPYTQATDLKIHDPTVINANGAYYAYGVGEHIVIHQAPGLAGPWKQIGSVL DKDSIIPKGDRAKPWAPTTIEVKGTFYCYYSVSNAGCRDSAIGVATSQSPGPGGWTDH GAIVQSGTGQGSDEHPFNEVNAIDPAVLVTGDKGHLVFGSYWSGIWQVPLNEDFSSVG NTTGLNAHHLAKHPKTERVNSQDQNPDPLCRDSSGRRPVEGAYISYHAPYYYLWLSWG QCCDYDPNNLPPSGEEYSIRVGRSESPHGPFVDKQGKELTQGGGELIYGSNNDVYAPG GQGVITVETGDILYYHYLNTTLSYDFWEARLGYSYLGYVDGWPVIREVP AOR_1_288144 MSVHFGFPPSSLFMLDQAQTKPTIPTRQLAKLGISPAIYSITLH PEFSLIAIFLYTTVVLFLNGINRRRHGQPWAINHTRWFRHAVIVHDVPLALCSAWMFF RVVRTFRNAWPKRHDAFYTVLVADLFCRGGQILPHQETIQEFVFVGWASYISKFYEVL DTVIILAKGRQASLLQIYHHAGVILVAWASIRFEYPPALMLFSFNAGVHALMYTYFAL VSIHVAVPRSAKAAITSIQIVQFFIELIVCPSYLFVYYDVPADYTRYNDYRKMGDSLD APASRDAGLNGQSYCNTRTVSCMNKASHTSVTWLEILFILSLTWMFLQFFRSTYLQAG KKEAL AOR_1_290144 MTTGHRELGPYGRACATCARAKCKCIPRAGGSSCERCHRLNKKC IQPVRQRKLKPLSKRVQLEHKLDGLMTLLASAGQSQPQPQPLSDSVRDMNTNIVTSGT EPPYSDSGLLGTVMSGPGLDQGSEEEACLASFRTEKLPVFPVVHIPDTMSAKDFKQQS PFLWRCIATIQCKHPSRQSELCMSIREVAAKRLLVDCAKNLDLLQGVLMYLTWITYLT QPQKSSLCIYTQMAIGLVFELGLNKPAPPDICMATSNCNAVGHLPHLKASLSTKRTMN ERRAVLGCYVLSSLIAQFLGRMDPLRWTPHMEECLTTLAESDESPNDTVLVQITRSRL LADFISQGPWSQNLYDIDTASRAPASFHMKAMQTQLQTLKSKIPVNLAENRSILFHLI HTEVSLYETALSKPATNTAYTDPLHLDHLYACLKALKAFFDLLVAIPVAELTSIALPD LIYTSHCLMTLFRLSTFDHPGWDQATVRGTLDIVSITDQLADRLKQVAQVVGIQNEGE SQDPYSRLGMMMLKIRGEWVSRLSDLEAIDIDSMLGLPYFNMNQWDLDSFLNWSGEFN AOR_1_292144 MSDGNQPSTLDEHLKPQAKPEDELSESLAVPEKHEPEWLEGVPL VMAVSGTTLVVFLMLLDISIVSTAIPQITNQFHSLDDVAWYGMSLFYDSASLQPLTGK FYNYFQLKWTFLSFFAFFEIGSLICGVANSSKMLIIGRAVAGMGSSGMLNGAMNILAA AVPMHKRPTLMGIIMGIAQLGLVSGPLIGGAFTTSSTWRWCFYINLPIGALVGALLIF ARIPEQKPKEKASQVVRSMLLYKFDWIGFVLFAPACIQLLLALQYGGNQYPWDSVTVI GLFCGTIATLLVFIAWERHMGRDAMIPGYLLRDRIVRNQPMVAIQNQVTADEVSTALA LMTCSQTLGGAIFLAVGQVIFAQALRVKIPQHAPAVDPETVIGAGATGFRDVVSLQDL PGVLTAYAKSVDRVFYLGVGLSVAQFVFAWGVGLKNVKKDKGKVGGEVADDKP AOR_1_294144 MANNDIETAVNREKKNNPQLINAPVSDTSGVLPPPDGGLHAWAQ VVSGHFVVALTWGYAASFGVFRNHYKSTIPQTPSDISWIGGVQVFCIYFISTLSGRAT DAGMARLVVGVGAALLLLGTFMTSLATKYWQIFLAQGICTGIGQGLMWLPSITLISTY FVRLRVFAVTAAATGTSTGGMIFPAMIQHLTPKIGFPWAVRCMGFVVLFMVIVTLALL RPRLPPRKSGPLVEWGAFKEPAYMLFIFGVFLLYWTLYFAFFYIQVYATEELGLSEEA GVNLIIVTNALGIPICPAFGYLADRYIGPLNCLIPWVALCGILMFCWAAVHTVAELYV FAVFYGLASAAAMDLFAGTVPSLTKDLDKIGTRVGMALSIMSVGPLTGPSVAGALIAR TGGGYLASQMWAGATLIVAALALVGARFIISGPHLQAKL AOR_1_296144 MNPFKTPPQLNPHPKFLTPTPFKPKGPTVSPPTTPETHRDNLSD ILSDSDISILDLGPSLSARNTSCYQAPSTTNTTLARDTKQTSYLTAPTTGHGHGPIMA QDMNTRHTPTSPSLKDTTQGADITPKKLKMRTGSVRGALRTFSSPLLSPLRSGTGKVG RPGVNRAKTSFRGVGGALER AOR_1_298144 MAYTVERLEWVKRLFLKPRRSRGFPKTSDSPRYSLRERSRFSTQ TVTSTWIDDDNDDNYDPKARYTRRRKRSSRPHDVVSDTPEQQKSLVVVFPIKSDRGRA FLSSLLANHNDHGEPTSPEIPSNEVIDGDLNHPVTRVIRTSLAHPVIFNHEPPEDGSS PCHWCDNFTYGLLGLGRRTVEVLDFGDGRYIEIGGGQVAEGHEPSRMCVVCALERVHV IRCVAHRIVPLKGYDVDTFDLTGAYNSLLSVTTSSTKRRKVAEPRRPGTLPGLSFASQ AGRRHGHHNSDTTSTSSPPNPRLSSDPSATQRQGSSSPPQRYIPAHLQEEVLGTSSTP DFAGSPVDLPSAACAGLSLASDPPTDMPGSDQRDGSASPAGRDTRSSSPGVKRAAPDA EQDVEMDLAPTGKESQSDKMDTTGTEDDDSTTNDVSGSDNVYPTPSSMSTYTAPTATR AHSKAQPSTTSERPSYDDQVAKVTCFMMQPLKEGQKGYVVSMAWLKRVLSRSSTHADK TDKTATEGEVGPVDNSDLVLVTDPANASFKDEKGEPFVPLRPGLQMSEDFEIVPQEGW DLIMQWYGLADQSPAIVRYAHNTSIGGESENIQYEINPPIFTILKLSSLSGGTTPQSL KEKNMKPVRILASRHSNFQKWLKEAKTLANIDMSTKVRVWRILGGLGSATASAAMTPA ASRSASPAPAASIVANAGNNLVIDLNTFLSLSEGAQRELFEDAKDQTANPNYNGRMTL DLAGLGGSDVVVLEERIPGGEWVSEASKQTLNRLGVPSGSLKNGASSKLKNKSPTASG RSSPVPEPVRGRRKDGKPRGCTGLSNLGNTCYMNSALQCVRSVEELTYYFLNDVYKQD LNPSNPLAHNGDVAKAYANLLRMIYDEAGQSSFAPRQLKHTIGRYGPAFSGYGQQDSQ EFLLFLLDGLQEDLNRILKKPYIEKPDSTDEMVHDKAALTEFADKCWDIYKARNDSVI TDLFAGMYKSTLVCPACDKVSIIFDPFNNLTLQLPIENLWGKEVFYFGLQKKPVRFDV EIDKNSSVKSLKELVAKKQGTDPERLIMAEIFKRKFYRMFDNTSFIAECQISGNDEIA FFEVESVPTNYNPDKPQKSYFSFSRSDQEEIPSIDSPKADRILVPIFNRVERSKSKRD LFGVPSYIVVTREEAYDFDAIYGKVLGRAATMTTRDFLNEEVLGEEQQEATQEDSDTV VMNDDDAQSADSKIKTSSVDGEDGMVDVSMRDADSASQNGAPLSGSSIPARFRDLFEL KIMKGNEPVPLGWSSVEDNKEYPKMSFRIKTKPVTPPEESDETSDPADSKAAESGSAS GSDADNSAEVSRTPAPVARVQIRPLVRPGEGLVVDWNEDVYDALFGGSKKDRDPLRGI PTWQDVERVNDPELNKRRQLRQTRKKRGVSLEECLDEFNKEEILSENDAWYCPRCKEH RRASKKFQLWRTPDILVMHLKRFSASRGFRDKLDVLVDFPVEGLDMSGRVEAPEEGKS LVYDLFAVDNHYGGLGGGHYTAYAKNFFTGQWNEYNDSSVSRPIDPQSVVTSAAYLLF YRRRSDRPLGGKILEEITESSTRPVSEAGSPSESRGQSPSGDGRRLGGSSRNGSSSVL TGVGAAHQEGDGGSRTGTQAKNRDETAPPGYTNSPTSGEKSVGKEHRLEGMSFDEAEF GDGAQSDPFRFPSPPSWSFNRVPDAHGRSQMTTALPGSTSDDDDLLDDDASNQAVGGG DFSDSDLRLASLADSPGGHGAVYPGTPMEETPIQDIPPPLDADDDDDELPVVELRVNE EDRIVPE AOR_1_300144 MSSPQGEDTNYAGPYIHACWALTGIAAVALIFRYVIKTWIRWTL PRVGSPERVWGAEDLLYAVAYGFDIAHMVFVQRSYQDGLGRHMWFLSNDERKGTLKNE FTSQPLAVIASMISRSGMMCFLYNCFNSADKQIRIAIIVCMVIQVVINMVTVVQIIVQ CGPNPYHASNRVNYFHYMWDDLPSNGSVTCQSPSVQTTVGFVQGGFNSTIDFFLTILS AVQLWRFTISATDRGPVPRLHLCARIRKMPKQARIRRVWQTVSLSGPLLLSGIASIVK TYLLKSIGDKNDITHNITPFILWVKIENYSILFATLAPMIRLCVSMVSKDNEQSGGYW SHSGSKGTHPGLELGSRPHTQSKGAVGMSVFDDDDDDEETVNDKIDWNRASVQRTHQR GNSHNKPSDGVTIRTDIVVRVSSEHSTERLVV AOR_1_302144 MSSLPPGAALASTVTSVAALGFVPVAVHFDLFDTLAKIEGPASG EDVLVAYRSSKGDKAEDNVPCLRLVQDTLYAMSGLGFVDIAGDDLYSANAITKHLATM PSAQHGALHFTTEALLGAAFLMKKLKADNFEYPFKELETPYQYAYHSMGQEELAKQHT YSIMAAEGRMDSFNHFMVGKFMKTNTAPDRLKAFGYDLQSVLNEAGNGVPATMVDIGG GRGELLLDIKAAYPDLQASDLVVQEFNQDIIEIPGITLATWNYKEDTPQPIKGALVYH LAHILHNLSDLEAARLLQKISEAMGSHSRILIHEFAKNANYAKMHSAMIALYAGRERS AVEWRQMAALAGLKVTFEAYPEFGEGLIEMRKL AOR_1_304144 MSEPKVEQPAFPMDGLDRAVPQKIETHVTTLEDDEDRNPRSWSP TKRRLMFITLMSSSILADGGMTWGSTLIVPQAMEWGISVNHSATSMNYGMLLQGIGGL IAIPLIEAYGRLPVWLWPQFITTFMVLGATLSNDYGTFTAFRSLQGLFGTVPQVVGLP IIHDMYRPEDWPQMINIWGTTFLIGPFIGPALAGYIGAGSNWKVSFGILTVFYGISTI LIFVFGYETYFVKGRQCQRNSRLQSILGIKNHNLPVGRTLGYWTKLLAIYIFKLPLLL TGIATMVNFCWPIGITVTVSTFVAEPPYLFNTVQSASLRWAPIIGGLIGYAFGYWFNG WIERSRRINWRSEYRLHGVWVAIGTMACGLLTYGFTLNYGKHWIGLAFGWGMVVAGMI ASTVSITAYNLEKYPDQSTVVSAIINAWRTASGFSVGYFQPAWIARNGISAVFGTQVA VVVAVLILTITPVIIIEGRKTKAQVAHA AOR_1_306144 MSADSNSPRAIALRYISNSRFHRRYTLPATADHDSLTFTYADIG STPSSANPNPQTILFMPGMFATRYLGVFIHAIAEKLGVRVLVVDRPGMGNSTDVPLDQ RLSIWIELVPRLLAHLEIEHVALVSHCAGTIYLLNTLFHCRELLHPERPFVAFLAPWV DPSHSHVTSMQMAQYVPVKAFNVWNLIPKFLLLKAGPAFTSSEAAITKTSNVISSGSG FSSGGENYTELERNRRQIEYEYGLSRDVQAEIDSLIFQFMFEESTVGANSEALQCLRK GSDSSNTWGKCENYEAYVKELVDLEGRRHRRTGQEKLKVRAYFAGNDSMIGKAGQDYV EECWARDTREFKDALDFESRTFTQLEHDSLVQSAEVLKSIFLHVGGVMPNDTE AOR_1_1880144 MPKSPKLRIEQERRILLAISAIKSNEISSAKKAAQIFDIPCSTL RGRLKGASFRGETRANSHKLTASEEESLKKWVLSLNKHGAPPRLAQVREMANILLSKR DTTSPPTTVREKWAYNFISRTPELKTCIAGRHNYPRARAEYPKP AOR_1_310144 MEKEASENSMLADPALLDKIDKLFACNVGQHIALPQLVVVGDQS SGKSSVLEGLTQLPFPRDSGLCTRFATQIIFRRDRGLSTRKVSASIIPASDSDPDRPA RLRAWHTESIGSLEPSHFSTVMQEVHEFMGVAGNCALSTFSKDVLCLEISGPEEDHLS VIDVPGIFKNTTAGLTSKSDIAVVRDMVETYMKNPRSIMLTVVPANVDIATQEIIEMA REYDAEGERSIGVLTKPDLVDRGAEDKVLDLVAGNKLFLRHGWIVVRNLNQQELTDRE TDRDEAEEAFSRQAPWSTIAKDKFGIKSLRSRLQEIVTENARREFPLVRSEISKRLKE AKSFLKALGNERETPEQQAGFLLDIMNKFQEITSQALSTNYGENDIFDEHMDLRLATR IVTRDTAFAKDLERYGHEYNFDTSGTESPDSSNTKSTTAPSDDSGDMNEFLTRKTSSI PELKDVVTQQTWESFPSDSDIYEWLKELYQSSRGFEIGTFSASLLATSMKKQSAKWPD FAKGYISDVITIVHGFIVKALEIACVDKRVFNHLLSIMMDNLLDKYQRALTQVDFLLH VERNQAPKTLNHYFNENLQRFRQERQCSKLKSKVINNSYGGEVIRLEDLTVLNHMSNT EYTVHDIHDILESYYKVARKRFVDNICMQAADYHLVTGPETPFKLFSSSLVNRLSRDE LKDIAGEDASTRSKLKGNFWR AOR_1_312144 MSAPQVSHQTLNAEFKGTARKIGETDIHQFRGIKYANIPARFER AEPVDNFNGASVDATQYGPRCPQAAVDVRHLLRIPEDFEIPDEPEDEFECLNLDITCP PLSANSAPLPVLIWIHGGSQVVTFCSGASKICDPAKIVADSIEAKQPIIVVSINYRLN IFSFGDGKERNLALKDQRLGIDWVRKNIAAFGGNPDNITLSGESAGAVYVHAHLVTGP PVKRAVLASGSLYLSSPLPVERGNGLIQALQTKVKELGQPSLREASVSTLVQALKECN VNTMWIQEEPELENWETKPEQVDELMIGDTEYESVIWRNGVETLDGETITAAFEQEKE WGTKLRKLYQVVADRPTACKLGALDLVNDIRYTLPVEVISEKLAAANKRVYRYVFDQA NPWQASSRAHHAVDLLYLFAGVDLSFNPTAEIVGQETRKRWIRFVSGSSPWSSERRFA FGPVGDCKEISEAQFAGRRRVNHLKVLKEAGVGAYMPIATALTAGKISLLN AOR_1_314144 MRLWPSGDGTSEPPVFLEWRSSKSFIIFVVVFAVFTDILLYGLI VPVTPTALHERVGLSEDNEQSWTSILLALYGAALLAFSPDRIESRWWPLIIGLIALGA ATALLCVGTHIGLWIAGRLFQGASAAVVWAVGCALLVDTVGKDELGQALGYIGMGMTL GVMGGPLLGGVIYEHGGYYAVFALAFALIGLDILFRIIMIEKKYAAKWLPPAEVSSDG ENLNNEAASQGTTESAGPSTRKSDHNREGHPQAVAPALSESSQIIEKSNDQGLNKCNH STTTGPHPARAILTLLASHRMLVTIWAYFILSLALTSLDSVLPLYVQDTFHWGQTGQG LIFVPLSIPHLIDPIVGFLNDKFPNARRYLAAGALFATVPVIVCFRFVTEDSMRQKVL LCALLALLGACLAFLMPPILVEASYVVQEKEEKNPNIFGKGGAMALSYGILNAAFAAG SIVGPFFAGFIRESAGWATMSWALALLTGVSAVPVLLFLGGFFFKSQKNVEPRSAA AOR_1_316144 MSKPDPEKSVNHEEEFLVEWSKDDPSNPRNLSPARRWLIVLIVS MGSLCVTCTSSIYTTTYHQMTKEFGCSTLVATVGVAIFVWGLAVGPLVLGPLSELYGR RIIYLTSFTLFLIWLIPCAVATNIQTMIIARFFNGLSGSAFLSVAGGTVGDVFHRHEL AAPMMLYTASPFVGPELGPLIGGFINDFSHWRWTFYVLLMWAGTLLALIFFLVPETFH PVLLGRKAKEMRRATGDNRWQTKRQAVHRPLSQMILQSIYRPLMLLALEPMCLNLCIF SAILLGIIYLFFGAFDQVFTNVYGMTLWQCGCCFLGMFVGMMLAVMSDPIWRRVYARL ESKHRQRTDNTEKFLPEWRLPPAIAGAPAVTIGLFIFAWTIYPDVHWIAPIIGSALFG FGTVLIFSGIFTFLVEAYPTYAASALAANSFARCILGGAFPLFGVQMYDRLGYHWATT LLAFLTLIMAPFPYIFFYYGPRIRQKSQFAKRSSSA AOR_1_318144 MILLLCTSLLVVSYLVSLIVYRIYFHPLSKIPGPLLPKITDWYP AWCVWRGSSHTALWEGHRRYGSIGRCGPNSVSVCSQTGLMEIYSTKANVCKDESYVVM SVGSHAPNTFSFIDKKTHAFRRKILFQAFTDNALNGVQDQILSHISEFCAMLNPPPSN GAGQSSVWGPSVDIAPLCDYLAFDVISDLSYGRSFGMLKSDRYRYVPKLTRRLARRNA TCMTQSKLWRYKLDRLFFAGFLKALRDFGLWIRHQGKERIRLGNNGPRKDCFHYLLSG SDPKTGQGLTERELRVELLLLIVAGSDTVATSLSAVLFNLAHNQQALQKATAEIRSCF EREEDIRLGTRLKSCSYLHACISESLRISPAVSNMPPRRVLPGGITVDGYYIPEGTII GTPIYALHHNEEYFPRPFKYEPERWLENEANGENPSTDDGLKRARAAFCPFSIGPRSC VAKNLAWAELTLTLARVLFSYDVRLPPDHCEVEPDCCSSVPRDQSPEYKLRTWIVSAR EGPSLQFRPRNVKVP AOR_1_320144 MPIQHLSTHLKLPALEVWSIPPSDPRTAILHHTEKHSTLGVPFK LNHDLLNLTLDVRFPITAAAVYMAAIAFLNGVNRRRHNKPWKFSQTHFFRVLVILHNS FLAIFSAWVLIGACQAVWRAWPAWGDSNYGAHLARAMCQTDILVQPPVPMYENTLWDQ GIGYIGWLMYLSKFYEVVDTLIILAKGKESSTLQTYHHAGVMICAWSGIRYKCPAAIV GVFLNSGVHTLMYTYFALAAAAIPVAIRVKRALTSIQIIQFILGLGLSCSYLFAAYDV VLSEHNDIENSRPAGFSSQMENVQFTTKTPATLTTNVGSQGMATYTTTHCIPDSGKAF AILLSSAYLLPLTYLFGRFFVRTYLTQHKKAT AOR_1_1882144 MASTDAPPPYSIYNSTPTHKTEASSFECIGSGGGNLESQRWVVQ PEDSSGGEENEPLWRPSFVDWLNILMLLLLSMMITIDGTILVSILPSLTASLKMSAAD AFWCGTGYLLPCAIMQPYFTALSGFVQRRYQMLLALGLFTVGTIICCLSNNFAQIIAG RVIQGIGAGGIMSGTFILLADLIPLKERPMYCGLLILFGAVGAVIGPFLGGLFIDHLN WRWAFYINFPFLFVIFGQLIFLPLPPKQTWDSTHRLKSIDWGGGILFLASAGSFLIGI SWGGVQYPWNSWKTYVPIVLGGLGLIANLFWERYMTSNPILHIHLFRSIRSIAAYMLV FLYGFLSLGELYCIPIYFQSAKLRSATSASITLIPITAAILPAAAVTGILITRFGYIH WPLWLSWIITAIATGCLISWDTSTTTVQWVFNLIAVGVGQGITLSSLNSCVQVLADPK DTSHAFAMYAFIRTVGMCVGVPVGGTIFSNRLKYHAHNLGLPDAIGRNVEGGIEVFKN MTATAEQVEAFKLAYARAFRNVAEVLTGLAVLGLIISMFVRRVRL AOR_1_324144 MALFTFRLLPLVCTASIAIYVLSRFMHFETKSTGALTYSITFII YLLYWLYIYPYHLSPLRHVPTVPGCPLWGHVFEIFNAEIGAVQQKWHKTHGPIVRYFY PFGKEILSVVDNSALKHILVEASYNYEKTASNRKFLSRLFGEGILTAGGKVHAQQRKA LNPAFSISAIKALAPAFWDYSCSMSSYWEQDIKESSDDSVSLDISDWASRATLDIIVA VGFGAKIDTLHNSTAPLIEAFRTVFRFDAVAKLLAVLHILFPIVRYLPIKENREVDAA KRTLFEFASGLIQEKEANINSTGNNILSQLVRGDRKPQAAGEDIFSRVICDQIATFLG VGQDTSATWLSWTLHLLSKHQHMQVKLREEIRSHFPFLFRGATHEKIDFTEVDVDRLP YLNNVCRESLRFIPPIPFVSREAARDERLGEYFIPKGTVIHIPINTIHRMPEYWGPNS NAFDPDRWNCLPASYTNNAFLPFTQGPRGCIGRKFADTEVKTILCCLLSKFQFSPDPA VQDPEELKRYRIVQKSQYGIRLKVSKLDG AOR_1_1884144 MGYSSHHNSSKMATTTVQTKHLGQIRGKVAEGVTQFLGIKYATL EDRLADAQLVEKRDGDTLDATKDGPTAISPSFGCDVELAAIQHKLPAKELTQSGIDCL NLNIAVPEGTTASSKLPVFFFIHGGGLQIGANSWPQLEFKRFVKLSADKNLPVIAVSI NYRLGVFGFLTSDELRKAGYKANNGLRDQRVALEWVRRHIEDFGGDPDNVTAAGESAG AASVTYHLKSEVALFKRAIAMSGSYLFTQALPYDIHEQNYRQAILALGLESASTEERI QSLLEKPAQELIASLPPSILAAPAVDGYLVASTATHAQTADRISDLSEKSNWCTELMI GDCQMDASIVGLIMPNLKENCVEKLTTAMNNVLSSQPDVAQRILSEYGISRGMSDDEA LPAVLDYINDICFFAPVLTLTRGWRGNSHVYYFNEGNPWEGPWKGRATHILDVAYLTQ NFQEFMTPSQQRVATAFAEDFFKFCHGIHPWPAVTDGDIATNFTARVYGPSSEGHDSR LVSEPYKGESHRRSILFDCNHAVSLDELAGVFGVFRTM AOR_1_1886144 MPPKAARKLKDSIEQEGRILLAISAIKKQEIRTIAEAARIYNIP RTTLRRRLNGHTFRAETRANGHKLTQNEENSLVHWILSLDQRGAPPRPAHVREMANIL LLKRGFSDTPTTVGENWVYTFIKRREELKTQFSRRYNYQRAKYEDPKLLHKWFERVQI TIMQYSIQPDDIYNFDETGFAMGLISTTKVVTRAELADRPFLLQPGNREWVTSIKYIS SRGPLPPCLIFKGKVHIEGWYEMGLPSDWRIETSANGWTTDEIGLRWLQQLFIPFTAG RTVGRYRLLILDGHGSHLTPQFDDICSQNNIIPLCIPAHSSHLLQPLDIGCFGPLKRA YGQLVENKMRLDFNHINKLDFLEAFPQARAQIYTTSNICSGFSATGLIPFNPKRVLSQ LNIQLEATPPGSRPSRNYTEEAA AOR_1_1888144 MSSSTPKERADNIGSDSEEEDVFHDARFPAEEEAQLLEESHSIK AEANKLFTAACYDQAISCYDRALASCPNYLDYEVAVLRSNMAACYLKLEDWKASVDSA TACLDCLEKVVPSATERTDAPQEKQQESGSTDSVVEITCDDEEAEQEELKRLQKVNER KNDVMRIRAKALMRRARAKSQLSGWGNLQGAEEDYKLLASMDNLSLDDRRIVQKALRE LPDKIAKAREKEMAEMMGKLKDLGNGILKPFGLSTDNFKFTQDPNTGGYSMNFQS AOR_1_330144 MTNSTPSLPPVRACLFDMDGLLIDSEDKYTAITNSILHEYGKPS LPWSIKAQLQGRPQPEAFKIFYDWAQLPISPEEYAAKQAALQSKYFPESQPLPGVREL LNKLLSTQKTDKPVYIALATSSHSRNYKLKSDHLQDLFAAFPESQRVLGDDPRIGKGR GKPLPDIYLLALETINSNLRQKGEKEITPEECLVFEDAVPGVEAGRRAGMRVVWCPHP GLLGAYKGREAEVLAGLTGEHKEEEKSTAEHEADELVAGRLGRSSGKPGQLNDGLGDL VPTLEDFPYEKYGIQPA AOR_1_332144 MAHFTEWYYQDWEQQLLHLDQPHTSNPALNADLGYNGFLTSNDT SWASGGFVNGASVEDTLEVSHPDIFSGAHSMPTRSRSTAMMPMTGMHAGNRISRTGGG ADAEDFAISSGASASGPELGSSPPYLPLGIMTGSASYDSSNNSPASRTYVDLPSYGNT NFPRNLSAPALGNNVGGGELGGGARPSSLPTSAPLNSGHEPEATFTDVNVPPIIRDYQ KLMDPLNNNVDVDTYYASLEEANESQRPSVRLPEDPTIPRTQVQKRAIVKQMCNAMAS THRAQDNKPMIKPFKEGRYSDQRMEAACWQVLETAIERHTFGPLLSAFDVKPKNHEIV TFATRIDKVIECLMLHKTICKHLLDPLYVYHFVDDPVQAEKRVVANRLLNKRKGEVMN AGKQVLGTRKPGAKKRSGKAAKAVTPPTDEAPSDTPASSSTGVEVGTLTPDGLSRMVK AEQMSSSPMLSIGTPTPTYTAAAQQYSSTPMMGNVAMSHLHNRRMTAPTSHPRPIMMN PNMPYHTRGSSNNFGTSRKRPMGDYEASSPEKRQR AOR_1_334144 MTASSVTSSAPICVASTQRGDEAGDASLDSHSQRTPSTACCTDE SASDTNYVKSVEWTPDGTTLLTNSADHHIRTYILPPDLLEERPSPHPLSPYSVLPSAE PTYATAIYPYYSLQDPSTTLFLSSVRDHPIRLASTLSPTLLATYSLVHPTTEAFITPH SIIYPHALGGTHFITGSDSLICLFDVSRPGNDGPVSWMPTIPSKRKQTVGGGVGMKGI ISAMAINSTGDGILAAGTFSRQVGLYDSNGTGQSLGTFSIAKTDASRRIGGRGITQLL WSPCGRYLYIAERKSDGVMIYDIRVTGQLLGWLEGRKAITNQRMKVDIVSTNQGTSHE IWAGGTDGFMRVWRDPTHTAGGQEPEWEWKIHDDSVSSTVLHPMGNVVATCSGQRHYL DDEDSSSAGQLDNSVKVWSMPFLETC AOR_1_336144 MFSAKPAGGGLSINTNSANSLFGGANTQTTSSTTTPGTTSTTSG LFGNLGSSTAQSKPATGLFGNATSGTTQQNQSSGTNMFSGLGGQQTNTGGGGLFGNST ATTSQPQSGGLFSGTANANTAQSGTTGGGLFGGASTAQTQSKPLFGGMGTSNNTGGSL FGGANQNNTQQQQQAQKPTLSLFGNQNTTTQQPAQPTAAAGTVVPGVKVDLSNLLPTT KYESCADEIKKELEVFDNYVLTQIKMCNEVGNMIPSIAAQGETIPNDVEFVQGKLETM QHALENDASDIDQLRSLVARDAAEAQVGFRAIDTLKLPLQFQPAAGSGWWSVQDQKLS DRQSLRSTRKNTLALPDDVEGDSSTTVNGVPVNLVDYFSQRSDEMGTVLERYKQNLKE IEDHLHGVEATLERQIHEFVTSRSRDGAAAGTPKSVLNDLAVVLGDVEAGILGVASRL GGVTEQVQEVVLGPPSLGEGRLNL AOR_1_338144 MAPSLEDPILAPALDHVILPKKEVNGDNKFHYTPGRTVVERHDN YAYEDLLPSFPDIHWDPLEEIPYEDRGLRGDPKFRNLLRDATDVFDYTPKIGTEIHGV NLAKLDEAQKDDLARLVAVRGVVFFRDQKDLDIDAQRELGRHFGRLHKHATTSVPRKQ GLEDVHVVYSGDNSGDQRALFTPSFLWHSDVTYEVQPPSYTMLKVLTGPPRGGGGDTL WTSQYAAYDALSSHMQTYLKGLTAIHSADMQASDSRALGRPVRREPVTTEHPLIRTNP VTGWNSLFFNPGFVTKIVGIPKTESDAIIKYLTDVIATTQEMHARFQWNKDDLAIWDN RTTNHTASYGFAPHRRHAVRVAAQAERPYLDPAGKSQEEEHIALYNLPPVNKDGARQS NYND AOR_1_340144 METPKDPSLLEYARFYGIARDFTAVDPITNIDETASETPLPRDA LSEFQDYIYETQRNVEDNLRKEKLNVRKESARLLASVIQDARAEKLDINWDELLPKFS QVDELKVQLPILDNDSISDTLRYTSPLRYDENKIEIRPLDEPCQKLKDEDITADLLTK ADQVLKDIMPEKLKCMNVEGMSLDL AOR_1_342144 MPSSQHSKPKDYADSCLHTVGDYDSVEEVVETGFDKGFFLENIG DMGPAGQRQLSEHDTVAHQHESGKTVMYTSPNAVVPATYSSLDTVSNSIDCDVPADTA FGGQKRRHEERQDISRKGRKFVQSLAASLANNRCKYTLPSQSSILGSLSTFMEIRGRA ERRQITAVSPYSTNNMPAKDIVDYQDLVVDDRSLREYEQPKDVLEEVQPLRLELTEQR YPQYPQLQVQNHEQPLLFLSTGLLKTHLPIIHGLENLKSPPALIYRDYDVPIQNQLVP GIWIPSQASMKHDLPKEADIIVSPTAGIILTTLQATTQLYLPGHKPNPHTNGAKCINS PLRERIFLLAPRYKHLYVFVTHGTSSLNSGQGNAPRWTADRRLLASFTSLTAFCDSMS ADSTISPILISPSPDILIRWILELAHKHAFQLPTDTVDLPQTRGFMLVNPTPKTKFYI EAMENETCWEIFLRRVGLNPYAAQVILTVLRHERDIPKRNDNVSTPDDVEKEMSALSR FIEMSPERRRELFPDLIGERSDAILEKDWQCDWALNFD AOR_1_344144 MQNQAILDDDDDDLYDPADAVPVAASQNTAHHAQANLNQEADDV EEEEIEVEEDDDDFNIITEAPPDAPPPEVPHPRHASLRAESQRPASVDSSPVSKSVTP SVTPKVEHATPVPVTARPAVPQKPGSAYPPVHASDIDVHANPTHPATGKPILSTDMDA DFPEDDKPWRRPGSDISDYFNYGFDEFTWASYVLKQQELRKEVGDQKRQLDDMQSFLT MGLPPMPGGPQPGPGGPGGAPPPMPGMPGMPDMSPDMMQGMLASMMAQGLDPSSMDPM SFMQHAQAMMGGQSGAGGGQQGQPGYGNQGGGQPQMGYGGGFGGGRGRGRRCILGRFG LVEFNSLLRNVKGLAPLLDRVLVQRIKPETKTASGIFLPESSVKEQNEAKVLAVGPGA VDKNGSRLPMSVAPGDHVLIPQFGGSAVKVGEEEYTLFRDHELLAKIKEN AOR_1_344144 MSLLRNVKGLAPLLDRVLVQRIKPETKTASGIFLPESSVKEQNE AKVLAVGPGAVDKNGSRLPMSVAPGDHVLIPQFGGSAVKVGEEEYTLFRDHELLAKIK EN AOR_1_1890144 MPDSYSDIEIRIVQACTIAQGQKKPNISALARQFNVPYQRLRAR IHGRANLSSRPISTKTLDDSQEKALIRWIRQLDNLYSPPTAGMIEQSANQILQRNITD GQSRTVDKNWVYRFIKRLPEEFKLIQQKPKDKKRLDAEDIGVLQHWYDCLEAFIKNIP PKNIYNFDETEVGELISAIECIAADGFTLPPYFIFKGTYHLERWYDADIPEEYRISLS PKGYTTDKISFDWIQHFHRHTKHRISTKKEVRLLFFDGHESHLTYEFLQFCGLHYIIP YCFPPHTTHLVQPLDGQPFQVYKHFYRKRNNELAQRGAEMDDKSDFLKEIHSIRTMTF KQRTIRDAFEKRGLYPLDSEKVMKSLREALETAPELEIITTPSPPPSSSSPPSTIRGL RRSISKAQSFINNSPELDQSFVRRLDRVFQSSLETTELAAQLKDDLQQHLRYRKPQDR RKSQKRVKYHGPLTVYDAKRRIADRTEVERLQGLRQIRKTGALEYDKPPQPTNTGDLP STEAGQVDREGPRLPYWIDTQGDVV AOR_1_348144 MSQSEQPSHSSTPRSFTSQTASAEELLKSQTVGLVHLSDFRKRR AEVLEQKEREAHDKSLGRFTSGNSRSATPSGGDVTDSASTRSDGPPKKKKKKKPLAKS KLSFGDDEEEGDNTGEDSAASIPRSASRTPVDNSSLPPSRRITPNPNAPPPPKAMTKA ALKAEAEARDALRKEFLAMQEAVKNTEILIPFIFYDGTNIPAGTVKVKKGDPVWLFLD RCRKVGAELGVGGNSGASKGRKDNRREWARVSVDDLMLVKGNVIVPHHYELYYFIANR VPSFSSAGGLLFDYSNKPPETAPTNDDPLLGSNTDQLEGADKDPASTKVVDRRWYERN KHIYPASLWREYEPGPEFEEKMRTTRRDASGNTFFF AOR_1_350144 MDFYLRCNALKCRCQLKEQAVVTTCSHIFCPTCASTLGLSSATN GERHCPACQTILVNPDDVVITALNPTEDYKTSVLSGLDPNTIMECAGRALLFWTYQTT QEIYYQEFLAKTLTDRYTGLNTQMDKVIHNANTEISTLQTRLSDMQATHEQLQKKNQE LVDLYREKCKKFSQITNLYNLLKSRAMRSHMQTAASDSVSQALNSLGASRNDPSASAS NRPLGPLMPMQTPSHRQKNIFPVDQEGVEQLHRYQRSGTGSSKGTKKRTDTSAMPPPS LPGNPRHREYVPITPQHRTRLTGQSLPSTGMPHLPPNSVVLERFRDEPIATSSLANNH PSMNEGRPQLQTRGQTGNGPPGTRTFFDSTII AOR_1_352144 MSAKSILEADGKAILNYHLTRAPVIKPTPLPPSSTHNPPARLAS LYFPEDRAVKDVLDQAEVTYPWLLASGAKFVAKPDQLIKRRGKSGLLALNKTWAEARE WIEARAAKDVQVETVTGVLRQFLVEPFVPHPQETEYYINIHSVREGDWILFTHEGGVD VGDVDAKAEKLLIPVNLKNYPSNEEIAATLLKKVPSGVHNVLVDFISRLYAVYVDCQF TYLEINPLVVIPNADATSAEVHFLDLAAKLDQTAEFECGTKWAIARSPANLGIAVAPQ EGKVNIDAGPPMEFPAPFGREMSKEEKFIAELDAKTGASLKLTVLNPKGRVWTLVAGG GASVVYADAIASAGFVSELANYGEYSGAPTETQTYNYAHTVLDLMLRAPIHPDGKVLF IGGGIANFTNVASTFKGVIRALREVAPVLNEHKVQIWVRRAGPNYQEGLRNIKSVGEE LGLNMHVYGPEMHVSGIVPLALLGKKSDVKEFSA AOR_1_354144 MSSTAPLVNTANGGSANDNITRFAPPSRVLSPLNHALFHNKTRC FVYGMQPKAVQGMLDFDFICKRSTPSVAGIIYTFGGQFVSKMYWGTSETLLPVYQDVS KAMAKHPDVDTVVNFASSRSVYSSTMELMNCPQIKSIAIIAEGVPERRAREILVTAKE KGITIIGPATVGGIKPGAFKIGNTGGMMDNIVASKLYRKGSVGYVSKSGGMSNELNNI VSQNTDGVYEGVAIGGDRYPGTTFIDHLLRYQNEPECKILVLLGEVGGVEEYRVIEAV KNGTITKPIVAWAIGTCASMFKTEVQFGHAGASANSDLETAVAKNKAMREAGIHVPET FEDMPGVLKQVYDQEVSKGNIQPQPEPVPPKIPIDYSWAQELGLVRKPAAFISTISDD RGQELLYAGMPISDVFREDIGIGGVMSLLWFRRRLPAYASKFLEMVLMLTADHGPAVS GAMNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAAEEFTKAFDKGLSPRDFVDTM RKENKLIPGIGHRVKSRNNPDLRVELVKEFAKKHFPSTKLLDYAIAVETVTTSKKDNL ILNVDGCVAVCFVDLMRNSGAFSPEEVEDYMKMGVLNGLFVLGRSIGLIAHYLDQKRL RTGLYRHPWDDITYLLPALQKGGSEGRVEVNI AOR_1_356144 MVRVKHRYLLLDILYPDPTSWPSSTAPKNAPLNAQSQLRIHSPT SDALTPSLLAKMVREEVAEVFGDWGVGRLGGVTAGGVSVKYLSPATSTAIIRCPRASF RLVWTALTYMSRVPEYGDSNRSRRSDVPLTRPCVFRVIRVSGTMRKAEEEAIRRARKE IVRLRGAEEVGVLGGLVGGLEYEEGSGVAEDVMGDEEEDVDMGSDGED AOR_1_1892144 HLRHRRVIMPFAQLVIGPPGAGKSTYCNGMHQFLGAIGRKCSIV NLDPANDKTSYPCALDVRDLVTLEEVMSEDHLGPNGGILYALEELEENFDWLEEGMKE LGDDYVLFDCPGQVEIFTHHSSLRNIFFKLQKMGYRLIVIHLIDSYNLTLPSMYISAL ILSLRAMLQMDLPHLNVLTKIDNLSNYAPLPFNLDYYTEVQDLSYLLPHLEAESSRLS HDKFGALNQAIIDLVEDFGLVAFETLAVEDKKSMMSLLHVIDRASGYVFGPAEGANDT VWQVAVRDGLGTMDVRDVQERWLDAKDEHDELERQQLEAEAKARDEAAGPTKPSAGYE DDDEYDDLGRGFIPDGGVKVVRKS AOR_1_360144 MASNQFIVASPPTDAISALKFSPDPNSTRIVVSSWDKNVYLYEL RDENGNVGEGKLLQKFEHRAPVLDVCFGATEDEIYTAGLDWDVRKIDIATSTQTVLSS HEAGVRSVVYSKEHQLVISASWDSTLHVHRIDAPDSIPSIIPLPSKPFSVSLTATKLV VAMASRALHIYDLKALSLLTAQLDGTVPNKVEVEPWQRRESSLKFMTRCVACMPDDAG YASSSIEGRVAVEWFDPSPESQARKYAFKCHRQTADDVDVVYPVNTLAFHPVHGTFAS GGGDGVVALWDGIAKRRIRQYQKYQSSVAAVDFSGNGKYLAIAVSPGFEDGKDDVVEG TVKIYVRELGETEAKGKGAK AOR_1_362144 MADLIESAKRAAGQAAVKNHYPKDAKYVGIGSGSTIVYVVEAIK ESGVDTSQTKYVPTGYLSKQLIVSNGLTAVDFDSLPEGTVLDIAFDGADEVDDDLNLI KGGGACLFQEKIVALQAKEFVCVADSRKLQSRLLSNWKYIPIEVAPIAASRVLGELRE LGSISPALRLNTTAPEGAPKPTPLKTDQAFNIIDAPFKSLLTKADIAAGQDGTGKDGV WEVEALSRAIKQIPGVLDVGIFSGETGPQAQARGGTGGQKPIAAYFGMPDGSVSVRKA SA AOR_1_364144 MTDAFPSALTGPSSKERKYDRQLRLWAASGQKALEESRVLLVNS DGPWSNQSTGVSGVVGVETLKNLVLPGIGGFTIVDPATVTEADLGVNFFLEEQSLGKP RAAETCRLLKELNPDVEGSFQSKSITELLRQDPGFLAQHRLVLVSGPMKRPSLDALCK AASELNIPVLYTRSVGFYSSFSLQLPPLFPIVETHPDPETTQDLRLLNPWPELAEAAS RIDDLETLDDHQHGHVPYLLLLLHYLEKWKEAHNGNVPSNYKEKSEFREMVRSSARTC NPEGGEENYDEAVAAVLKSLNPFSLRSSTREIFEMEECKNPRADSADFWIIASAVREF FQQHNVLPLPGSLPDMKAQSADYVSLQNIYKSKAKKDVEEVTNIVRRIESQIGSRSGD IPEKDIEIFCKNAAHIKVIRGRSIPEIDGEAQTLKAIRNSISIPDSLIPVFIAFQALD NVVTDLQERRIPSGSIDDEVAWGAQIDRVLSALTADDQSTIDEDARERISEAIQELRR TEGGELHNISSLTGGLVAQEALKVITRQYVPLDNTCIFDGVRSRSEMYTL AOR_1_366144 MDQSATTQAYVSGMKESLSLYGNELVEFTTFFSIGYAIAIVPSQ LTQTKIRPSVWLPTCEIIWGALNLATFAAKNARTVYALRFFLGGFESTSWPGLASLLF NWYTPEELGTRLAIFGVSGTAGNMFLGILQAALYKNLDGAGGLQGWQWLFIVTGCITM AWGMLGLFIIPDSPSITRALWLTKTERKIAVDRMASYGIKTNELVNRQVVLKKIRALL KSPLSWLYIAAYLQYAWSQRCNSYFLLYLKVRYISPSLAVKTLIDGNSTYTVNLIPLG GYAISIVTNVGLNYLSDKKQWRWQVAVCAALLQVLCCSVLSAWPDSTPTVMAFYFMTF ATSGWGYVLLS AOR_1_368144 MPNDASAPLYPAYLPVRPEGFTPTLKVPLQDIPEAGCRADPSLP EIYTKDSQLKSITPRIGTEIRGVQLSQLSTDGLDQLALLAAQRGVLVFRDQDFADIGT GRQRDIAAHYGPLHQHPTMGYPQGTSPEFQVVYADEKVGNLRTLLGTRTSYDLWHIDQ TFTPNTPGVTFFWVLETPASGGGDTAFTSLTAAYQALSPTFREGLHRLKLLHTSASVG EVARIGQERALKDAVQTEHPLVIGHPVTHDPVLFVNPAIARQVVGYKPEESENLLSFL HNHIRSLDFSCRVSWEKGTVVVWDQRAVAHSAVPDFEDGDRRHMVRIIAYGSQPQAVS VHKPSKNAS AOR_1_370144 MPDPDSDHDLGHAGHDKHDGPPRKLTPRRGKKELQRILKLETDS EWLEWLKSALVAPWWKELHSDCLSKPKSGKPARVSQKVILERLTRGEANGKTRYSARF PEKDDWDLADHLARFVYMVKTENYRSNQGVFFRKNFPEPFMEAIVWALLNYMRSTDGS SILGKRPCSEESKEEPLFCVDLEADEQDSDQPSVGEPEPIDITIEKWREEMIHPRERI ILTRYSPNFNPQEKYWQFQLISEALKKAEMASVDGQIENLGDLSTEQNIAWEPTLGHS VDGLDPSVNSQIDHFDPMKIAATADLEASIAAEIDASDFESSMLIIPTEESLERFEKH RKILDNLEYQINNHEEACQILKIANPRAPRMRSMNRSVALKFWQPVAVARLLDIRSNT KVRGAILGDSVGLGKTWVAIAVMLKVCIASNLFTK AOR_1_372144 MPPSLIMQWCAEITRVTDKLQVVLYYGSKTSKEGIRPVKTILHK EHELFDGSPANGRKVVITSYQTFSNRHGSAAAKAWCRRTHQVYMEDIPTPPNGFPHLL DGCFSDVIVDEGHTLRNSDTSQSRAVHWLKASFYLLLTATPIYNSREDVRGYIPLLFR PPSQGDMHMLKELGGDIFKLPPEDPARNVCCTKMAVEEYILDNNVPPMVAGERLRVIF GQIMVRRTLSSRMESFGSRMIGSDIPPTHRRVCTTVYSRKEHDMYTRLSAIHYDGLFM EDPRDPTKIVWNMAKLRKLCLLTSWLGFDHLEHALHAPKIPKACKDLKQGTLGAVFAR TIVEEMKPQFQESIYQSIETIVETRRTWVLEFLLKGSPKMRAMLPVLRDQVIVHGEKA MVWTQFPAEQIYVAAILKEANIDAEVFHAGLTRDERMNLVERFTQKHDECMVLICAYN VNAAGMNLQNLCRNVHILTMGLSKSVVNQAIGRVSRLGQERMTFVYEYRLRSSFDEDL VSRSERKALPGLVADLGEGFSFPSISEGEEGLTNRWVLRAGELYQLAPGELIRKEDIT ESRPILEELMRSMEDTI AOR_1_374144 MSVLQGTMNVPMTTSTAQALNTTIPAAATSALSSSARELLAAPF RRFSALSSHVNRLVGLPSMATYLRGSELAGPAGGAVVEATQTAAEAAREGVVEAAAQA DSSYHLTDIFQAVIKFSGFFSYLTSRWSLACFTVALVLNRITIYASTRRHLHLDWSRR LALRIIPILLFISQIHSLLRTIRCQTSTEYSLIRYGTPGKRLLFDHAGGGGFLYSLGS TLLPWETDEQSCSAMNMGRPASSSDISYGSFVLLWPVFLRLCLSHFVETLSCALQGRA VVTEAGMSIFEHSLAFAEAESTISQTLGLGLFGLPKQSASKDDLGESAQSTLHLLSRT QVLERMNVTPELLLIALISCCNSLTSNVLDVFGKQSRYRLFNTAFWGLCFMSTMAWGL VKGSSVGSENVVLKFPTVCIVGFVPHLLILSGIITCAVIYMLALLITAFSLPVDTPQP LSLRERFTLAHENMQGATQFHNIRFNRHEDFYTTLLRIGYTALTAASEAVFLNEGKGV VARSMTWLEEDRLAEIESSRQRRSLRDPVSHTSDIPFGGGESVDFDIPEAPSDWESGY GREKKIEKPKNGSRSLRTQTDPGGVGAFRGMVRWYHGFAFFRGIFYLLLRWTAYGLDK LLSKIGISARPQWLKTIVRSRKSRPQELKNKQTESLDFWILTDTGELVLPADHEFDVE TEMRKRERSGATLWEQSDEQRLDDKLYGWWKAGGSWGDRDLSSDYSPPENDIDDTTSV VSMSTTADSEWEDESDGRRTPTRDNPFPSGFSRESTTVQESMVDISSFARLLDPRDQE SRQEARILAAHLAAGQEGRILTRRRFQQQIEHERAQVLLSSRLSQEIRSEKRKPTMEE ESEILEKLILSRRSSASVRADEQSWESGASGLGPSGPPCVICQTNPRSVITWPCRCLC VCEECRVSLAMNNFGSCVTCRQDVGGFVRLWVP AOR_1_376144 MQLIHHMIILFASLAAAQTLPNIPPCAASCLVNALQGDGCPSLT DFACHCQKPELVPKVSPCVAQACPLAEQSSVSNIVVSACSSAGHPISVPNPGASTTPQ STTTTTATATGTGTRASPTGSMTIPTVSSHASSSPKPSSSALSSSHVSSSPGASTHTG GAGGGAGGASSSGRASSSATPPLKTNGAAQVTGGLAGVAIAAVAAYYHL AOR_1_378144 MPPTRRRGGRATATRSNQATLSFGSKSRVTKPSATPTQTQKTKD LEPVIASISEKVPEPEQVSVTPTEPSQPHVAELAVRQQAQAEIEQPLSKEDEKAIKIT EKDLQQYWRKEEEKRRGPRFHQQDLTLHEKILRHFDLSSQFGPCIGIARLKRWRRANS LNLNPPIEVLAVLLKHKDDIKQRAYVDELLS AOR_1_380144 MAAFVRVSGPPNGNFLIGYPGISATMPRIEGKVEIRPSVGITAP VNISLVTIYLQRRETIHPSADSVTKKHLAPPRKETTDLVGKEMLLFRCPAGREYEEVI SMDLPFVLFIPFGRGGQDASRRVPPASLQLPSRTAETYYEIVVTVQQGHSDQRKHMFP VPIARYDTLSTFGMYNRPEAAERVSDHLVTLGISLPRWSYGPLDPVSVYVKLSPNPDW MSKARKVTISKITIGIDEEIIYNHQGDEPQRKVKTLTKRTEHIGMKLPPSGFLTNLGL VFPAKDMRDAEGILPRGKPAFPMYAVSGFTTTASLYKIEYYLTVKAHLTSARDIVIRQ PIVVCPLDHAGCKEEMEAIEQAARDAVHVNPDNPMLPLPSIIRPSDPNALRHLGVAIV GNQKKPLID AOR_1_382144 MADTDEAAIHLPSHDSAAHIDTDLSDETQDFRMLSNLSFLADTS QATLPKRGEKDFEPNPTLYQADILDASRQAMHNALAHPRLHNPKNQIIGIYAPDGPAP PRSVATPKTLDTIAENDTPAQTAEAEESQSKKPAGTGTNVHPDSCVYVTNPKGQFFKN MGRADRWGRIWLLPEEALYMLERGSLDVRWPRSATGCEDDGETEDSGIPMSLQAAYAC FIGHGGLMVDRFSVYSGLRRLGYTLIRAPGWYDEAEEEFEDPESTKRQGPGLAGIYGR FMDWLHSSTTTAVGPVAGLGIHRNYNDIYRKLSIIPFYDPTAPLPQNPQTKPPFRVVF HVYKPSTPFRKSAPPAPDFRVAVVNARTHTTVPTLAQLGTLLESTPLDPPKGEKMERN LYMRLRHGYRNVVLAVVDQGVVSYLRVADAAFGKEKLYNRPAPSGNKRGANPRNPRPK PKGR AOR_1_384144 MASSATDSEFVTLVSGDGFEFVLPRSAACVSGTIRRMLEPSSKF AEALTGRCILENISGIVLEKVCEYFCYNEKNKNQSNVPDMEIPPELCLELLMAADYLD T AOR_1_386144 MQPLHLSMRSAAMSISHLPYPRPQYTRRILNTALSTAYNAPPRS QRRPLSSQTPSTSARLTGRTCMITGGTSGIGFAIANRFLQEGAERIILVGRSYERLLK AATRLQVNDEGARNQEAADETVRKSQGTLVESSDRISLLVGDVSEAGSWLRELEKAMQ PVDILINAAGISNSNILPKTSPEEVSQTLRTNLEGAIFTSRALLRASLRNRLKGRTGE TRPPSKCIINISSLLALKGGTGAVSYAASKAGLLGLTRSLTVEATGSLRNVVVRSNAI VPGYIETPMIADFSEGQNERLKESIPLGRFGAPEEIADAAVFLAGNEYANNCVINLDG GLSAV AOR_1_388144 MPRDTYSKRSLGTLSRRLKESRVLLVGAGGIGCELLKNLLLSGF GEIHIIDLDTIDLSNLNRQFLFRFEHIKKSKALVAKEVAQKFQPSAKLEAYHANIKDS RFNVDWFATFDVVFNALDNLDARRHVNRMCLAADVPLIESGTTGFNGQVQVIKKGQTE CYDCNSKEVSKSFPVCTIRSTPSQPIHCIVWAKSYLFPELFGTSEDETPELDSTEDVN NAEEIANLRKEAQALKEIRESMGSPEFAHKVFTKVFKEDIDRLRGMEDMWKMRKAPEP LDFEKIQEETSTIEPTISCNDQKVWTLAEDLVVFKDSLDRLSKRLKTLLDTTKSDVKP ILVFDKDDVDTLDFVTASANLRATIFGIEPKSKFDTKQMAGNIIPAIATTNAMTAGLC VLQAFKVLKDDYAHAKMIFLERSGARAINSDSLKPPNPNCPVCSVAQARVKIDPERAT INDLVQDVLRLQLGYGEELSVSNELGTIYDPDLEDNLTKKLSELGVSNESLITIIDEE DEQPRVNLELVVVTEKPESSTGEQKPITLVKVPEIPRKPRAPTPTVGEHVNGSSDPNK RKRNAEEAGLTNGEDRSKRVASMSVADGDGSNPIVLDETEGGAILIDD AOR_1_390144 MSDDEADPELLALLRKSLGLGGGAANPRAAETKVLENAQYVFDN AIDVALNPSKTKEAAETIWRLMQKKEYSTQTWSEHELHPKAKDESTVDFIFAMDLLNF SFWSERPEEKRFAIEYRGKKWTGYWSLVAALQRALDEEIPITSPYFWVNEDECSESML KHVFRSVSDEEIPLLQERLQCLREAGRVLCEDFDGSFVNCIYNANYSAASLVNLMAES FPCFRDETSFQGRRVRLYKRAQILVADLWACFNGEGLGEFHDIDKITMFADYRIPQIL NHLGCLMYSPPLESHIRDLKPIPSGSTWEVELRATSIWCVELIRREIVKNHPDAKPII KPTQPNGHAVGVDRRQSLTTSALDEQRKCTTQKHITQISPAKPASSGVNAILIDFFLY DSMKELEKDGKEQVPHHRTRSIWY AOR_1_392144 MYRNLILLLATYLVTLAASQTITPENTLTNPDPGAVLPRSGFQI LPKRAPPKKTPPKVSPLQQECKDIKIKEGPSVSKGHSAQQLLTASCNVPGTNKKQYSE LSLDRCLGWHKNNDGSGRLVAQKHGAGLSKAGGECSTCRYLNSNPNMLCYCAKVRDNE KTYMNPVTATWTGPVFYNLFEALQGGMYLIEINQKGVLSCHGIAGDAK AOR_1_394144 MNNRPVEQALGILLPTHADDLPQELRSLALSLVAQSRSFSTSLR PEEEIARPYACAEIACRRLTRALKLPPLMGHPPCPPRAYKKLYAFLDRSLSNSVAGVK RAGSNSISETPSRTGSASSTPAKNTKRTRTPPKTATTPHKLQNTANKPTPLKKAITHE GSGSRSETPQKSKVRTNGLPGSTIIPDAPAWVMTSIRSVCKTLSTPAPRTSTWSRPPI SRTLPPHIFAGVSSILYFISRISAKDDDDFDEETLEFVEPILIVKDKENDEDYKEVVN ALVVAVYFLALARRRSSLSEGEGETKKLDKKTFSEMRQTALVSIGLPSTERRHREDVD QWIALIMQQHWANGKEWFENIPQAGELDGDDAYLSDEDGFGEDGERAKSAKRQKTTKS GRSLAKHSSRKGLLPGLGTMMQDRVDWLSDDRKEDYLEWKAAVLARIEQIQKSAAQHI GALPV AOR_1_396144 MSVTLHTTQGDLKVELFCEAVPKTVENFLALCACGAYNNTPFHR LIPGFMIQGGDISLGPAAHQSQESTKPMLPFDDIPKGGTSINHPGALNQEIHLPALRH NTRGILSMAARPVKDRTAPGSQGAMGATINGSQFFITFAPAPHLDGNSTVFGKVLNLT AQDEGGDVLTKLEKANVKVDKKGRVVQPKEGEESEYEALRINRVTIHANPLAK AOR_1_398144 MSVEDKPVQQEAPVESQFQKPGDLVERDEDTGVMSLESLCMNCH ENGTTRLLLLRVPYFRDIILESFECPHCHFKDNSVKSAGQIQEKGAKYTLTVQGENDL QRQVVRSDTSIFKVESLGIEMPKGESQFTTVEGVIQKIYESLSSEQPLRKAQAPELHD ALVPIIENLQKILNRDGFPFTVSLDDPTGNSWIAPTINDTGNNYKRRDYPRTHEQNEE LGISADPNAVEHEASGEWEDSEIVDGQVYSLPTECPGCTKPGFVNMKKVNIPYFKEVI IWSTSCEHCGYRTSEVKTGGEVPEKGKRITLRVENEVDLSRDILKSDTCALHSEELEV TVQPGTLGGRFTTVEGLLTEIRDQLHGQIFDVDDASGAGGDSMASDTKEKWTRFFSRL DAAINGDMKFVITLEDPMANSYVQDLCAPAVDHQITTEEYTRTEEEEEELGLKDMKLE GYEEDTEKKEEDNTEQKS AOR_1_400144 MSSFSQDDEHDPQADELRETALVTLEALISSCGQRMQPYLQNTI KSALRFLKYDPNVAEMEEDEEMGGTQDDGSEDDGTEEPDLDDDEFEDFEEEGGYSDVD DMSWKVRRCAAKLLFTVISTYGRGRALDESSLYQQIAPALIARFSKEREESVKLEVVS TMTALVRKTGEDVMIITSNGFLESVGGSKNSRKRRRQDSDASMIDFEPSIGTSSAAGT PVIAPSSPKSGPQADLARSVPLVVQSLVKMWKQASVPLKQAIIVLLKNLALVRYGGLA DYLQQIEDPIADVLKSSSPAGTSSTAAGATASAGTLQIETLSLIAAVAETHTSDALLP FLIALIPGVIGAVNDRNYKVSSEALGAVEQIVKALTPPRVSASSQDLASQLEKLYDVV HSRITDTSADLEVRQRAIHVFGVLLARTSGEKGSAFISLDRRPKGLAVLVDRLKNETT RLAAVRAVDDVAVLCDRKTDVTAAWVGEVTTELGAQLRKSDRVLRGASLEALRSLAMN SKTRVHYDGKTMKELEDCLLPLINAEDFHFLAPALIILAKLIPGNAELLVNEALVSAL CSIVLSSLVGTVLKALLLLVKVVGEEGAGAVLMKKLLRDVGINGDTSVVGRAIGTLLV HGGSKLGVKMDDFLKELQTAQDAQRKCLALAILGEIGLRMGHECSLTPELFITHFDSK SDKVRLAAATALGNAAAGNVKTYLPTIMSGLEKPNHQSYLLLHSVKELLQHPEIVRPD VAPSALKLWQALLNVSEEEDNRAVGAECVGRLALIDPVAYIPHFQECLSNSDPTIRGV VISAFRYTLSDSRDTYNDVLRPLIVPLLVNMLSDRDLENHRLALTTLNSAIHNKMDII LPHLNELLPAVFGDTQIKPELIREVQMGPFKHRVDDGLELRKSAYETLYASLDTTFSL SHISEFFERILAGIDDEQDIRTICNLMTSKLINLAPEETQRSLDALSERYSVVLSFKP KDNAVKQELEKAQEASMGVLKITRELSKAFPNAETSGEHHKWKAYMEWVRKTFSSQLK SLESEF AOR_1_402144 MPFSDHATRLGFLKDAASSLDSLSPSTAAHLMTVHNKIFYDESR PLNQRQQEASCGACGSIRAPESTKTIHIKKKIIKRSSAASDGAIVYKCLRCRRRTVKP SRKEPVRPNASSKMAAAIDSTPPTALTAVQQPDSTETKHTSKTADNASSKKRAKARKQ GGLQALLASKQQSRASSSLDLFDFLQQ AOR_1_404144 MAPELNSASIRVAFEGCGHGCLHDIYASVEKAATLKGWDGVDLL IIGGDFQAVRNSHDMACMSVPQKFKKLGDFHEYYSGKRTAPYLTIFIGGNHEAGNHLF ELYYGGWVAPNIYYMGAANVIRCGPLRIAGMSGIWKAYDYRKPHFERLPYNRDEIQSI YHIRELDVRKLLQIRTQVDLSLSHDWPKQIENYGDYNTLFRVKKGFRQDSQTGRLGNL AAKFVLDRLRPAHWFSAHLHVRFVATLEHGDYIIPEHPGAKRQAAASQGSTSHALNAS NSFGFDGALVTSLLGENGKASSQILPARTTNETLDSATPAHVFQTEGDSSGHQHQQSA QSSEGNEDTQSKISAWNEFHTVAAKNEAIENSRCLMEHQGVVPEVQHNLTWRRVDIGE DGVGRQVVGVERDACAETAVNKKQKLQHETKPVKNSDEIDLDLDSDPGEDAAADTAPE VDPTDSIVKPAEGVEKDTGDISEEVRSQLPAGFARPPPQAYAVNGPLPESISNKTTHF LALDKCLPNREFLQLVEFSTISDLEGAQCERPYRLQYDKEWLAITRVFANDLQLGDAT AKPAADKGDTVYEPQIIEEEKWVEEHIVKPGKMGIPENFVPTAPPYDSAVPITTEEMP PEYNNPQTAQFCELIGIENKFHLSDEEREARMAAGPRPVESRQNFSRYPRRDGGHGHG RGRGGGRGGRGGGRPWGRGRGRGRAAPY AOR_1_406144 MCDTQQIRDAFDAAGSFLNPVLQKEELQKSRGSSLLKILSGDSI DEALDENLTRTAVVGKALDILTRIHSAFVSPIKDAGFPQPRESEDAALEDAKRRRLLH ALLDLISLEGIYPSLSSGAGIPLQQRVISVLPAGVIAHQPQKPPNNKPQNEVLLERIM SVLSDIICDERRSIQPVIRGRILSDIISAASDLASNAEHLSQDKKQRYRDVVAKVVEE TPSPVLLSTLSSFLQSDTAPWFKSIVSSQISRVPLRQDGVLQTILFLASQLAPSLGQE SQDQTSNGPHFTVQAIMQSSRLLSSVPQGLDPVDYFSIIGPQLLALIDGDDPDLRKTA AYVVGNGILCKRAYGAPGTIGHSIFLEPLFKTLTAGLDDSSRNWMMSSSASGEDLPNR VLVPESLLVLAVDRLRSLVLQPPNPSLVKRVVYPILVPLWGLACGTSEQQRNSLHEKI MEVLQTYFAISVGEQPLKKLVDNLLWDGGSTWTYSVVPTHGLSLIKRETAKSDRLNIV RLLDTLASRAKLFVGLLGADPSSEERTGDIFLYVSESWLVSTPVNERSFNKPQLGLAN EAESMERKLVSAKLAETLLDNFKDILSRRPLRVLELIKQIIDGEFNRASTRKKRDGDL GTGKVSLSSLANIVPAEENTEQGNAEESDSTESLPAVFSLLSTVLASPEFSASEDTLP VLETLKSRLDQLIPYLPPSLAKPGTTSSMLLEIHMTSPTEQSQKRPYAEVSDFETHRR ALTNLNSDLPPVQAEGFSLLSDLVKKSSPVLDIPSTLTLLLSIITDPSEAAANDEFIY LNAIKLIGTLASRHPRTVVKTLVDRYTDRNETTNLDQRLKIGESLLRTVQDLGQSLTG ETAKVLGDGMIAVAGRRSQKPETQKRRKQQLEKEKRQKEREERRNKEPAMPSGWKISS PSPAAKLQEDEEEGSESESPEQAAHSANIIAAWAAGASADDEPDDLRARASALSILAT AVQTNIAGLGPSVASSAVDLALATLTLEQEPESAILRRASVVLLLDILKALDTTRETR GSQALGFGFSLADDSAGGMSWKDENASSRGPSTIGNIPHMLRTLAFVESRETDTIVRG HIRVLIESLEAWVEKSLLWGIGAHGREGENEPRLELGDRIAGLQIDPLAGRQESGRPR IEEIE AOR_1_408144 MPVVRNNPSGRNKKKSVACHRCHSHKVKCSGEQPCARCSQAGCG DECQYTVRDRKLKVNESYIDQLLSENSRLKEQIRTSSTPNPSPASTADITVPPQESYH SLQNPLFGERAWFYPYDSTAPPIYMGDAACTAFATRLRQFLTEDPNTAHVARTQYTPE SSLLEGEAHWPSLAQARLLVKIAFNQLSRVYHLFLRKSTLEQLESLYRLPNLRDDPAL TCKFFSLFALGEVYSSRSISSPTSRVPGTRYYVRAMGIIPILPERPGMIHIESLLLLS LYSYFLNRRHSAYTLIGSAMRLGLILGLNHNIPARQCTDPTEREHRVRLWWAIYIFDR MYTSKIGLPLQIRDDDIYVDLPTAVNSPDAEEQFSDTAYLVYSIRLARIIGQIVEKIY TRKPHQESFLQREQQLLLALQDWVQSLPAHIKLPAVETPQKHVVSLHLQFNQCVILAT RPILLHALFQQRAHRENHEDTPQPVITLSEACIHAARHSHTLITEEWVNGSLPMYGYF YAQYLFSSAIILVMSGLLPSIGTTADLEFLETAIEILRRMKYHGNLAAAEFYENLKRV KQCLPTGSGSISIPHDGWGYTPEKSRLSVGGAANVDQILDPNSIATVPVAGLTTEMAF LEPTMQDFLDRTNNEMDLINPGAFSIDESTGIDAWPTTFWTS AOR_1_1894144 MINSDLFFPETKYQRPATTLGAKAVTIDVPTPTTNNEKHNANAS IRAPNDTEVQVPDTNSQNGKGRPSKNQFKLFQKPDPRWKSFLIRDILSPIRACFYPII FWAGLNVAGPANLLLFFNLTESAVLAAPPYNFSGAVGYSNFAFFVGGTIGLVTAGPFS DWVADKATRRNRGIREAEMRLPALIPFFITTAIGNVIGGLGYQRQWSWPTMLVFGNGL TGLSVPTVPTIAVAYAVDCYKPMAGEIYGRCYGC AOR_1_1896144 MSNQPWLDNLDDDWVPVPGTPSSPVPTRSANHSRRTSLQSLQGL PSSPSRIPVPTRRSIEPSTAEKKKVSRPCHFVRREPPTPKTPRTPRTHSKLRSPAPDK TKKTPKPDLPSARKPKPAMDARSPLRSVSNASTQSSQQGTVQVRPKKGKTKEGTPEWR RKLVRGELPSGEQRDLFAPMGLEGVFKPPTPGSEKTQHEAIPRMKQRDDLWDFGDPSD KDPGTSPQGSSQAQNIGESHAANTPNDVDRPLGPSTQLQSNANVSDSPEGSPNATLEM PKDPEEPPSNLNDSSFVTQDDTQMRSATGLEDLRNEGITPITFSRTNTVEGNGTSEVI RSALKQVTSKLQKLSLPPYERPDSRASDSILFNQHTEFTIDPPPEEDIFDVTSHSLPQ DLSMGTLDYRGRGPFGNLRREQYANESSFHKHQLSPPTFTSQRLSPFFAPNSRIRSSP PFYNRTNPILDPPTLPRPSSAHVKAPILGEDRSEVMPSLGSPLKLFGNHDTFTNNRLL RRMSQFEETFDDLSEEDEPASPSEEARRKGESRSFLSARHDMLGENSIRRVERPRSRN TANSRLSRFGDGQLDNFDFADTSPYAPKLLSSDAPDGELRPLSRRRRYLRRPSHRESS RRSEVNSHNSTGKSSNIDHSIALTNPDQGEAEWNPGSPAKDPNPKRRRTFLRSHIIAG EDQEEVVSSSQPIDNLSLLQRSLMQHGLRYDSDSLLRPQSSDRPRTPTPSQTRRSIRK RSSPSKNEPDVVYNDENSPPETNVPMVKVTGVLEETRKGSITTQDFLNEATKIMDIIR SKGRNVGGLSSVEESEAEDKNESESYEDESTREEFSRPPSREGVDMRKLREQQETNPR ILSHLKKFQEHDDLELGDNASVASLSFDNQQDSPFQVENDKEFRERKSSAPTSGEDDD LPDLLTFNTQISAKSLSARSVPTGSSQSSHAKGVLSSDIVSHLIPEQVNGLTYDRHKH QWIRERPEVSLEKPKGEDSEDDPFKDIPDLSVDELQEMMRMQNSPSPERTGDSVLSED ANGQSPLSRKTGSLSSVARPGPKDGEPSVAASSLHSKATRSTSSTPHSATRVTSWGSE AEGNRASSSEVEHEIQLHEGRLSKPPRRQKDGKQQARVVTISFSSPLVSQIAYSDDES PSKLRRAQLAGSGQETQTAANHTYTSEHASSRHAISRIDETNEEQGDSLSLVRRGNDA VSTPLKSHPENSLMCLRDMDHDTNYSFHLSPLPDFTVHQIDPSLQLELSYVAQRTHPR SLRQVHGTFALATEELVKHITEAEPFEPYWEHVRRLVLRQKGLITLHKLCDFCPRLED LDVSSNSIGQLSGVPPTLRTLRISHNCLSNLTAWGHLVNLQYLDVSGNELESLDGFSS LIHLRELKAEDNNIRNIEGIFELDGLLSLKLRNNSLTTVDFEDSELVRLEELDLSHNQ LMSIQNIESLSALSNLDLSFNQLARVAPSAPMPYLSSLRLSSNQLHSLDVTAFPSLTL LYLDHNYLFTVSGLEHCAGLDVFSAREQMNTGDHNGGFFDVDLGLVKDVRKVFLSCNK LSLQCLSPSNPLSGLQLLDVASCSIQSLPADFALSFPNIRVLNLNFNFLAGINELAGL NCLSRLTIASNCIVRLRRLCQVLSRIGRTNKNKVSTLQKVDVRGNPLTVRFYPPAVTG SGRVGDTKNLKVEDEPSQRDQAGLDIQSVLAEFGHAENSERSIIHDQDEEPIAEKDIE INDPYTLPPADPQADQKYLSHLDEPTRLRRRVFELMLYAGTGGSLKFLDGLQLRPTLE PGSDMDHAWNKLEKLGVLKRKAITG AOR_1_412144 MPPRRPVSPLNLETCSPPTSDLCNDGLLGSDDELNDEERAAQRR RIEKLAESYLQGKPLFILSASLRGRFDEDWVNPWKTNRRRAIPPGRSTIRPPKEPEIP ASPVINETNSRRRRTYQEPQEIRDSRPPTVAPESDIHVSGRSRHASVRLDRSGDKRNR RSISPNTPRRSIPWARPVDSTAQLQSLSTSRHTNETWLKKDRKQISFQNVDPPTSPTT TLSSRYSIKSRASRDARMNSQSTSFEHKDGPYSERSTSSSPAQDGPDPEPLDVSRVPN SIASSPAKEQRLPDLGRERTTSGAVSQGPSFCVLAPSSHLPQFEYRRKKPRVSNEAGS SKSPIAGEPDAEEEPRAADFTKGTQRPEQDALSPQHMHSAHANRHGLSEADKDPRGIF ISTSTTKAIGNISVSQSAKFRSISTVNKGNTSERLPSAQQVRANPTMTDITSLHSIAV PRSNSEYDDDTIPDPQFSTQAALLHAQRSFQNDLDSPEHDIPSPDRQHASKSSDLSSP IAKDITPFHRLSTPNRARGSSEVQAPMTAGQWQSTQCMIDAVTPFTFSTEKKPNRRTM SPVKHLTGRKKLKTASFKLSSPPSSASSEAHYEQDNNYIHSSPVPRRSPGHETQHSVL PMTLTGTTPPTAQEGQRGFPGADSFNLAEAIADAGSWLQQSFDLNRDLRLCETSKSAA TSSAGTRRSAIGVDGKK AOR_1_414144 MEHPKATPSHYKFKLMPEFFVNYHEIARQSPNSKVTTQPSLGLI DQPYSETTNTQPDAEPKKPWERFAAYIRELNTENPDRVTYKVLYLTRHGLGVHNVFEA KVGKEAWNSYWSHLDGDGTVSWVDAKLTEAGIQQAETLSQFWTDAVATENVPLPESLY TSPLARCLETARLVFSKPMGQFREQFQPVVKELLRERLTDHTCDKRSTRTWIEGHYPS YLIEPGFSEEDLLWKPDRWESVEKHVARKQKVLEEIFAQDSSSFISMTVHSYAISAIL RACGYEEFRVREGSTIALLVRGERVGLS AOR_1_416144 MADRQAVQQNLNGLLSKLDDPDPDMRYMSLNDLLGILNSPTSAY LAHDQFSSSRLADGLLNALDDQHGDVQNQALKCLGPLVNRLPSESLTTILEKLSNLTA SQTIDTSVPNTALRVIVTALPRSQAGQPPTPDVSVAYSSVSKILIPRLTGPTPSQSGR RGSVIKGMLEKDPSKGFSSDAIDVLIQVVTCFGPLLKEAELTALQKSVMSIIDNDTAG TVVTKRALAAISALVPHFSEAQFASFVDELVKKFNNPRISVVHRRHLIATVGSVARSS PTKFGPHLPTLAPFVFATLGEVIAT AOR_1_418144 MLPLLWWNATFWPDAAKASANALMMLAVRLQVGYCGKTKAHCRS PDCQIDYGHCDAHMTPDGPPTSGIPRPKIGKVQYGPKAVRSCVGAGNIALTFDDGPNK YTEDLLDLLDKYDAKVTFFITGNNNAKGPIDTPGMPWASMIERMYQSGHQIASHTWSH QDLSKITPEQRRIQILWNEVALRNILGGFPTYMRPPYSSCTEESGCLKDIGNLGYHVI LYDIDTEDYRHDSPNAIQGSKDIFDKNLARGKASDKSWLVIAHDVHEQTVYNLTEHML KKASKDGYNVVTVGECLGDPEENWYRMDESSELTILRKTKPLATAKQAISRDGRCGGN VTCLGSKFGTCCGKNGYCGTSPKHYMKSVPQHQMRALCCNLD AOR_1_420144 MSHARVIQDSDDEDDPLAADFPPPANPTHQANNDYALDSVAQDV PITAQVQEPNHPIAINFDVFLQSQESAPRGLSSSQQRREERWIPNEAGGGGSIEIGLA QQRLFDDDDAQYSNRHLFPPTTLESEPIQPTLPNMDDIHLVPTVDINGAQHIDYNNTG NGPEYMYQTNDTASDQYEPVTHTEQHPPHSLLSNDFSQSAASYNIFESSLQPSRSSNP DPMSFLRPDDALQIDLQNGARRWNSMQGMPSSPHDTEPNSSLLSPKVSRSRSDNASSN GIIASHPEVQQPTESPNQLPHTATIEIPAEPKKRGRPKKQNPGEPIETSDLPRPANQD TNEANNTKPEKRKPGRPPKNPKPDAERNDVSNIDDQSTHPDPTSIVSEPQIPLSETNL PVDIPQHNTTETTNFHIEIPNQQSLPQQAPPETLQAPGPAPKEPKKKKLKRGKTTSVT LQKTYDPDIEDDVIWIDDAPPNPIIPQQDQRTPNSETAHPAVPTNNDQTPSDNTTVQT EPAPQPKKRGRKRKKTSDPVIEEEPRDNIGKPSDIHVEQEKQAVTVAVDNTANTTVDK NTVDNQDTLDTSRDLPAGSEDTHVGTTPVLTTNENPPETPKKSGSEPKTPSTAPGAAD ADASGKGANKGPGKHSPISTGKVPYRVGLSRKARIAPLLKIVRR AOR_1_422144 MALTSWKAFNFFDVSSVKLPEESSSIFSSDISSLCTGSSNLFLG STDGFVHLISPSFKLLRSFKASEGGPITHIKQIEGTSLLVTIADDASSEPALKVWALD KTEKKTGAPKCLSTTPVQNARRPFPISAFTAVPDLSQVAVGFANGSVAIIRGDLIHDR GARQRIVFESEEPITGLEIHSGPTTILYISTTNRILALVIAGRGQGQPARVLEDTGCA LGCMALDKDNGDVLVAREDAIYTYGPHGRGPSYAFDSPKNSINIFKDYVALVCPPKVA TSNSSTLRNFDVSQPNDLFSTTTFTLLDTDLKFIAHSEALVSPVKQVFIEWGDLFILT TDGKIYRYREKSLQQKLEILYQRSLYILAINLAQKKGVDTFQQNAIYRKYGDFLYQRG DYDTAMQQYLRAIDNTEPSQVIRKYLDTQRIHNLIEYLEELHDHDSATVDHTTLLLNC YAKLKDTGKLDEFIKAPGELKFDLETAIAMCRQGGYYEQAAYLATKYGENDMVVDILI EDSKKYAEAVEYIWRLDPELAYYNLMKYARVLLSNCPQRTTELFIDYYKGKYKPITEV QNPPEPQAQSTSTLQSLAAFLPLPLINSSAGTKTAAVEPSPEVEAEAAEDTTVYQIPK PRTAFSAFVGHPQEFITFLEALISQESVKEEDKVDLYTTLFEMYLDTASRKKATTEKE EWETKAKRLIEGKDIPISTSSVLLLSDLSGFREGSTLVREQEGLRSDIFRSFTSAKDT RGAIQALKKYGPEEPQLYVDALTYFASSPKILEEAGEELDVVLKRINDEGLMSPLQVI QALSNNAVVTMGRVKKYLSDNIERERKEISTNRRLISSYSTETENKRKELEQLGSKPV VFQARRCALKSCGGVLDLPTVHFLCKHSFHQRCLNKVDEEAECPVCAPQNSTIRAIRK RQVESADQHELFKGELQRSKDGFSVISEFFGRGVMRPQSTME AOR_1_424144 MVFARANLLRASARAVGAASRRPAQQVARRTYASESSHSVQKSS DLPWLLGSVGLGVPAAYYLLSSGPEKKPHGGHGDHHEAVKETEKKEEQAPAGESEPQP DRDAEQKVDTEASSSSSGEKGGSFGEPPSNVDEATARGGTGGPATISGKQEGVSNADT SNPYVNEPGKSQKGEGETETAKVKGTVDPARPQA AOR_1_426144 MARGRSSSNLGADIPGDTSAPAMSTMNEVSPIETEAPKWNKSSE KSLKSQPKRRKSRSLLRRFKDKCLKHTWLLPLLMVVVLLALYAVNPNPSNPLHSAIFL SYPQGPKTPGGPVMYGKGPKDVAFVSFYTIVLSFTREFIMQRIIRPWAIYCGIRGKGK TARFMEQVYTAIYFGIFGPFGLYVMYRSDIWYFNTTAMYEGFPHREHEALFKAYYLLQ ASYWAQQAIVLLLQLEKPRKDFKELVGHHIITLALIALSYRFHFTYMGLAVYITHDVS DFFLATSKTLNYLDSFITAPYFGMFVGIWIYCRHYLNLKILWAVLTEFRTVGPFELNW DTQQYKCWISQYITFALLASLQAVNLFWLFLILRILKNYLFNSIRKDERSDDEDTEEE EEVEQGQSGRATLATGAEVSTVTARNVGKENQAPQVLVNGEPLNEKSSSRR AOR_1_1898144 MHGSKPRLQRSPTTKKSVGPSLQYYMLVNPASTDTQAKKLMAQV EANQGKPLDATTWSMLFTFDIMGDIGFGKNFGNLTTGKAHPAISAIRDNMRVIAVVSH LPWLLNMLGKIPGAAAGYQGFFKWCTDQVETKRKVLSPRYAFGLAVDETSVYPDATQQ SWDHDEYPQDIISWILKAFIDNDVSAPPSEPALHDDSRVVVIAGSDTTALALASIIYF LAKHPQILQKLQAELDNAMPNGPRSWTYDKAKTICYIDDIIHESLRLRPSVSGGGYRV TPAEGLQIDEVFIPGDVNVFVPQQLIQTDERYYKFSKEFIPERWGEKKVEWGTDKAPY FLFSLGLT AOR_1_430144 MAIGNIYVIAAIAVIGGGLFGFDISSMSAIIGTNTYKCYFNNGG SVTINENGEKECGGPDTMTQGGITAAMAGGSWLGALVSGYLSDMLGRKQSIMVGSVIW CIGCILVCAAQNIPMLIVGRIINGFSVGICSAQVPVYISEIAPPTKRGRLVGLQQWAI TWGILIMFYISYGCSFIKGTAAFRIPWGLQMIPAILLFLGMMLLPESPRWLARKDRWE ECHAVLTLVHGHGDPDSPFVQSEFEEIKGMCEFERQNADVSFMELLKPNMINRTHVGV FTQIWSQLTGMNVMMYYITYVFAMAGLNGNNLLVSSSIQYVINVFMTIPAILWLDRWG RRPTLLIGAALMAAWLYANAGLMAAHGHAAPPGGLNGTPAESWEITGPPSKAVIACTY LFVASYAITWGPASWVYPPELFPLRVRGKANALCTSFNWAFNFALGWFVPPAFENIKW QVYIVFGVFCTAMFIHVFFMFPETAGKTLEDVEAIFTDPTGIPYIGIPAWKTRNEFSR GATLEQVGFDEEKKIGGTVQHSETA AOR_1_1900144 MVSTRSSSALPPVDPQGADGTATQSSATQGVSQREFPAAQNART SDVPMEPIVEEDESETPERGPTSERQSEEPMLSLSAIARVAEVLKTIMQTSSADNGFG GLKLAAPTPFEGKTIREVQNYIADMEDHFAASASAQRNDKDKVLYATSYLGEKAKQAW RLKRESLGEPSWEEMKDFLYTFVDPEADRHRNVAYRLINEKQNGRNMREWCARSLEVW QAFPNNSLTAQTWIQTLDQEVQREITRAARQPQTLGEAHDMAIQYWSMLHRERSLRDS EGSGRKRADGGNQGPAGFNRGRKRPRENETPATRPRGSRSSPGQPPFKARRWNDGTQA AHERKRDWQKKEGRCFRCNEMGHRIWECPKAADVLRHPKEPTAFPILGTVELQLQESP SISADIKPIGGWDHTVQTVKALLDSGADDNFISYRYLLQHGITVSKDHLQPPVRVRYA DGTPAPCYGKLIVKSQVTDWENQTRGYEMEYYITDLQDPDYQIVLGRKWMRHADPDVV FSAGTWRYRKEAPKISIEIPKRFAKTMRHTLTMMVMYRPDTDDGPPELPPRYRKWAHV FSEKEAAELPDEKAAHPIPLVEGGVPPYGPLYNLSQHELQVLREYLDKMLERGWIRHS TSAAGAPVLFVRKPDGSLRLCVDYRGLNAVTVKNRYPLPRIDELMDRLVGAKYFTKLD LRDAYHRIRIQKGDEWKTAFRTRYGHFEYTMMPFGLCNAPATFQAYINEAMKGILDDY CVVYLDDILIYSQTEEEHVRHVGEVLSRLERANLYAKLSKCNFHQEEVTFLGFIVGRD GIRVDPERVRTVGEWPALESFHDIQVFLGFTGYFRRFVHQYARITKPLSDMLKGMQKG RKTGPFILTTEAREAFDELKAAFSGPPILRHFDPALRIKLITDASGFAIAGILLQPVD GVEEPRRRSDWQPVAFYSRKLTEIEGRYPVHDQELLAIVECFKVWRHYLEGAPHAIRV QSDHESLQYFYSKKTVKLDKRQARWAELLAAYDFQIEYRPGHLNPADAPSRRKDYEDV HVQRNVGLLPTLQRKLRAVPDDRNDVGAPSVAESEISIVSSRGPELLALRTMAREVAR EEVVCEATSHPLRDAILQAQQGDAFVGQIRGKLLPGEGKKESGHKSDVAETSGDLTGR WRVDGGLLYRGETIYVPPCSALRQEILRVHHDDPFAGHFGREKTLELIRRKFYWDGLR TDIENYVRDCPVCQKMKVPRKLPQGELASLPVPEGPWQDLTMDFVVGLPPSGRKGRVY DAILVVVDRYTKAARYLPTTGTVTAEELANMFLDEIVCKTGSPRSLVTDRGSLFTSAY WMQFCQGLRIKGRLSTAFHPQTDGQTERQNQTLEAYLRMYTNYQQSDWADWLPTAEFA YNNAKNASTGYSPFMAWQGMEPAVPGLEAIGPTTSNASVELRLKGLADIRTKLEENLK KATERQAEGYNKRHKATQLRVGQEVLLSTKNIKSWRPNKKLDLKYDGPFMITEAVGKQ AYRLRLPKAYGHIHPVFHVSLLKPYHRRAGVEAGEAQPIVIDDQEEWEVEEVLAHRVY YRKLQYLVKWKGWPSYENSWEPEENLKNAAETVAAYRKASEVPEAPRRSRRRA AOR_1_1902144 MGKRKNAVRGVAKASQEAKRARLALQNPPPVPPLAPPPPPPAPL GPPLSEDTLPRPPYGDLDDLLPPAPGGDSPLPPWGSPSPPPRSPSPPPAPPSSPPVPC RRCAKSLFVGTSSGVEGPLVCVSRGAGRPCVRCARLKKPCLALPGPARAEVARLQALP PSQEAVKATDDLTKHIEEATNRLGKLNKVHPCLAALRDINRNLFRLVNVQRELGGMEP LPEGDLVDWESLW AOR_1_438144 MAEETQVLSIQQRIAALNQAQVGQSPQAAASPLLGAQPTPFASR PAPSRQQTINNPPVNSHDSLGDRNEPTGAQPKPVPRPPPIPVQKPRAPPPLPARKAST ESAPALPPRRPSGFSRKESRESLGSDVSHSTSTSAGRATIASTTSNNSDTIRSVRAPA WGAKLPPLPPKRQDTKTVQPPPRPRPSPANSSRSLSQGRPSLPPRRESTNSTSTNGNR STSRPPPPLPSRINSDKSPGPSADTNGKQSTRKLPPPPPSSAALEKIQSSGLAGINRN TENSGKGTNGSVQEPQPNGVPPPVPRATRPDVDLAKLQATKPRLCKTTHSATAPSTMC LKCRDFSAPDAHAAQYPRESLPSYDLAWLARELTAPFPSPTDKARALFTWFHHNIEYD VHSFFNKCVKPSTPAGTLASGLAVCEGYASLFATLATHAGLEAVVVAGHGKGYGYDAP APGSPIPPVSATGHAWSAVRIDNGQWKLLDACWGAGVVQGPGLPYKKQFNPAMFTDSN DEFGLRHFPSNRSHFFRDDGRPEITWEEYILGNPNSPLSAEQPTIFGDAQNHSIGERS FRPAAKQISIHDPSPLRFQFNLICEHWTLEHHTRAKPGLFLLMVHGLDGRQDDRLPFT HVRGSGPGGGGDFWYVDVPNAKILGAPGQKLAIAVLTSFGDRKDARGVTAEEYRQQVG RVGMAWAYIAEWQLV AOR_1_1904144 MAPRKELDPQIRLRICELHSIGWGPTKIYRQHPEIPLSTIKTTI RRESIRVNNTTRARSGRPRKLTEQQRDHIYDLVQSEPHIRHIDLLTEYLLSEMGCRKW KQLNRPEIKPIHAAKRLAWARRYEHYTAEDWARVKWSDEYMVERGIGVRPTWTFLRPR DQLKNHDIHAKPCGKGIKQMFWAAFGEDIRTGLVPLDSDPESAQGGVTAAIILALYRA FLPDLLQEGDIFMHDGASIHRTYIVREGLEEMGIEVMEWPPYSPDLNPIENLWALMKE EIYKLYPELLTAPNTASIRELLTKAAQEAWHSIENRVLVRLSTTMPHRVQAVIEADGW YTKY AOR_1_442144 MPPQGWLDIHGHFYLPQAPGEAEALAESFRAACFMVSRPVTWEV ESILRLEKLKEANTYAASLVSKYPSRFGLLAALPTDDPELCLSEIKRTTSTFAIPADG FAVTTVYKGVGLGDPRLEPVWDVLNSRKAVVHIHPNAYAAPTNGRPSPLIEVAFDTAR TVVDMLYNGVFRRYSNIKFVLAHCGGVLPVLSGRLALLGTEEWVPNPNEITRKEIEEQ LGRFYVDTAATAKTGLQPAVKMVGLENVVYGADCGAPCSIEQTMEENRKDIMDFEAQN DIPRGTILANGWKLFPQAAARVTANDV AOR_1_444144 MSIATVETGYVGNLTQDQEEKLLQLWRIFLRSCDAELYRTDTNR SGQTTSTTSPKQRRRLFSLSWSEDTSKTNDSPPVPAKLLSELEAMKMNAQEIKLIQQV LTKLKPDERRSAFFAMMKQDHPDTFLLRYLRAEKWNVPKGFVKFVSALEWWSKQQQVE TEVIRKGELHALQQSQSSTSSNEKKDGEGFIAQLRMGKGFFHGSDKSGRPICVVRART HKPGAQTEKALNSCILWNIEVMRLLLVPPVETMTLIFDLTNFALSNMEYAPVKFIIEC FQENYPESLGYMLFYNAPWFFSGIWKVIRGWLDPVVAAKVHFVNSVEDLEQFIDRSQI VKELGGDEDWTYEYVEPEQDENAQLQDTTTRDSIMAQHQQIGEELFEATSMWLSAKDK GNMGDASQQKDRRADIAMRLRENYWKLDPYVRSRTLLDRIGVIQAHGNIDFYPVKEGQ GESKEVNEKDVKAVVDQVEYANGAQVASAA AOR_1_446144 MTNRDPEFRHQLGKFRLDSSLSSHVSTPPPSVLHHETSPLSQPP SLTTPTSLQPPPTPSHTSHHRSKRVSTACDFCRKRKKKCDFRYPNCSACTRAGVRCTI PPPGPQVASASVPRDQLENLQNRVRWLEDILRRKTGLSVADRPTGSALDGEGDLDWYQ VPTVLMGRDNSHSTTSATVSASSPTESPAVGAELPNVGEIFRDQLENRRPSVARPVAS APRVLRLASLEDAERVASQYFDGIGYQYPFLHRHDFFAQLRRIYTGDVPPPDVLYTYH ITIAIAMLISNAEGTQANEYYRASQETLSLSLQNEDLSAVRALLSLALWTMFSTNGPS VWHVLGSALRLATSLGLHKPRNASSVVEDEMSKRAFWSLYNLDRLVASTLARPLGIAD EDISVNLPREFNDDWSEAPGASAMTIPVQVVRLRRIFSRIYRYLYNNHPPPPPAEVSL TLLHFRQELDDWRRNAPVYPPALLYSTSYYDYLYATTLLLMHRPSPRNPTPDATSIVS CGDASIQVIRSYWDSYSVGKLKWIWLTLSQIYFAGITILWCLNQNFLSVRDGHPAAWQ PNDQMMRRAIQAVVVVLEEFGKRRPGVERLAETFRHHSTVIFSHLAYQQEQIHSQPQP QPPLQPQLQQQNVLVAPPVPMAATLDDVLLVDGSGNVPLIDAQLADELFYSYDWFQEE MATFYTL AOR_1_448144 MTTKTAQVFHGTLIHSKDPQTLEILPNTLLIISATGQIQSLHPS TNPTDIPTLLTQANHNPDTTPITTLSATEFLIPGFIDTHTHAPQWSQRGLGRGIDLLT WLEQITFAHEAKLSDPIYAKQLYRAAVQGSLKQGITTACYYGSRHKDASIILAETCLA IGQRALIGKCNMNRHAPDWYVDSSVDESVSDTVEFIAKVKELDGDTGLVTPVITPRFA ITCDETLLSQLGTIVKQNPGIPVQTHFNESHGEVNFTRDLFPQFKNETELYDNYGLLT NRTILAHAIYPQPEEFPRLKELDCGVAHCPIPNTTMDEFMIAPVREYLSRGIKVGLGT DCGGGYSSSMLDVMRAAFMVSVAKQTETEGKDAPLSVAESFYLATLGGARVAGLEDRV GRFAPGLEFDACLVRTGVAEGIMAPVEEEDGVEGVFEKFLMTGDDRNIVRVWVRGREV KGSSSA AOR_1_450144 MADIPRRDEKPQQKEFLQEPSLDIDDSNYSILSDRDAAEILPYE ADDSPFPEVRAVVRPVDDVHLPVSTVRMWTIGVIFTIVGSGLNQFFSLRQPSVTISAL VAQLVAFPVGCAWAKWLPLGWLNPDRHFNIKEHALITIMANVSFGSAAATQIIEAMVK FYNMPSQGGFEILLCITTQLFGFGLAGMASRWLVGPATMIWPQVLSNAALLSTLHSRA NVVADGWSITRLRFFLFVFAGGAIWYFAPGYLFTGLSTFNFICWIVPTNVVVNQLFGQ TTGLGMSILTFDWAQVVYANQSPLLVPFWAGLNVIGSFALFFWLICPILYYTNTWYSA YLPMLNSNTFDNTGKSYNTSRVMNHDGTVNVEAYREYSPMFLPAGYAVTYGVAFANLT GIFVHIALYHGTDLWEQWKGRDKKDVHSRLMSSYKDVPWWWFAAVTILMFALSIVTNE VWHTGLPAWAVLLAFVLPMIYFIPVGIIKAVTNITSNQLNLITEFIGGYAFLGRPVAN MAFKFYGYVAVSQGLEFVADMKLAHYLHIAPRTLFLAQGLATLIGAVVQCGVTVFMIT RIDGVCTPDANGGFTCPHGRVTYSSSLIWGALGPGRNFSPGQIYGNLLWFFLAGPLVV IVTYLIGRRWKQANYISWPVAFGAMSLVPPATGISFSSWWIVNFIFNGLIKRRRPAWW SKYNYVLSAALDCAVAVATVIIFFCITLPAGPLHWWGNTVSARTADGKGTPWKALPES GYFGPRKGTWE AOR_1_452144 MGDLGHPVDISSVPFAQRPIIILGAGIIGCAAARQLLLNGFSVV LVAEYLPGDQSIFYASAWAGAAWHAAGGISPEYRYFQAITHRHLLKMAQEDPESGVCI VDTREYLEDPPTENSAIWGKTVVSKFRDLKPGEYPPNFACGWAYDTLVTDPTRHMPYL GKQIKALGGQFIRKRVESLQELYTMFPESSIFINASGIGSKTLSDVQDEKCFPERGQN VFLRTDNCQTMYFRNGKEYTYVIPRPLSKGVVLGGVKQSDNLSPEVDMEIARDEIARA HRLAPEIVPEYPPEDVLDHIIGIRPSRKGGFRLESEKVGNRIVVSAYGFGGGGYAFSY GVADALAKMVETAERENVIL AOR_1_454144 MSLRDRFLNLSMHDRAQTVNLPLITTPPEDNDMALSELKGDPKP SLRTNDNCASDAEHVTPQHDASLYRIYRYTPVPTIVADANLSIVEVSDSHLALSGQTR DTLLNTSICDIAPSIVPVPDTASLYGAIRAAISTKQIQLIDDIHLSDKKSYFRLQVTP IFQDRALIYIVFEAHKDTRDRSDSQDHHHAYVNETYKILVDTVKDYAIFMLDTRGNIT TWNPGAAIMKGYSASEIIGKHFSVFYSPEDRRNNKPGRGLAVCLRDGRMEDEGWRYRQ DGSRFWANVMITPVYEFGRHVGFVKVTRDLTERKAAEGRLIAAFEESSRLKTDFLANM SHEIRTPMNGMRLALSMLTDTELDNNQREYASILEDSTSVLLQIINDILDYSKLSSGS FSLTSDIVEVESVVGAVVRNCKSCLKPGVTLSCSMPPNFPQTMRGDPLRYRQVLQNLI GNAVKFTEKGHVKISTSFAIDTEDPRMYNVSTEVVDSGVGVPGSAINTMFTPFTRFAD TTRRKYQGTGLGLSICKSLAELMNGSVGYRPNPDGPGSVFWFTAKMGRTDTRVSMRRS SMLPTDSSENLIKKLREVAPRKHILLVEDNLVNHMVMLKLLQSFGFERIDAAWDGAEA VRLVKQTPLSYNVVLMDISMPVLDGLEATSQIRSMNIDVPIIALTGNALKGDAETYLA KGMNDYLGKPVHRNHLLQVLWKWLGT AOR_1_1908144 MTLYDVLIIGGGPAGLSVATGLARQLYRAVVFDSGVYRNALSNH MHNVATWDHSSPAEFRREARERILARYDTIQFENIEIKDVQKTSDGYFKAFDALDRVW TGRKLVLANGVRDIFPDIDGYKECWGRGIFHCLFCHGYEERGCASAGLLAVGDVANPM VAMHFARMAKRFASTVTLYTDGAEELAQTLQESTRGTGIKVNKKKISKLVKGHGASDV HVIFEDGTQVTEGFLTHKPKTEINGPFAEQLGLQLTPTGDLEATAPFYSTSVPGVFAA GDCASPVKVVATAMSSGVLVAGGLVGQLQDELCLVSANE AOR_1_1910144 MVSMLRALTNSIRRTRPCPRKSSSVAHILPTGTPIEEETLPHYK PEHYYPVKIGDIYQARYEVTGKLGYGAYSTSWLCRDLQVNKYTVLKVSTYFPDHPTVT DREFRAYEHLAKVDSSHPGQSLIRELYDSFDLQGPDGTHRCLVLQPMHMTLLEMRGLN PRPFNLPLLKMTVMRLLLALDFLHAEAEVIHTDLKTVNLMLSLEDSSMMADFAAAESE NPSPRKLIGQSRIIYNSRKFRRPSGGRDYGLPVLCDFGEARIGKTQESGPFVQPYMYR APEVIFEMPWGSAIDIWNLAGLIWDMFEGLHLFGDIFDSKDGHDPFKHLALMVALIGP PPTEFVRRSETTEQCFDSSGGWVAHQEATMPTVSLEVLEKRLNGKEKELFLAFIMSML KWLPEERKTAKQLLEHPFLVDYSYL AOR_1_460144 MKTSFLLLHTLVAGVLAIPTRTDYVLHERRDAVPAHWTGEKRLD GQTVLPMRIGLTQSNLDRGHDLLMEVSTPGSPRYGDHMTLDEVHNLFAPSQDSVDSVR SWLESAGISPDRISQSTNKQWLQFDAGVDEVEQLLKTEYYRYSHAGTGRSHVACREYH VPESVQSHIDYITPGIKHLEIREEKPVEKRSLDKRSFGILPPILRPLTLPLEELLGQL LLLCDVAVTPACIQAMYNVTDGDKATKGNELGIFEDLGDVYSQDDLDLFFSTVAHKIP TGTHPILNAIDGAQAPADTTNAGTESDLDFEISYPLIWPQNSILFQTDDPIYQNNYTY NGFLNNFLDAIDGSYCSEASPLDPPYPNPADGGYKSPRQCGVYKPTNVISISYGGAEA DLPIAYQRRQCQEFMKLGLQGVSIVVASGDSGVQGRGGSPTPSGCLGKDNKVFAPDFP ATCPYLTTAGGTYLPPGADVHAHEEQATTSFPSGGGFSNIYQRPDYQNAAVEEYFNTA QLSYPYYESVDNSSFAANGGIYNRIGRAYPDVAAIADNVLVFNKGLPTLVGGTSAAAP VFAALLTRINEERLAAGKKTVGFVNPVLYANPGVFFDVTKGSNQGCGTDGFPAVKGWD PVTGLGTPNYPKLLELFMGLD AOR_1_462144 MSLGKKVTLNSGAEIPTLGFGTWQSAPGEVGEAVYQALKAGYRH LDLATIYQNQKEIAVGIKRAFEEFNIKREDVFITSKLWNSQHHPDVVEKALDDCLAEC GLDYLDLYLVHWPVAFKTGNEYFPLVEGSTVPGGDCIIDDSISIVDTWKAMTKLPKSK ARAIGVSNHMVEHLEAIINATGVVPAANQIERHPVLQSPKLIQYCKEKGIHVTAYSAF GNNMIGEPLLITRPEIKAVAEEAGKRLGKEVSPAQVILAWSQVGGHSVIPKSVTPARI AANFQEIELTPEEIAKVTALGTERRYNVPYVANKPRWNINVFGEPEEAPADHKVIL AOR_1_1920144 MKATVSVVFILAEANAFTYNLSPIQPRTQPYNKVSANYHDQCTE LSTIFGSALHYPNNDSFTIWDAKQQEVRPACQIEPSTPSEVSQVLEILVHYWCYFSVK GGGHSRNPGDSNSVGGVTVDLDRMTQVDILEHALDAHNLSFVGGRVGTVGVGGFTLGG GTSPFSNKYGWALDNVYEYEVVLANGTIVNANETHNRDLYFALRGGGNNFGIVTAFTV RTFSQGPVSTTTTTYLQNQTEQVLDQVYQLFTDDALTSDVEMGYDMYYTYVSQDDSFS LSGTQRYGKPVRNPAVFKAIYQIPRLTRSTTISNMGNLTRESEPLGTTRHLFATLTVL PSRSLLTQAVRIFEQEARAIRSVDGLVPNLISYAISRTAIAAMAQRGGNSLGIHGDQP LFLILISTAWSDARDDAAVNTMTENAIRRIREAAETLNVAHPFLYVNYASAAQASEVF SSYGEKNVQSLRDIQRAVDPHGVFTSRGLWSGFAKLL AOR_1_1922144 MRVSVERSLKKLQTDYIDLLYIHWWDFISSVEEVMHGLNNLVNS GKVLYLGVSDTPAWVVVKANDYARVHGLRPFSVYQGKWNAGFRDLEREIIPMCRDQGM AIAPWAPLGQGKFKSSEARSSDSEGGCGRASNMSEHDIQVSDALEKVAKRKNTTLHAV TPSVFPIVGQRKVEHLKANVEALSVSLSDEDLAEIDNASSFDIGFPMNFIFRDSYTTN STAADVSFTRVSAHIDAPPNPSPVRPRRHLKEEEEEEEEEEEAA AOR_1_464144 MAELSLRRLCIFALGLFSLVAAQPKVVTFALSRSERHVLEKRKY AGALLGNDILDGKALYWVNASVGTPPQPVQLQVDTDDPTLSSTSKILDKGGFTIQYVT PGSGVKGDYVGDNFGFGSVTVQGLTMGVARQAQYVIKGIMGIGFAAGESIVSQGQRPH KNIIDMLVEQELINTRAYSLWLNDKLSNGNDACVFGVVPITDDSPILFGDTFLRSAYV VYDLDQQQIAIAPTWFNSEDTNIVEISGKTGSSSPNWKTAGSNAAKVTQTATGLQAPG GLSPATAPTGWVFSQTATPFHLPGKGGSVTGDQASSSPSKGAASSSVGIAVPGALASI TVASLFRTLIPFANNISHLVKMGQPNDLKSPEVASVPVSSKNSISDPSSPLEDSLEAQ QPSPPIYHIFSRSQKLEMVVIVSLAAIFSPLSSNIYFPALGAISRDLHTSMTLTTLTV TIYMIVQGIAPTFWGSLSDTSGRRPVFIGTMVVYIIANIALAVSTNYGELMAFRALQA AGSAATISIGAGVIGDVTTSAERGSLVGIFGGVRMLGQGIGPVFGGLLAQYLGFRSIF WFLTICASVSLFTILLLLPETLRSIAGNGTVPLRGLQKPWLYYITGQPGAEEGAESGI KKSRVTFGTVFAPLKFLFEIDVFITLFFGSIVYTVWSMVTSSTSDLFEETYNLTTLQV GLTFLGNGLGCMSGSYTIGYLMDYNHRLTEREYCEKHNYPPGTRVNLKTHPDFPIETA RMRNTWWITVIFIVCVAVYGVSLRTHLAVPIILQYIIAYCSTGIFTINSALVIDLYPG ASASATAVNNLMRCLIGAAGVAAVQPIIDALGPTYTFVLLAGITLVLCPLLWVETKYG AGWRLARHQRLNRPRAG AOR_1_1924144 MPDFQFDPFGPGATAYDNTEAGLDGIDWNDPASALKAIGAGGPG GLPFPETLSPEEVRRQATARSDEIFTSYETLHRIIQRHEATIQKRWSKKTRQQRLNVL LSAWPDMPAIHRPDFDAFRRESASDRVRGTKYRVHFMWPYVNQEDLLNTKALPLLLNS RGRHPPSHFAAADMDAMHLGLVSKAIVPIFLNCHVLILNGMTENTRDYGQLVAWEDHP DAFDWMHKQKQFLPGEGLLVMEAQARLLSFLVQCCQQLLHDIPESTLTSNSFPVLPEP QIKPESEISGFESLGVIAAEAPYRVPAQIDLRRIASLLAARASAAEDHLWALREDPDY FARTLLECKEHLQEMLKDLDGKSHPVLGFGRDNVLWARILGSILSEAYLRLELFSELS SQAGRLVAMQKMYADDISPSKDLPEAYLEALLRLRYFLTQAAKGPLSMLRIAGVASPP LRRFFARVPPPDPYTSKISVTSKPGAKMNKVETQLIWLLRNLWEDGYDLFLFGMPLVV DELGRLLQSEKQAQELLSSYITEVIGDLSIFSQSALKAALPERDESNKTVDLGKPTEG KFTYPFEKRRTKENVTALRNAESCLDAFWAAIDQTMVNKAGDLSGTAVRNLLSQPRIL QRTREWIEPEKPQRASQDKPGGVDLYTLYQPVSSVYSGLSARALDISQPKTKVKTRGT SHPVRKTEALPRHDPVDRQPTFSVDTRALKVFRAVFFNPATTSTPGEVSWNDFLHAMT SVGFSAMKLYGSVWQFQPTRLDVERNILFHEPHPQGKLPFKTARQYGRRLNRAYGWFG EMFVLNK AOR_1_468144 MTSRSKLHQYTRILKEQPVQVVAGNSKTCYFVHPGALSGCHTSA LNARINGPWKENGADGPIDWTDFDEETVECVLSYFYVQDYSVPSSEPEQPSVYQSSEV TKARIEPISLKAGSVPADEPTFQRPLTPLSQCLRIGLPAETIETAAGGLTQRKLENSD DGPAVEIVLHAKVYCFAHRFLISDLESFALQRLTQVLIAVDPRKDNLFPYLADAVRVI YNSTPGALVQVNPARKLLSQYVALNYTLLANEQLVRLLDEGGEFLADLSQKLARRLTA SDTETQSMRKHIARLQDLTDKLKADSDKKERELKKLRGIPGRSESSFAFGRGGFGGFG ST AOR_1_470144 MSPRNQKSSPSHSGRSPSHSSGAEDGGSRFAFVTEGTLAEARSH AMREHWRQRQRRKQKSEDHRTQRKILPHRSPVEDSKPKPNGASEPVVEYHSTEDVFLL HQPSPTRIKTRYSDYGEDNIKAQYPGVPEQALTGLNHALASSRLDPFEMFPVQLTSNH HKLLHHWLITHATMMFEDVAIPSFNPMKDVWFPLDLSNAASFYGIMAHSAAHLAHLYA GMNPSRGTSSTDALKYKSEAVRILSTWMADPEKSLSNDAFAAVIRLLTFERDIGGRKR SGWCTAPASKE AOR_1_472144 MSRFIQADSDDNDQLPVIEVDLEIIAPHTDKNCQLTQPLSPSLP LNIAMKMADAIMVIATAVIGMDLNPIQPQFVPPNARFLVDDFEDDWIYEDQFDFIHGR YLAGAVKDWRRLMTQAYKLSILPFHDVAP AOR_1_474144 MSAVPCGVKPEPPYTVGWRCTAHSHEPPRPTLVTKDSCRNFAAG RLEKAQLSPVERCLKYPPLPGLDKPHKVDLEIIEVEKDIFKVSEKEEEQSLIYDPLYV DDDEDFLNPFACMDRHYTHESAAYITLADLMREMIPKPYGSFSVSVPVDEARTRTVRT MTNYPVRFF AOR_1_1926144 MSDLDGERYIKEHTQLWRIDMNWTYFPQPIVFSRLRAPPGTIAF FSWPTNRILFLNQFNYALTKSITERGKAGDDVATLVVAYYEVYTRVNRREC AOR_1_478144 MMSKRRLFRWPAPRTVCLGCLALVFTTLVTMFLYMSEPLDIQPD PEPVNSQVFRQLSEITNTYTNASASEVGLVLAATQKEDLGWLLNYCRDHGTIPFIYTT DTPPAPYLLVPATTRGREATAYLSYIVDFYDQLPKYTIFIHSNVDQWHNDLFGPRTSS VLPHLRLEAVDAQGYVNLRCEHNPGCPTSVNPWEPTQIDIEKDDIRAFFPQVYETLFN VGPEKVPQHIGNVCCGQFAVSRERILQRPRHDYERMLKWAAETELTDSFGVGWVFEKV WHVVFGMEDIYCPRYEQCRCDAYGWCGPLPSGETLQAVRAPRSKGKST AOR_1_480144 MRIGRGCRTCRLDPPFRFKTVRHVYQKSQGTASKFELEWDSAQT WVNVPRSLTFVQESAEESADGSISDVPPEPQRDTQISSDEPHTYIPDPFVTHLPISGS LNPHNEALDICDSGSTFNTEVPRRALHVPMVLKAVLALSARHDAIMSGASDWEASEYH SQCVELLLAALARPEETYDDNMLISVVILRIYEELESTTDEKCHWLGSNRLLNTMSRA ASSGGLTEAASWQFLRQAIYASIVQNQPMQLDLRNYERSSVFKRGDDGAYANTIIFYC ARIIQLCSEGHVAAVDEEDWHDLSSRVEQWYRDRPVSWQPLQYKDANPAENRPFPELW VMSPPAVVGLQYYHTCQILLTSSDRHWGVVSNYERARLRRIEEKVIASHVVQVIGLSS SNETVENAYFMACHLLYRCSGNVNISSQSDADALRDCETINGPLTISSSASGTISIPE VQDIKGPFTIEGSSNLNGITASNLETVSGPLTITGNGALNSVSLSNLQSVGGELRIQG NEGLKEVRLDDLERVNGNLVLNGDFDRISLGNLENVYGETTIQSSGSFQCSSLDKLVS DKRAFKGSYSCNEKGSGLSSGAKAGIAIGVIIGVILVVLLVWLCIRRQKRQKRKDAVL AGLTAAGVAGAVGNDVEKAENKVPTAVSNTSPSSQDPPSPPSDTEVAASSIPRKPVSP PPPAPVPAALVPGDRSSRVVSSSDDPSLFLRPIPRRRPSESEVPMLDSENVHEAPPPE VGRQQEGLFELDAGPVSGKHQQAIHHE AOR_1_482144 MSKRAADVSDEQSAALKAGERPMTEAPPDEVGEFEDEFEDEFES EDEILEAGVDGRPDAEREEEEKDAMEVDQQTFIPGRTKLAPGEVLSPDPSTYNMLHTL TTPWPCLSFDIVRDNLGDNRKTFPATVYAVTGTQAEGRRSKENELMVLKMSGLSKMEK ENGTDSESDSDDDDDMGEPILEHKSIPLGSTTNRIRCHQTPSSSGDYSKPPQTLTATM LENSQVVIHDVTPHLTSFDVPGTVLPPSASKPLSTLRMHKSEGYALDWSPLQPLGKLL TGDNDGLIYVTTRTEGGGWVTDTRPFTGHASSVEELQWSPNERNVFASASSDGSVKVW DVRSKSRKPAVDVKVSNTDVNVMTWSKQTFHLLATGADDGQWGVWDLRHWKPNAAAPS SQITASPVAAFDFHKEPITSIEWHPTDDSVVAVGSADNTVTLWDLAVELDEEESREAG LADVPPQLLFVHYMESVKEIHWQAQMPGTIMATGAAGFGVFKTISV AOR_1_484144 MDASHFPTASIPHVADQIEQDPHVTEDTAPAAAYKDGYSSDSRA HYSSHSAVEYHSPTRDYQSHDDTRPSPSPLTQTQIPSLSRPSSGLSSAPGISQTSQDV SQKQPSQQQTKNSVVIKVGMVGDAQIGKTSLMVKYVEGSWDEDYIQTLGVNFMEKTIS IRNTEITFSIWDLGGQREFVNMLPLVCNDAVAILFMFDLTRKSTLNSIKEWYRQGRGF NKTAIPFLVGTKYDHFVNFPREDQEEISVQAKRFAKAMKASLIFSSTSHSINVQKIFK IVLAKAFDLKCTIPEIENVGEPLLLYKNV AOR_1_486144 MSPPSQNIVSPTEFQKAHSTHLAHEKAATHHLQALASARRSLPI VPVPDASRFKFDTIDGEKTLPELFAGRKQLIMYHFMLGPEDEKGCVGCSFCMDHIPDL RHLESRDTSFVAVARAPVGKIEEYKRLTGWGFPFYSSEKTHRAWEEAEKGGEVITWKP GNGYFGLCVFFRGDEGEVFHTYSTTDRGMEILLGTYHLLDMTPLGRQEVGNGMNNFRR IYEY AOR_1_488144 MVGHNDPKTGWWMGEPGNSVRPTPIRITTYALSPNRQRPFAGAF HAAIYNTFRRCRHQVLYVVPPFLVAYAAMNWANERNEYLNSKQGRLERADAAE AOR_1_490144 MDSDGSSCVVSAPIGKKRIPKACSACRQSKVRCDGKRPCTRCRR SRKQCVFFEIPRDPSMERLGNVEAEVQRLQAQLSDMHELLRLHIQPNLGPLGDVTQGQ VPGQQIPIPSPSHIMSDPGPMPTFLGTADPGGHHSRGPRCISTHRNGPLLQQLQTAEV NSPHPARQRRSGFDVREEPISDFISKGLMTIDQAMSCFTTFFQGCDRYIPIFDPELDN FESVRARSSILLNAICTIGSRVETKSGSQISDLLHAELKRWINVVIQNKTLNCLESIQ ALLIVACYSAERSLLLSFATRMALDLSLDEAFEELIQRLTMDEIEGTPELHMTTDEEE RSLMRKSRTWFGLLVLDHIFHVDGGKPPGIRMTGNAHRCRILLRHHTSTILDLRLFSQ VELNVIRARVNDTLDTKETLDRPDIAEFVHEAKVDLDLWFDDWLRIIENSVAAGEERP FLLAALRVQKCWAELILHCKALLSMGVENVAAMSPIERNILLLAKSSARKHLRLISTE PDFYLSKLKYAMDFVWAKCAFCFLLLLKLSRLLPERREEHQELLDHGNRLLNELTRSV GSSGQITGNGSIYMQILRLSIEKYGRIFQEREAGGDPVTTAPFWESFDAQADLQSFVP EQFVREWDFPGLNLFYFPTAWQDFFGDFSLAV AOR_1_492144 MSHHKATASREQGQDEHIESVEDSAHQDREHPAGPGHDRALDLI ADAGHSSVLTAENNARVLRKIDLRLLPILLGIYFLQQLDKSSLSYASIFGLVETAHLH GQQYSWLGAVVYLVQLVAQPFVAYILVKVPIGKFLACTTLCWGIALTCMTPANSFAGL LICRIFLGLFEAGIPACFIAVTQMWYRRIEQPVRLGSWYAMNGVVYMFGSLLSYGLGH IKSSVFEPYQIIFLFFGLITIAFSGLILLFMPDSPIRSKFLTEEDKLLAIERLRMNQQ GIETHEWKWGHVKEACLDIKSFFWFALMFAVSIPSGGISTFGPLIIESFGFDQFKTIL FNIPFGAVQLVATMGGAWLATTLKMKGPVIALLSLPAIAGCVMLLQLPRDGHHNGALL AGYYIVSVYPGITPMVYSWSAGNTAGETKKKIVNGIVLVGQCAGNVLGSNLYTTTDAP LYRRGLISSLAMFCLLVLLSMMNMAYLCFLNKMHERKRVSMGKNAKIVDHSMQAVGSV PVDKTEPQQSAVSEDNAFKDLTDWENEDFVYVY AOR_1_494144 MPQKEFCPKSYQETPAGAQSSAAVHLRSGSDKRQFDFSFEPIRE NLFRVTFSSEDHPLPPFPSVTKPVTSLEDTHVSTEHGFQQKTIEVGDVIASVEWANTP VVSLSWKGTDKPLYRDLPLRSYVADASGIAHYTEHDRNDLHVGLGEKRAPMDLSGRHF QLSATDSFGYDVYNTDPLYKHIPLLIKASPAGCVAIFSTTHGRGTWSVGSEIDGLWGH FKVYRQDYGGLEQYLIVGKTLKDVVRSYAELVGYPILVPRWAYGYISGGYKYTMMDEP PAHQALMEFADKLKEHEIPCSGHQMSSGYSIAEVEPKVRNVFTWNKYRFPNPEEWIAK YHSRGIRLITNIKPFLLGSHPDFQKLIDGNGFFKNPETNEPGYMRLWSAGGATGGDGC HIDFTSAFAFKWWYNGVQSLKRAGIDGMWNDNNEYTIPDDDWQLALDEPTVSDGAKTG VKGTVGDWGRAMHTELMGKASHDALLDLEPNQRPFVLTRSATAGTMRYAASTWSGDNV TSWESMKGANALSLNAGMSLLQCCGHDIGGFEGPQPSPELLLRWIQLGIHSPRFAINC FKTSPENNSVGEVIEPWMYPEITPLVRDTIKRRYEILPYIYSLGLESHLNASPPQRWV GWGYETDPEVWTKTLKSGEEQFWFGDTIMVGGVYQPGVSFAKIYLPRKANDQFDFGYV NMNEPYTYFASGQWVEVPSEWKKSIPLLARIGGAIPVGKSVHTRVPGDETPASVAVEE LDDYRGVEIFPPRGSSHGQAFSTTWFEDDGISLKPSISQYTVTYSSTEEKVTVGFTRD QKSGFVPAWKELDIILHNGDERRVVSDIGKPVEYKGRDSRGRVVYTLKN AOR_1_1928144 MKAERATLKWLENIDVPSPKLHDYSLRNDRQNNVGVAYMLIDEL PGIPLLHKRPSVEELRRVYDSYAKILSTLQGFPFHRIGCLSFRQDGDIHVGPIVGDKM YLEMICSGQLFSAYPINAYLVFNYLKHLASTSRWNALEPILDDGPFFLNIWMTRGYHI LVDERYNITGIIDWTYAGKNRLSRNDKIMIDAVQARASKLSRFAVAPYLVRRFSFRLG GIGKNMYWWHAEPLFRGIIFTATGLEFDLD AOR_1_1930144 MGCAKYQAWLSFDNGEKWLLQIPRTGNSDVPSELVEYLIATPRA FIYGLASDPFNRVGVSYIVMQALPGRPFHAHKVSPSQKAKVLEQVAEIMLEIHEHPGS FFLKHVDDKGNHLLVDEEFNVVGIVSWQFARTVPASEAFGASYLTADLASMYSCNGSV TNDDRLLANALRERGASDLVSYPEGKDIMRRLHHGLGRGSTTGEVRCLLKGMVKAVSG EQIDDIDLWMESQRESCRHDSRRRAIHGLCESI AOR_1_498144 MDQYVDSFPYFPDRTEYEARARSVPQHEIEEAVLPEGFPIYLSS KMAWDRESISSYEDDYILKLDDSQLKEIDDALQHFKALGQPLELLSPATFPLPSLHSV LRGVSDNIHKGTGFSLVRGIPVDRYSAEENMIIYVGISSHIGRMRGRQGYQYNVSPVD VVVTHITDMRPPSDPTLSVRVAGYTNEDMPFHTDDGDIVSLFALGEPAEGGESQLASG WRVYNELARTRPDIIQVLASDWPIPRSKKEDPFLYRPLIHYQNCSGTPERLLINFSRR WLAGYGDLKRTRLLSVRQAEALDALHFLAERFHISMKLQKGDMQFFNNWSILHARRGY KDGPQRKSQEVVPFATERSQLESSVFNWNGALSQPYYIRLGQFSTRPTYRTVVVRESW LIVRRVEAEEEEEKEYERLGIAYRTHTSSLDEGEGQDTTEEYRRLSIGYPVPTKRLEN EWYWVEEKGKKKLTPAFRVMDLKLV AOR_1_500144 MAWEAKDISLDHALGGGTPYLLILQGPQLAEIDAALKHFQCLAQ PMEALNPSTFPLPGLSLILRSVSSNLHSGYGFTLIRGVPVERYTREENMIIYVGISSH IGAMRGRQDHQFKGQPADVMLAHITDMRRPDGEQNYALAAYTDSEVVFHTDVGDIVSL FVLSEPANGGESLLASGWTVYNALAENRPDLVRVLAEDWPIPSAQEPGLIKYRPLLFY QPASGSTPERVILQFSRRSFSGFGAHSQLNRLSPAQVEALDALHFLAEKFHVAMDLKR GDMQFINNLKNMTALTRHDQALFETTRRVLAEVVNEGLVRAKVEVTAPEGPGTLCLLS PQDVSWVKVGVTPGTVIEMKEDRVVSVIRPESLQPPVIVGETGNQELDPGAIFAVLSA LLKDVADGTVLEAIVRELRNSATNQEKWLEISQDQPVFGLGDTSAKWERALINGHPSH PYHRLCYAQEPLKPVGPGDIPGMLTPTLAFVSVPCDNLRITGHFERELQPLLKRLDIP QTTSDRVIVPCLAQQLPSILQRFPDVVILKLAADCADAQASMRTITIRPELGFKYHLK LSLACHITGALRTITPWTACGGPVQTELLEKFLPDDLWVFREVAAVSGSQKDFNEARH LACILRDTLESRAQANDEVLIMAMALTQKPYGDSRTYAEILYNLETVVQKKEWFQRYI TVLFSLVLPPLVQYGIGLEGHGQNLVVRVCRQTGQIKGFAVRDFGGVRMHVPTLNNHG VKFDSLPPGGATLTDNLDNVWSKVHHSLLQNHVGFLLVALGLENHGGWAITLETLSTV LGGGQDSPGAKLLEYFTKDTMPFKCFLRMRMESKYRDYIEREVPNVILMNSPRWKSII ETYQPSLHAT AOR_1_502144 MSQPKLAILDDYQNISPAHFAHLEDRISISYFPETLNPRDERQR ALLIERLQPFDVILAMRERTPFPKETLSALPNLKLLLTTGTRNLALDVQYCASRGIPV AGTGGRPAGVHSTVQHTWALILGLARHVARDDAAVKRGEWQGSLGMTLAGKTLGLLGL GKLGSQVGRIAVVAFDMKVIAWSTNLTQEKADEQAAALGLPAGSFQAVRDKAEFFRSA DVVSLHSVLSERSRGIVGAAELEVMKPTAILVNTSRGPLVEEKALLETLNAGRIRGAA LDVFEPEPLPKDSPWRTTAWGQDGRSEVVLSPHMGYGDEQIHGWYDEVASNLERWLNG EELNTRMN AOR_1_504144 MAPIAVQPTTATASTTTTAVLPTSIETPPTHPDILRVDRGTKAF SSSAVSLVSLPAGSLFAKITTATPSKKAYTSVQTGANSHIELNSDLVYCNHSCNPSLN FDMGKMEVRVVDDRDLKVGDQLTFFYPSSEWDMDQPFQCNCGAGEGVCKGVIDGAKRM DRKDLEGYWLNDHIKELLQERDSS AOR_1_506144 MWMIRMRRTRAWVISAATSVLIFGSLYLFFFAHRRGGNIYRLVT VPRPVERTNERTLVIAKTQDADTNWVDTLLQEDNLLNSAVYTVDAPNTTASGTTLTVP MNKGHEVMVYLTYIIEHYFQLSDVTIFMHADQISWHNNDLMNMDSALMVRRLRNDYVY KNGYTNLRCQHDPGCPAQIRPTLAGGKYNPDVPEAAVIGESWKTLFPEERMPAVLAQP CCSQFAVSAEVIRRVPLMNYVAYRRWLIETDLDDNLSGRVWEYLWQWIFTGQAEVCPN EQVCHCEGYGVCLGSEEYEEFFRAQDEVRRLHAEMEHTSLDDKVNSMRNKMAALQQRM DEIKATALTSQ AOR_1_508144 MHYIFAEEREGMIAIDIWKVEANNPSININPECIRDKYETTSQR NKPRLLLALYARPKYPESYHYALLITPKITAVQNTKSLRATKYHVKNAIENIKDRISQ PWRFERVVIDDINLEPWLLACVVIGKVVSQEHAEGKKRMGRWNAGQDTSVKLRVPIMD LVTESEITT AOR_1_510144 MAVPRLSGHPEIGYMPDYDSYLARGKRRQETETLDKNVPEGFPS QLNGSLVWDPRSLANTYDWNYHLTAEELDEINNALRHFKYSSAALNKPMGELSPATFP LPKLHRALREISHEVHNGHGFKVLRGLPVDKYTREENVIIYAGLSSHVAPIRGRQDST WQGKPADVLVAHVKDLSHGRDSQDIPGPVVTADKQVFHTDAGDIIALFCLSEGESGGE SFLASTCHVYNILAARRPDLIRTLSEPWPFDDFAPTGDVYKLRPLLYYQPSTETDPER LIIQYSRRNLTGYRDCKRSAKIPPLTEAQAEALDAVHFTAEENSISLDFHKGDIQFAN NLSILHARAAFTDSIEKQ AOR_1_512144 MSGNETEVRSWKAKLFQVQNKREDDAASVPSNASVSTATAIPFV QYPNPGYLGSFSHTTLFDQLPPQNNAEPGTESQQSEDGRSAPNKCVIDDICINKGAEL ILGLHREFSIRSLAHLFQKWVSTGANLALAGPLTGSCASAVDYTLAQCDGKYPTARAI SKRLFHNSCQPILSNPETAISEYCTFFSGANARWEAFGIFFVAICRASVDIPYAEPLY DSEQPRRRLQKLALSYSDQCLELCLPLDCMNDLQLLLQYENFISHSQVDGDQSYLSWR KLGDVAASLYALGYHQQQTESFRTAPSFLRDLRQTAFCRTYSADKNVSIFLGRPPRIL RKFCYFHLPGTLAQPNQKAGRKPAVWDPSEKPSFATDSKWAALCGILKEDILDLFAEE SYEERARQGQLIDADARAQWDALPESYRLEGSLKTCNRPPVERDFMVNMKLNYLHVHF LLRRALLRPMSMGPAPELFNISKDMLGLVVETILLKDQIVNSGTSLVWKVVYYGLSAA GLVSLHLANQSYANEMLEMDISKIFQDLSILVGEIISGTLVYVDSPNYALLSEASQTI KSLLDRMILPLQISQRMGTTSGNRSAALPGSEPMGTLNDGGLDLWYDSNFQDFEMSFW HHLAGHPFLHG AOR_1_514144 MTVKTLIQKPKPNLLRQFANFTRTTAGLEKTCRLIQSLAQLAIE LDITPGSTTTAQWQTARSQIALTRRFFRFFAFIDCFSQVYGLLGGAQGQGLFMTLIEI GRWSCLGLYLVLEDLTILHALGVHPVAWNTPVLVEAFKFWFYSLALSVIGAVWGLLST SSSSASSKTGSDEKKNKKKNAEKTVSNTDDSQTKAQRTALMKRIVVDGCDLLIPGVFV GWMQVSDLMIGVTMVISTVVSGGDAWVKAQG AOR_1_516144 MTRWKSSQYQAIIFDLGGVILTWDLPEDTVISAQIFKRMLTSQT WSDYERGNLSENGCYQRLAEDFGIDSADIAHTVRQARESLVTDTAIMNIISEIRAGAN HIAIFAMSNISQPDYAALLLDHRGMCSFDRVFPSGCYGTRKPELSFYNKVLREIDTPP ENVIFVDDQLENVISAQSIGIHGIAYTNAAELGRQLRNLIFDPVERGREFLRRNAGEF HSITETDQIVRENFSQLLILEATGDKSLVSLEYHQKSWNFFQGNPILTTETFPDDVDT TSLALMTLPTDTKTANLLLDQILGLVNADEIVTTYFDQTRERIDPVVCVNVLRLFCTY GRGIALPLTLQWVYDVLAHRAYINGTRYYTSPESFLYFVGQLCRFSTGVLALRPLETL LIDRLKERLQVKADPLSLAMRILTCLSVGVSQVEVDLRELLSMQCEDGSWEHCPFTRY GLSKVSIGNRGLTTAFVVKAVEMCRGS AOR_1_518144 MASTVDIASSFIEGAPPGELADVVADVKTLTSNGADIIPSLAPA FERYNETQLATVKLPGASQEVLISEYNKLDGNRYFDVESQTSFEVDHVTQEASAAQSY VLDSQNADLIKSLLKSLGAHAREHYPNCAYGVYPIENDSAVAILLVSNRYSPNNFWNG RFRATYQFPVSEPTTVTGKIQVDVHYYEDGNVALNTNKPLNLSVSSLSAESIISRIAT AERDYQEDLNRAFVQMAEGAFKGLRRQLPITRQKVEWEKVGGYRLGQDISGGKGR AOR_1_520144 MTSSTTSSSVSHSVPSLPFASKLSEQLSQRKEQGESVTDTESSG KSTSLGPPLRPSPKSRSLSDAPKPISARSTSEEHRPSSKDDNSLSSTPETPRRPSMQG LPLNLPSKPSGPSSLSSRAPLSPKLDSSQIYGSPGSVLPRRSRGLDFSRACTNLHHST LAESSPDSSPIIVGRGMTIPQRRGSMGSTSVPPFSTSGPADRTAISSSVSSVNMMESD TSSSEEDDEPMMADRDDMIMNTPQANKMGSGMSPFAVGNVPSPGNDWMGGYSQAAASL MSFQRARFRKGRSRHSSSSASGNSSKPSPGPLSPPVMKSIENQNGYFGSRSSLSARRE SLSLGTRDLRLSDLSDEGENRGNSPGTSNSEGGPLGVIRRAVTRRSSLLPKTKTFARI RAALMEESAPIDCEAKREAEVIRQVRESEPEIQQTSPSLDALSSSNPFQPTDLNRNLD DVPAKTGTSVPDEPNFSEEANRNSGGAEFWNHFDERYRTPPPPPLRQMGTSVSEDDLS MDITPSTTMGSTSEFAKPSERPSSRCSTPIATQPISILEFKRKRRREDDFDPNLFKRR AVSPSMSVQSSPVMPNSPAVRDTGTSIWGPPKANIGSLFPDQPSTESGTRNPSTPKHA GHLKRVGLQGMTETNDGLMNMSIE AOR_1_522144 MPRKKAADRVGPVKTRSRSGCKECRASRVRCDTQKPICTRCRER GLVCSTQLVLKWESEFVSRGLAFGRAGVWSKSGSQSKTSPSSASLLDDDQEWCDIPFV ESWGFVNSGVSTFEQPDQVNVACDELNAVVVRDKGKGRLAVKSSWPALPTASLSLFPP VTGQNQGHLFEYYLQQVCPRTTASSKLSSPFASIILPFCLSASPILFKAIQALGACHW SRFDPTYGVIGLHLMSEALRGLRHRLATEGTLSCSTDPEVLAIMMMLCLYEIVDNCDE RWTIHLKGAKDLIRLRRQQTMLSKPRGAQDPITTFAELFFAFQDVMGRTACGEEVLFG SDYWQENERNIDLWMGCSPELVSILSSITELSRTRRQLTSDSARAAFSLRAASLGHKL ENLVQEIDGDDDGDDQTLQTAAELKRLAAVLYLHCALHGASPSTPLVVTYVRKILRLV SDLLDSGSLVSMTWPVFVAAVELDPLHDEVWSDGETVVYGRPLVLRALAAMAESSVSN VARTRAVIVKVWQARDSDMLKGSTVDSLDHTTGCNDWEWYVAPISTAMSLA AOR_1_524144 MSQSRSRGQQSADVEECPHDPTLQGDGAPKKPVTAEEALEIAIG ESQDVEYTIDSDNSPYLEVRANVPNTDDPTLPINTFRMWFLGIVFTLVGTGVNQFFSM RYPSVTITSLVAQLISYPVGCFFAKAMPIMTIRVRGREIPINPDHHFNVKEHAVITIM SNLSFNQSWASAIIQAQKVYLDMPTPIWPSTLANAALFQTLHSGANPIADGWRISRYR FFLYVFIGSFCWYWLPGYIFTGLSTFAFICWAAPNNKVVNNLFGMTTGLGYMPTTFDW SQIAYNTSPLTIPFWAQANVFAGWFCIYAVIAPILYYTNTWYTAHLPLTGSDAYDNTG NLYNSTRILNDEGAIDDAKYREYSPIFLPITFALCYGVGFAVLSCLLTHVVLYHSKDI LDTFRGQNKKDVHARLLSRYPDVAWWWYAILTVIVVAVAIMVQYVWNTGLPFWGLFIT LALATLYVIPVGTVYAVANLNANVLTVLGEIVSGYLLKGKPLVLLIFKFYAYTGLSQA MYYGADMKLGLYMKIPRRTLFVAQLIACILGTLTQNGVLLWMLGHVKDVCASDQPNNF TCPQGRVNYNSAVFWGAIGPARLYNIGQRRYPNSRVLNAIHWPIFFAGTGNLPPATGI NYSTAFVVSLIFNKIIKGRRPNWWAKYNYVLSAALDSGVAVSAILIFFSLVLPGVSLN WWGNNVNSGTVDSKGTPWLELKPNETFGESTWS AOR_1_526144 MTVTKSSSILIIGAGTWGASTALHLGRRGYTNVTVLDPYTVPSA ISAGNDVNKIISSGQYSNKKDEIEVNEILAEEAFKGWTTDPLFKPYYHDTGVVMSACS SAGLDRLGIRVRPEEEPDVSEVTKPEHFRQLAPAVLKGNFPGWRGYHIRSNAGWAHAR NALVAAIREAEKLGVKFVTGTQGRVITLIFENNDVKGAVTADGKIWRAEQTVLCAGAN AAQFLDFKDQLRPTAWTLAHIRLKPEERALYKNLPVIFNIEKGFFFEPDEERGEIKIC DEHPGYTNMVKSADGHLTSLPFEKTQIPKESEARVRALLSETMPQLADRPFSFARVCW CADTANREFIIDRHPEHPSLVLGCGASGRGFKYLPSIGNLIVDAIEDKVPEKVHKLTR WSPDIAVDRKWRDTLGRFGGPNRVMDFHDVKEWTNVQNKDTAKL AOR_1_528144 MPRSGLSAASFSHPAQSGVAGAVARFYQRSFPAVDYLALGCIVG GWILIQLFVTPFHRLFSLDNKAIQYPFAVVERVPVVWSIIYAGVIPFVIVLLWAATFR PKPYKVQVTILGFLVALMLTSLLTDIIKNAVGRPRPDLISRCIPKRGTPENKLVAWTV CTQTSQHVLQEGWRSFPSGHSSFSFSGLGYLSFFLSGQMHVFRPRTDLCRCLVALVPF LCALMIAISRLDDYRHDVYDVTCGSILGTVVSYFSYRRYYPSLRSVICDMPYDKAGMA GEEGFHKLPSDEEQQVQRPGVPSHQWGAEDEVYQLSETSSSPRRV AOR_1_530144 MADAGQQRPLTAFAPPPPLWKHFTPDNLKKLDEIKKNASKGEDG KPQKKKWTPTELRALDVPPELHFLVPPEIPKSGHYSVFGELQSLSTALPSLQDQGITQ LYPSPPTGDADREQPSEPSQPLNHAYYLLKISKSLLLNFLEFVGILSVAPEQFESKVE DLRNLFINAHHLLNLYRPHQARESLILMMEEQLSRTREEIQQMDKLKEEITGALEQLK KDGVDVDAAPIEAPDNDTKKPNVSEEKAIEDTRLVWELLDENN AOR_1_532144 MYPSPTSAERSEDETRQPRKRTARACDACYKRKIKCDAAVPQCN WCSHHNIPCTFERVIHKRRKDKTNANTQQKTTGLAERIDRIEKLLTDSLFREPTPNVS PSSSGPSGFGIRLPVPLHGQSPLPSSVPLHFAGREMSVVSQLTGIPFLLPEGQEWVQS RTGQKLDVEKLSPTRPPWEKQRALNTCAMYSDLQTGAIFDLPDRTVVELHLERYRLSL IQSIFPIVDKELFQETIDAVYQQPQPDSCYVESSLRACIFAFLAFSSLIMEPCHEGIA PQPPPVDGEKYFMKAHLLIPQILQGTPTLDGVQAIASLALYELFTGSLQSANYFGTLV ARMLFMLGAHTSLPDETEYPKTTAGDHRSRVQRQLRIIFWLCFTVEKDVCIRIGQPQM FTDENCDLTLPTGYVEQLYEDIKVHHFAKEIPRTEITGYPLFPVDLRLSIIKSRAYST LYSFKALKKTDAEILKDIRELDDELERWRMSIPLEWRPTLSFSHETPDPNVSMHSVML RLNYHLCMTIIHQASSRCKSWAKGQGGVMDGVSSSLALSVEASRSTLLYIEAAEHVLV DGIFWTLIFYPMSALLAIFCNILQNPSDPQATKDVRLLRTATGMMERLFSRQPFAVAE IVHIKLVADFVSELYRLATCAIEKAWNERSR AOR_1_534144 MAQYFFDLLYNFTDCMCCFPSTPQLKINNRSFKMLRLLGEGGFS YVYLVQDKATSELFALKKIRCPFGQESVSQALKEVEAYNLFASQSNIIHSIDHSVSTE SGSKFRADGGEAGSKTVYILLPYYQRGNLQDAINANLVNQTRFPEKRLMVLMLGVATA LRAMHQYRVKSGAGPTRKAKAVRREGEEVDTSMRMSKPKRRATQVADDEDSENEPLMD DEVTRSQEGVQDGGLRPYAHRDIKPGNIMIDDDGQTPVLMDLGSLAPSPIAITSRSLA LAVQDTAAEHSTMPYRAPELFDVKTGSIIDTKVDIWSLGCTLYACLVGKSPFEARSEE TGGSLSMCVLGGDWRFPDEKPGTSKGKGKAGDESRKDATTTISAPVKDVVRKCLQVEP ADRPDIDELIQILKDVIKDLPDDDELAGSSR AOR_1_536144 MAPLDDIQVGDLVNVPGGKFAGIELAAEHARRGKNSGDVEGKKY FSTSTPGSGIFVPMNNNKYVTKRLSAPSIPSVNPPTPSRPINFSKSVGPGLSPAVRTP RPVRRPSLPRAESPRGPPPSKLSLTGLRTPSGASRAASSNGCPRSPVKAPSRTSTSRP PSRLSVDDDIQSTRSSDIQRKAMAAEVQELTDQVRSLEKQLLDRDRQLDEQANTLAEF HRMLEEFEGSDSLSIRAQLREKNEKIAQLTTEFDLHRADFRSTLDTLEVAAAETERVY EQRLDELMQQNKELQDRGEDVEAVARQLKQLEELVSELEEGLEDARRGEAEARAEVEF LRGEVERTKLELKKERESSSHAVGDGQQHSRELDQKDDEIRGLKAIIHSLSRGDPDLH ALEQNELGAPQLEYDSEHVAHLERRVEEFERMTERKTFRIEELEHELQQLQLNGDSRP RNPATNGSHSYHKSSSSIGKIGGEHTVNHAHRLSDRTVVPGDWHDQSQHDDQYQAYPG RLRSTSESSHQRLETMHESDARSDDGASLWCEICETGGHDILSCTNMFGAEQKNKSNT DTKEPSQEDSTDEKFTPETSPAPESAQSNHEDSTTPQKTGRDVVLEGLKGVATTSSMA PVAGKASGAVDESKWCALCERDGHESIDCPFDD AOR_1_538144 MLPPALNIPKWLEANSHLLQPPVNNYCVYHPSSPATAGYTVMIV GGPNARTDYHINTTPEFFYQYRGSMLLRTVDTSASPPVFQDIPIHEGSLFLLPANTPH CPVRFKDTVGVVMEQPRAEGAVDILRWYCKSCGEIVWEKRFVCTDLGTQVKEVVEEFG ADQEKRTCKACGTVAETKYKEGELVQPPRFLE AOR_1_540144 MATSQPPLRFTGHKNFVYRLVFATLTGRTVHISQIRPSSPTNPG LAPHEISFLRLLEAVTNGSQLEISYTGTIVVYKPGLITGSGAGAGSGGVIRHELPAGC NRGVSYYLLPLCLLAPFSKAPVKVLFTGPGVITSSTPTGDMSVDSVRTAILPLYNQFG IFNNIELRILRRSNPGHNGRGGGGEVQLVFGHQVRLPKTLHLMNPGRIKKIRGVAYAV GVSASNNARMIETARGVLNPLVPDTYVFSDVSSAPLVPAPEKSNPSAKKKIGLGFGLS LVAESSTGCLFSADVASPPSGGQAPEDIGKQCAYQLLETISKGGCIAPAAAATMLGLM TMGSEDVGRIQLGRDVIADEGVIQLARDLAKFGAPGWGLREAAGENERGDIVVSVVGR GIGNVGRKVA AOR_1_542144 MDNYRDYSSIATDPPSLSLGYSIVHSPPFTFLVGANHTKLTVQS GLARHVSQPLDHLMNSGETRESKHHIAVLEEEDVETFVAFCEYAYTGDYSVPGPDNRE EYQEQVVNNPFKGVFSGEPVLAQPDPKPSDETDKGQSKPSEEVQPQPEQAPPTPEPEY PLEENKAPEEPLAAPVEPPQEPEPVPEPAPEEPVEQPPATPAVEEGEPADANEGAGSG KGKKGKKNKKDKKKKGAVAAEEPTTNLTPPSTPPPQKLEQVENPPVDETPAPAEEWNQ PQESIEPPADAEAAQPAEPVQAEVDTWERSAPTPQEPETVEPERTSVKAETKEEEKVT EEPKPSHFRTNSFIDMSFARQRFNFQHESGSNLWDEFAAMDYHDPRQTHGNRPPSSLS YSASTKGDLPYLVFHAKLYVFATRFLIPALAQLCLRKLHTDLLNLGFPEHPMDSQDEE TFALTTTKARMILDLLDYTYNKTTRLEPISAISATQLRDNELRRLVVHYAACKIRDLA EFCPPEENTAGMPYPHKRSAKGLRPLLDTTTELASDLVYRMMW AOR_1_544144 MFNNEHHIPPGSSHSDIEMLTPPKFEDEKQLGPVGIRERLRHFT WAWYTLTMSGGGLAVLIISQPFGFRGLREIGIAVYILNLILFALVCSTMAIRFILHGN LLESLRHDREGLFFPTFWLSVATIICGLSRYFGEESNESFQLALEALFWIYCVCTLLV AIIQYSFVFSSHKYGLQTMMPSWILPAFPIMLSGTIASVIGEQQPARAALPIIGAGVT FQGLGFSISFMMYAHYIGRLMESGLPHSDHRPGMFICVGPPAFTALALVGMSKGLPED FKLLHDAHALEDGRIIELLAISAGVFLWALSLWFFCIAIVAVIRSPPEAFHLNWWAMV FPNTGFTLATITLGKALNSNGVKGVGSAMSICIVCMYIFVFVNNVRAVIRKDIMYPGK DEDVSD AOR_1_546144 MTASLRPQRLLPRLSSATSRTTSGTRPSFLDQTPGTCLICQFRP QSTIRVPRVHHLQQTRRFASTSSSPNATTTDNNGTIQNIPDITNHYTIFPKTLPAGPP PSSPFHISVSDLRREFLQLQGTIHPDKYPPGPSKQQAEALSARINEAYRTLSDPLARA QYLLREMHDIDVTAEDGAAHHALDPETLMEVMEVQETIEEVGAEPGAESTIAELKKQN ETRVVECVEKLANAFDAGDLESARQECVRLRFWYNIAQGLKEWEPGLTEIRLVH AOR_1_548144 MMHPSRQAYVEEAEDTDMGIDLANLPVDRDYEIPSAAAGIPSER ASAILSQFERKRRAAAMVVPTDDSRVRARLRELGEPITLFGEGPADRRDRLRELLTDL AEQQDAAAAEGDVDMREATQEAEEEEAEQQEEFYTEGSQDLLEARKAIARFSLPRAKQ RVARQKEESTIPLRTHIKHRKAVKEKLHGFDLYGSQIAGDRPVSICRFAPDGQTIATG NWGGGIKLLTVPNLEEKRSFKAHTDRVGGLSWFPGATLSTSNVSESTVNLVSGGGEGN VCLWSLDQDQPIATLSGHSGRVCRTEFHPSGRYVASASYDTTWRLWDVETTAELLLQE GHSREVYTVSFNNDGSLLASGGLDSIGRIWDLRTGRTVMILEGHIREIYGCDWGVDGY RVLTGSGDGWVKCWDLRQVRNTGGIGAHKSVVSDLRWYKGTESTSSYLPSTDGQNGRM DVDGDQPPQSTPVQPRKSGTFFVSSGFDKNVNIFSADDWSLVKTLSGHSGNVLSTDIS DDAQWIASCGHDRTVKLWGIDS AOR_1_550144 MAPILSDIILLSSAPTILPLDTRHHAIGVATDVKSPAIRRQRRP DSGEDAYFVSRVGQHDNGAVAFAVADGVGGWAESRVDPADFSHALCGYMAQSALDWDA PAEQLRAKALLQAGYDQVVADESIRAGGCTASVGVGLDDGRVELANLGDSGSVLLRLA AVHHYSVPQTHGFNTPYQLSIIPPRMRTQASIFGGAFLEDFPRDAAVTNLQMQHGDVL LLATDGVFDNLNNQDILKLITSRMVLTGAWTATPDVGIKPSIDLDQLTGPEGLASLIP SSSTQASQHHRSTNKSHLYSLPSLLAATIAGEAKLASVDMRRDGPFAKEAQRYYPGDW YRGGKVDDIAVLAVVAVEEGYVPSP AOR_1_552144 MAEDTPTASAPAPDAAESVERAPAELKESTPEATKEKEETTNGS EEKPSEDATATEEKKETDEKSTEKPSASESTDAKKPEENAAPAESEAAPASEANGTPA SAKKSGGSKRKSTGAVPEHKSKLNRKKSQARITNLHAKPGEYYLARLRSYPPWPSIIC DEEMLPKSLLDTRPVTAQRPDGTYREDYAEGGKRAHERTFPVMFFETNEFAWIPNTDL SPLEPAACKEVSEKGKSKLLLAAYSVAADGHDLQHFKTLLADHQRAIEQEEEEREAQA AAKAAAKAEKDAKKNKRKSMEIVDDEDIDMEDADEEAKKPKSSKKRKKDAEADAEEKP AKTPKTGTKLKLTTPKTPASEKKAPASSKTKQTASTKKSGKAAASDESEESTPPAPKE PEKQVNPEEAKAKKEKEILFVRHKLQKGFISRDQPPQEEEMTSMSTYFTKLENYQDLE VSIIRSTKINKVLKMIVKLSSIPRDEEFHFRRRAVDLLSKWKTVLDADTTPGPSEDKR KPRANGVHKEDSVETPARGDTEGEKEDEPKPTKPETKDEEMTDADAKEKTEAPEAAKE ESDKTTSKADEPAVEKTTEEKGSEEKTTEEKPAGEKTEEKTAEASA AOR_1_554144 MPSKSKSKSPYQFSYTDAIQGKELYSYFGNRVLESRASGGRVVA VKQKSTRGFARSEADMMHYASQQPGILAPQVLGCYDVDPEITVTVSDLVEGDSLDNVW HTMTKEERKSIKQQLKEQLRLFRQCTQPYIGRINRQETKNFYDRLEIHFMGPFESEEE FDSWCLERVKSPTAKKIWARLLPGMRGSGEQKFVLTHGDLAARNIMVKGGKITGIVDW EYSGFFPEYMEYALATVIHDGHEDWWKPHLKEILEPCGFKRARFTAAIKWRGW AOR_1_556144 MFCNFAILFIWYLLCRPSLGWGDVGHRTVAYIAEHHLTKEGIGL LNDLLPKKHGFDVSDAAVWPDHIKHRHPETAPWHYVDVEDDPLNNHCKISPLPRDCET TGCIISLMKDMTVQVNDHSANQTEAVMYLFHFFGDLHMPLHVEGYAKGGTKVDVSFDG HSDHLHSIWDTDMPHKINGIKHRQKHNAEKPASQKWAEHLLQQNKHRPTTAECTDVTN PHRCIKLWADESNRLNCAVVFKRGIPYITNEDLAGEYYDDAAPIIEEQIYKAGVRLAA WINALAEERRSRTGFVVQGDRLDL AOR_1_558144 MKAALCFFWALSLATVSSAWPQNQAATSRDIQRPALESSHEYSP AHYPFPDVILPSLETSFSPRLAIVKRDNNAEKPPSHCLRAREFPAEFDTLAISLKLAN VDWAGTSSDVTISVTVNDKGKVPVFKGNVSPSVNAHQTISLKSADYFGAEKINLTDLK VLKIYAYHNGHIFDAFEGLQFNLEGIVLIATDSTRGISYNNVQYELINEWISPSSSSQ PRKEWNLEDNQWHASKPGKASPYGN AOR_1_560144 MKPFITVQAVLLALAVQSTSAAPHLPPKETQLSEPIGLSPYGSA GAKTLYTAAVIPDVPHRGLEKRAAANPYYKGKGIAIDLPDNCPVPDASWWANEESRED GIRKDSATTVDPLKEFLDVIEAPFQEVEDTVKTFLQGIMDLAKGNTCEGVSETLMPVV HAMIKEFIRVSDETGFKSVLNEIGDEITRFLNYPGIREGWKFLNTRLEDIPVIGLWFK TVGKAEGWTYEHLVPKSLRDSLQSCANDGIRHPAQNPLGFLLALGIDTIEHPTILDIL LAIPGVGEFAESIKFAEEAVKGAEDAAKIAERLSNVVASDGVASDLDKIAKLADKADA SADAAEAAAGSAKGTEQEAKALEQATKADEASKAADKAFYDGENEAKKLCPRGITSSL MSWWCSPAEQAPAEEGPADNAARSRARRNRKKRRNRRINKKAEPESEPETQPETESES EPEPTQPQGQPKPQDRPQNKPQKQPEPEPEFLKNTRFSRRNVERSIERGTSSLKGGIT YVATDGKNTFPHHFSNFENIDFELPNPNEFNMKDLQEFPLTQTVFNTGMQPGPYRTVY YEEADFRIADSQNGLQLVIVGGGTAGLALASRLSRGLPESSILVLEAGPDAENEPRIN IPAMRGSAIASAYDWNFTTVPQPHAGNRSLTQPRGKVLGGSSALNFMSWDRASKVEYD IWGKLGNEGWNWSEMMRSMLKAENFTLSDKYGDQGVGFGGPIQTMVCDWVPEHQTFFM EALKSLDVLENRNSLGGNSLGSGFQPSNVRYSDRKRSYSAHHPGYPSLAGPNLQIRVG RRVRKINLVSIGGEDLVATGVTLEDNTTVLAIKEVILAAGTMQSPGLLELSGIGQKDV LHAADVQQLVDLPGVGENLQDHLRIQNSYRLLPNYTSVDMLKTNATFAKQQLEAYNTG QRSIYDYSGGSYAFLNWTGVADEPSRMESLARKAADEPLSLSPFERIRADIQLHHIRH EEENVPQMEIISADGYTGIKGYPPETSPLHGSNFFTLIAVMLHPFSTGSIHVTSPLIS TAPQIQPNYLSHEYDIQALASAAKYLRKLASTAPLRQAWTEEYEPGLSVVGDGPDSDS QWREYAINNTETIFHPVGTCAMLPRELHGVVNANLTVYGTDNLRVVDASVMPVLISGH IQTAVYGIAEKAAEMIIKRWQ AOR_1_562144 MLLNMPHLSPISSKAILRSVSQQGPKVAGSTGPCRYLSTTAPAQ KNVTLLQDKKNGFGFARSNPRPPKPRSKGVTEIRGPYYTVMGKRYLADVLETMGTHVD GLKFAGGSFSLFQEKPLRELIDLAHEHGVYVSTGGWAEHLLTHPDTNAVFDKYLQKCK DLGFDVIELSSGFLSFPEDDWLRLVDKVHSYKLKAKPELGIQFGAGGDTPASGLEAIG TSDPGKLVNLGHKFLNAGVERLMIESEGITENVESWRTDVVSKIMKELPPERVMFEAA DPKVYNWYIREFGIDVNLFVDHSQIVQLSCLRHGIWGTADTWGKIVSFRPE AOR_1_564144 MPKVTAAPGGRLRCRRACDSCKRRKQKCNGEQPCTICVQRHKES ECHFSDKPARLLKPSESSKDTMLLSERLVPTPQRQTAMDRLLNSLEDRSANLEQQVVD DKDGTAPVPKVARLLRDGQGKFMYIGDSASLSFLQSVRRIVSSSIGRCEFTEDNSRHS MLEAFQSSSTTQTGPLIPPPGNEEAQRLARQYVLATSPLLDLFDLEEFHPRLANWIEN PTGDEDTVSSIFYLVLAIGAQVSDTNHTLAEKYFISGRQLAFSAFTETPSISTIQSYV LISMYMLGACRRNGAFMNLGIALRAAYAVGIHRKDANTLFCTRERRARERVWKSLRMM DLFLSASLGRPPATTDFDYEPHDGNTTSGTQQRLQPEEQLSLTVVSLCRIFERILTEV YMRQVVSISVADSISNQHRAWVRNLPTFLRMQTERLDAAKTLEDTLAAAHIFGSYYWS IILLTRPFLVFRVSQYVKNKSESAAFSESKPSNSKISLFADACVYSALRSLNVVDDLS QYSSLPRRLPFLINSVFNSAVVLGAAFFADYDNLLPLEEGLNKAEKFLGLFVPHDPHA CRFFQIIKYLRGAVGEYVHRRNRQWMERRSKQVDQLFGEVGPSNETSTPSNNHHGISM NRGDHPTVPSPLSPDKFAGGPFSSQSLGQTTATAQPDDGIWDALCATEGPSPFPYDTA ISTLTTTGIPIGCSPGGTIPTGPPGMPDNGGQTPLSDMILSDNGLLYMAEDLPVFGLW GDT AOR_1_566144 MRILLLLLIQAAVAAAAPDQFQHWYKQFRSQIEFVLNNNCSEQY QNYLNKTPGFDPEYQLWYDPTKGSALTAPLVSCMLSNLPENVKANMASAGVILGLLPT MLSMLGSNTVDTAILARVAGRPFLSLCLALGSPAVLPDRPFEYPNVKALLGADGIKKG LTIPKPGGRTWILISALEYIVALGAVANIVTACYQLGIQCICNYATEVTAQSLIWAFI VGLVHIGGTIALSLRYRVIRSPVGETHTVQPNWFRRYLIREINPSLYSTPPPDVDEIE ESYLFLLFSWCVSTGAVVHILYGTMVLSSLLFISVQDALALAGRFLASVVCCRAVLAY ELAGLRQAKAGNMGNGEGSETLELRCK AOR_1_568144 MQFKTLLSTLPFITAVLANPAPVPAPVPGAVSVGYGQQLQNNDQ ANHWVVWIEGESACPNTRVLTRLTDSPCDQTFYFNNQAYHLADCGSDNEPRRVVQPGG GSKSCSRDNRKITCHGSTHDIVKHGKC AOR_1_570144 MGFLGVYTALYDYHPQAQGELELREGDLLYLLESSNEDDWWKAK KKAEHDDEDEPEGLVPNNYIEEAQPIHSAKALFDYTRQTDEEVSFSEDAELVVYDTSD PDWTLVGVSGDFGFAPANYIEIVEQAAQTPVTSSVPSPPAEAAPPTLPQRPAAAPTEE PSNPVSSSPIDTVQNPAAAAIADIIHKQHAEPEATRAVPPPPQPQPLYEPEPSYQREP SPPPPALPQRPPSQQISPRVESPRSPGLPPRPPQITMAQEQDVKGHVKESPPYNRVGP APRSPSGYHIYNVNEMVEVMGKRKKMPTTLGINIATGTIFISPEDDGEEQEWTADKLS HYSIEGKHVFVDLVRPSKSIDFHAGAKDTAREIVAALGEISGAYRAEGLREVIAAGSG GGGQKKGQILYDFVAQQDDEVGVNVGDEVIVIDDTKSEEWWMVRRVKNGKEGVVPSSY VEITGYASNSQPSGVDSGLSAVERNRLEESRLAKEALRKSRTDSVDSPRAEHHKRDSR SSQKSKPDPTKTRQWTDRTKTFTVEAQFIGLQDGKIHLHKMNGVKIAVPIPKMSLQDL EYVEKMTGVSLDEDKPLSDIKRRSTQREPEKPRPSADNKRPELAGASFQQSDYDWFDF FLKAGVGPHQCERYAQNFTKDSMDESILPDITPEVLRTLGLKEGDILRVMRHLDTMFG RTGAKSKLRNVSFGGEEVISNGEDGGGLFAGPGGVLRNNTRKGRPAPAVQTGDVVDPK AFEQKDDTNNAEPKEASPTSAPSEKPVQRGFDDDAWEVKQPKQAAPAPAPAPAPAPAA ATPTSPPAAASPATTQPPQQQLTGAMADLSVLHPPLQPTPSQPTPASQPPPASQAPPV IQPQPTAVPAPAPQQPQQTGATPGFFSQLGQPGQQSLQNPAQGFSPQATGFQQASRPR PQPPQTLGQNSLLPPPPQRPLSAPQNFPQQQSSFGLPPLQPQLTGLPQAGPPLAAPGQ SLAELNQQRFQQPLQPQPTAFMPQNQFQNGLMPQPTGFQPQSQFGIQQQQTGFQGLAP QPTGFGFQAQPQQSMQTGINSVLPPPLQPQPTGMNGVGSMHYTTSPPPIPPIPQQPTA APLTPQKTGPAPPIRFGVKHDAPKKLAPQPTGLRANLAQATPTNPFGF AOR_1_1932144 MSLKSLRRRLSRHSKLKSTSTIITVSSPEISETETQAVKPISRR KSVKEIRKAVIDFLAEIGGAVRAVPIDEDYGRWS AOR_1_574144 MGRRNRIVASLLTLACATSTALANKKAFNIHDDLLAFPQFQVLF PDEYVLDSHAKELLKTQSSPPVYDDQQGNSLQKSQIPLKPRTDESPDTSSQQEGGPQL TYEELTLQGQRFLCQIPQVETDERSPTNETKEASETDEEQELARATDRGLELLSEMEG KCMYYVSGWWSYSFCYKKQIKQFHALPSGSGIPSYPPMEDPATHSFILGRFPRASDDE EDGEAERKKTAETTTTATTTDVAELQTNGGSRYLVQRLEGGTKCDLTGKNRKIEVQFH CHPQSTDRIGWIKELTTCSYLMVIYTPRLCNDVAFLPPQQEEVHSIECREVLLPDQVP DWEAMRQYHLAQRLVESSTTTSEFPIVGDIEVGAKKLVGTEGKEIEKGRVASAGEEKV EVVAKRENGEVLQLSKVDLEKLGLDPEKIETLKTRLEELAQGKDWTLEHVTANGERGL RGIVDTDEDEEEEEKQAKTETESKEHNTLEEPQEKAPTEKKVPEAKPKGEPKEEPPTE NVEEGSEEIFKDEL AOR_1_576144 MKLLLSFSIAITAIFLSLYSSHFKALVSYIQQEVSTRLNSTTNT ANTITPNQINADSFPVTITPPTNKMAVPRAIRQVFLAIEQAEGAGARVRRSIGTAKLR NFSPFLMLDHFTIGKGAGFPDHPHRGQETITYLLSGGVDHEDFAGNKGTIGPGDLQFM TAGRGIMHAEMPHENPDGSPNVGMQLWVDLPKNLKMCEPRYRDLRASEIPVAKVDDDR VTVKVISGQSHGVDSVRDLAYTPVWLLDVAIRPGGRISQALPKGWNAFAYTLSGTTVF GSNDSTKLVKEFHNVVFDQGGDYVEASVPDNAESESRFILVAGQPLDQKVVQYGPFVL TSQEEVYQAMVDYQTASNGFERVRGWESEIGKRMAF AOR_1_578144 MSQSPSPSLRKRGGKKEASPGPSEVSSPYPTNQGATPKPQSEWD YRLAITVLTVLAFITRFYRISYPDEVVFDEVHFGKFASYYLQRTYFFDVHPPFGKLLF AAVGWLIGYDGHFLFENIGDSYIDNKVPYVAFRALPATLGALTVPVVFLIMWESGYSL PACVLAAGLVLFDNAHIGEDRLILLDATLVITMALSILCYVRFYKLRHEPFGRKWWKW LLLTGVSLSCVISTKYVGVFTFVTIGAAVMVDLWNLLDIRRPAGALSMMEWTKHFAAR GFALIVVPFFFYLFWFQVHFAILTRSGPGDDFMTPEFQETLSDNALAAESIGIQYYDA ITIRHKDTKVFLHSHWERYPLRYDDGRISSQGQQVTGYPFNDTNNQWQILPTVPLEDN EGQGHSVRNGDLVQLLHLGTDSILLTHDVASPFYPTNQEFTTVTKDVASGERHNETLF EIKIENGKAGQEFRTLSSHFKLIHYPTRVAMWTHTTPLPEWGFKQAEINGNKNVLQTS NLWYAESIESLEEDSPRKQKEERKVKQLPFLRKYLELQRAMFFHNNALTSSHPYASEP FQWPFLLRGVSFWTKNDTREQIYFLGNPIGWWIASSLLAVFAGVIGADQLSLRRGVDA VEEIWGPGARSRLYNSTGFLFLCWGAHYFPFWLMGRQRFLHHYLPAHLASCLVTGALI EFIFNLQPVQAVTDSEVDPSGKSKSIRPRHFVTAKERMSRKSLVACWIATLSILAVTV WGFWFYAPLTYGTPGLDVAGVNARRWLGYDLHFAK AOR_1_580144 MVELISPPDPRTLLPPLLACLPTAFVSPLPPPALLPLLSPVLRQ RVQVLSSLAASPSESWLRLLCWDSGKAERLQTIVDETSFEPHPVSGEIELPDEVPVEY KRVDEETLQSRVLLSDYRLKAIYLWCPNDKDGGGPGWRIAELLPHEDSRADGDVWSAS IGEANSHSKERLIEDTLRAAEKEEEAAKDEEDDDDDDGYWAQYDATPGRTPSVKTPAP NARSGLQHQDMSEASYFSRYADVQPAMDNHDPSEEQPELGPSSLGGDLLANLLKPHAD NEASENPLQLNGHGDTDRALNHPRPSSASSNSSEAVAKLELEAENQSACEVGVKQHIS SNIKSLFRLARATGITRAEFQSLVRTELELLNVSDDD AOR_1_582144 MIKEKAPEVGMAPSPLTPDVLESSGRELVEAALPEELKELERRL RWKVDLRLCTIAGILCSLNLLDSGILSSASVTTMLSDLDLEGMAMSGIYPGLTYLIST WYTRREQQLRFAFLQSGEVTVMATGTIVNYGLNHLDGRAGLSGWRWMFLVQGLITCVI GIITYWWMVDFPETAHRSFYFLSEAEAQLAVQRIQADRDDVVPEPFSWSKVAVNFLDP KLYGFACLFFILNLVSTALSYFLPIILQSGMGFSSNAAIILSTPPYYWSVVPVLFTSL VGDTYRLRGPLITFNALCLIAGFLMFGLPSSTQVTVRYIGTFLATGAYVSNWAALNAF MANNIVGQWKRATTAAAVSACNGLGGVAGSYIVRQQEAPQYQTAVWVSVGSHLLMIII VGIFTLAFYICNRRQKRGQLVIQNRAHFRYTY AOR_1_584144 MRRPQFLAYFNTRLALACSLIAVSSFNYGFDNQGFATTQAMDAF DKRFGDYNPGTKAYALDPAWLSLFNSLNYIGFAAVTSGNRDQILAARILNYVYVGMEL AVVPTFQSEIVPAQVRGLAVGTYQFSIILGGLIINSICRGTSKIQDDRAWRIPLGLFY IVPAIVLSLIWFVPESPRWLLQKGRVEESQASLRQLRQGCFTPEQIHNEFRELQTVLE QEVENGHWVDLVKGVNLKRTALVFMVNFFQQGTGQAFSSQYGAVYVKQLGTINAFDMT IVLSLLNLVSIIGSLAYADRVGRRPMLLASSAVMAAGLLTMGGLGTPSPMTTDLKKGV VGMYVVMALGFSLGWGPQTYVVATELPALRLRDMTLQLGFFVNVISNFVVNFTIPYLV DAEYAGLNSKVGLIFGAIAVIAFVCTFLFVPECRGKTLEQIDLMFHSGVKLRDFGSYD ASTLIAEPELKEGEVHSDTGKVEENGVKQ AOR_1_586144 MWVNLMCSLSSTNATDRLSADTFRNPDNTEIQIALDIFFERIYP LPGYAFLHRASLYDRFHRGQADQSLLLSIIAISISLTETDSSKREYAAQCLKRAEQLI INNLSQPSIIRTQALLLIVRCMMCLGEYSSAFPLVSLLSRFAFSLRLNYDNQRVCFLA REARRRLMWAIYMLDQTWAAGLLEFTTCPVDAIYVGLPCPEETWELDVCPETDVQLKS QTKLPGLLAANVGVCYLRDKVLRHAKRFAAGSCSATEILYGIRELETELGQFYLRLPP SSAYSERNLRLRAHSPWLPRFILLHVLWHQCYCDLYRCITSGLIESVPASTLNQFDPV FVLKCREQCTHHAVQIASIITSLIDLRLEISVLPLDMAVCAYQCIRLLFYGQRIYGHK IPVSRERVEQYQRSCLQTIQTVARTSPSVQTIERDLKDLIDETQDQNAHPENRHRNIQ QQGPRQILSIHSLIARSEFVDDSEELAFQDAHAGDNASVYQKDNHEYSGPLDTLGLPS PLPSFDSVEGMTPGPTMTSQMWLQGPNAFEGAWDEPGIGFDLIRQMQWDGMGYFG AOR_1_588144 MTVTTATTKIPSKNPNLVIFMPDQLRYDSLSCTSTPKTTFLKTP NISSFASRGTLFTNCFTQASVCSQSRCSMFTGTYPHVSGHRSLENLIKPWEPNLFRSL KENGYHVACLAPRGDTFAPTVTELSVDEYGFLETPDFVPRFSGGRPSDEKDESIWGRL FYKGLRNAKEASDYDDAVVRSALRWLECPPQDKPWVLFMPLIFPHCPFQVEEPYFSLY ERDIIPEPGAVPGEKTGYEPRYMRVIRERYGTGRATPEIWRELKATYYGMISRLDDQF GRVVGRLDELGLWDETITMFFTDHGEYLGDHGLIEKWPSGLSDSLVHEPLIIAGGGLP KGQVYTGMAEMVDLVPTVLELCGIPEQFPHNGRSLVPVLRDPSLGHKEYAFSEGGFLT AEEPLLEQAPYPYDIKAALQHEDTTLVGKAVSIRSVEWTYVYRLYEPAELYHRQRDPE EMYNLAAEPKYVPVARMLEAEVLRWLVESSDLLPWAKDTRFPEVKLKSPKEQLEERLR KRDSGERN AOR_1_590144 MKLMRTIPWQYSLSFPSHLRSSEIFSPCSFFWPLFLLCLSDQLT LGTEMDPITIVGLVSSIITFIDFGRKIIQGAQQAKSKEETEAIDVTVSELQRLCMTLQ TDKALQNEHEKALCRLAERCKTVSEEIIDLLGKIKPKDPSSKRQYMGFVMKKQLELQL SYMSRSEINDKLDSLVYMNDDNGTRLNMLQSHILQLRQGVQASSLGKDTQQQLRQLLG LSENAAKRMAQQRILNALAFRAMRRRINAVEEAHQQTFRWMFEEKSTPGTEHDNCRDR IPEYKRDVAARLFKDWLSRGQGIFHITGKLGSGKSTLMKFLYNHPQTRQELEHWAGDR KLVFASFFFWKPGHELQNSIKGLLQSILHDLLAQCPDLITVVFPKHWDQVYGGPFSVP SKLEFQPNEIREAFRRSIEDGALYHKCRFCFFIDGLDEYQETNQDDFKTMVEMLWSWT DIAPEGVKICVSSREYNVFLNGFPPDRRIRIQDLTRLDMERYVEDKMKDVDHQTKERL ISRIVRRARGIFLWVALVVKSLREQIEDDELESLLDHLLNSIKPSIRQKAYQTFQLVL RAKDINSDGRVYGLNLSTYSFLEELDRDPDFILKADLPCAPMDSKTRSTRMEGARKRL NGYCKGLVESREEPPDRPLLNGLFCDHNTNFITFTHRSVVEILERPVDKSKLSLHLEG FDCDLTLLRLFLANICFCLDQSHHQKQNKISFVGMQFLLKKSKEGSDPAPYGFREALE QLMNVVYSQWWSASFYVASSTPGD AOR_1_592144 MAVGPVTINGSGKSALPLVQQMSKDHDIVLKTFRMLISDLCQQF GGGHPGGAIGMAAIGVALWRYVMRYAPHTPDFFNRDRFILSNGHTCLFQYSFLHLTGY KAMTFDQLKSYHSDRVDALCPGHPEIEHEGIEVTTGPLGQGVANAVGLAMATKNLAAK FNQPGYDVVNNHTWCMIGDACLQEGVGLEAISYAGHLKLNNLTIIYDNNQITCDGSVD LTNTEDINGKMRASGWDVIDVEDGCYDVEGLVRALEQARASTEKPTFINVRTVIGLGS NVAGQAAAHGAAFGAPNVAQMKKENGFNPDEHFVIGETVRKFFEDLPARGESLVQEWK DLVKQYTAQYPELGAEFQRRMSGELPSNWKDLIPASFPEKPTASRASSGLVFNPIAKE IENFMVGTADLSPSVNMIWPGKVDFQHPDLRTTCGINGNYGGRYIHYGVREHAMCAIS NGLAAYAPNTFIPITSSFFMFYLYAAPAVRMGALQHLQIIHAATHDSIGMGEDGPTHQ PIELAALYRAMPNLLYIRPGDSEETAGAWIAAIEAKKTPTIISTSRHTLPQLKQTSRD SVARGAYVLEEAENAAVTLIGVGAELSFALEVANKLKEKGVVARVVSFPCQRLFEQQS LEYKRSVLQRHRGIPAVVIEPYAPNGWERYADAGVCIKRFGHSLPGKVAYKYFGYDID TLTEKVHGYLGQVEKDELLKHEFVEL AOR_1_594144 MAPSLLDPSLNPPTAATPAKSSTETIVTPFPNPSLQVTADHKLK AIDAPVYAPKHGEVLLQIKATGICGSDLHFWKTGCIGELIFKGDCIIGHEAAGVVLKC GEGVTHLRPGDRVAVEPGVPCGDCFLCLDGRYNLCEDVQFAGVYPYAGTIQRYKTHPA KWVHKLPDNLTYAEGALLEPLSVVMRGMSVAGLQLGRGAVVCGAGPIGLIALAAARAS GAHPIVITDLDASRLAFAKEFVPSCITYQVNRDLDAQGNAKAIRALFGSEEYFAPETV LECTGVESSVCTAAFTARRGGTVVVIGVGKAVMNNLPFMHISLAEIDLRFINRYRDTW APAIQCMSGGILDLKRLVTHTFPLEQAESALQLCSNPKNPSIKVLVVDEVEATL AOR_1_1934144 MPTPRYFTQAPAFPAETSVASLPTISLRGLQDKSSTEGEKLFEA CREWGFFLIDLRDSDDGTTLLQDAERMFDLTTELFALDQATLDRYAYDAPRDLTGYKS MGRLKTDDGKTDHMHLYSINQDDILGNPLGVILGALDDQLGLKQGTLAALSPLEDESE TSVRLLCSPPQPAPEYDRITLGGHTDIGTMTVLFHVVGGLQILSAGKENVMENWQYVR PEPGCALVNVGDMLVEWTGELLRSSLHRVMTAPGDQALVQRQSVAYLVRPAKKASMRR IQGGKIPPLAEGEEEETRPVNEWTAWRSRQIMLGHLKPQSRGGNMAVSV AOR_1_1936144 MDISKITAAAVAQTNEFQLALASLNFDFSLFKVEAPQEYKALDA IIGSMVTPPDALVKVYGQRVSEICSTPSSNPRGSWAHGMFQNYVGADSTTIWAAVTSG KGALAVHLLACMLARLWTPAEATSIWVEIVEERKAQISAECDPNEPSHYALIRASHLE VTRAELANWDAGARAWLAIADKPNQRQHSQLRLKIENLSISVNNNSVVFSSVIKAWQS AMEMVQNLLMGISQRVNSGAVLLALSAWHLYPDMDVFCVSNKTVEQEDALMSGAGRLI VGLEDADPSYSTGIFWSLSLSHLRYYGDPVLCRRSVAEDASRVSFNEFTLVALGCLLQ RWCTWTQDGLAINSVVEMIISLGDFVGEVSIKMGTRRGATFLGPLDEHPPRLFGLSSP QVVLNLLRDDAECQIDAMRAIVRSSPDVGDSTMFIGYTHECQRLGKWQEFTTVVPIAT KEGKKKHVRWVPADVAKVKHNAWLRLGEDYNTTRFDEVISMGEVCELYYPDSLEVSQH DLTYYLPLNLSRSVGTAKIPISIAVRGTNRREPDPEQPLKRFRYPKINDNPTIAVYAA GICVSDFEVFPENLTRFFDDRYFDVEKLEVHLTHVWFAKASPEYVRSMRALARTDQIY KLMPNASVSLSVLRKELGQQKWVPCEDDAEHPYEDDKDDEFVIVKRNRSGFEVFDVAR SESFSCVALFETGTMDLSPDCFTNVMAISSGNFIFASSSILCDPWEDAKPHEIQRLVA NIGKSGVSLLIPLMNPKLRKAEYEGPVPGAVFHGAQDVEANYVETLAQVYDGSKWVGD IDILGALSSNFIERISLPHPCQGHPSRPKPRFRAVSIDNWDELIDAPSTAGVIRAHDN FVGRLAATAISVQKGHLTFVLPKQICWTCIESFTLHSLDELSGGIFIG AOR_1_598144 MASLRLPRLIRPSGRRLYSGAASPSSRLNLPIDYKTTPLLHHTS STLSESLELPGSTTSKSMNLYQAINSALRTALAKSEKVMLFGEDVAFGGVFRCSMDLQ TEFGSERVFNTPLTEQGIVGFAIGAAAQGMKPVAEIQFADYVFPAFDQIVNEAAKFRY REGATGVHAGGMVVRMPCGAVGHGALYHSQSPEALFAHVPGVQVVVPRSPSQAKGLLL ASIFEHNNPVIFMEPKCLYRAAVEHVPNEYYTIPLSKAEILKPGNDVTLISYGQPLYL CSAAIAAAEKALGVSVELIDLRTIYPWDRQTVLDSVKKTGRAIVVHESMINYGVGAEV AATIQDQAFLRLEAPVKRVAGWSTHTGLQYEKFILPDVARIYDAIKQSIEY AOR_1_600144 MLILIAGITGFVGIPCARSAFARGHKVRGLARNIDNLPADVRDR LEGFETMSDPHDIAAMDRATKGVDAIICSFAAVPEMFMESQMMLIRAAERAGVKTFNF HGPSTFPTRYTTAEDIGNYVLEAITAPDAANGGFVRVQSFEASPEDIVKAYNAARGGR VTAKLNCLGSLKDAEDKLNEGRAKYGKSEWYNYLRYSYQYHIPARSWDYEPVDVARFP NVKQTSLEEFFRRNPDV AOR_1_602144 MKDCPVCHNFQPELEENIERDSDGRVWSVRDRREVPTNAAGAHG FVFDVNIDEAREQASKGFEPIKMWQFQILAADDTRNPWKNMAKTKSSPRYVLTDEHRD WYLSWVQDCFENHPKCQRPSTMPTRLVDLGDDDKGIICLREDIKQISRYIALSHSWKF SQARNCMTLKDNYESRKQLLPQQGLSQAFHDAIKVARWLGVRYLWIDTFCIIQDDPDD WAQQASHMGDIFEGAYITIAVHCYQSSPPTDGCFLERKVIHEVALPDDNGDRFPVFIR CAEAGLHNVNEDPSLMRRGCMCEKELANFRHISGPRQELDEDGFWYAWQDIVWVYSAA DVTVPTDRLAALSSMVQRMPRQIFGDYLAGLWSKKLIEQLAWTTRPEFKYFRYQQYIA PSFSWASIHGGPVEVNFQLRPWNPVKQKACILDAGTVPAAHDPMGPVREGFIHMRLRV CEADVISLQRNTTEIDLRYNCKNGRPDSCRTSVDTEDDMKDLEGQRVSLGEILNGSEF AEFLVMRKVADREGAFERVGTGTLYWTTKRDYGRGIPRTPNRDPFCQVPEQEIRLV AOR_1_604144 MTTPLQPLKLYGDRPTVNPVKVLILLNELSLPYTISSVPFTEVK STPYLSLNPNGRLPTLHDPNTNLTIWESGAIIEYLVDRYDTSRRISFEPGTHDFYLAK QWLHFQMSGQGPYYGQLAWFKKFHHEPVPSAVERYVKEVNRVTGVLEGWLEKQAGVYG EGEGNGPWLVGGKLSYVDLAFVPWQRVPGMFVGVEDFDIEKYPFVKGWLGRMLAREGV RVGIEGEKE AOR_1_606144 MPFTGGGCQTCRDRRIKCDKTQPICQRCIKSRRTCYGMRDQQVW LTENSYASRQKKRPRGPRSMKMNLHVSYKPADMKMYAMAYYMHNYVQAPYNVPDIVKD VTRGCLAFLPPRPWCPFLELAVSSLALAMFSRTQNYPSAALVASATYHRLLKVAQSAI HYLTPDNFDSCLLAVFFMGRYEDSVYRPVTQTPFVHASPSFLHHDGALAILKVWNDCL SRDKPATNIINHTRRGLIRSALMRNAALPHWIHDGAFFGEHGLELEYDRIIIGLVNLR HRLFALIDEITARGGSAQTRSAVILKELESESHILDVDLETCISHIPSAWHQLQQHTL SNIDLPSWPSSDFYSPTLYSYPNPAYAALWGQYKATKMLIKSTRLRILALHNPNNLSL KKKLLSDMHSVSEDLAAIVPFALQRFKLIDDTSSSSSPGSSVTLNLKAEIKPIDTSLV IWPLTIASGLEYVDSQHKAWFKAQLARLGRLVGFGILETAETDQWLEL AOR_1_1938144 MLPRLSTLSIYLLSSVVLLGAFSRFTHGAYTPGWYAFQEYHAPD DGSTVARITPIMDTIVGLTLLFGARTAKFSAAAVSLTFFIMGLAMQVLAGKDYKGDVA LVVLAAAAIAGALWK AOR_1_610144 MVHSQGMCFQIPVPEGFVVKSPEAARDVVYNIATSGAPSVMKAQ ILAGGRGKGKYNSDGKGGVRIVDSPDEAFENASNMIGYSLVTKQTPPDGLPVNKLYIY KSVDIAQEFYVAITFDRARYKPVLLMSDDGGVNIESNVNQLQRFWFNLSTGITPEIAA YIEAQFGFSDDDMGMVTHILRQLVKLFREKDATLLELNPFVRTTEGSLICIDAKFNFD DSAKFRQPELVSLVEHSAEEKDEYEASQLGLSYIRLDGNIGVIVNGAGLAMATNDLVT LYGGNCANFLDIGGGATKETLSKAFSILQGDSRTKALFINIYGGIVRCDMIAESILAA SAAMGGFSIPVVVRLQGTNYEKGFKLGSTPLLLLLATD AOR_1_612144 MSFHQTSCDIHLTPEQGQRRTSLVAICNNDEGSGLTSDIFLDDF LGNDNGHFIWGGKNVTRTCRNLEITREGPSRLPVLHGDLLNSSGDYVSDHCYLDEHIF NINGELLFKPDEGTEW AOR_1_614144 MSSWTWAPKNARRSPLRLITGRHIATVGVAISFLYLFYVFVLPQ FLRFRFRTDLSQYDLGLYGFGPSTGYVSFEYESPIIEITESDSGCDPRYTFLAPRGDS VPQAGPMILDAHGNLVWMKYNWETTQDFKVQRYKGEDYLTYWEGREIETRGYGSWYML DSTYTQRYVINPVGNYGGDLHEFTITDEGTALVTIYDPTPVDLTDVGGPELGWIFDGV FQEIDIETGELLFEWRASKHYPVSSTYEPLGEAGTDRASAFDFFHINSVGKDDQGNYL VSSRHTHSVSCIDPNTGSLLWTLGGKMNDFTDLSDGEATNFAWQHDARWHANSTLTLF DNAAHSYNDPDNESRGMAIQLDIPSRQAWLLAAYYHPQQMKSVSQGNVQMLDDTGRVL VGWGHSAAYTEFSADGELLCNVHFGASAFFSFGRVVSYRAFKGDWVGHPQTTPDAEVD DGKVYVSWNGATEVTSWRLEVWTSDDLTESSFGVVAQFEKTGFETEIDIPEDLGPLFR LAALDSEGNVLGVTEVLQKEQRSSSDKFLDLHNWVLGLAMVVSVGGLLAGLYRYCCCC KLFKLYRLRSNDYQLVAMNENGEHSPV AOR_1_616144 MTVTSSFVSHPLPIRHDSPFPVSNIPFGIFSTAEDPTPRPGAAI GDYVIDLFRVANRGALTIDYADGAQDIFREPTLNRFAALPRPVRSKIRKDIQALIVNA DSPLYNQTDQAKLLIPRVAVSMHLPFSMGGFTDYTCSLEHVTNVGRVAGFGDIPPSFR NQPLAYNGRASSVVPSGTPIQRPYGVGADGLYPCQKLDYEVELGMFISTKIPIGEHIP ASRARDYIFGFVLLNDWSARDVQFAEMIPLGPFNGKSCGTSISTWVVTMDALEEAGAI VPAKADVATEGKFTSNSFLKCAEDVSIDVSTFISRGESHRTPISRSNLKHTYWSPFQM IAHHTSAGCGLAPGDLIGTGTLSSSAKQASEDLEQASTGLGRLGCIHELTAGGKNPVQ LVNDLSLVWLQDNDEIALEGWAGEGNSRIGFGQVVGRVAPVAEIPGMVSVAST AOR_1_618144 MPPPVQVAYKRIGKPTVGENGYVDFQPGKTEVLPKGWNGFNAKP LISDIRVEHDVEIVVRDGARLYVDIYRPADTTEKVPAVLSWSFYGKKYSALDMLPMCV WNCCVPRSDLSGLEKFEGLDPQSWCPKGYAIVSVDTRGAGNSDGQICVMGSQDAEDGY DVVEAIAAMDWCNGSIGMAGNSALAIAQWFIAAQQPPSLKAIAPWEGLGDLYREQFCR GGWFFMSNFDLIAQRIVRGPENSGLEDYEEMYRRSPVSNAFWADKRVDMTKIQCPAYI RGSDVSSIHTMGSVRGYLEIPHDKKWIHWGSKQEWYELYSEPESMEELTVFFDRYLKG IENGWEKTPKVRWSALQFGDREAIDNIVLEDFPVPSTEYRNLYLGGNQQLLRTATTDY STVSYDSESRASIAEFNYTFEKPSRLIGLPKAILYVSAEEQDDFTVFVILRKKDKDGK ALMHLNFPFHATPVKSITEIPEKDQASLNLHLGSVGILRASHRAIDSTKSIHPQFPFH PHLKQEKIEPGTVTKLEIGIWAMGVDFDAGESISLQIGGQYPSIAKDITSFSKPRPAY ELNKGKHTVHFGGEYPSSVILPFI AOR_1_620144 MGAFKIIIVGGGIAGLSAAIALRGNERDIVVLEQSSMSQEIGAL ISLQPNASKIVEDQWGLGARLREQGSMIDEAFEIYNTKGELQSQILLSAVSSKYGADR VCYHRVDLHQALKERATSPDYPGRPVELRLSSRVLDCDCETGTVKLQNGETIQGDLVI GADGIKSKLRQAVLGEDVEARPTGLSAYRIMIPTDELLKETDFMQVLDPRICRTAMVI GQDRRLVMGPARNGSVYGVVALVPDERMNESSKDTNWNTKGDRNKMLDTFSNFPKWAQ RPLLSAKEVGLWQLRDLDPLSTWYRGRVLLIGDAAHAMLPTQGQGAGQAVEDAEALGA FYKGFEKRYPDRSLSDISKTNEDIFNCRYERATTIQMYSRQAAKPGTDSSEKRVTMNP AEFMDYNCLYNGAMDWNRRRQEQGSVASAAA AOR_1_622144 MSTTTTVQETITLNQPKGRMALDGKPTNYGDFRDALNRDGYAVV KGAIPLERAKKYADSFYGYLEGFDLGYKRDDPFTVKRAKLPVINEKGMILSYGVTHEK WVWDIRSEPGVVEAFEKVYDDSDLIVSFDVVNVQFPGRGDFPENKPWPHQDQDPESPG FRCLQGLVNLNPGGPDDGGLIVCKGGHKFSEQFHREMADEPRIPAWTKEWFGFTENGM KWLKDHGLEWEKVCVEPGDLIVWDSRTPHYNVPPTGKNDRLAVYTCYMPVADASQEDL IKKKEAFEKRLGTTHWPNAQHVAPTNIAMRDGAPCPKAREVPVEEPVLGERAFRLTGI PYIKQEA AOR_1_624144 MPEKINGHGVTQCDGSHKETACHQNDQNSPPNTSPQQQPQSQEG QQPSPSVEDNLADEKLSELSPVHQPFDTSLNVERGTNRMKILGGSSSQCLAKSLDVYF EAARLKPVSASFSHGMRHAEELDIPLTLSLPPLPDRDSRDRYARIYLARIHPTYPIFS PHAFRESMAQLATIADYRHLPRDNVPVLVLVYLVVGLGSDEEAQSVTEAGEKYLQAAA GLVSHLVAIPYLPTVQALLVLTLMYRGRNQEGLAWQTLGMAIRIAYTLGIHRPQTGPA LATSNNSHDERHLPTQIWAVCCCLERMMQLACGRPSSISVEHVNPVGSLNCHSPYLQW NLGLAEYQGSISQHIYNYHPGSRNVRHILLDTARLDRLLLSWANVIPPDLRPGSDIFC PEEEYHMAAYLSMQFHETLIALHRAALIAPTASFDAEIEKHCSDEPSKFRLRNGESIC VNSARAMAKLTIELSERGTASRLIPAGSSLLACIVLAIHLIKNPKSRLQTMDLELLKL CLENCSQQLARCNSDPRFMEGMLSTQ AOR_1_626144 MSTTTTEIQEIAEATHESFTIKDLVDFSGRTYGDWRDEFHRNGC VVLKNVISPERAKYYADKQIEWLKNFELGFDENDESTWTAEHLPVSFKGGMYFAYGST HEKSVWEARTEPAIIEIFEKLWETKELLCSFDGINISLPRRKDLNWSPWPHCDQNPNR KGMQAVQGLINFAPNGPKDGGLMLMKGSAKLFDEFFAQKRDQYDHEDAPPPELKYMDL FLFHEKDVKWFEERGCELIKVNLEPGDFVLWDSRTMHYACFPEADQIRHAQYICMTPK RFATEKALELKKTCFENYLGTTHWPHCNIRPAAEKPMRDGKVCPKDRSEPFEKPVLTD AVLKLAGVKPY AOR_1_628144 MSQKDKSSVGHVEDIEAVETGQMKKHEATKVMGTVKLTEGSIIY IPTPTADPQDPLNLSMLRKITILVVISIFSCLGLSLVSGFGGLLGFYIPQYEEVGVTY NGITYLMTYPTLFMGIGNLIGMPLAIGVGRRVVMLASTAILAVGAILCATAKTYEWHF GARILVGLAAGQSESIVPMISQEIFFVHERSRALMGQQAIQVCLTTVWVLFAGPIAEA ITPKWWYGLGAVLAGALFVGTFFLLPETKYERSLSAYQEESSSGDEVLTEGFDDNKPN HPEAVPCTERPELDFVNYSPRTFKSDLRLWNGKPEWFKVWEVLKQTAELLLFPNVLWA LLLNGLVIGVNVAIGTTYSTIISAAPYNWPNSSASYINCGQIVVAIVALPLLGHSSDW LVKFRAKRNNGLHEPETRLIPLILPAAIGTFTSSLYGEGGAHPYDYHWFVYAWAVAAY YFCFVGVNIVTITYLLDSYLARAGPLLVIVCAFRGITSFGTSYGTAPFIELHGYDGTF NTFAALTGFLSLIGIPIFIWGKRIRAFTGRFAKDKTN AOR_1_630144 MRLQSLIVLLGTAPLALAWLPTDQGRSLSTFTNTNTTKIRGVNL GSHFIMEKWMASSEWSSMGCGDYKSEWDCVKGIGQDAANAAFKTHWQTWITKDDITRM VSYGLNTIRIPVGFWMYEDLINDTEYYPRNNSIEDLTNVCQWASDADMYIIIDLHGLP GAQEPNQPFTGRYVDPPQFYQSDDNAERAYKFYEWIREQIHNNRSAFKNVGALELVNE PLQNTENADTNWMVEHFYPSAIDRIRAKESVLGVSDADALHVTLMDDKWDSGGNPTRS LNDTQKEKLLFDDHNYEIYLVRNAETIDDMITDACGDNRTSNVSPKVVGEWSLAFDNT GDNFLPMTGDHAKSYSKWFSAQQRQYEALDGWVFWSWKTDTVPNIEQWNYQKAVDAGI ISKDLNAQYDQNPC AOR_1_632144 MRDFLSLLGLASIIASSSAAVIPSSLAAISSSIGQVSQFTSSDT TSAEIQSGIDSLIVAASGTCSGPIQSAPTSYWLADQDHSGDARGYAPNVNNTDYPVWR NVLDYGARNDGSGNQTSNLQKAINDNGSGGSRKSSGVTRYPAEVFLPGGTYQLGKTLE LRVGTIITGDPLDPPVLKAASNFDGDTLVLGYDSGNGNPETSFMTLMRNVVLDTTALS ANTKITALQWGVAQGSGLTNVQIDMPTSSTGHTGIYIKAGSTIAVTDVQITGGAVGIK NSNQQVNFKNISFKYCTTAFEAAGGHTALLQGATFETCGTGINMTSNSLGSLVLLDSS SINSGPVVRFHDSSNDSGNRNSQILIQNLSHDNSNAIAVDSKDNIKLGAVSHVDTWVW GNVTPGQYESGISFSTSRPDVLLANNEYFTRAQPTYAEYSNDQIVNVKAVDGYTVKGD GSTDDSASLNAILANNAANCKITYFPYGVYLVKDTLVIPSGSRIVGEAWAVITGAGDA FKDAGSPKPVVQIGHEGDVGVAEIQNMRFSVAEILPGAKILEINMAGSAPGDVALWNT IATVGGTAETTIANSCTDQDTSQCMAAFMILHLTKTSSAYIENFWGWTADHNLDGGPI TIISTGRGILVEATQGTWLTGTGSEHNWLYNYNLHNAKNVYAGLLQSESPYMQGDGAT QTAPAPWTAESEFGDPDFSWCDEDDQKCRTSLATNVDGGSDIFLYNSAAWAFFNGPWD GTYSHQCNGSCQSNMMRVTNSPSNLVWYSISTRKTDVMVLDDQSNPIEYNHPGGWEAI IQAYRQFTWAQ AOR_1_634144 MSSAKVYVGNLSWNTNDESLRQTFSEFGNVLDAIVMKDRETGRS RGFGFVTFSAQTEADAAIGGLNEQELDGRRIRVNLANARPAGGSRNYGGGNGYGGGYG GGYSQGGYQGGGYQGGNYGQGDY AOR_1_636144 MQNVKLPDNFPANYSPDTRAQYSIDTGKVIAGYWQGWNMGKPCA TMKPEEIPVESLTHLIFSFGFLAPNTYKVLPMPDTEEGLFRQVTDVKKKNSNLKVLVA LGGWTHTDPGPYREVFTTMVSSSANRQMFITNLFSFLSQYGFDGVDIDWEYPGAEERG GRPTDKEDFTKLLQEMRQQFQNKYVITFAAPLASYYLQNYDLKSASEAVDWINVMAYD IHGTWESDKKAAGHTNLTDVNKGVENYLQAGVAPNKVVLGTAFYGPGAEGQCVKTAGY LSYTEIQDIISGGAKPVFDQAGSVQHLTWGGDNWVSYDDPQTIKIKVDYARRKGLRGL MAWAIDMDDEQRSMTKALSGH AOR_1_638144 MSLQVPREPLLSLLFQVWKSHPTKTIIRDLSDGYETTVEQFLYD VLTTRERILESLDSQVKDRLKGVDTDVFVGVLVGPGHEFAVLAFALYSIGAVIVPLSP ALHPEEGKYFLGLCNAVLTITVPATKDKAKAISDLTGLPTLNINVTESVRPATLKFSL QPEGGPLINADKGFVLLYTSGTTGTPKGVLHSRRGAETAYVDSIRLFGLTPSDTWAHF SPVHWAAGWLFLFHTILAGACLEFCSSVFSPDWLLERWEKKAGPEDGLTAVFLVPSGL QAVGEKLEAVRKEGPPGRYDRILQGLRGMRLICSGGARVTPELRSEWMELRGGKPLMV AYGMSEVLAFVVAADWDSGVDLPMDCCGRVRRHVHLKLNEAGEICIKSPARFKRYIAE DPTVMNGIFDAEGYWKTGDMGKLEGELVYIFGRSSQDIIRFCGWKILAPEVESELAKH PFISEAIVLGIQDTSAGQLVAALVVINDDTPEGNSLELSAMRRWLAVERQMNAYKLPT VLRLVRKNQELPMTLSGKFIKRRIRDIFFNQEELKSDRVQVHDLSTNEPDIGDRPFDW AGIQAK AOR_1_1940144 MERTKWLDGLRGIAAAIVAFDHYFMGEVWHPFVSFWADPPEGNR RFVQLPPIRILFSAHAMVTLFMVISGYAISINILKARPSQQYYTRISSAVLRRPFRIY LPVLVMATISQLLFFFNLYNWTFDEDLLKGLEPWKAPWAHVEWLFLYMTDSLNIIAFA YNGGFNGQLWTMPVEFRGSNVVFVLLVGLAAWRPKLRLWFLPLLSLYFLWYGLWDTFG FIWGLFLAERAAAAATPATGNGSTQTTATDDEEKLPFFPLSRSNWKSHLLNRKNFTLA RITTTISFLLGYHLLCLGDDGKLTPGYQWLSVFHPAKWHDDWQLIHWCWKVVGSALLV YAIGESPLLQRPFNTRPVQYLGKISFSLYLVHQAIYHLLRDPLRNYLWLLGNGTAYPG SVEAAATDPMSFHVAWWASGIVLGTVVVYAAHYYTIYVDNKCVALTKRIEKWLTS AOR_1_640144 MLFGVALLFLGAVAANPVIPREDGSSTPTPTPTISSSTPISAPS DACATSSTSSQWLSVCDTTIFWPTSTNYYYGPTTGPEASAVSCNAEWVEFDGRATGLE SLGATSTSTIYSTYTTSTGACNTQIWGEGWDDPHTGPVTTLCDGIPRALGPREYSTTY WPGTGPCSSFIATETTTTLVYRSPSPTPSCSLNTEECIPIWQTYTSLRDAYYASITTA TPGDTNSPIAPGSCPSTKRNYTEQDPCTNCHFLPGTATLFYWPVTTTNGDLCLQNGST VPATATGEGPNTAIVNGNTFVSPSIYVSFTSIYARSNRRAHPGGSCGGEYEDVIISVD PKAVSSYRSHVNAKYPTIGTAYPFEYDEFQPHEVGNYTMSLIPWEKYQGASQCPFRGG NKCTMIRDDYMPWMGIPEGVMTQIDPRWTECDREWYIPPVSMVPLVGDLESLPTGAAE ARVPTPTPAVPESGVAVPTPEATLW AOR_1_1942144 MTSPEIREFTVKIPRERGERLKRKPRDTRLPGQEIVPGAGTRYG PEYNWTDDLYEKWTDDFDWYFVQDKINEPSHYIGEFEAVQIHFRHSRSKTANAIPLLL INWWPAVFYEFSRVWGPMLHPVNENEQALHVVVPSVPGFCCSNWPPKAGWTLQDTVRL FDSVMKKLGYNEYMVQCGGTRHFVGRELGMRCTPSCKLIHFNFIPSEMPNNAKSWTER EHAIAERKEDRYENHLGYAVCMRTRPHTIRIGLHDKILIMPSTQCFSENLPNEEFVEF TTDFCSNSLKVRFGYSSFLGDTEHSSKRMVEMTGKVVYYQEHGDGGHFAALKCPGELV QDVRELADQEWKK AOR_1_642144 MRIQSLVVLGTVFSGAIAHPEPGAELSSKWPSTTTGPVTAPTPA ILQGNNGPRNKRYERGWLLEKRDSTTEDAATTTEDITSTTEEATTTTTEATTQADTTT APTTTDATTTTESSTTTTSSTTSTTSSTSSTTSTTSSTSTTSSTSQSTSSMTTTTTKS TSTAATTTSSTFTATTTTSAEMREYNRRGHIAAIITFSILGAIFFGYGFLHCYLSSRK KRQIAARKAAAEAGSNYSLVALNEGAKSQSEVNFDRSSMMFASQSPSRTDLSSMVQQG AGAQGYSQPMTRPSSLAVSETLHQSPPAGPRSLSPRGNFI AOR_1_644144 MATTEKENGKLGSAKWLQPYLESLNPETEWEEIVRTLANYTLNE FILNISYVSNFILVIQEPLGSEALVHTEKVFDRKQERFVDSVRYFTPWFIDGPSSERV RKSISSLNNTHMRIAKRLPGRWDHDDDFIMPCVQMALFGHNMKQRLDFQGMVEYSNWF NRRSFKSTEKGHLASVALIQQFCERWFPRPLHFVGYEIIITFLPDETIDRHRLGPRKK WLEFLIMLIFRIMFWLQAVLPDPKKPCYPYMDSRSAKRVTKLKTTLTAILSIVAVSAT ISAYTVASYA AOR_1_646144 MDYPAYIESYNTANAERATALRFFNEDVILEDGKNRTKGREEVI KLLEAAHDGISEALHIRSWAQTGNTILAELDGHFVSSEDAPGHFFYPFKKGEKVRFRF MAAYTLAGERFSHMRITYWPSPVSDE AOR_1_1944144 MQRIANCTTLVDNRGSNKSSSSVENMEVAVAPDVYAINCDLQPF ARHARGLSPRLLGSNESEISLLNDFLSFDLSVDSLEPLSDILGNDVVSPFNADQKSVD SSPSRTMGLVYYLEDSCNANIPSDIGQYYGSIYQDTRNAEDGSQDSRPTKYAAQRYLR AFFDSFQRHIPLLHVPTYLKHQPPEPLQLAAYAVGALHAFNQVAARDAYRAGHEKLLK EASTLAPLERLQTLLLLTMFASWSDEPGLRAESIPLQGQLAAELRDCPSDISSSECVS WDVWVWRESMKRTILNIICFLGIMNVSHLSSTMFLPPGFDIELPYSEDLWTCNTRETW ERLFSTAPRPQSFTAAFHNLTSLSGSILQNDSGLAHLVLMIALHSHVKSCRTLAASLP QSLSQEMTETIQMQQQPPQRLLLDISKIPKLDIKQAGHLRHFHNLAWQIDGEWRHMGT QEPAQEFLDAYRYQISSMAYGAGVAHFHRLPALRSVFKPLLRRLIHKMLRREVWGYWF NTSLSGNRTDPGRKELRKPWADPVVRENIMYSGHVLLMTSLYAMLFDDDEFEKAQSLM FRWDPLFFGLGPEVFSYDNRSLQAAILAEMEKNHWIGVCCEPNLVFVVCNQFPLIAMR YNDVRDGTNVVEDILAKYKEAWEEKQMVASNGLYVDWWYVNQDRPEAPKQVGFTAGAN AFMNTWNSELVRSAFGRQAFGYITNLDGEIRLNSPTLANVYREIAGTDAGNGALLLKA LEIAKTIPPPKFPYSLPTFGYVVQWLSELGKSVELEGLLQYADTNLNPTWEKGGLFYP RNDQPIDESHRWRHMDRFTGNAAIGYARLNVNDGQKIMWDKPWTRDILARRPWIDGVD LSQGVDFLRGQWNSEENALVLTIKTWDGSVVEVEPTARNLEKGIWAVYIAGELQMCVE VIESNNLTFRVTAGEVEVDVVVIRVR AOR_1_650144 MTVNTTQSTSTSGSPLKLEHATLEDIPELIEVWYNAFDTPDMLA IWPNTPGVRQWWDQANRHDMLHKPREKYLKVVDTRNGRIAAYAKWSLETAEERGPRFP PWHSDMDPERNDAFIEGLELGRARLVGGKKNFYLDMLGTHTDYRKMGAARMLIGWGCQ MADQEGAFAYIDASADGRPVYEKFGFVDRSDSASSAAGLASMVREPRN AOR_1_652144 MPSEANKSLGIINEFMREYEKEQEIYASAAKQAEMLCEDVLKRE SVECIVTSRAKDPESLEKKLHERLKRRQETAASTREGKKGYGCIDDIRSDIADLAGVR ISLYIPRKKSHVEKILRREFDVAWNLSLGEKGDIQATEPRLFPGYCADHYRVFFKQGS SQDDRVGKRMIEIQVVSVLRHVWAQIQHDRVYKQLIPTAGEDIRILDALSSLLYAGDF VLDQLFDSQASRRQWIEGFPERRDDNLGNVQSLFTLLKAVHMDTPEQLWGALKNMDIS LSQTSDYVVLARTYEPLHLSISNYIMHRIIRPRNDRGVVQSIRQLELNTANDHCSKVN AERGYLHLDMANQSDLQQQFPVESQAQETSVCSLLGVATAQFPPTPEGVTVVKSKLHE NVSMSFKEPKICETTPGVRSYSGYVHLPPGFLDDDTGDKQDYPMNTFFWFFEARKDPK NAPLAIWLNGGPGGSSFMGLLEELGPCFVASDSKTTILNPWSWNNEVNLLFLDQPMQV GFSYDVATNGTLAMDYDAVETTIVPGDFSVDIPESNFTHRVGTFASQELSQTANSSAL AAHALWHFAQTWFFEFPHYKPVDDRISLWTESYGGHYGPAIFGFFQEQNERIGKGTAE KGAQYLHLDTLGIVNGLIDAVVQGESYITIGYNNTYGLQIFNQSFYDSLMHEWARPGG CRDRAIACQAALKDRDLTSGKRNISEICKNIDLDCEGGGTAQYQQLDRSWYDIAHPKN DPFPAHHMLGYLTQESVLKALGVPVNFTEAAPVVHKQFDKTYDITRGGFLDSIAHLLD SGVKVHMMYGDRDYACNWIGGEKASLAVPYSRAAEFANTGYTPLVTSEGIKGMTRQLG NYSFTRVYQAGHEVPAYQPVAAYEIFMRATLDRDIPTGEIGITGEFKTSGPKDTWHIK NVAPEMPEPRCYVLNPGTCLPEVWERVVAGNVTVKDFFVVEDDTDDGMVGWPQDSSQV VLGGM AOR_1_654144 MGSISEFDQVPTLTAIERIGPKGYVRYIFPFQLDDDYDIDEVSR VLRAAYAATQRRVPAMACEAVPDMNTKQAGVLKLQRLDDEAIEDIVIQDLRVSDTFPT SYAELKSNSFPVASLDADLLCRRNLGLLESHPEYTLLPFTPSSAPPKMIAQNHRAQVF YFSPEALQALKAEASPVNTSVPSDQNWISTNDALSALLWRTVMKVQWPWEILEGDPIS VFNIAIDGRLRIEPPVHPDTLGCFLEYVAVSAPIRKIVGSTNIADLAILIRKAILKAD KQFTDDLVALIEKLEDVDRLVPTAFLDVPGFNCVQTSWINFKLYSLDWGSLLGHNIGA VRSPHVGIINGLQVVLPAPPDGGMEILVGVEESCLDKLLQDPLWMKYAVPR AOR_1_656144 MALDQAVIAVFGPPPANVNLDDSQVAQDNAAVISLLAIATVAVI LRFIARFSMKQPFKTDDCLIIVSLLFVCATTGLSIAGGTFGAGKHVRSVTLPGLTNIF KILLTYTFAYAGACAACKASILFFYRRVFVIAQQDLLLRLSLLVGFFLTLSYPIIVWV TMGNSCKPLSYFWSQLNGGSGKCIDTNTFFLAAGIINMVNDIVVLMVPFPQIARLQIN PRKKIAICAILALGCFGCVASVIRIYFVHKFTNSADVTWMMGPLFIWSTIEPAVAIVC ACLPHLAPLARLAHIKLMSSYGTKSPYASVPWRPRRSGGAGHQKGSFFSNRSKGGRLG AGKTYPQGLDEIGLTSQVTSSLQTQKPHSIESASHDNVHVQSIVLQSDFLQSVFNREV SRR AOR_1_658144 MSSANTVYTGVWTNWSHGRIRGATLTLPQEYAGLLTAFLAIFVS FAGTMMWRILCFIIHQNNTTPPSEKRDFLHHSRQVILRNSGSGTAAAWAFTTLAWNAG RKAPQALLRILPLVLLALLNVALFGVSGVFTSYVTKVPGNTTIILGPHCGGAEGTPAA DPGGIYLSKVLEDTKQAAAYAKQCYQGSSSLACGTYVRQSLPFTTQQNVSCPFAKGLC RFNDQSAFAMDTGLLDSHDDFGINAPPKNRIKFRRLTTCSPIYGADFGSDRDEPGLGT VVYINAGLTPQLVEIGNNWTFSYVARSAFDRLHAYSLSSVYGQADPTGVLHSTGAMWY PDPRLNQTDSDITLMMLTQNDVYYRTPSDDPWIAAHEKLNGSDVYMGDYTVSLLGCID QYQFCNPNLEGDTACTKLGGINSVAKDMVDRFVYFNLDQLATVERFMTTTHLHSMYQA VQGRGGSALDIGQKLYNGHQYSVPANQWQIEVSNWFALSLAKAQAWPIEWATEPQNFD APKEGEDSPWQYSPPTTPEAEAQCRNQLVHNSGDYKSLSVLGMGLILALGGVIIILGL FLDSCVGLLQRGKRAYLREQWWTEETLGLHGAAYRALGVSTNWGDEVPPSSVFQGLTS ASDGYRSVPLGGADAEGKSDGGIIVSERHESHRDTVV AOR_1_660144 MRLQIPFLSLLSLLLFASFSHAFVGPSCMKMKDTLGTKPDIIFK KFQSEICDKGCKPVVAHYERFARKNVIKPLVTKVMKDMGMPQHTKIVLNLAEDVFKVV NEKCAKNLGKGHLCQDPETLTKFGNCLKGNLMPVVMGRVGELMPLVAEPICAKQLAYF EKGDLWEKVIPSYIDKYAAVCQKL AOR_1_662144 MLNSAIRNRSSSLPLHEAIASGRRWLDECLDGHELCPKNTQPSS YPDLLLELDGSTVRVISPVERKISGPYVALSYCCRDPNSDFLRLSASNKEAFEKGISC SELPTAFREAVDLVQGMSIQYLWIDALCKIPQFQSHEEHSSGWAELEVIYANSIFNLA LATVENPTESCLGGWPSHVGLPFQVETCGLIGDDVRTVVPYRYYPNSLYHQPLGNRAE SMQERFWSPRVLSIGLGELFWDCTQLQNASESLPQGPAAMARWTKLRQTVIPRTSDRM KLEEFWWLMVEEYSDCELAHPETHKLAGLSYIANQLAIALDDVYIAGHFWRTLSYSLN WRVDPQLAPVRERSRKPQRIANMVNNKVDERKLEAPSWSWASMDGTVYISRPWNFASL ADVEAYTLAPVMSDKNVKGARPASLIIRTYCREVHWIDGKPMIPQNLWTPVENFHWFR AKLDDEHDTPANGTRLLLAALTEDDRMCTWEGLVLQQLKAEEDIYQRIGHFQFHRIPA EEPRETWQDDYRSMLDQRRALTLV AOR_1_664144 MDSLHRARQANKSVSGRRSKLVAVFVGGTSGIGEATAKQLAAII EFPTIYLVGRNGSAGSRITQELTTLNPRGTFEFIKSDVSLLREVDTTCQHIQRKERMV DLLFMTPGHLATRKNDTVEGLDNNHVLRYYARMRFIHNLLPQLEAAEFPARVVSVLAA GREGEIDESNFDLQVSFSFGTAATYGATMNSLAMEYLAAKHPSVTFIHTFPGVVQTPL MKSSFGYILGSVIGLVAKLMSISEKESGERNVFIATSTAYPPATRAGERSVDGVGVAV ASTGKLGCGSYLLDYDGKDVTNQTLMRSYREREYLTKLWDHTLDIFQRVLGSNT AOR_1_666144 MSTSTNNSLELNTTRTYDLIVQPSDLASAISSNAKIDKFPRVLT ASRMVAFMEIAAARVLQPLLSPGQLSVGTSINITHSAPTPAGAKVTAEARYIGQRGKL YESEVIAKDEAGEVGRGSHERAIVEIARLENGAKKRGASQ AOR_1_668144 MGHTKAGSSAITAENVHELFPEVEALSAVSPVTCGVSAAESGDF EGYDEEQVRLMDEVCIVLDVDDEPISRASKRVCERGMDLESAILGAKRAARRKLKQEL GIKPEQVPLEDFHFLTRVHYKAPSDGKWGEHEMDYILFIQADVDLAVNRNEAQDFRWF SPEDLKNMFATPGLQYTAWFKLICESLLFKWWADLGTPEFQRNTNETIIRRM AOR_1_670144 MTSHERLHIIFGTAAFGTGSPLAKIQDVETAAPIIVTLQARNVT DVDTARAYPVGSPGAAERLLGELGVPTWANLSTKVNSWAPANAMEQDRVVLPGFGKPL NDDLPLIEKEGPSKGQRLRFPHALCDNCYSDGVSVRERRMLDFINKITDKPEWARKVF DEDIVGKWRSEACVHSEELNDDYLSTAMFDYCIEELRDKAAYFKDSQMVSVYDSETAI VKSDTAVTPILAESLRSNVRVLEDVPENLKDWHPGSDQTVLDLLHPSLFPLIHGRSRA LPYGTVPLDDCARLTGEGELVVPDLYEYGKVVPYKNTSLPQWGNFQWLPSNVEFDKDG TPRIVSYINNLQPRQHKELYGTLERFVAAAIPLWNECLSWDHIRLRIIYRRGQDENDD FFIPDGLTFTPDEDEVDSPEKVRPYTYQEAYEDDDISAIYSFDEWVRENRVFVEKEPD PFRSRRQWEEDSEHRAVNLQAQFATSGLQVIFKLANIHLTPEKPEYEGGTWHIEGAMN EHIVATALYYYDEENITPSHLEFRQALDADDFMYRVPQNEYASTEYFYGIENDNVAVQ MLGSVLTKPGRLLAFPNALQHRVQSFKLADATKSGHRKILAMFLVDPYIRILSTANVP PQRKDWWTEEVRKVPPLRSLPLELFNMITDEVRDFPLSWEEAVEVREALMDERGALID DANDAIEESTFSFNEH AOR_1_672144 MKFTTAIATAILAGSALAAPERGLAARVKARAAGARGSRPLQTV QKPAGVTNKTNVEYSSNWSGAVLENPPSASATYTAVTGTFTVPEPTGGSGSSSASAWV GIDGDTYSEAILQTGVDFTVTNGQASFDAWYEWLPDYAYDFTGIDISAGDVIVAIVES YSSTSGIAIIENQSTGQKVTKELSSSSPLGGINAEWIVEDYEENGSLVDLVDFGTVTF TGAEAKAAGGQTVGLTDATIIEITQNNKVVTDVTIDSDSEVTITYV AOR_1_674144 MATEKPRVCLAYSGGLDTSCILRWLIEEGYEVVCFLGNVGQEED WAAVEEKALKIGAKKMVIEDLRREFVEELCFPAIQCNAIYEGRYLLGTSLARPVIARA QMRVAQREGCQFVSHGATGKGNDQVRFELAFYAIQPSIKIIAPWRDPKFFKRFAGRND LLDYAAQTGIPVTSTKAKPWSMDANSAHCSYEAGVLEDPNHTPPADMWTMTADPLNAP NEPADITIQFEQGIPTKLVTPEKTYTDSVELFNALNKLGYTHGVGRIDIVENRFIGLK SRGCYDSPAMTILRAAHLDLEGLVLDGQVRSLRDQFVTHNWSILLYNGYYFSPEREFI ENSLKFSQKRVNGEVRVRLYKGSPYILGRSSSTEKLYDAEEASMDSLEDFEPTDTTGF IAISSIRLKKYGLQKAEEGENLSRA AOR_1_676144 MASDTTLPFLQFPPEVRLSIYQYLIPDLPIRNFSLLRDRSKTIH LRHDGSRCCPAILRANHQIYAEVIQEWYGSTSYEIVLDTKYILFCGKVIPPYVPLPST IQWVQSVRLCLSIQGTPRHIHSQSTLEHLLGFQDRLTTLAVALSDKGYRKLSRLQIDI GVNIPLLLSLSKTPSELVELLNWNLLPLRENVRDVPDVRWELQEQSYGIQSEEFQRSY AGMKSIMCAFLQDMRLDMLERPDG AOR_1_680144 MAVPACWHEWSTTPSGRGVNVRTGIEEHLNDVTLTRSGGYFERR VSFGIWGLKVEARVASQEHLEGRCVNDFRQKSFISWLDVPAVDPYVV AOR_1_678144 MSRYDDFRIAFNVEMSNEEIDAALTANGLIALHHALSSSSGYHS NDSSHRPSSEAHRRFTRRLTPTEHTTPRTIPNLRQSPELEMNEISPRQTYFHNDRPYY DVYPGPTNTIHRAENIIGESHLYPHDPLFIESPPERRPSIQRELMLRELSLALQTETH RRDRPSEKVVTRHSLALIWSESRLERFIDLVRPGFDNTWMHNIREEWLQTLSILVDIG WQDWGRFGDIFLKHRDEHGKWDRSDRMIPTYTLAILEDDSFLGSPWAEKFQASQYTFC PIDIEEGKSLTFSKEWKLPFVNDISTSIGNGAYGRVTKEIIGSGHFRSQSEHHLPGAP YSKDIAVALKQFEGRGDFHSETNNLDVLRSSLSKHDRIVPFLATVTIGNSFNILSPLA DMDLDVFLREGHQRCPDFTLRDLMQEAAHLAGALAFLHQGLDSNPPGLSCCHMDLKPG NILVFHGDALDFPKVGKWKISDFGISIMSRPERTGTTVTEFVDSFTQRQRLSPPPGPY QSPDGAGHGLKSDIWSLGCILTRILALGLEGADGMMHLDQLRGTDGDGASPYENDYFH RGSPPVLNPHVQSWLSGLTSGRYNYNQEFLTRYRALGMFKRNCITWLKLLNQLFFAHP V AOR_1_682144 MRVNNSARRPRNPLLLRTPEELEDDVHKFYDRNNLKDVVDLELL VKGARIAQEPDNLYTLSLTPAEFKAIKDEKESGFWQQSKDLKVTILTTACAAITQGWQ QSTINAGSEGWKHDLSPQGEDWTQSHLLLGGFIDAAPWLSGSIIGTWLSDPLQEGNYG RRPALFISAVFCAACVLGTARCVTWQQLLACRVILGIGIGAKASIAPVFAAEAAVDHL RGRLLMMWQLFDTFGVFLGFACDWIVDRQWRVLLGTASIPALILLFLVFLCPESPRFL IRRGDYTGAFVSLRQLRGTDIQAARDLYYIHSQLQVETEMFDGKRPQNWYSEEIYQKK VRETRFLKRIGKLFSHPRNQRACVAAFLVMASQQLCGINVLSFYSSRLFGKTTREKTL DTNGSQQRADVVWLNFGFGLANFLFTIPAYRYIDWRGRRLLLLISLAGMFLSLLAIGL FFRIETYTVRLALVSTFTIGFFTFFYGIGAGPVPFTFSAEVFPLAFREVGMSFSVMVN FLGLGLLVLFVPKLTDALGNYGESKLCFLFMGLNALAFVLVFLFVPSGTAKVGLEEMN EIFNTKMSVHVKEHVVSLGNLCGRRKMDDEPFHLQQFHDPA AOR_1_684144 MHTIAPLQGNSCRLNPLKPEHYGAIQPHLRYPTFVSCPEKSNVY LFDFISSSGPKTDGPHLFTNSDDFETHIATTAKPHTRIVSICSQNSMRPLGVTEQAMR KLINTYGIDATFLDLAVSFGDKPQSADAGHGAMTVRQKEDGSYDMQYLFAYAENNAAQ GNVPWTIRQTCVFHRYNPAGSGNLWIFFHARPRSKMQQQIEAEITSQHAGVSKRWYWM HLLVLSAYIGNWRWCIRSLGEEIEKTVDIALTLDLSKADHDKDGLIRLLTPQYLGDKL LPLSSRLKVALETVRKLDEINTFFQSKGFMTDDDSQRFASEMAYYKTTIEGYSKSVEV LEGKVKGISDLLAVALNLKGQTVANEINDKMLQLTSEAFEDNATVRVVTLVTLIYLPA SFVSTLLGMNLFEFGDSNGQGFTISKQFWIFVVAAVPLTMLTLGSWYIITKRQVKLRQ KRKEERAGSKMC AOR_1_686144 MHFQLLGLAALGSLAAAAPAPSRTSELVERGSSCTFTSAAQASA SAKSCSNIVLKNIAVPAGETLDLSKAKDGATITFEGTTTFGYKEWKGPLIRFGGNKIT VTQAAGAVIDGQGSRWWDGKGTNGGKTKPKFIYAHKLQSSTIKGLHVKNSPVQVFSVQ GNDVHLTDITIDNSDGDNNGGHNTDAFDVSESNGVYITGANVKNQDDCLAINSGENIE FTGATCSGGHGISIGSIGNRDSNTVKNVKVADSTVVDSDNGIRIKTISGATGSVSGVT YENITLKNIKKNGIVIEQDYKNGGPTGKPTTGVPITDLTVNGVTGSVASKATPVYILC GKGSCSDWTWKGVSISGGKKSDKCQNIPSGASC AOR_1_688144 MPDEEKNKQPEPAAGRETYPLMDMGNGIVGWESQDDPLNPRNYP PSRKWFQLATVSIITFISPFASSVFAPGVSLADQEFGNTSSIRSSFAVTAYLFGYFSG PLVLSPLSEVCGRRITLNAATSIFVLFQIGCALAPNLSALIVFRFFTGFGGSGCLTIG GGVIADLFEAEQRGLALSFFSFGPLFAPVIGPICGGYIAQRAGWRWTFWVLVIVGGTL TGIVMVTNRETNPTVLIRRKTVALRKELNRPELRSCYEMEGETRGPATLLLRTSTRVI KLLATSPIVLIMALYIASVYGCLYLLFTTITSVFQNQYGWSVETSGLAYIGLGLGFFA GQVVFALTSDRILIRLKRRNNNILEPEMRLPLCLPFALFVPISFFWYGWSVQEKTHWI VPIIGLFPFAFGIIGIFGTLQAYIIDSYPRYAASGIGALTVTRSLFGALLPLAGPPMY EKLGYGWGNSLLGFVTLAMVLLPMLFRCIGASLRQKFTVDLE AOR_1_690144 MNPTLQQFRAFGIDAKADATRGSNGTSGPSTSMDAPAAPRANGA CDVCRRMKIKCDRTYPCSHCRESFNDCVFSQRRRRKRSTAQLDRIEHLEKRMKAVEES CQQRDVPTTASVPVGSTEVVDSRQGNLNDNSVLDTSGRVPNATLPSALENEGEARFLH SAAHMDFVRRLKDELGNWPGADAENRVRARNVPAPKLFSLGNGLGQPISLPPQDRARH LVNLAFSAHFLHNFIHRPTFDSVFPLLFTLNVSDYSGEEYRYLALLFSLMALGCLFEI DDEGSREAYIVEGTQYFETCRSLADLQGCNDLVTLQAILYMNIFLLYTARISSSFSAL SYTFSLALRMKYHQIAETDDAFTREDKKHVFWTTRHLLACVSITGGLPMPIGCDELDL EYPSVECESRHRPTETRSDSPHNVARWNPTVASVACFRLHNILGHVTKRLYPLKGVKK THNPGPLRHLVRKDTVRGLESELRSWLASLPPYLRLGQEKHPLQIERAQYELCMSYAH AQIYLYRPFLHYLVTSSTGEIRSADGFPSYASACVDASRNIIRLAQDMYHRGLFHGVH WDISNMILAASLTMLYIILARKGSSVEDMALAELRTARDLMTLLEPYTTLAKRISIAV KLLTTAILPTGTSDLSPQHAVSSPSAQASDSHTTALAERTFGFVSRTNVSDNQGMLPG GRESDSMPVLATTGISAESLSQRPFPIASTEGSTSQGPPMLNAAQSSGASTSFPMQSD QAMYHTPFTSRDITEHNSLPSTLAQDYGIGGDDYGYMIDGTGPIDFSDMIGDLFASEG LL AOR_1_692144 MQSIRQYRRLRQDLAEARRGKLPSESLAKTSTSSDNEAAKDPTE KPAAIDPALVRGVTVSRPQEGDGSTVYVVGWRDNDPSNPQVWSLPRKWMAMINCCCLG IALTIPTSVEGPAQEAFQDHFGVSPMAGSMSTGIFLIGVGVGSLFSGPLSETFGRNIV YFVSMVVVMLFIMAKALAPSYGVALAFRFFGALFAATPMTVAGGTIGDIWTPMQIPFG LPLVTICAYTGPILGHVIGAYTPEIGFESADWISMIIIGAVLVFVLIAQPETCSPLLL EWRARHLRELTGDDRYQAEHTSASSLDFRLLANVYRPFFMVWTEPIILVFSFCLVLIY FVLFTFLNGYPYIFTRPYGISASSTFIIWVAMMPEVAVAIIMVPYIYSLTKKAAASVM TAGKPLQPEVSLYWAMAGASILMPVSLFWMAWTCYSDISIWSPIIASTIFGYALVCIF TTIYMYIIFVYLQHLASALGFMTFARYVISGALSPASIKMYENIGAHWSLTIVGIIAT VMAPVPYVLYNYGHKVRAMNKNIQNRA AOR_1_694144 MEPLSPPPALTHLFTLRCAVDPPMEIGNGPYGRRRCVPIKSGTV RGKYLNGEVVPGGADFMLVEENQTTHVNTNYLLKSDDGAYIYIRTEGTRSGPPEVLKA LMEGDDGVDPNQYWFHLHIKLETGHEKYKWMNNRVIVGRATRAKGEVAYDAYFLENIV AOR_1_696144 MSGRNAPRSKKGCSTCRRRKVKCGEERPVCKRCSNLRLSCEWGV PVKRGKSITPARQLQPRWPSRDVAASTTFAASTIEIPHPPFVTSPGTLWYPLDTTPDL TSIPVGSISSPGWLGVPPYHPPPPLYPSLSVTDFACANSLVLSEHDQKYFQYFPSSSL VFYYMKSWQWSSFCYLYQGPAATNKIIMRMILALSASDMHRNGLIVRTPGRPTAEDHG RYHYGLAVKEFRQSLVSPRQVSPAELEAIFATMFLMVTYEWQYGHCVRHLELHLQGVK SLLESHPELFQIKDVNNVLLSMESEESNEPESRVSFIPEQLLLWILYIDASCQPMGLS ESLYDYVLQSGNPALHPDRLYRCARVWGRCFWGKQYPDQEVSDDMENYRALELLHAGM SLRHRTWKLLFDNIPDSGYQAESFFNEIMAVRDKFSDLFITAKFAGPASMRRTLNTIN MAVSTFYAQILFHRRLLCPSQSPRTLHRHALNNILEITHKQYASEPRLMRRLHWPILV AVLETDDPAQIEWLRQRLAELRQCHTEIRWANEIVDEVLAQQDATKGEYVNLAEFLRN RAPS AOR_1_698144 MLYRPNTLWTWILLVSTFIEVVVLIALEAVIYTSIRNNVTPVAW DISAYRCIPTFFVLVTLWVVYQLLLVYDTSAHWGTIQLIGLGIYSAALSVYNGIQYGQ LRDIVEVLIANAAVRPGSLQGMWPLLQGMMISIIAIPVSFTFLILFSAWKLYEEFAWV TLRTVDADKPMRRRYLYLQLLLSLLKFDGFFYLGFLLQLAIVPYSKSSPTFAAAVALM PVSCCLLFITAWIVFHLALMGFFIYMLTAFSMSPSYLDYLQARKTLSFFGIINIVLAL GTVTVTGLCFVRMSRAVQKLDCSGKPLGARVTCGSA AOR_1_700144 MAIMQAKPSIADIKASVDAALESLQTTLRELNREIWSNPETAYE EYKAHDAICDFLEAQGFTVTRHAYGLDTSFEAISGSGGRLINFNAEYDALPGIGHACG HNLITTSSVAAFLALSALLKQYGIPGRTQLLGTPAEENGGGKAKLIDAGAYKGVDISL MAHAGPQKLFPGVDATGVGGVLMNARKQIHCEFTGKSAHAGGNPWEGVNALDALVTSY NNVAVLRQQLQPDERVHCAFLDTPKVANVIPAYTKAYWQVRSPTLKGLNRLMAKVRNC IEAGALATGCEVKLVEDELYTDIKLNDTLCERYQTHMGSYDRNVLKSHEKVLTGSSDI GNVSYIMPTLHTMFGIPGPDGSFPHHPSFAAAAGTDDAHVEAVVVGKSLAMIGWEMIT DEALFKQAKSQWENCIQE AOR_1_702144 MEKQHDVPPGTMPEIDDLKLEPEMSVTKDVDLGQMLGMDVTPQQ ERKVLLKLDLILIPLMGICYMMQYMDKLALSQATLFNLREDLDLQGTEYSWSSAIFYF GYFAWSWPSSYLIVRLPIAKYLSASVLVWGGVLMCHAAAKNFGGLMAARFFLGVGEAA IAPGFALITGMYYKREEQPARQAAWFFGNCVSTVIGGVVAYGIGTIKVNAIESWQLLF LFLGAITAAISIFLVILLPDAPQKAIFLTKTERTIAVQRTLKNKTGVMEGGDFKWNQA WLAVRDPQTWFLVLYTFSVNLCNGGVTSFSSIIINGFGFTQLKSLLMQMPLGGAQIVF LLITAGVATAIPNTRILMMIFNTTVSLVGMILVWKLDEDNQKGRLTGLALGAVFAVNI PLSLSIISSNVAGFTKRSTTSALMFVAYCVGNIVGPQFFLSSEEPHYPTGMKAAISGL ALGAFFLICLYVYYIFENKRRDAAYGAPSELTEEEERMQGLSNKTDLEIESFRYVI AOR_1_704144 MSVQAAWEACSKTDTLFILVCSVFCWLIIPAVGLAYSGYSTRYN SLASFYPGLLAVAVCSIQWWMLGYSLAYGEGNSVFGGFSKIFHIGVLAEPVGSIPEIL FSEFQLIFCATVCAIAIGGACERGRLLPLIPFIFLWCTFIYAPLAHMVWSENGFLANL GALDFAGGTPVHICSGATATAMSLYLSYPLFRSKRSPIRTPQHLILHKPHNTLCQLLA LIIIWNAWLAFDAGTTLALNFKSVMAACVTNLCAASGALTWASLTYYETGKWSLDSTF LGAIAGLVLITPSAGFIDMTTAVGFGVLGASLGRQALRIKFTKRAALLRWVDNGDTFA THCLGGFLGTIVTGLFARREVAAYDGSTIIKGGVVFDGNWGQLWIQIVEAVIGFVWSF GGSYVLYALVDCVPGFEVLGTDEDIIAGMDASQMGESLYAAQWEGEEDYHPFEGVRL AOR_1_1950144 MTGFILTLSCPDRPGIVHAVTAFLVQHNLNIIDSSQFGDPTSHR FFMRTHFSADKDASKKNIDELREAFEPTAKSLSMDFQLVPATQKPRVLIMVSKIGHCL NDLLFRTSTGQLAIEIPLIVSNHPDFATLAATYNIPFVHLPVNKDTKPQQEARILELI SEHNIDLVVLARYMQVLSPTLCEAMSGRIINIHHSFLPSFKGAKPYHQAYDRGVKIIG ATAHFVTSDLDEGPIIEQNVVRVNHGMSPKELTHAGSNVESNVLAAAVKYFSERRVLL NGHKTVVFN AOR_1_706144 MPISLVPNTRWSDTVAEGEMPFKRIRAQAAQKARKRLRAAVDSP PAEKQHDLDVNSGQSRHPTITYKFFGAYEPIATTEGGYKEWRIHTALSAMNVINQST AOR_1_708144 MKLLYTVALLALSSIAVAEDRTNETSTEATKATSQSSSNDGASK NLQSCHIPELKKGHILRASCFSDPATQQLVHSELDLNHCFGASLLKGELSAEADGHFS RKCVKCKLGGEQRGTGTHSGAWTLYCHCVAPKAEIQLEPTVFSNNQGLLECHGHVGKP ISL AOR_1_710144 MGANYPGTPNLTETSQYAFPLKPKDYAPGAVPTLEEWQKLWTAE VPNTWPELSDILVFREKVCARITALYQTQKPWQDRTIGRALWIGFEHEGLHLETFLWM TLMSPNILPPPDIPRPDFIHMAEQAVRVRVENQWFSIKPRTFTIGIEDTDDDSALSPA DFFAWDNERNTYEVSVQGFEAQARPASILDYAIYLVKTSQKDCLLVTWSTVSGLPDRS IASSPQSDPVIEEFIDGLALKTVYGLLPVRLALDWPIYTSYNEAEGYAEWAGARLPTL HEARSIHRQVEEENAEKTQDEPASKSIRDDIYTDLTGCNVGFQNFHPTPVTQNGNRLS GQGDLGGAYEWTSSLFEPQPNFKPMDIYQGYSADFMDGKHIVVVGSSWAFHPRIAGKK TLYVHLPSDISALSTYIQAVSIGGRKVTHILGSASV AOR_1_712144 MQTRRSHRKSRTGCTECKRRRIKCDELYPSCLNCQRRKQRCSLA ISRASTADIEPVRPSRTSDSEDPSRSAWPSFPALINIEFPLTPPALPAIWYGGVELMH HYSTVTAETLAIRPDMQNVWRTIVPEMGYQSPFVLHGILAVAAQHKAHLLPGVRDKYL DMAAYHQAIGLKGFRAALPNVNDNNWKPFFCFSSIIVIYVCSLLGQVDERGTDTVPDI LKLFVMIRGLRATLPIRDSQLAGTELAPWSHGVWILDEQDASLYDRLPQDVFDALRRL SGFFSTHLPESSRQDYEDAVILLRKAATLIVHAGTRVEIGMVMFFPYVIHENIISDIQ AANPYAMLLLSYFALLLKSMEDQFWFIRGWPARLWEAADERSKFHPKLKTMLRWPKEQ ALKLYTY AOR_1_714144 MSCDPNYVDASWSFYRYKPSIAAPVFFVILFTSTTALHLLQMTK TKTWYLIPFSIGGFCEIVGYIGRAINANENAGCWTLGPYVIQTLLLLIAPAFMAASIY MILGRIILLTDGEIHAMLKRRWLTKTFVFGDVLSLFLQAAGGSLLGGADEHNSLMKTG EHVIIAGLFVQLFFFGMFIVVAGLFHRRMLLAPTATSHNPLIRWQKYLVTLYIVSVLI WVRSVFRVIEYLQGNAGSIMRHEAYVFIFDATLMFLVMAWMNWFHPSEIGLLLRHEEP ISNGFELLPFARLYHKSAKKRSPTA AOR_1_716144 MKHHLMVGTWTPPGRIYTVAFDDEALTLDLVKKTDIPEAEPISW MTFSHDKKTIYGAAMKKWNSFAVNSPTDIVHQVSHPVAGHPLAANDDTNTRAIFVLAA RQAPYAVYGNPFYKYAGYGNVFSVESDGRLAKNIQNYEYEPNTGIHGMVFDPTETYLY SADLQANKIWTHRKDATTGELTLVDCIDAPSPDDHPRWVEMHPSGKYLYVLMEAGNRL GVYVIDEKRHVPVFTHITYPLLPPGLPPRNKYRGDVTFTTRSGEYLFATTRSNHFDVT GYITAFKLGPNGNIERQLFIHPTSTSGGHSNAVSPCDFSDEWLALCDDQLGFVEIYRF RDENLARVARVDIPEKGFGMNAIWYD AOR_1_1952144 MPSGRTRARSKDILQADPIIGYEVAAGTLEWHRMSRAHCSKAQG NCRPTTLSDYLKASVGDATTDVNLSMIRFAGSIHLTSHQGQDPILKCIVDLWAKHRVI VKDLFSYEKDCLEHEVNDSAIFNAIQVLQRELNVSLATAKEAARNIQLETEREMHGLY KEILGRTGTYSPEARYVRALVESLAGNVFYSSTAERNAMPLLGKSAGENEP AOR_1_720144 MATPGLLYVTMQPRDSLPAAQFHDWYNTEHGPLRLRLPFVTNGF RYRAVDGIEPEWVAPYDITDMVELTRETYLALRGDGVKTPREKATMAQIDVDRRLYDL LHDHKASDYKPVEDIPDTEAAGSVLVSALVTVPADKEEDLQKWYREEHFPMLARVPGW RRSRLFTTSSIDPKAAREFLCLYEYSAINGLGGPEHKAAMDTPWRNRLMNDPATSKKR RVYQWAYTFGPAPRELSSLAHKDVAGPWASNDGRTRTFPSPTRPAVESFITTPDGVDL PYRLEGSTDPHSPVIVLSNSILVDYTIWDSFVDAFLSNPKNQNFRILRYLTRGRLREC GEKPINIDLLASDIIALLDALRIPKATLIGVSLGGVTVLNTSLLYPDRVTRFISCDTN SSAPESNLKAWNDRVAMAESDGAVSATTHEPIIGEQLSEATTRRWFVPESYETQPEVP ARVKEIVRNNSLDGFRKGVQALCAYDVRDRMAQAQVPGLFVAGEGDGVLPKTMQQMAN DLKGGAELKLVPKAGHLPMAEQPAAFTEIVNGYLHA AOR_1_1954144 MFSACMIGGSVWGTGRRYKDLTAVQRVTAMRYWWLCEIAYCFAS VGGKISICIFLMRITVKREHIWSLYIVMILTVIAGLVFMFLMLLQCKPLEYFWTKVAF DPNIHGQCLDMTIIIAMTYVYSAFAVICDFTVAILPIFLVRKLHMKKQTKIAVVGILS MACIASSAVIIRIPFVHTFNDPDFLYATVEIALWSNIEVGLGITAGSLATLRPLLRHW LGSRTDPTYPSPFPGRSGSRLPGGASHDRPFPLGSLDESVQNRLRPDKLAVTVTTVQS QDHNGSWHGGSSPNSSEERLTAQGSPALPAVGGEMGLGIHRTFEVTQTSTSQTVREHF AOR_1_722144 MTYTRHSIQQLQEWYDAGNREPLDKFVRAFKYIQSLPADHDDSF HKLGGYHGEPFHYEKAPPNRSSKWWGGYCFHGCVLFPTWHRAYMLRFEQALRTAPGCE DVTLPFWDECAGLVGRPSVGLRPHHLIPRVLTMRTYELDGQTIPNPLFSFKLKQKIED LTVGKEQHYSKPEGYETVRYPLSGMVGTQEDEENTKIHNARFSDAESERLLNVNVTNW LITGPRHIPTRQGAKPPSDTTSILARYLKCLDTDTYTIFSNTTSQTKLMEKLCRERNE GHWLMSLESPHNGIHLAIGGFFQQGEDGTNADEIDDANGDMGDNETAGFDPIFFLHHC FIDYMFWQWQLRHGATETLTIDEGDPGAKVPEGGILDLPEGEQLTMDTPLPPFVKPGE KNYYTSKDMVNIESLGYTYGSGSLDKHHAPILHAPHVPVKQMVKISSIFRSKHKGSFV IRTYATGPGCPEPIEIGREPILNRWNVSQCANCQNHLEAVSMIPLDAEMLALLLGDDW EKENRTVDEIKYQVEIHARSEKTPSNEKRKRGKFFFSPTPLVGRLVPGGGLPAILKPP VFNVPKDMPTVEHLLRNKSFRVERTA AOR_1_724144 MVDADNKPTAKHDEVRSDPPLIQRKISVLEDIKRSPKVAGYCLA LTSGIILYGYDLAIVSNVSSMPEFQHDFGRKLGGQLIIPSLWLGLWNVANPIGGIFGA IFGGYVQDRFGRRSSLAVASIISAIGVAIAYVSNLPGEIDGRRAVFFVAKLVQGYAVN MLTCTIQTYMSEVLSPTLRGPILAFFPLFTLLGQLVGSIVVFTSLKEKGPGGYLKCFI SQWPFSALPLLVSIILPESPTWLVRKDRMEAARKSQRRLDSARVDSEAAIEKLCSSIR HEDEQAQNHPASYLECFRGNNLRRTMIVLFANLISQLFGLTLMSKSSYFLQIVGMGAT NSLLFLEVGIALGLVANILSMWTLSRFNRVPLIMVGLAITTLLWTGMGILGCFQGVVT IWWSAVTMMLVITVCGATAWPASYAVGAEASALRLRAKSQGLGWVMNGLSNGVFGLVL PYIFNPDQGNLRAKTGFVYTGLCLVGLVGTWYVVPEMKDRTPIEIDRMFEMHLPARKF KAQTFNDENSGTSPKSRSATPV AOR_1_726144 MAELSLAEGLVTFWHSYRLPILIAIASVAILLRAYRKFQPKSKA VTASSIPPSPRSQTPEKFEQIRTGESEKPVVVEKSVPKAATGNDPTPKVVSGPKRVFG KKPLKVAGRRREDQGAPLSFIQPIIFFASLTATTERYAQILLEDLRAAAKERADPENR ERGLLPPQIHDLSYIDFDDYFTTAPKPPSTSPGTRYVYCLLIPTYNIDTVLNTFLGHL DETHHDFRIDTSSLSTLAGYSVFGFGDKEGWPTEEEGFCSQAKELDRWMAKLTGKKRA YPLGLGDVKSDAESALKEWSRGLQDILVDILDNGGLGEGVAGSGDPLESDEEDLDDEE NDGNRSKRRNDQDVVDLEDIKMGSDGPSGSGPVPVDFTTAGKSAAPNQSTVKEMVPKT SPTYAALTKQGYTIVGSHSGVKICRWTKSALRGRGSCYKFSFYGIRSHLCMEATPSLS CSNKCIFCWRHGTNPVGTTWRWKVDSPDLIFQGVKEGHYKKIKMMRGVPGVRAERFAE AMRIRHCALSLVGEPIFYPHINEFLDMLHSEHISSFLVCNAQHPDQLETLHRVTQLYV SIDASNRESLRTIDRPLHRDFWERFQRCLDILREKRHVQRTVFRLTLVKGFNVDDEVI GYADLVEKALPCFIEIKGVTYCGTSTSAGAGLTMQNVPFYEEIQEFVVSLNKELERRG LDYGIAAEHAHSCCVLLASTRFHVNGKWHSRIDYDRFFELLEKEKADGTSFRPEDYMR ETEEWALWGNGGFDPNDQRVHKKGKKKALQAATE AOR_1_728144 MMCDMGDPLFKEKKHLSQSFNLEEQAIAQPLPARLSIGQKMERA LYFIFLAFFLMMTAHSLRTDPLGMRISSHHEVLTLEQRVDNILQKTPLIDGHDDLPIL IRLKYGNQIYQDNFTTKFVHGGFPGHVDLPRLSKGKVGGTFWSVFVECPKDWQNFSDA NYALSVRQTMEQVDLWLRLQQAYPDTFSTPPNGTTALQPFLDGKIISPMGMEGLHSIG NSLAYLRHFYAQGVSYATLTHNCHNRYADAAVTELPDGSVKKADPHWHGVSEAGKALV SEMNRLGMIVDLSHVSAETMRDVLGAGKDDWAGSSAPVIFSHSSAYAVCPHPRNVPDD VLQLVKSRNSLVMVNIAPDFVSCKAGDNPNGLPDFVPENATLEHVADHIMHIGQLIGF DHVGFGSDFDGIGTVPRGLDDVSKFPDLVAELLRRGVSDEDAGKVVGGNLLRVWRDVD RIALDKQANGALPLEDDLPEA AOR_1_730144 MHQNLTFTIPPHLNEYNVPLSSFKAARPQWTNFVVGGLVFSRFS RDSQDKSKEEGEPRVLLLQRALTDSLPGYWEGPGGGCEETDETILTAAVREVVEESGL HVSRIVDLVGVEEWTKERNGTMFFVAKFSFLVEVHEAQGVFGGIAGTDGEKTIEVEGD AVQRWEDRVRLEPSEHSTFEWATEEQVRLGLEGKGKYKILEDEGRNLMKGFRMVAQ AOR_1_732144 MVEKVDVLICGSGSAGLCAATWLARCGIRCKVLERRDGPMTMGQ ADGVQCRTVEIFESFGIGEELLRESYHVLEVVFWAANGSGDIKRTGRTADTQPGLSHQ PHVILNQARINGLFIESMQRFNDQIIDYGFDIKNVEVDSSLVNDPQSYPVKVTAEKEG RTEIFEAKYALACDGAHSTVRRSLGYKMIGDSSDAVWGVMDMVPRTDFPDIRKKTTIR SKAGNLLIIPREGDARNLTRFYIELPAGTKAKEVKLEDLQQSARAILSQYRIEFAETV WWSAYAIGQRHADFFHRDYRVFLAGDACHTHSPKAGQGMNVSLQDGYNIGWKLATVLK GLAPPSLLETYVLERQKVAIDLINFDRYFSKLFSSGGQTSPAEFQEGFIKSGKYTAGL TAKYDSSAITFHTEGSEQLSTNIVTGMRLPSSQVVRYCDSKPVQLMTALKSDGRWRVM AFVGDISKSENKSKLNALGNYLSSDESPLHRFRQKDADIDSVIEPILVGYGKRHGIEL EEIPEAFHPIAGKNQIKDLHKIYFDDESYNKGHGHVYEYLGISPEKGAVVIVRPDQYV SAVIGLDDYRQIGRFFEGFLIPQGESASPESKL AOR_1_734144 MVDSKVPQPGPAKLKRNAGPDEWLEAAKDCKYLSEQHMKQLCEI VKEYMMEESNVQPVSTPVTICGDIHGQFYDLLELFRVSGGMPDESMVEPPKTSPSVIT SADIEPPSEITDPKLRKKLRNSGSHSEGGDETSSQRERSSSAGSNEVTLNRNFVFLGD YVDRGYFSLETLTLLLCLKAKYPDRVTLVRGNHESRQITQVYGFYEECFQKYGNASVW KACCQVFDFMTLGAIIDGRVLCVHGGLSPEIRTLDQVRVVARAQEIPHEGAFCDLVWS DPDDVETWAVSPRGAGWLFGDKVADEFCHVNDLTLIARAHQLVNEGYKYHFANQNVVT VWSAPNYCYRCGNLASVCEIGEDLKPTFKLFSAVSDDQRHVPTSRPGRSEYFL AOR_1_736144 MTQTAAGKSTGGVLQIPIAATQKNTANAAAAPTPKKAPKPAAPR LKLLVRRLPPGLTQAEFEVAVGPEWKLGAGKIDWFQYKPGKVSKDPAKPSRPSRAYVH VVSSDHIIPLSNKVRQVSFTDARNTFNDPILLGPPSVEYAPYAKVPGSRVRKDARQGT IDQDPDFIAFLESLTQPITKPPTVENATDAEEKKETVTTTPLVQYIKEKKANKAKDSS NKSSKHAKADKETKAEKVQAKKLLQRPDKETAQAPEKIEKKAKADKATKEAVRVANKQ AANVAKQAAKASAAQSSPKDPGQSTPATERRRERGSIAAAAKILQRDLGLAPAGSRRK GGKSGSAETDASKAEATSSESGKKETPPRSSRGGASSQNAKVKGNVPQPNEPTSQSEA NTPPASTTPTSGKSSKSKGKQAPPAASTATQAFLKHANPSQGVTEPLLEAAFKTFGKV VKVEIDKKKGFGYIDFAEPDGLQKAIAASPVSVAQSQVVVLERKINPGGEKGRGKNRN EPQPTNAGGNNNSNNTNANGGRGGKSNEGGSGSSRGRGGRLKKGGGGGAKGSGGGNAN ANAPAKDAK AOR_1_1956144 MDDPNRICLTPDAYTVGWVCVLASEQYAARALLDELHAPPPTPR DENAYIVGRMGKHNVVITRPIGQGKVNAADAAVNMTRTFPQIRFGLLVGVGGGATDSP DPYVGKRDIRLGDVVVSKPQGEHGGIVQYDTGKRYPEGYKIISHLDKPKSALIVAANV LQSHHQFKEGHMKKYIQDATLKLRDLGMPYFGFPGREHDLLFRREYRHPEEGEDCSNC DRTQIVDRDPRPDDGPSIHYGLIASADLTMQDPKFRDALRKSEKVLCFEKEAAGLMDR FPCIAIRGISDYADTHKCKKWQPYAAVAAAAYAKDLLAVIQPHDIARDRAAAQVLEQF THDLNEVREKFNKMSTAMDTKYRHEIMGWLSTLDFKTEQEDLLSRSVFIGKWLLKSEE FMHWVKGSRWQLRCYGEAGTGKTNFCAMVIHRLQKTFGLKRPVIYLYLSDDEHKRVKQ TLDNLLGSMLKQLISCGPNVDIPQKLIDAYEGLGNGAYSTREIMKQAFQDLVAKQERV YLIVDGLNQCFPEVSELIKEYALGLVQDGLPLSLLTTSLGYREVKKIVFCNHCRKQNI GMYVHCDCDDGQFDLCLDCKKQGIICPKNHDGEEPYDTVRIEVRARNDELEEYCREMI SRALKTGRDRRDERVHPSPKYNPRPIARYLRDKPGLVNRISRQIAGKAQCSLIIAQLW LQNLFESKEPENDKGVLRFLDKIPEKRLTAYVDERIAKLKRYRKENELHIAFTTLALI MSACRYLTILQLQHALALHSDQVIESTLNDRTFILWSANGLITIDKAEESCSFVRFFH GTLPAVLAKSDHHPFLKRAEYEMAKTCLKYLQDEQSLRDHVRKANDQEIDKEAVRLLK HPVHLARLGALDIVETLLKCERLNLESEKELIHTASAMGYPEIIRLLHSALSQTNKIH IYNIDTRDERGLTPLHYASLSNSARAGEVTEVLLELGADPNLADDRGCTPYMMASLLQ HTQVMEVLQSNVTSPNSETRDTQDLPALTLAEHDHWALLREVTTTGRADLTYKCMLSG DTLLHMATAANETDILRLLIENKLRPESAVNEQGHTPLHLARTVEIAKLLVDDGYHVD STDLNNNTPLDIARRQPKTRDVADYLEDVSRMSEKKHRTERWEENTKGESETVSSELT VAIHDHNLTSSNSPAWIGRLKRCILHLQFWTFLLLAIYVVTGNT AOR_1_738144 MLRQIIGTLVLLLMQVFAVTSQQQPTSSTTALTFNPTSCGEIIN NEEVSIFDASQAHKCLTSLPFRADIASQLVQYVNDMIQFHSTLAYLADPPQSYQQPAV DLVSGLSQFQRDIDNNVFRNEYAFEAALNHLIHAAHDDHLELVGGALSRFTYAAPYRI VSVSSDGVELPKVYISEDLLANETRYLPWQSSAIRTINGQDVVEYLTQFAAVNSFGKL EPHADWNMLMRSAALEIQGKREAFHGAATYPGDFITFTFENGTTVGPLPWEALFCCNG GVGPLQTGDELYDFFVLGHYPASYDENLEADMTTISNRAAPAITPLNNPAYPPKADVT EASYTRDGGALLRGYFLHDSSLAVLSIPHFVVDRKAPHSFSNAVKQFLAQSTKAGLKK VVIDVQQNPGGSPLLALETFKIFFPSIKPWASSRRRVHPMANALGSALTTYWQNLTMD RPEYYNLTANEWVVTGRLDLDTGRNFTSWDDFVGPTDRYRGDGFTKKEQYNLSSTLFT MKAAGIEIDGHDNGQPYKPEDIIILSDGLCSSACALFMELMHHEAGVQTVVIGGQPSY GPMQAPSGSRGAALYKAENMHRDIELARGIDKSRHVDLPSRTHAFLITTATVNLRDQV RQTDSSATPLQFLYEAADCRIFLVPATWYNYTNLWKYAVDAIWQNPAFCTKGSRTDHT QPTHPSVPGKPYNASSTLSNLADSQSEGHPSSLQDDSNFILDKVGSPGKLEGRPCQLH TDCAGQLSCQDVQVCGIEQNSQKEFYGIPEKQKRCVEWCDNYTKNHFQESIIPNIANE SHQTTVLFLRTRTMKASIAMAQKTPLRAITG AOR_1_740144 MATNNANLQPFRAIRAQSTQSTITVYQAFSPEIAEPALRAQKFV PPFSRTRMTWIKPSFLWMAYRCGWATKPKQERVLAIEITREGFEWALRRSCLSHVPGS RDQDQEKWRQRLRESPVRVQWDPERDLWHRPLGYRSIQIGLSGEAVERYVDEWIVSIT DVTGLMGDVKRALDKADAEGAEGLLPVESVYPLSEELRDILDAT AOR_1_742144 MNIHDISFTSLGLVVLDEIRLPHKNPLTDILGGSGAYATLGARL FLPHPRSHSLGWMIHVGNDFPKPIEDRLQSWDVTLVIERESDEPSTRGLLEYKDTTFG PKEFKYTTPILAIQDSSLKNTPLLKSRTYHYLETPQNIMSRVSSLIALRQNAGESERP FIIWEPAPFSCTADNLQSCLDAACMVDVFSPNHLELAALFGQLRPVVADIHKIESQAL RILDSGVGPNGKGTVIVRAGEHGCFIIARDISPIWLPPFYRYGPGDKPDAKVVDPTGA GNTFLGAFAAGYLQTGNIVEAACYGSVGASFALEQVGMPERSNDGEEELWNGTNVFSR LHEYRKTIGLPDIHR AOR_1_744144 MTQDIIDNIAAEGISYYTPAQVPPAGTQVEGSTKLFSPLTIRGV TFPNRLFLAPLCQYSAKDGYANDWHLTHIGGIVQRGPGLAIMEATAVQKVGRITPQDL GLYDDGHIEPLKRITEFAHSQSQKIGIQLAHAGRKASAVAPWLSGNAMAVKEVGGWPD DIVAPSAIPQEEGINAVPKVLTGEDIGVLKKDWAEAAKRAVRANFDAIEIHAAHGYLL HQFLSPVSNRRTDKYGGSFENRVRILLEICEEVRAVIPTAMPLLVRISATDWFEFDDN LTKEFPESWTVAQSIRLALLLADRGVDLVDVSSGGIHAKSAIAIRSGQGYQVHFAQEI KKAVGEKLLISAVGGIKTGALAEEVVQSGIDAVQAGRWFQQNPGLVRAFANELGVKVR MATQIDWSFEGRGKKAKKSSL AOR_1_1958144 MRIIHFSNKFPPDDLADLFRRLRLHSKCPNHVILARVLEEVTDV VREEITELPAELRSLLPPFQSILDLAESFNWHQGPLSGTFECVFLVLMPQHETVLILI GHYESRPDEFVFRRDTSLFTGLGLGFLAATAIVASPSLCSVPVTVAEVVRMAMRTGLL IYQRSQDLEPQSLDGALESWTSIVKGMGEVAVREGIDEYNSSTDTPQPSSIYISVVEP DGSVFINGPPSRLRKFFSTSGKVQSAAHAPLPVYGGPCHAPHLYDHSHSSWAVKKCRA KVLSRDLSHAAYLLSMADGNPLKADTVLELFESATYILLTSIIRWGDVVNAITASSPL LEKDMKLQVEILRPSPVVDGLVSAIQKSHPGCSAYVVDLGEWIFDDTHISPHGAHEKI AVIGMSCRLPGGADDLELLWELLREGRDVHRKVPADRYDVDSHTDITGKQRNTSHTPF GCFVDQPGLFDAGFFDMSPREAGQTDPTHRLALLTAYEALEQSGYVPDRTRSTRRERV GTIYGQCSDDYRECNAGQDIDMYFIPGNYRAFAPGRISYFFKFSGPSFNIDTACSASL AAVQIACSVLWRGEADMVVAGGLNILTGSDSFAGLSKGFFLSKTGNCQVFDDAADGYC RGDGIGSIILKRLSDAQQDNDNILGLILGSATNHSSNAISITHPHAPTQANLYRSTLM QAGVRPQDVDLVEMHGTGTQAGDAAEIESVTKVFSPAVPRRSQPLRISSVKANVGHGE AAAGITALIKALLIFKHNEIPPQIALCRLWRSLGVIPNVVVGASLGEYAALYAAGTLS ASDVIYLVGQRARLMQELCTINSHSMLAVKATIGEIRHTVRNNAYEFACINGPRDVTL AASVEDINDIQQTLVSQGYRVAKLNVPFAFHSSQIEPILEPYNKIAHSVIFRNLKTAL ISPLLSDVVFDNKSFPPSYLRDSTRGTVQFSDAMTKAQEIGLVDSKTVWVEIGVHQTY TGAMRANIPNLEVVAPSLRSDESNWHTLAASMSALHSAGVHLDWNTWYKPFESQLRLL NLPPYQWNLKNHWIQHNGDWLLLKDKRSRTRYERSPGPAPPPLRTALVHHILEESFGK DGGTVVIQSNVTDDEFHAVASGHKMSGRPLVSVFAYTDIALIMARYMYSRLKSGTELS AMDFGKVRVFQGLIPRKDRSKPQYVRMRMQADPMCSSMPLSLHRVLDDEMNEEELAIG VVTCGDSHSWRDEWAAYSYLLTSRIEALHQLADQGLASRVSKDLVYTLFKNVVDYAEH YRGIQSAVMYGLEAVADVILSPSQDSRWTAPPHHIDPITHVGGLILNAGPAMDHTNTI YVMEGWESMRFSDSLMAGELYRSYVKMNPANDNSGFFSGDIYILHGNRVIGRVREMTL RPLPRILMSRFFDPPDSQYGQMAQQEPSTALPSTPQHTSSAKTTESTQSQQDESDNTS LATPENENKAPISGSWPNANSQLVRDAIALIASETGVEPDALTDETEFSAVGVDSLLS LVLVEKFALELNIDLQGSFFLETPNVCDLKAYLEGNQMTLR AOR_1_748144 MEVSEERDLYLHSITAALERLNSAASECQSRLLSEHDGSIEDHS ANQTAHDNLVQEAYKFLQIAQGPIDTVATCFVRTAHVACARSLLEMGAFEGLPIGGES RSTKELAEDLNVDEALLARLMRNSALFEETGPNQYRHTPFSEAYLRPEIRGMFRFAMD EHMPAHLKMHEFLKSNSWREPTSTSNNPYTYAHDTNGKSMWEYLSERPTRMASFNDGM TLQAMTELWMIDLFPWESLSDQQPTPTTVVAVDIGGGTGMGISRIRSYCCSLPGKFIL QDQAHVIQSADPRGNGIEKMAYDFFEEQPIRGALTYLIRRCLHNWPQESIIQILKNVA AAMQPEKSRLLIEEIIVPDMNAGIEEGWMDMIMMNLGAKQRTLKEWEEVLALAGFEVR KIYQIPGNCHGLLEVWLK AOR_1_750144 MQESLTPGSSAPLNIHDKRFAALYELGGKITELFAKELISQSGL PWSSQEPLVILDNACGTGAVSSVLHHTIGNDKKANWHLTCGDKSENMLHYTRQKMLQE EWHNAEVKIVNAQDTRLPSAHFTHIFTAFAFNLFPDDKSAMKECVRILQPGGILAIST WKSTIWVCTLSAAITNLSGDLPAPSEKEIHGVYNVGWDEEASVRAKFEQAGFNDIKVR KVIKEYLVPVNQFVESCTILIPTIVNIFWTQDQRDQYESELPMAVHRYVEGKYGRDGM ASMEAEAIIATGHKH AOR_1_752144 MAIQSTLEMCHLAESGVYLILVTFGVGLLFGLIVLTSDYLDGWL RRRALGDIPFVDEGSNMSACLRWWSRKFDCDKEYAEAYKQYSKTGKPYATRLKNNDHG IVLPLNSTKEWRTLPHDQLSFLHALSEFADLYMHTNITDRTPLHAVHYCNNTKTLSRF NRLMVDATDRALPLIVGKDTESEWKRANAFHTILSLCSTVAMSVLLGPEFSMDTSLIQ TIMMYNTAIMPSCAKRTSYPRILRPFVWRLSPLCRAVKSDLLKTKIKLIPEIKHRIDI ARSKKWWLEEGPMSLLDGLIETAFEKGCLSRSSDRGDDDQQVALLAEEIIFYHFELSM PVVFFIIFAVYVIMNNKEYLTPLREEISEALKLSGGSFTLDTLNHAPKLASFVKETCR LYDISCLTSFRRVMKPIHLESINLSLKPGTIIMAPGRDVHLDPDYYDNPTTFNGYRFY DASRGTCTPHISTTSPTFLTFSHGISACPARVLATQITRTIFIMFLLKFDVELAHEEM PAYGFANGPAYLPNPSVMIRVRPCQKDVLGV AOR_1_754144 MVHLFSPQIVLSALLAFIRDAPSSSSSATSAGYSLHFDITNGWA NLSPYKEADGFGVPKGVPRGCELSQVHILHRHAERYPESSWFDGEGMETFNRKLKSYS SEHNVSVGIGPLAFLKNWKYLLGRDILLATGTATEAVSGAEIWSKYGRMVYRAPPGMA VWDPELNVYPNGTRRATPTFRSTNKQRVLESAKWWLTGFFGPGHGNTSYNLVVIPEGN GLNNTLAAEHSCPGDLKEGTHASETFVPRMVKDPLARLSKYFPDDFDLTTSDVLAMMN FCPYEYATLGSSSFCDLFSEQEWLDFAYNLDLRLYGTSAFGSPTGRAQGIGYLLELSA RLERKLIDSSDTSINTTYDNHSATFPVHQPLYMDMTHDKVIIGTITALGLQYFNYGPK GMPSNVSHAVPRKFQLNKVAPFGARLISEIWTCPEEASIEVLDKTLYANPDLSDTKST TDFIRFVLNGAPLPIFDVVGCQHSKNGFCKVTHFLDAVPKLKEQAMYQQACYGDFKPG HQVGDGRPE AOR_1_756144 MASMLTLHRVTTLEQLEPLYQIGADAFRDDPCMNWFYPGGRDHP EDFAIGWKNILQMEFFDKGKFILAATIRDPDDDQTQPGRVVGFAVWERNGVCDAARSW QGISLSKRLKRFSLNFKIAYTFRLDTPRRSISWSKLTHFLHEIKLAKAGQPTESWYLS ILAVSSKAQGQGVGKKLLQWGIDRSEEEDIPATLVATDAGLHLYESNGFERTGWLFFD DERQKQTVMRRDTRLS AOR_1_758144 MPSAICHLFNYPTELLTLVYRHFLFYKMQFVLLSTLATALLAVF AVAEPFGIHVGANADADAEVAANVGVGAGAGVHFG AOR_1_760144 MTITLVAIATGIVAFILYYICWSLFSSPLARIPGPKSFALTKWR LAYEDYKGTRTRKIHALHERYGPVVRIGPNEVAFNSLSALRAIYGAGSGFERTNFYSM FAIYGRKNMFSFNTVKQHAERKKLFAHAYAKSAMLKGINASMIETKVRKYMEFLEREG RSQDIFTTLHYFSLDNITEFLYGDFGKTACLDGIEEDRALLHDILATGSRTLSWLTVH HPKLTAWLYSRTGFLGCVARQFYPMQRPTPYTSIKLHATKAFQGFADASVAEKAKQSS LISKLWKHHRSMKDGGLDNLDIASECADQLDGGIDTTSDTLMFTIWSLSRPEHWGFQQ KLIDEVRSISEHDLNPEGIPRVEAADKLPYVEAVIKETLRLFAPLPASEPRSSPEATT IDGYLIPARTVVSISPYTLHRNAEVFKNPLEFNPDRWLDPSQDLTEMNRFFWAFSSGG RMCIGMHLAMAEMTTFVAALYRKYTTEPRGGFGTISPGITSRFEVFYDEGCSDMREHQ CQIEFKLQ AOR_1_762144 MSQHLARDLKDLIMGEAKLLVAPRSYYDSLATDHNVTYTGFNKD TNEQLLFSRYDEICVLDKQATYGPYYVSGEHIRQNISEMQLGIPLYLELHTGIYSGIA RDPKLDLPPNGNADDRDNVNTTFFRGIQKTDDFGVARFETKFPGHYALRATHIHVIVH ENPHVLPNGTLELGTGSIAHVGQVFFGQTLIELADTFYPYTQNTNAIVLNQEDGIFYD EASSMDPVANYVHVGDSLEDGLVAWMAFGINTTSDYTDTVQEASFYGAEGGETNENYN ITKLGPLETVPSGVPTPSAFTTTIGL AOR_1_764144 MFQGQGLRLAQVLLIVAPAFITYGYNQAGVGPLATLQTWVHTFP EIDTINTHGAIKERNATRKGAVIASLQLGALLGALSCTYLGDRLGRRKTIFLAAAIVV IGELLETSAYNTAQFTVGRVILGIGVGQLSATVPVFQAECSSAKNRGQHVVVDGICMV LGFVLCNWIDFGLSKTTGQTQFRVPLALSFLFPLIILVSVFFLPESPRWLVLVGRTGD AVRSLAAYRGLPVEDETIQAEIASIEAALELTEQSSCFKLREVFSGKNEDRLFYRFTL CMVIQFFQQMCGGNLISTYISTIFEQNLKLGSDLSRILAASALTWKCLCNFIPFFAID RLGRRKVFMFSGTGMCLCMVVLTITTSFDTSNKAASVLSVVFIWLFNLFYPIGFSGAN FVYCTEVAPIQLRVAMASISTANKWLWNFIVVMITPVALDTIGYQYYIVYAAISACIP VSVYFFYPETMGRNLESLNQVFRDAPSVWHVVSMAKDLPKGDVSHLDVEGVEKKLDIE QKEHA AOR_1_766144 MTPLPPGSEAEEQTNCTTDIKAMEKHIEDVSEYNKQQPPEGVYI PSEEIQARFELLRYLSPEQMEALNKRVLKKIDWHMMPCVTLMFLMNYLDRINVSNARL AGLQSDLNMTDTVWNAGISTFYVGYLVGQLPGNLLMAKSNPRWFLPVIMFMWSCGTIC MPAMTNGVGFCVVRFFIGLAEAPFFPALTLLTSSWYTKEESPMRMAIWHAGNTISNII SGFLAAGVLENMDGISGLHAWQWFFLIEGIASIVVAVASFIFLPAWPHNTRFLSEEES QMAQYRVLVSNGGRDETVGGTWDGLKDAVKDPFTWFFCLMHFALVTAQSFKDFLPSII KTFGFDTMTTYLVQAPPYAIAYVFACAAAWSCGRLQESTWHIVIPIIISAAGCSILIS TLNVGARYFGIILLICGTYSGLNLQLSWETTVVPSPRAKKAALIAIANCISQSSHWFS PYFYPTSQEPFYRMGGGLVLMGCALVALSAFAVNWRGRRLNKRLDEAEGWTMHSGNER GWRYKL AOR_1_768144 MATNPVAELRSTASTPKTQPQIAKKNAVSPGISLAAGAVAGAVE ATVTYPFEFAKTRVQLSQKAASGTILTQASRHPLAVILETARHDGVRAIYTGCSTLIL GTAFKAGVRFLSFDTIKNLLADTDGTLSPARGILAGMVAGTVESVVAVTPTERIKTAL IDDARSSTTRRYRGGFHALRTIVAESGISEVYRGLLSTTMKQSATSAVRMGSYNVIKE IVSSRTSIKDTKNPALTFGMGATAGVITVYMTQPFDTIKTRAQGAKGASTMEALRDVL KDGGVRAFWRGSSMRLGRLILSGGIVFTVYENVAALLMGSRKRRTNE AOR_1_770144 MESVHGNKSVSASETSFRPRRRRALEACSFCRRRKIKCNNERPV CINCKTYAQDCIYEPIGGRGRETGGGHHDRSRHRRSIQRGALNVDKDEDSQSSLLPQN DGRIDHLPEVDEVTTSSNRSNGDTERRGAPGCSEARVAHILVSANGESSYHGRTSALF EDHAQDRSIGADQRPGMPDDWVERGLVAEAAKQRQMEQLNFRQGKLDFDGVDPDLGMH LLSLHWNRQHHSFLITYRPAFMRDMACNGPYFSKLLLNAIYFGAAKFSPRLEVRKDPN DVRTAGWRYRERVRELLGGALDRSDITTIQALLVMTNSLFALGDERSAAWLYSGLAFR MLIDLGMHVDLTSTRRFSDEDLEIRRRVFWGAFVVDKIQSLYQGRPVSLKETDALVPI KFLDTYEELEHWQPFAYSTSAPDYPGMPAYSISTFTCLCKLSLTMSDILSCIYTERSS NQSPAELASMLDELQLRLDQWQAGLPEHLRFDPGKAHSVAFPPPHVSSLHAMHNSLVI LLHRPFVADGHLYSTSPSISVDSFKKCASAASNISNLLRAYHRAFSIRRAPYLISYAT YVAATVLTRIAARRKNDSTAHANLATCLAVFKENQETNSAVKKAANIVQGLMKKLGVI IDNVSIDALEIDPPIRCSERNPQHSHSAIEGDASVQANASNQSQNSLGIGSVTGLSNT KALSQPISSPGSDWVDIDGIIQSFLQENSSRGARLVEYETNGTPTQLPRAPWVPLHQG DLPPTMMMDNARTVGNQASLRPDIDVPNGAHRSETAGGTYPWQHGWITTNCEPASLED PLFGLNGSSIDSFPFADW AOR_1_772144 MATELVTETRRLRRHALPCVLMRAGTSKGIFLHQKDLPTKEADW APHLISALGSQGNDPRQIDGVGGGTSTTSKVAVVRRSQRPDADVDWTFVQVAVGKESV DFTGTCGNMTAGVAPFAIQEGLVKPRRDQTKMDVRIYNTNTDRIVIETVALDDSGDYE EDGNFIISGVKSPGSEVKCRFVKPMGSMTGKLFPSDNQQQQTLHVQPGSLMPNLEPFD VRVTLIDSANPFVLIDTTSISTTLLGTNPSDSDRNDLVETIRRAGAVAMGLATDVEAA SRTRGTPKVALMYPPTFTQANGSKKSRPDIRVQAYSMGLPHPSLQLTGAVTIAVALSY PGTIAAGLSAMGAIMHGALPPTPEQSPPPDDREKENIGWERDVLIEHSQGTIKVGVVM DDVGEVASCAVSRTARRLFEGKVRYYIQEDAM AOR_1_774144 MTQLSIETFVVFTAFGLLWLSYEYFRPGSILSRFASMRSKLPPG PPGLPIFGNMFQFTRARDAGLWGPFVATDIINKHGKITSERPDMPIAGDLVSHGLRTV IRPTAAWTEGRRVMHHLLSGSVLRIYGNWQEIESLQMLSAYLREPKHWYAHHYRYSIA VLYRLVMGENLSKTQDELNDYQKVTMEITLSSLNSMVDFFPRLDRWIPIYLQWWRPYW AKMGDFHHSVFKSWWDPIRDAVRQGTANPGFVRDTLLHPDMRYKGTEEEAMYLATSVI AAGSDNTRMALNTFIMAMISHPETMARARQQLDAVCINEDGTLRLPGMADFDRLPYLA AMVKEVLRWRPTTPVTPQHQLTEDLEYEGYRFPKGTCFVVNGIALGQVCDNPDQFDPS RWLDGNEGNIVHNLWAFGGGRRICVGYRVAQQALYVAIARIIFCFDLCADGPIDTRKL NHFHIHNEPFPVRVTVRSAKHEFLIKEEAEKASTAF AOR_1_776144 MADFETLALTTKPSAQLSYNYQPAQGISKPVLVVFLNGLGLPQA FWQPVIAQLKALRQDSPIPAFLTYDRYGQGQTTDRDPSDAGAEDPTHGHDCLTAVRDL RQLITQISADKLGATDVDSVALVLVGNSIGCALARIYAQEYPGTVAGLLLLDSILANS DFVSVFPDPDAPDFDPASLGPLPVEAICAVREGARRIFHPDVGNKEGLSRRNLRELLP ASDGPLLKGPDGHGPYVTVVGHDFDTFAEESTKMGPPKPVTNRFVNPYWHKYNEGLAK ITEAEYSKGPLQAPNAGHFIQKDNPEFVVQELNELLGKIL AOR_1_778144 MEQMAGPRPPDVSIGYRLLVGTTVTFVCAFIVVSLRGVARSLYA RMSWDDYLTIFALVQALIATIFDCIAVDKGLGCHLIYIPKNDAVTAMYYDLLSQVFCI NALSFAKISICLSYLRILKGSRHTVLRVICYLTAFLVFVVNTVVTISFAGLMGLGVIT GVFATIRTIESGLGLKNGISDASYTTVMGLMWAGMEPDLGNDVILGNSVFV AOR_1_1962144 MTNVDGLLSTRGGLNVTQVLGRIPSHVLNPALHRDEIDLSMAEN QHLDWLKGFFGDATLLDLLASTVNTHFRSHSQVAADNIAVTAGAAAGLDAILYNICNP GDGVLVPCPYWNGYDALFALHSGVRPVGVVVPSLEDSFGPALLSALEESYEKASCPIR ALCMAFCQRRNIHLVSDEAFAFSSFTSPDFTNPEPFISCLSIDPSRVGCDPQRIHVVW SMSKDLSASGVRLGCVITRNRPLRDVVGLVASVHVSVLSTVFAKEVLASPQLPKLLTL SATRLAKAYSTLTTAFKATGIEYFPSYATVFVLARLAPNATAWDEEMLALRAYMQAGV AVAPGRAYHMAERQKGWMRVTFAVSNEDLSEGIRRTKTVYQQENHKMTTNITKSAWKV IPMFQSTSISRTVQFYTETLGFELGSVKPENGTSELTFCSIFMGKKADANFYFSLASV EEFKASEAMIALGTQELDEYYRYLNGRDEVTITEDIEDTPWGFRQFTIEDHDGNKLTF FKFLEGGNPGEE AOR_1_780144 MTSDSNSDYVFQGWMGLDKDSVGNMKWQTYEPKPWEETDVDIKI THSGICGSDIHTLRSGWGPTMYPCVVGHEIVGIAVRVGSEVKHIKVGDRVGVGAQSDS CRNRRGKCSDCSAGRENMCWKEGRADTYNGVYLNGGKSYGGHADYNRAPGHFVIKIPD QMNPTHAAPMLCGGITTYSPLKANGCGPGKRVGVIGVGGLGHFAILWAKALGADRVVG VSRRESKRADVLKLGADDYIATEDEKDWAQTHAASLDLIICTVSSPDMPLRDYMGLLD SYGRLVQVGAPEDKLPVLYAFDFIPKGKSLSGSVIGSPKEIEEMLQLAVEKNVQPWVV ERPLSDANQAFIDMENGLARYRYTLVNEKHI AOR_1_1964144 MHNVVANTARPSLDELRMTEILQAIERGHVDTAQSLLDGVSPDI QDRTGRTLFSRAVSLNSKEELQSRLKAEIMFDLLIARGADPDLGDHTGETPVHWAAKA GDHEMVCLLLQKGTLSDLPDKWGRTPLSRAAERGHNLAVERLLVDGRADSNSRDNRGR TPLSWAAESSHLQIVETLVNHGADVEIRDNEGQIPLWWFLNNTDRSNPTDRGTTKEPV DFQRWCSILGPKSSIEPVTKKRRTFLAWACERGDRQLVQELLRTTWTDPNSIDRHRKT PLIYALEWKHYEIADMLMSGVEPERAKKDCVSLHLLIREGRSRLLKMFLERYKSNLKE EDKYSAIPLMRMALQQSDRPTVRLLLDYKASTQGLKSSDWFGPCSTLRAAEPLVGLNK ANWDGYSSIPVMEMTIQERDRIAVATLLGKRAQILEIEDDDDDFAQYSSNIQQSIAVD IMTRKDGRQAVEWISEDTFDQKMRELTKSPDESHLILFRENQAWKTYCQMDNIPNELK FSLGNRSPCRTFSLSIVTRFRNMKDPQDSREDSSGEHYRIRTIEWSVLEAPPKTIHYF SNLPFGWTPQSDLELIELFMQTWKDDWMCFCRDERRNLGHLRSYQLTAAGKDDFLIDA IAGNMQKWTQMQWMLEDQLNQAREFVAQYQTFTESRQLSEYMGKIITAFEHDVSSQID KMEQGIRDLLQVEFAWVSINEAHRSTSLAASMKRLSWITFIFLPLMFASSLLGMNVDV LQDNPSWY AOR_1_784144 MTLTVDLLKVIHSLASAAWDSCPINPKTSSEDRSNNQNALLHRQ RKMGRYTREEIDFWREKFREINTNGDRYIEPYELIAAAKEQGFEMSDDEAKEWIEELD GNHDGKVSFSEFLTAFGELKSKQ AOR_1_786144 MEAWGLQLTVPSTHTLLESGGESHAPPITGSIAIAVPSSGLRSS TENFPKFEISFTRSVTPKPCDVVVPSNNKSYSFFFKRNSKHKSSRNQNSPHATTQPEP NVETLVQYDLCHAPDEIDPKEGEDETWLKFSFYLPIPSNIPPTTETVLGSVSYAITAT VAPSTISSTRILKDTQQIKMSRRVVSEPIRHIRQYPGERVLTELSMVPSQLYTDTPEE MKVAYSLEWVAHSTIAKGARDMEVKYVVGKELKWRVEETVKYLSISRGGNPQREAVTT TCKEQRVRQLCEGKQKGHWIANGGLQGGDHTIEIPFDINIPAKVKASDGIDLSSYLCH HQEKACDCSPSETTGVIVEHNLILEVITGQDTFDEATGRLVDRRPRMKSFNAVFPFPI KNFVSGDSISLSEFYVDDTLPRYDDTYLRPPNYATAR AOR_1_788144 MPLISVDRGPSPPLDSFSCQLLHHFDQNIATKLAWVDGPENPWR QIILPLSHASPIVRYSLLAMSSEDLTHKYTVDRPYFHHLKAQSLYYRDRVLSHLPQHL ERLLKAPISLDCANEARFVLATVLLLYNLELLSAKTTQWRLHIQGARAIIQWKLQAIG LHRPPDVADNFLRYEYYFTAVFNGLTTFDATYDVIDDIPINDKIAVFGDFVRIMHCVT RAERINFSGSPNTETTRVEDVVGEIETARDRALQLNHIIRFQYPDARHDFEHLTHMYY HASLIYSHRVLSDPAPSQELIQASRDAILDHLSHLIDLAYFAHDLVWPLFVCGTECRG SPDKQDTIERALLGVIRLSGSLDRRRFLSFLRMFWQLDCGDREVSWIEVARSRPADCS FMII AOR_1_790144 MDPPRLDKITADSNLEALGYTPELSRNRSTWQVVFMVFILASVP YGLSTTMLYSIAGGGSANMIWGWIVVSLIMLCVAASLAEVTSVYPTAGGVYYQTFALS PVKYRRVAAWICGWSFIAGNITITLAVNFATALFLIESLNVFTDATGVGITEDFQAYQ TYLIFLGITLICHVIPAFGNKWLTHLETFAIFWTLVGVTAIIITILVVASNGRHTAKY VFTDFSPQSGWPDGWSFCIGLLQAAYALSATGMITSMCEEVRAPAIQVPKAIVGGLIL NALAGLAFLIPIAFVLPDISYLANLASGQPVPPIFKAATGNSAGAFCLLIPLLILGII CGVGCVTAASRSVWAFARDGAIPGSKWFKKVEPRLDNIPLNAMLLGMIIELLLGLIYF GSTAAYNAFSGVGVMFLTLSYACPVAVSLILRKRRDIKNCSFNLGPLGLFCNIVCLAW TCLAIPLFSMPTFMAVTQETMNYASVVFVGFFIISAVWYWVWGYQNYAGPPTEEGMEG AHTD AOR_1_792144 MTPDGSRITEPDRDTPFLVYFVSSLTMADLFTTIETPSAKYEQP LGLFINNEFVKAKSGRTFETINPTNEKPIVAVQEADENDVEDAVKAARAAFEGEWSKV TPSERGRLLVKLADLFERDSDILAAIEALDNGKAFTMAKGDVAAAAGCLRYYGGWADK IHGQTIDTNPESLTYTRHEPIGVCGQIIPWNFPLLMWSWKIGPAIAAGNVVVLKTAEQ TPLSGLYAAKLIKEAGFPAGVVNILSGFGRVAGAAISSHMDIDKIAFTGSTLVGRMIL QAAAKSNLKKVTLELGGKSPNIVFDDADIDNAISWSNFGIFFNHGQCCCAGSRILVQE GIHDKFVARFKERAAANKLGNPFTADTFQGPQVSQLQFDRIMEYINHGKQEGATVATG GERHGTEGYFIQPTVFTDVHSDMKIAKEEIFGPVVTIQKFKDEEEAIKIGNSSSYGLA AAVHTKNVNTAIRVSNSLRAGTVWINCYNMINYQAPFGGFKESGLGRELGSYALENYT QVKTVHYRLGDALFA AOR_1_1966144 MTITGNQIEVDVLVIGAGPTGLGAAKRLQQLNNASWLIVDSIET LGGLASTDATLEGFLFDLCPSQLTGTSIMLEVSESSYKTVNHNTLLADSVQGLINTDL LKPDDEVVSTYVCRFDHGYPTPSLERYGAMTNILIYLQEKNILSQGRFGSWKYGVGNQ DHSFMLGVEAVELILFSGFEVTLSNPDFVNSRANTECRLASTKVVRR AOR_1_796144 MVQYYESISDDIRDWALRQSVFFVASAPLRGRHVNLSPKGLPDA SFAILGPNEAAYVDATGSGNETISHIRENGRITVMFCSFDKTPRILRLFCTGSVIEWN EPEFPQYLERMGGKNVTGARAIIRMDVFKVQTSCGYGVPQLALTHDPETDEVKPYLKD RETMGYWAGKKVSAGQMRAYQQECNSSSLDGLPGLHSALRDNHKSVWRAQLAGWMNRH RDELEMTKTSILLLFVGMAILQWAGYI AOR_1_798144 MTSRPVRIAGASGSASDRRHAITEFARNYPQDPVDVIIADFMSE ANMVTGAARRIDQDKAQGGAGNSMSAMPASAPGYEPAFLLALEPALEDLAKHGIKVAV NAGNADTEGLYKVVTQMVKAKGLNLKVAWVSGDEVLPTVKTALSTGKSTFKNVYTGET LSDWNFEPIYAQCYLGGLGIAAALSQGADIVLCGRVSDASPVIGAAYWYHGWNRSDLD QLANAFVAGHLIECSNYVCGGNFTGFKTLEKVGSNGWRNIGYPIAEISADGKVVITMQ SSAPGGAVTVDTCSSQLLYEIQGPWYYNSDVTAVLTDIHFEQVGSNRVALHGVRSAPP PPTTKVGITARGGFQAEASWFLVGLDIEAKARMLEEQIRHLLAPYSSNYTALEFTTLG SAPDDPRDQNSATVTFRIVAQARNAEDLAPNNFLRHIFDNIMQGYPGATFHLDARQGF PKPIYEYYVSLLPQADVKHRVHLPWKNQVLDIPPPPTTQEFPPRQPSQAITEPPANRD LDFGPTTRGPLGWIVHARSGDKGPDANCGFWVRHSDEYLWLRSLLSIPKAQELLGEEY RSNPKLQIERFELPNLRGVHFLFRNLLDRGVGATTTVDFLGKNVAEYLRAKWVDLPVK FLNRGKL AOR_1_800144 MPDLGDAETIRILVATDNHVGYNERDPIRGDDSWKSFHEVMCLA RERDVDMVLLAGDLFHENKPSRKSMYQVMRSIRMNCLGDKPCELELLSDASENFQGAF NHVNYEDLDINVAIPIFSIHGNHDDPSGEGHLAALDILQVSGLLNYYGRTPESDNIQV KPVLLQKGRTKLALYGMSNVRDERLFRTFRDGKVKFYQPSVQKEDWFNLICVHQNHHA YTETGYLPENFLPEFLDLVIWGHEHECLINPKLNPETKFHVMQPGSSVATSLVPGEAV TKQVSIVSITGRDFKCEPIPLKTVRPFVMKEIVLSEEKGAQKLARKENNRTEVTRFLM TIVEELIEQAKAEWLAMQEDVEEEEELEVPLPLVRLRVEISTPEGGSYDCENPQRFSN RFVGKVANVNDVVQFYRKKKNAANRKKDDDVDATAVSQLSTLDTVKVEQLVREFLSAQ SLSILPQNSFGDAVAQFIDKDDKHAMEMFVNESLESQIKHLMALDRDNDVMDDEEEAQ SSLQQAMNKYRDQMEDMFSRGIKKRSRGKKRFKPKPDGWDSEFDGVWEDQPGALIHSD NEGDPNEEDAAEDGTGPATTKATSTRGRGRGRGGRAVATTTTRKAAATAKTTSTAPKK TAPAKTTRGRKKAISDDEDEEDDVIMLDDDDEDEAPPAAQALSDVDEDDDSQSLFVKQ PSTRSRKAAPSTASTQRRGRTAPSPAPSSNTIGGTATRRSATTRGKQTQMTLDFTGSQ ISARGSQTTSRSTRLGRKTRATSVLSEEIDDDSDAFESAPASRRR AOR_1_802144 MQSEPAAVSERTSPTDSPPRESFRNTQHGHVLDGDYQVSGPSHE ARDFEGVSDSLRLSPLNQAKTDDPVPASPVLDRVSQYENAMAQSPYRQTDLSFRVTPS STQSHLSLDAFPNEVLTHILSHLPPPALSSMALVSRRFHTLVTTPHAWRIAFSRYFPG PYVAENGTSLSETNAPERVTSDKRFFSRLTALASWRSEYILRTRLLRSLARGKPAQFD PAKKSGTVRSVNMRNGSAVVTYTSQLLYPVSHLAASFGTEVTKKQPLFIHGASEQGIA SASDPSSVKVGTWGLSDHQLFRHFADLFPGEAEYGLGSGNMVGLPNRMDVSQPYGMIY GEGCPQGRPYFISTMEQRGRFLGLTESTSQPQLGIPTLNLITNAVTAVWIAKSSNVLK MTGGLVAMMSGTSSGVLTAYAIGPHPTYEQRFERGQVTARWVLCPGVPIIAIAVDNDY STKRHARRRIWATVLNALGEVFYLSDMPRQPEPPSAKMSPEQVDTLAWKTGRSVRWEL LELSRRTARPDPYSREPVDGSYSPRSSSDSMKLDENQLIAETKEIEQFMSFKPKHFRK VCEGWDMRREMQVDFAGDDSHGAGESVIVIARGVGEDEKASIRRYTRKLTKVEMPSSH FGTYQVGESMASSLFGGPVEFPSLSSAVNTPSVPPSRASSRMGETVCSAANTEWRISD FVFGDHKSIEITTSALDVSTYAVLTPDEDPLLGMSGSSTLSSGLSSPMPHMKQPSANS EIPGQRGRYMAVGTATGGVFVWDMRAPTAKNSEIINSVNPIRIIQTDSPQVSCVALTS LYVVHGGNDGLVQAWDPLASSTRPVRTINSRFSSRARRRLVQAEASIQGVGNNFYASG AICLDPDPTVLRGMVALGSHLRYWSYSSGAADQYKTSKRRFRRGRRGSNSTPEGQRFN SSGRGALQDFIEDEQAEMKRQEKADRKEKAHLSNRFGIDLLGPDVDEEQLLAYAQLLS EEAFASEAQKRGDIVPSSMASSTSSDTIGRNDSSVAADEFSSSSSPYEQPVDDEFAPD IAEAIRLSLLDEGPGSFEQYPDIPIKYAKGSQSSSHSFSPAEVVAGSSRQQEMDDLEL AIQLSLADSQPQEQPDEFPSLPWGESDKGKGKGRAL AOR_1_804144 MSQNPTILLIHGAWHTPTHYEPYTTALKNAGFEVHCPHLPTCTG KSPPTATFTDDVSLIRQTLHSLTTAGKQILLVMHSYGGCVGTDAAQDYIYPVTSTSEP PTSIPTSNPEEKRNQKGGIFHLLYLSAYILPPGSSIQTIMDKAGVNEDLWAQYMDDDE DGLTMPRDPGLWFYGGLDEGTVERCVERLVRFPVRVLREKTGGNVWRRCPVTYVRTER DYAVPKGFQDLMLEGVKGEGVEVRVLGFEACHSVFLTNVGEMVGVVDGIVRDWRGGL AOR_1_806144 MPSMYTRLFAALCALATTANAVTTIEVKGKDFVNSKTGDRFQIL GVDYQPGGSSGFTKDKDPLSDKDACLRDAALMQRLGVNTIRVYNLSPSLNHDDCASIF NAAGIYMILDVNSPLYGSYIDRTAPKSSYNAVYYEQVFGVIEAFKNYPNTLGFFAGNE VINEQSVKEVAAYIRAVQRDMKDYIKKNVDRSIPVGYSAADIRPWLMDTVNYFMCEDS DEPSSQSDFFGLNSYSWCGDSSYKKAGYDILTEDFKNASIPVFFSEYGCNEVTPRVFT EVQAIYGEEMTQAFSGGLVYEYTQEANNYGLVKINDSDTATLLVDYDNLQKQYAKLDM DRIQASNSTQTSFTAPKCSSDLIKNGTFLSKFDLPSRPSKVQDMIDNGLSKANTGKLV DVSTTAIPQKIYDHTGKEVTGVQLKALSSGESNTPGNSTSGSSSSGSSSDSDNTKDNA AGKMTASFMGLVVGAVVAAASVL AOR_1_808144 MRTSTLVAASAGTVLTGLLAYAIYFDHKRQTDPEFRKSLKRNNR RLARAVKEEAEAQGAQERESIKKSVQQAQDEGFPTDLEEKEAYFMGQVARGESLCAED KVEAALCFYKALKVYPQPKDLISIYDKTVPKEVLEILAEMVAMDAALKLGTFTGEGGS AESSHGVE AOR_1_808144 MRTSTLVAASAGTVLTGLLAYAIYFDHKRQTDPEFRKSLKRNNR RLARAVKEEAEAQGAQERESIKKSVQQAQDEGFPTDLEEKEAYFMGQVARGESLCAEG SDKVEAALCFYKALKVYPQPKDLISIYDKTVPKEVLEILAEMVAMDAALKLGTFTGEG GSAESSHGVE AOR_1_810144 MESRGLTLRSKSRRARPQISAPKPISGPLPPGSKAVDPRTATSS STSRERAPQKDATSDLVKRRYSTRFNQAPDLDSANAPPVPGVPKVPTQYTALSPPQST SRRPSTEASGPPQVDLNALRDPSLPVDRYVANLLANATEEEIEEYQRSLKKVKNRTST DLQQNVYQNRTQFIKISKEAEKLKGEMRTLRTLMAELTTALGQTATVGNTQNPMSPTL DERITKRNNRSSVANLESMWNVQLQTLWKTVEGSQKFLPVVPGRHIVLETGNWVELDS ATWKPRRPVHIVLLNDHLLVAAKKRKRVDQSNPNHQGPVPTKLVAEECWPLEDVDMID LGANLGPGVSREEAEDRGIMNAVSVRVGSKPFTYRHDKRNGSAKIDLLATFRKTVEDL RRTLRSETETAGKSESFGYLGVRQSSYSMVKPDFSALETQRETPEVRIDVDGKQQNLR WVEGQIDELDIDIALQRFEEAVSNIERLRKLAKGLKGNSVAQDVINSKVDERAAKLAG VLSRSLVDTPSFLNATKTKVSWLTRLGFENQARETYLKCRSDVITKRIRACVFEGDLP LYIFQISYVYFTLIKNTVSIYQQCFPAIMTSACIKWSKHHLDGFNALLTRQLSSVQRG TTVWQKCIDIVHEHANLLTEVGIDFTDLVAKGLELNEGEKVEKPKMTRSETLISGLAD AAKA AOR_1_812144 MMLRGPALRTRLLWRPTSLLRTTRAVSSLTPPLIRIQDGTFYQN YPSPDDATNNQNPPLFANFNFVLPADQATSAENNGDQSLQHWAVIGSSGRTQLLEILR GQYVCLPPTARSYPYLLTDEIAKKDPRLRFVGNAVQYIGFSGEGSGAIGGTRGAYLSA RYESLREETDWSVLQYLKGQTSLNPLEGEEGGKLRDEKLLNQVITDLRLGELLDMPVA NLSNGQTRRTRIAKALLSKPELLLLDDPFMGLDPATTRSISGLLQRLADKSDPRLILA LRPQDTIPDWITHLVVLGNNNRILFQGQRAEANTVFNVWKRVVNRGREVASHTEEEKA IFREAKSAMEAGHLDRQLLWDLQLLSTRTSGLALPAVKGGEPVIEMEGVRVQYGEKVV LGGWTQRVNGEEKDGLHWTVRRGQRWAILGANGSGKTTLLSLITSDHPQAYALPIKLF GRSRLPEPGQPGISIFELQSRLGHSSPEIHAFFPRQLTIRQAVESAYAETFLSKPTLD HDRDLDVSAALRYFKAELDPDAAVTTKEEPPRVSVENREHFPRIAFVRGASPIFSPIE FDVEYADSTLFGQLNTAQQRVVLFIRALVHKPDIVILDEAFSGMPASMRDKCIHFLEA GEYSRNRASSATRRSGSRLKEDWLRGYNTDESNVRHLGLSDNQALIMISHNREEIPDS VRYYMRLPSESVEGSEPLDFRFGQVKYKKTLNEPSTWEHAWLPPSEFKARGAMRSKRG KRLGEDEESVNQDEKVYEWYSVG AOR_1_814144 MTSYSASRATSKFDFDALCRRVLELTPEADVITACQKIEGGFNR VFIFHLNNAKRIVARLPFTLAGPARLTTASEVATVKYLQTKTRVPIPAIVDWSDNATD DCNLVGSEYIIMEHADGVQLHQKWQSLAGDQKIRCIQGIYESLKEMVDLEFPSFGSLY YANGPPDPDNRRPLDRNFCIGPHCGPRYWDCNVGEQRYYDIVRPNHGPWSIIVEFSEG LIDAGLSRLSPTDPDAGSRPSYHGAIQAHKNLLGHARKVLKQMSADPRIQGAVTPLLF HPDLHKRNIFVSEDDPSIVSGIIDWQAASIEPPWWYADEVPDFAMLTEPGSNLCLQAF EACTQFLTPRLAGSRLMNDNLFRPFRYSDRTWKDGAVALRDDLVATAQDWEELGFAGQ CAYPLPTPKKLARHKKEFKLFVAAHDLKRDLASLLDTATDGWMPAEQLETTTQTNKEM FRGMLHEVLINEDMEDEPVTDEAVLRSIWPFDLDQ AOR_1_1968144 MGVLSEPSNGVNPQTQSPELASIPSLPLFLAAKNHAQTNPEKIA VIDTTKNQQFTFGQLLADTAALKKQILEQLKLTETGDLQERRIAFLTPNGYDYVVTQW AIWAAGGVTVPLCTTHPVKELLYTIGDSDPSLIILHPSFVHFETPLREGTKNSIPFMD QDPFTQSVAPTAVQLPLFNSQCPLDRRALMIYTSGTTSSPKGCVTTHKNITFQAECLV KAWKYAPSDHLIHVLPLHHVHGIINGLTASFLSGVTVEMHPKFDPKVIWSRWQDRGSS TMFMAVPTIYSRLVDYFETHIRGTEQESAARDGARALRLVVSGSAALPTPIKAKFAEI TGQTLLERYGMTEIGMAISCGLEVEKRIDGSVGWPLPGVQVRLTEKETGQVVESVDED GQIEIKGDNVFLEYWRRPEATVKEFTTDGWFKTGDVARRDASGAYFIQGRASVDLIKS GGYKISALEVERKMLGLDIIQEVAVVGLADEEWGQRVAAVVKQRPGTEPLELQNLRTQ LKQEMAPYKIPTVLKLVDNIERNAMGKVNKKTIIQKYWPSA AOR_1_818144 MPPKRTLKYYLFLKPIALLLRTLAHTLAPRITPTPNETLHIPSR DPQRTIKVHVYSPPNPPPGKPDKGPLHSTPTPRPVLLNFCGSGYIIQGHGLDNTYCRH IATNTPYTVFDVQYRMAPEHPFPAALEDAEDVLAYVRSQPEIYDVSRVGLSGFSAGGN LATSLAANHEGPFRVLVAFYPVVDATRPLEERRAPEVGGWALPGWFVRFCTVAYLSRE FEGGDVRISPIGRAVGGDSGDRGWRVERVLLVSAARDLLALEVEELGGLLLKGGDGEF LKKVVVERVDGVGHAWDKVAKEGTVEWEKMMRAYGMVVDLLN AOR_1_1970144 MGVTLRTLWLLGLSSAASAKAFEPLEHLGANSPWFAGPNVNKIA STVPEECSVDQAIYIVRHGSRYPDPGAYQEWEDLHNAFQSAEYRATGSLSFISDWSPV LRHPDQEISQLSITGYKELLFARGYLGPNASYGDVYVVDADASGAAGNSLATSDQCPN FKDASGGDQITEWQDIYLPPITKRLNGKLSGNLTLTDDQVSLFPYLCGFETQITGQVS PWCDVFTKKEILEYEYAQDLRYYYGTGPGVGKNMTVMLPVLQGVVNLLKKGPSATAEK GNDTLQLPPLVVAFTHDNQLNELASLLGVFDDQKPLASNKMDQDRIYVSSNVNPMRGT IAFERLTCTSGGQSTANVRILLNDAVYPIPSCRSGPGSSCPVDQYVQYVAQKRKQYGS FASVCGLPEKNITTAGADGSVTFFTDLTLPFLRVVKP AOR_1_820144 MAESNKEVDFASTPEKAKTISPTASTLADEHNGINEKALLRKLD MRLLPPLTILYLLSFLDRSNVGNARLEGLTTDINMTGNQYLTGLTLYFIGYVLFEVPC NIVLKKTTPRIWLPTLTLVWGIVATLLGVVQNYAGYLVSRTALGIAESGLFPGVVFYL SMWYKRNEQHYRVALFFSAASLAGAFGGVLAWGIAHMRGVGGYNGWRWIFILEGLATV VMSVIAYFWVYNYPSTAEFLSEKERAFIQSRLRNDNDSMRDEKFSWSGVLDAFKDPKV WLYGLGFHTMSLPMYTLSLFLPTIIKELGYTAAEAQLLSVPPYAVAFILTITVAVLSE RTRRRAPFVMGSTALACIGYIILLKDHRPGVSYVGTIFAAAGIYPAVAIVLSWPANNV SGQTKRAIANAMQISIGNLGAVLGTQLYRTETSPRYYLGHGFALGYLIANIIVAGILW QVLRRENIRKAEVREREGLQALMGDIGNSEGEFQGDKDPRWIFQT AOR_1_822144 MPHSAGHEEVALPSSPLTGGAVSYNQINKELQPLPSMDLTSGAV IPPASSRVRGGSGKLFALELEDGTVYQGYSFGAEKSVAGELVFQTGMVGYPESVTDPS YRGQILVVTFPLVGNYGVPSRETMDELLKTLPKYFESTEIHIAALVVATYAGEDYSHF LAESSLGQWLKEQGVPAMHGVDTRALTKRIRQKGSMLGRMLLQKSDETLEEAPVDKDS WRSYFEQTEWVDPNKKNLVSEVSIREPRLFSPPEDVALKHPSGRPVRVLCLDVGLKFN QLRCLLARGVEVLVVPWDYDFPTLAGKDYDGLFVSNGPGDPATLTTTVNNLSKTLKEA RTPVFGICLGHQLIARSVGAQTLKMKFGNRGHNIPCTSMVTGKCHITSQNHGYAVDST SLPEDWEELFVNANDGSNEGIRHTSRPFFSVQFHPESTPGPRDTEYLFDVFINSIKDT LTSPEAINNPVTFPGGTMEENIKASPRVSVKKVLILGSGGLSIGQAGEFDYSGSQAIK ALKEEGIYTVLINPNIATIQTSKGLADKVYFLPVNADFVRKVIKHERPDAIYVTFGGQ TALQVGIQLKDEFESLGVKVLGTPIDTIITTEDRELFARSMDSINEKCAKSASASNLE EALRVVEDIKFPVIVRAAYALGGLGSGFANNMEELKDLCTKAFAASPQVLIEKSMKGW KEIEYEVVRDARDNCITVCNMENFDPLGIHTGDSIVVAPSQTLSDEDYNMLRTTAVNV IRHLGVVGECNIQYALNPFSKEYCIIEVNARLSRSSALASKATGYPLAFIAAKLGLGI PLNEIKNSVTKVTCACFEPSLDYCVVKIPRWDLKKFTRVSTQLGSSMKSVGEVMSIGR TFEEAIQKAIRSVDFHNLGFNETSALMSIKGELQTPSDQRLFAIANAMAAGYSVDDIW KLTQIDKWFLSRLKGLSDFSKLMSTHNATTVPRPLIRQAKQLGFSDRQLAKFLSSNEL AIRRMRVEAGIIPIVKQIDTVAAEFPSVTNYLYLTYNASEHDVAFDDKGIMVLGSGVY RIGSSVEFDWCSVRTIRTLREQGYKTVMVNYNPETVSTDYDEADRLYFENINLETVLD IYQLESSSGVIMSMGGQTPNNIALPLHRLNVRILGTSPEMIDGAENRYKFSRMLDRIG VDQPAWKELTSIEEATGFCDKVGYPVLVRPSYVLSGAAMNTVYSEHDLASYLNQAAEV SREHPVVITKYIENAKEIEMDAVALNGTMVGHFISEHVENAGVHSGDATLILPPQDLD PETVRRIEEATRKIGNALNVTGPYNIQFIAKDNDIKVIECNVRASRSFPFVSKVMGVD LIEMATKAMLGVPFQEYPPVSVPKDYVGVKVPQFSFSRLSGADPVLGVEMASTGEVAS FGRDKYEAYLKALLSTGFRLPKRNVLFSIGSYKEKLEMLPSIRKLHQIGFNLFATSGT ADFLKENGVPVKYLEILPGQEDELKSEYSLTQHLSNNLIDLYINLPSSNRFRRPANYM SKGYRTRRMAVDYQTPLVTNVKNAKILIEAIARHYDLAVQTIDYQTSHRTVTLPGLIN VAAFVPGLMTPGSKDFERVTKASMAAGFSMVRVMPVGIDASVTDARALKVAQQNAQNA SFCDFNFSVVATSTNSEQIGQVTGDVGSLFIPFNHLSGNISKVAAVTSHFGAWPSSKP IITDAKSTDLASVLLLASLHSRNIHVMSVSSKEDITLIALSKEKGLKVTCDVSVFSLF LSQEDYPECSFLPSAEDQKALWEHLSTIDVFSIGSTPFQLAGEKASPEVGIAETLPLL FTAVSEGRLTIQDIIARLYENPKKIFELHDQVDCSVEVEVDRPYLFQNAHAWSPISGK SVKGSVQRVTFQGKTSCLDGEITSDAPKGTDMSAHRIVPASPSVKAMSPLVQARPEGI DGRLSVAGTPARPSRRALDQAPAVGELGPPLYPTVSQTSSPLHEMLSRSTFRQKHVLS VGQFTRADLHLLFTVAQEMRLGVQRQGVLDVLKGRVLCTLFYEPSTRTSASFDAAMQR LGGRTIAISTEHSSTKKGETLQDTLRTLGCYGDAVVLRHPDPASTEVAAKFSPVPVIN GGNGSVEHPTQAFLDLFTIREELGTVTGLTITFTGDLRYGRTVHSLIKLLQFYDVRVQ LVAPKDLSLPEEVRQQVIASGQLVVESEELTPEIVARSDVLYSTRVQKERFADLEQYE RLKNSFVIDNALLKHAKSHMVVMHPLPRNAEIAEEVDFDQRAAYFRQMRYGLYCRMAL LALILAP AOR_1_824144 MLASLLRPKKRRVYAERSPFSSPYTTRDLPWPFLQRGSEEAQYE EIRGNDDADENVDDGEEDESGDEDDGPFESSPLLPIFSASHLDTLPVYDITHTIRALI AARCETTLSWDQLRSPQISQFLVKPIQQQIRAFHFSRATLYALLTNCLQFNKEVHLNP GNSGTSQTRAMVSELLAIKLLREYTTRELIDALSYEFFPLQGQSLPGGSQQPPGWTPT AGNKRLPGVARISCLEVAIRAQAKRFLSHPLVVQQLEAIWAGTIVFHSAADYLHRSPT RVSHSAGPTYGTTVTATTPNPFAGKLSPRSAELRRSVTLYDPRDASLFKLSRLRVPRY RQFLSTLSFAVLLALFLAVLDQRRVHISALEVVFWFWSAGFMLDELVGFNEQGFSLYL MSFWNLFDLGILFLLFCYYCLRLYGAFMPFTRKQAVADQAIMASDLVAVFFLIIIACS GFFVAFTLSFGSGEDRSPGSIAYALFQMLMGFTPTAWALWNDYNTLGKMILTVFLFIC HFVVVTILITVLTNSFMGIVQNANQEHQFLFAVNTISMVKSDALFSYVAPTNIIAWII TPFRYVMPFRQFVRVNRTIIKITHLPILFTICFYEKMILSSKVIEPTDLVDSQTRAAT SARTHGPRHSRFKAFSSRAPRLVREPSVATYQKDRALEEVFRRPFHGETMEPVREIDQ RKTNKIVKNWMQTMGPGPANPPDEQDSDEVSQLEKRPQRPRHFSRRRLTRSLRDFTES NRSVVSNPEDHVTYIASSPATPRAGRDFMASTRRRQLSRHTGMEGDVELTSDGHEDQS NGKSSDDEDTPKDESPGKATPKFYSSRSSTAKVKSRRNSPVRRVKHHSRSYSGATMLY RPLSTTSNGEETEAPTIPVRSRAETPDHADWAARSTSADRWAMRRHSTDGRSRNVTMP ISNPMSVPEFGHFLAPGPRYSERGPDPILHGLGSDIGDNKAIASGFVGGAPSSLTTQM AYATGGMRRPDSSHSSQDILSKLVLARMNNIEEGFREVIREMKDLRREGTSRSQSGPD ESKGNQREKKRTEKKGKKPNPRSRRSKTGSEEQSSDATSEASQRRIIR AOR_1_826144 MDDSSRLSADLRVYDIYTLAPGRNIDNPCSRLLLLSDADTLLYI DPPPQRSCASALPRSSESIPHRIRSQKLLETGSNYFKDLFQPRAQARTISRRGLGGKL TNEIQYVIDLTPPSVEDDAVIFLTELSCPLGIRNWAKATTCWWDLPAARVGGEDEVES PESKPASTSTSSVTSSNASGSKPGLPVEYSVGRHREGIEHIVYALEGFPPKLDTPCKF WTFFALARLFGVATVPKISDLIISWLYDSENARLIEYHPEIAYKIGCGVQRDYLCRDA FSVLVGEEALLLLANSDKPPLPGRPQWTFHRRLREPLLDDDELQRIEYASKGFLEYVI NRFIGLAGSEMKWLFELPSFLHLSKFNPETYWEEILMTELVLTCKEYVRARIVQWLER GNGIWLPAVIRSMGKDRSTHYKYAYSSMRYPERILSRTFWKNLMNEPFTESDFPGINE LFWDSTIAGLGDWVPAFRTHADAKIRPVTRSELCEVVDKFNSRVNPQGPHYQVQAGYP WDIVDDYDLATWLRGFQSKREGLFKLDMFISDVRLYVTKYASDMFELGRGSIDRTDTV TCLTENELKYLPLWAGGNDDGTGGVFMDQDIPILETGGFSTPGPDVHLGSTASTADSF SIIGTGEIESTVQGASHETTDGYGTDVMSIQSMTVSSQIEKGRDSSHEEPASLEGYET VDDSSFLLNSSADGDDEFSFDCESDGSDTVVMHTLSQSDPLSDFEEMDLNEACPSPPP AER AOR_1_828144 MASSSKPPSFLLYTCIAHRTTILAEHSSPGTSSTSASSLASIIL PKITHEKSQKLTYTHERLFVHYIADSPTGASDDSSFRQEPNSYAPLSYIVVATAEQGR RIPFAFLLEMKRKFLSTYPPSSTDFAALPAYGCAAFNNELRSLLQTYNTAPPSDSLAS ARREIDSVRDIMTENIERVLERGERIDLLVDKTDRLGGSAHDFRIRSRGLRRRMWWKN IKLMVLLGVVIVFLLYLFIGVGCGLPAWGKCVGHSE AOR_1_830144 MSNDGYDWLLNYPRPDLYHVDHRYGPASGPAQGDQAPYLGAPPA IKAATVSPSGYFHSPYHLPNQEFMSYTNSTTQYQSAAPMPGSYQGPPPYSTPSTAPVT SQGQASQQPLTYSNTSWKSTPQTSDYGHDTKRRRTDTFTPSNQHGITPTVTPAMSKPV SKDNLSSFSFSPRDTTDKSFLKNRADIVKPLNKLDAAEKLSYDSRTIARDVLIAAGRH PTEPALNHHLLRLRDVFVHVDTSSDLETFRWDMVDMQRVKKPRDPPRAPMQVSAPPKE PSLHGTPAPTIQTQSQPVQPPPPPPPQLQHLNQQRSQTPEQIQPQSPVPTKSQSVRKF IVQVPTKSPSIQSGTMAGRRPGRPPGRPPGRPPKSTKIQVVAPPARTPPVSYQVYSCE WDSCNAELHNLEMLAKHIYKVHVPYTLTCAWKGCTCDDKFPAAELVKHIRNTHLESIA WKLGDGPSVPGTVQGTPGHSPVPSTIPESLLGGGEDSLIFPAHQSSIRAFNRVHGYNT QRDKAQGIFKAVQKLKEQIGVGLDPGGCQLATPARNERVSNEEDIYEVKIGS AOR_1_832144 MISDDDLHRLAVFLGSCAMMMIVLYHFLEVNAKDSGVEDASDVK KSAAASQESVAASPSTVPAAAAGAYFLSFLVLIQFALGSDGEEYGTSATIMDTPKVLV GAIAGQYMIRMVVITDGKLPCNGVKE AOR_1_834144 MNEPYVATKNPGPPKAGPSLLACLLCRHKHLKCDGKTPVCGRCA ATGSECQYTPSRRGYKGPSKKRRANPLPDETAEDLAASFDPQSVGLVNIPPDWNSLQN GFQYMPTATLTTPSSGSPGLTEKSGSSQPVPLHNEPLTPDSSSAVPGDGYLIDIYYTY FHPSHPILPPLRYLYRSYVPPYLDHVIKFIGSHFTPAASSENYRPNVMSSVMEQDSCI EKLQALLLLAIVLHSRNERPEAGDCLATAVNIAFELGLNNQSYAATMSEGDPVREESL RRTWWELYIIENMLTALGLQRVARTGLIPLEVGLPCEERIYQDGLAPPPAPTIAQFDE RVFADEERDFSSFTYRIEAARILARVVSIQDMVEGQQDHVEAIDARITSWFHHLPESK SELLRSDGSVDEMMFQATMVVNGAAIYLHFPRSDLLSSPAMAAEVICGHHGPCSIPAF SHHAHAMKAVKAASEISSLASIRMPVVKHTPFFICALVMSSIVQLAACSVKAGQMPDP SRDRLTLTIGVFKSLGRTWAISQAIVRQIKAVARDVMDLGLRPTMDHIDLNSVLDSGR FWMPESLAR AOR_1_1972144 MKSYLSSHLHPKQCRRSGNQTSCLPHAIELEHVDEGNRRSYVAH RVPAFYTPVYSPRFVYGCVLSASIGGILFGYDTGIISSALVVFYRDLGHDLSREEKQL LTSLTSGGAFIGALIAALTTDAIGRKMVIGLGCIWFTVGSVLASSAYSVALMSIARFI IGVGMGLETMVTPIYISELSPSSRRGRMITVYSLAVTGGQALAYAIGSIFNLVSQGWR YMFAFGAIPALIQVALFPIYPETPRHLIYRNQTADAIMVLQQIYPQAPPSDIQTLVQT IQDDVNRSVSFDQGIRRACWSWKQLHTVPSHLRSLITACGLMALQQLSGFNSLMYYSA TLFNIMGFSKPIEAGLIVSGTNFIFTALSLKFVDLGRRRLLIGTVWGLPVALAMAAGA FSKINIDTNLELVATPPLWAKVLAIISFAVFVAFYAIALGNVPWLANEFLALEVRAVG TAMLTMVCWSSNILVSATFLSLVSAISASGAFGLYAGVCFVGWVFIIFTYPETAGLGL ESVRQVFEHGFGVRYANQLQGLRWIDAAL AOR_1_838144 MEKWRDYVLFWGLYLIALILMALRVASRISIRERLKADDYFAFS SFALLSVETAIHTAAVSPYIDAFNAAQNSADFGNALLESPALRENVTVVLRYSFASLI IFWLTVCLSGRLVHRLRLHGNESRRMFSYTILRVPALWA AOR_1_840144 MPRVPTTREVVPGAPVNIILKADQSTGRTVSGTIADVLTRGNHP RGIKVRLTDGRVGRVQSMGTGGSCPPPDPTQGQRTRRTTSEAELPSQNIGLDAYMVST RPRRGARRDEPQQPVHDGSVVTCPVCGVFEGDETAVAHHVAGHFAD AOR_1_1974144 MATQADTKSRSHNEYTVGWICTLLKEQTAATSMLDQRHPSLPQP SNDPNTYTLGSIGKHNIVITCLPRGRYGTNSAATVAIQMVRTFPSIKVGLMVGIGGGI PPKVRLGDVVVSTPVGEFPGVVQWDMGKTKDGSFERTGALNNPPTSLLTALTKLETEH DLYGTKIPESLEELKQKWPKLAPTYLKCDSLEDPLHAHEFHHSRGRRQVILSLWEMIQ AFVTYLLGWWVFTPRHRGSNRVASNATNIVGDGSQSQPGDVRVHYGLIASGNRVINDA TFRDKLDRQFGGHVLCVETEAAGLMNDFPCIVIRGIFNYADSHKNNKWQGYAATVAAA FAKELLEYVKPSDVDEERPVKDFLDQILETVSRNGADLKVMRSKLARKEDQDILDWLT PINYGPKQSDIFRRRQPGTGQWLLHSAEFQKWFNTSHQTLFCSGIPGAGKTVLTSIVI NSLINFFPQDSTVGIAYIYCNFQRKDEQNIDHLLASLLKQLTERCFSLPGSVRDLFNH NKVQKTRPSLEDISGALHSVVAKYSRIFIAVDALDECQTSEGCRMRFLSELSSLQAKN GVNIFATSRVDVETGKAFTKAAYLKVYARNNDIEMYLDERMRLQHSDIFDDTIRRIIK RDVIKATDGMFLLAELHMNSLVSLPTRGHVKDALQNLTNRIEKLDDIYEQAMIRIEDH GKESQDLAKQILSWIVYARRPLLTLELQHALAVRPHTTELDKDYIPNINILQSLCAGL VTIDEENDTIRLVHYTAQEYFQRTRQRWFLGGESEITRSCVTYLSYSAFQRGPCDTDE EFEKRLQSYPLYNYASQYWGHHARGALTLCPEVMEFLSRNMKVEASAQTMMVSYRTYL AASFGAEEAVKTLLQKRAEPDASDSYGRTLSSYASQNEHSAIIKLLLEQGIGADSLSN EELIPLSHAVGYSHTTILVQPLATERVDQGYKNSCGRTPLSSAAWNGYEAVVRLLLEI GADVESKGTLYKGTPLLLATENRHEAVVRLLLGMKKVTADGKGNCGRAPLLYAIKNGY GAVVTSILSDSVVDQNQIDHGGSTLYSIGVRNSHAEVVKILLDTEIVACDSWGYFGRS VF AOR_1_1976144 MSSIDLDKRKDGPTVEHDEDAIPGLHYQTVDPHVNPDAISYGPS GVKGLFTSNYVFGAATLASLGGFSMGYDMGVISIINVMEPFHQAFPKAETSFGKGLMT GMLLLGAFIGCIFMSYLSDRISRKWAITAMVVAFDIGAILQTAAVNYDMLVAGRFIGG IGVGTLAMGAPLYISEISPPNMRGTLLVLESISISAGVVIAYWITFGTRHMTGEACFR LPFGLQMVSATALGLGIHFFPYSPRWLALVNRDAECLTSLTKLRNLPATDERVQIEYH SILSEVRFQKIVQERKHPGAKGLKLEILSWFDLFSKETWKRTAVGCGIAFFQQFSGIN AFIYYAPTLFESLGQTSEMSLILSGVFNVLQFVAAIICFLLIEKIGRRPLAIGGAFGM TGAYVVIAVLSGVYSKDWQANMAAGWACVAMAFVFILLYGVSYSPLGWALPSEVFSTT SRSKGVALSTCVIWLSDFIIGLITPSMLANIEYRTYIFFAVMCFVAGVWAILLVPETS GKSLEEIDELFGDDSAKKEREIAAAALGSPSAERGVMTV AOR_1_846144 MAESDIENPQRRSSSRTSSSSRHSQKPRPVRTRSQKRQSAASSN ATTTDLTSFPSLSPDRSVEGFFGKPALNRALTNALLDDHESVDSSSSGEMTGRDRRAT LAKLTGGMPRTSGRAALFDDAVPVHDFPGALHLADDAHIERLIAGTGAVKLVRQFARD LAQRDAEISSLRQRADARERELKRMLREVSVSNQDIERRLYALENSPRRVEKEFDDNG NAEQEAGSSSGVHGLMQQAMSDGVGSHQEGSPEATAAQATVRGLQRLESDAKSGASSV ESGSGTTRKRQSSLRNWQDYLFGSNTGSKKTSRASSIMTDVGEVDEEDTRRQRNPNNI ARRKALDEQLFNPPDGQTSSTGEIIGKHVAGVSVNGDDASIHSRKSSKSVSSWTVKLF AGKAQAGKEENYAEAPRGRALSTNPGSSKGDSPSLQTTSKGGLSAVAALKRINSNTSM HGAPGNLQGGPGATTRSNQIGRKHAASNVSHGASSETTDKNPTNLGPVEMDAILPMES RPPTLSHMYNNYQPGDLLTDRFGFIYDQRRKKRQREASLLKNNNNRLSIAETLDSFRS DNSDGDNDDLQKLPHSAAESTRSPASASPEDPEAGSVAIRRWQDYLKIATGPAELLSH TPSAGPIVSLTAGESQPRGPGVAVDKRGSLSVNANAQPSASTSTVVADRPEFAGTSSD EPTATAATATANENEPVKLLLEQLTDLHDSLQRDRTVRWNEFLRKVRAERRKEGEAAA AAAAASDRPLQSVDMPEASLTDGEVVGIAGLGNKGKVGRAKWREFRALVLGGIPVALR AKVWSECSGASSMRIPGYYDDLVKGVGGNDPDPSVVAQIDMDINRTLTDNVFFRKGPG VTKLKEVLLAYARRNSEVGYCQGMNLIAASLLLITPTAEDAFWILASMIEIILPEHYY DHGLLASRADQGVLRQYISEVLPKLAAHLKALGVELEALTFQWFLSVFTDCLSAEALY RVWDVVLCLNVTSVINPAPTSANTSTSSPRDPNDLTASSVKDLTSGNGGGSTFLFQVA LALLKLNEQQLLTTCSTPAELYTYINHQMTNHAISIDGLIQASEALRNVVRREDVVER RAVAMREFGAYNADENK AOR_1_848144 MSGAAKQRLQALSQQLVEGIPDAGTFEGIPKIRQVAQDSVGPRV KDKVVIVTGANSPAGIGRASAHQFAHNGAKAVYLCDYDPTHLATHKREIESLYPGVDV HARQFDAADEVAVKSVVDDAIQRYGRLDIFFANAGIVGQPKVFTDISGEDFMKTLKTN TLGVFLAVKHAAGAMKQTSASKPYPSGSIICTASVAGLRSNAGSTDYSASKAAVVSIA QTCAYQLAGTGIRINAICPGLIETGMTQAVFDAARARGTQRKIGQLNPLQRGAVADEV ARAALFLGSDESSYVNGQAWAVCGGLSAGHPFVPGKLA AOR_1_848144 MSGAAKQRLQALSQQLVEGIPDAGTFEGIPKIRQVAQDSVGPRV KDKVVIVTGANSPAGIGRASAHQFAHNGAKAVYLCDYDPTHLATHKREIESLYPGVDV HARQFDAADEVAVKSVVDDAIQRYGRLDIFFANAGIVGQPKVFTDISGEDFMKTLKTN TLGLCLFGCQACGRRNETDFGLEAVSFRLHHLHCVSCRLAL AOR_1_850144 MPPSLREQSSSFARPSTRDRPATRDQGENSLVVPSRTSSLHSRI TQPIPSQMNAKPAQRTPKTLTHAYMVCGVGREPSQWVRAPAPEQGKIGHMKGAVGQFW LPEILGSSPRLEQDNEIAKSLHSAMRACFPHDVEICTSKTQPHCVHHAFVLQQDSSHT LYGIALRVWSRADEKRAETIRELRKKTEPDFYDNPEETYWIPYCLSFLSRYPLYDLLG DYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTGFQ NFAMWPLFNCLSIPNIVGVIEAAVSPTRRIIFVSHYSAMLTIAAETIRYCVRVYEWSG LYVPVVHARHIKDLVQEPGPYILGVTAECRTLFNAPNDALVVDLDRNFVLTSSPPNVL TPGQRTKFINRLTQALNGDVSPSGVPNHLRSAYAGGKLIPAGQIIVMRGEVESIQDPQ WWNQDAVMGVMDHVCEKLGRNTGVKAIFGGSVKKPLMTKVSTRHLNEIVRERNQYSRD AMEAWQDFINLKGRMDTELSKVTKRNNFLVEELETWKQQFLKFQAFAEQLTKETSELK VKIENHKRENRRLTGLIDQQKDDVARLTLRLSGTEKQRDDALEALVLQQEIAEELERE RKRNQQELSSLQHSNKSLARQRDEAQRVVLHLRSLINGQTHHMEHIIRSIGSEVDISE LAEQEAAEESKEFKESKAAEPTLAPTPKVMKDMSPELEQHLLNIGNGHNRSLARLSIT DVADRYLRDKTDAISDIIRSISEQCAAAVEGLHLAQDAEDDDSDESSKTLNGNRLAPE DQSSIREGSEMGDVDNSLHAHRASSVPPTPDLVHNRSSTSMSMVSYSTFPERSSQQYG PGEVPTRIVEDDDEHSHETDGLDDQTETGTLSKQTSEDLMRSSTSRLVA AOR_1_852144 MGQGYSLTTLSAGSAGIDIPELSDLSYEKSMGGGRFMKSIRARQ QNGLVFVKVIMKPFPSMELEPYVKAIIRERKLLSDVPNALSYQRILETGTGGYLVRQY IHSSLYDRMSTRPFPEDIEKKWIAFQLLCALRDCHALDVFHGDIKTENVLVTSWNWLY LSDFSSSFKLTFLPEDNPADFHFYFDTSGRRTCYLAPERFLEAGEKRESEQVNWAMDI FSAGCVIAELFLESPIFTLSQMYKYRKGEYSPEHGQLAKIEDPEIRALILHMIQIDPE SRYSADEYLNFWKNKAFPEYFYSFLHQYMSLMTDPSSGRAQVEAESANRGEADDRIDR VYLDFDKISYFLGASTKSSKDGSSRTSSRLTGSTFPVQLDLPQYGHQGPKSQPQTDDG VLIFLTLVVSNLRNTSKASSRVKACDILLAFAERLSDEAKLDRILPYIMILLNDRSDS SMTDPEEFVVERVLRSLAAMADLGLFQRSTTWDLLNITVRFLIHPNVWIREAAVTFVV NSTKFLSVADRYSILTPLIRPFLKVNIVGFSEGDILDALKKPLPRNVYELAFIWASKV EKGMFWRTAHREGTFSLGANDGIIPRGSQRSSSYSLSSYSKNEEDEQWLSRLKNMGMG SDDELKLLALREYIWRVTARQVKESENGTSDLNNVIALTQYGVTPQTVFFDKNQNVKP RRASSAKDQPSKAGERRPHTITDALLDASTTIDSRPNSRRKHARSRSHLQRELGPVLT TPRQDTLDTLRAAESSAASSPVASSPSAALGSGPLSPPSSDTERRLTEGRDSPGHESS STPTDAENPVVRGLATGVQRKPSAISLLNRKESAKAYAETSTSSANAFGKVDVPSHRG TPQPSPLSIAHDRKALESGPQKYHASHSYGGHNPVILRLLDNVFAENYPTDFFDLGPY VKEIDTRRAIKKASGYETNKIWKPTGGLVVIFGEHSGPVNRVVVAPDHAFFVTASDDG TVKIWDTSRLEKNLTPRSRQTYRHSTEAKIKSLTFVENTHTFISGATDGSIHAVRVDY NNVNETVRYGKLQLVREYQLSAAEDGTVEYAVWMEHFRVDAQSTLLIATNTCRVLALD MKTMLPVFSLQNPVHHGTPTTFCCDRKHNWLLIGTTHGILDLWDLRFRVRLKAWGLPG SSIIHRLQVHPTKGRGRWVCVSSSGSHGNEITVWDIEKVRCREVYQTDSPGVGNQAPN SDDAKAKQTRISSKEYEAWHVDSDRPEGMLSRFATEGPMSGAIEQGSGPSPSSTPAGI CAFAVGFDAPDDNRDSSTRCGFIVSGGCDRKIRFWDLARPELSSIVSGLDVVSESGVA GKPRYEVSQPGPSLLVTSEHFPNSSANAATTGSGGKNNNKKGTGGRLPRSTVISLQQQ QLLKSHLDFIQDVAILRVPYGMVVSVDRAGMVYVFQ AOR_1_854144 MPSVNLDSSTSSIGGHENDHPEIPIIDDNEPYLTLTVSDVSYTF EQIGSTSHGYSLRLLIHSLVESSHNTYIIPALMILKWQFDNAADYDWGRETLVYLLEE LTWPTQKAINLPQAEMGTSGYASAAATNHRTLEEDERAPLLLGSSSSLYRFFGGTRRL GSSLEDDNSGSHDLTYDAYELEKFSLFFGLNALEIATIAHAKKFLSQKVVQRVIDHIW KGEIVFWDTLSFVQLLKCSRDAGRRILILGYGFQYIAKLERKPTGIGIFETLMYFWIA AFAYDEVSGMADAGMLFYQMDFWKLWNMGIIGTGLAFVISRIIGLAKDSDYITDLSFD ILSLEALFLVPRICSLVSLNSYFGSLIPVLKEMTKSFFRFMPVVVVLYIGFLTTFTML ARDRLSLRQMSWILVKVFFGSNVLGFDIAHEISPIFGYGLM AOR_1_856144 MCANHRLIFVSMTNLLLISSLVSLMSMSLEGVMAHAREEYLFQL SIYVLESSNSRRLTYFMPPLNLIPLLCIRPLRLFLPAEHIRRVRIVLLRATHLPFVAL IWAYESSRRYVSRRNTQWPPTATARGCSRPVSSIQTGLAFSTADARSLSSGRARKQPN PEQYIGVRRRDPGPAGGVDLADMIDEVERLRTQVERVAATVAFHHRVQ AOR_1_858144 MLEDTSAYSSPMCSVSSYPSNSQSTTGLGISHCEMEGPSSQLRL FSPDTYSSPVTEWPNQLIHPESLLETTLDVGHFSPGPCYEPFGGHSDVSVSPLTYYSP QTLNAPSGYGSAMDFAGNPGTLSAPSSRFWPNTPQSDVASSEIQPLVKEEPDDNWEQH LLTKVGDSTGIDPVPQIPHIASDSAYLKSQHFSDNGNGTLVELNPNVGRQGDESRKPT ARNAPVEVVFQLAKSDSELPDERCKILSANGLECTICGLRFTRRSNCREHMKRHDPSL RKSYHCEFCDRPFGRKADLRRHVISIHHGIRKFGCEDCGQRFSRQDTLSRHKSDGCHR RPRKTDISREVEVIQASKHLSELPPKDSRGRNKRRQSFPKQ AOR_1_860144 MSVTTTTTEPPRITAENVATLFPEVDTSLAREVLPKADGNPSAA SSNELAGYDDEQVRLMDEVCIVLDDDDKPIGSASKKTCHLMTNIDRGLLHRAFSVFLF DSNKRLLLQQRATEKITFPDMWTNTCCSHPLGIAGETGSELDAAILGVKRAAQRKLEH ELGIKPEQVPLDKFDFFTRIHYKAPSDGKWGEHEIDYILFIQADVELKPSPNEVRDTK YVSADELKTMFEQPGLKFTPWFKLICNSMLFEWWSHLGSPTLEKYKGEKGIRRM AOR_1_862144 MPESTTSQNASALRPRFNPVINPANSCPVLVDEHLEDNHHIFLS RPWLGALLFENVTSDARDHCANERTFLSWLRLSMYLAVVSVAIIISFHFHGGPTGLER RMALPLGIIFWILSLACLVNGFANYVRTVRKYSRKAALVQSGWKTQMTFTVVGTVILG SCILFLATDANNKN AOR_1_864144 MGRSNSLRSAEEQALHGRFLSSSPLAEAAIARDLENYADDEGSM ITTDDEASETSTIRAINSQPGTNPHSLSGSYRRPSFFTTVSHATVVPYTAERERLTRR ERERAIEDERDLLSDNNVMDARARRRVTPTSAPSGETTALLGSHVGGREYNATDDEEI DRKWEEAVAAGLIHTTWRREAQVIGKNAAPLMVTFLLQYSLTVASIFTLGHLGKKELG AAYGSGRKKLVGLQMQKMVFFLCTITIPIAVLWFFADKILMKIVPEKDVAALAGLYLK VVILGAPGYACFESGKRYVQAQGLFSASLYVLLICAPLNAFMNWLFVWKFQWGFVGAP IAVAITDNLMPLFLFLYVYFIDGAECWSGFTTKALRNWGPMIRLALPGLVMVEAECLA FEVLTLASSYLGTTPLAAQSVLSTIASIMFQVPFPLSISGSTRVANLIGATLVGPAKI SAKVTMGYAVIVGMLNMLLLSSLRSYIPRLFTPEEEVIELVAQVLPLCAAFQLFDALA ANCNGILRGIGRQEIGGYVQLFCYYAVAMPISFGTTFGLGWDLLGLWSGVALALFLVS VIEVVFLIRTDWDRSVQDALQRNAMA AOR_1_866144 MDNYGYGSSSYGGGGGFVPGETNSPAAGKSADGNNTTLRPITIK QALDATQPYPEADYQIDGADVGSICFVGQVRNISTQSTNITYRIDDGTGEIEVKQWVD SATADTMDTDDSKAGAGKNQVVNNGYAKIFGKLKTFGNKRFVGSHCVRPLTDINELHC HMLEAVAVHLFFTRGPVGGSGGAGAAAGGAGGADATMGGVDDYSAGRNLPAMSPVARR VYNLLKTEPQSNEGLHAQLIAAKLSLPMPDVARAGDELLTAGVIFSTVDEQTWAILDY AOR_1_868144 MPHRAASPAASENEFDITNALFQNDSDSDNDTSLKQTKRPQKAA PPQALDFLGGDIDDDEDDEAFIAGQQASANRKASNLKGRTVKKGGGFQAMGLNAHLLK AITRKGFSVPTPIQRKTIPVIMDGQDVVGMARTGSGKTAAFVIPMIEKLKSHSTKVGA RGLILSPSRELALQTLKVVKELGKGTDLKSVLLVGGDSLEEQFSLMAGNPDIVIATPG RFLHLKVEMNLDLSSIRYVVFDEADRLFEMGFADQLTEILYGLPANRQTLLFSATLPK SLVEFARAGLQEPTLIRLDTESKISPDLENVFFSVKSSEKEGALLHILHEVIKMPTGP TEAAQRQKEQGDGKNFKNFKKRKRGDDKAINFQESPTKYSTIVFAATKHHVDYLYSLL REAGFAVSYAYGSLDQTARKIQVQNFRAGLSNILVVTDVAARGIDIPILANVINYDFP SQPKIFVHRVGRTARAGRKGWSYSLVRDADAPYMLDLQLFLGRRLVIGREHGDQVNFA EDVVVGSLPRDGLSTSCEWVTKVLENEADIYSQRTIAGKGEKLYMRTRNSASLESAKR AKQVVSSDNWTAVHPLFNDQGSQMELEREKMLARIGGYRPQETIFEVHNRRNGKHEGD EAIDTIKRIRTTVDYKKKKREMAEKQSDFVEDASSGNKGEANETEETGAQPDEDEEDI GEGVPDNMSMASESDLEVTFSSYNGGKAKKDSAASFQNPEYFMSYTPSSTNLAEDRAY GVHTGTNANFTQASRSATMDLLGDEGARGFAEPRTMMRWDKRHKKYVSRQNDEDGSKG THLVKGESGAKIASTFRSGRFDAWRKGKRLGRMPRVGEEETPALVHDLNTAMRRRRFQ HRKEQAPKAADRLRGDYEKMKKKGEAAKQRQLSKAGGAAAGGKSELKSTDDIRLARKL KQQRREKNARPSRKK AOR_1_870144 MQSLVLPPSSFITPDFGHSRLDPGPGRISFDLTRSTNARRCNPR DLPLPRSMSASVSAEDPLETSGNVRRPGQSETPQPVTAVESSTVSAPTGISAGVAGQV RAPKTPTTTIHESTYYRVISGPGNDVPRHPFPVSDSFSSRLPASLSVPVTSQPSVPTF SQPTFGTSPPGAAGRSLQQKPTRRTKAHVASACVNCKKKHLGCDPARPCRRCVLSGKE ATCVDVTHKKRGRPPLKAEDASLRTYASQTDNSGTSGEQHAAQPRRPMHRATSSRELR PMTDLQVTGGPPGPYGIRVSPGQPTRWPGAIYSQAIDPSLSMQRNIGHRRFSSSSSVQ SMATVSPGSFVPIGGYSPVMGASHMPMGVGRPLSSYGNQVVHPSSSPPQYYQPYGVAY SPYPPNARVVNRMPIADQQVPRDPRENLVESSVRLPPIYPPTMGNPQPGPQAHRLSDP YPANWSPRTREELMQQELRQLPSHGHGTIEPVSPSSQMRQAASDFSFGSQIPRHLATV PPMQEQPPQESPVRARDDQPAAEVETDDSRPAKRRKMALDDMVND AOR_1_872144 MPGPRPFILFFNPVRHAVPFYHQLQKVARTEVVTSKSREEFYSD LRRKYKDISIIYRTSASGAVAGNFDADLIQHLPSSCKHICHNGAGYDQIDVNACAKRG ITVTYAPDPVTEATADLSIWLMLGALRQLNPSLSSLRAGKFKTGLDFGHDPQGKILGI LGMGRIGRAIKKRAEPFGLITKYHNRNPLSSDQAAGAEYVSFEKLLAESDIISINVPL NAQTKGLIEEKEISQMKDGVVIVNTARGAIIDEAAMAKALDSGKIASVGLDVYENEPR VNERLLGNDRALMVPHLGTHTVETLAKMETWAMENARRAALGEKLLSPVPQHVHFQ AOR_1_874144 MAVHDIEKGPGRAPQEDAGQKAPGFVTDPLGSNTPFVNADKLAR NLSARQVQMIAIGGTIGTGLFLGTGKALATGGPASMLIAYAICGGIVFVTMLSLGEMA SFIPVAGSFCTFAGRFVDDALGFALTWNYWFNDAVSTASDVIALQLLLQYWTDNFPGW AISLIFLVVVIALNMFSVKVYGEIEYWLSLLKVVTIIIFIILGIVVNCGGNTEHQYIG GKYFYVDDAPFVDGIGGFASVFVTASFAFGGTESIAVTAGETKDPAKNMPKVVRNVFW RIILFYIVSIIIIGLNVPYNYPGLSNGDTATSPFTLVFQQAGSAVAGSFINAVLMTSV ISAANHALFAGSRLLYSLAVDGYAPRFFGHLNRFQIPWVAVLATSVISGLCFGASYIG AGQLWSWLQNIVGVSNQISWVCIGVASLRFRSAIRHQGLEHLLPYKNWTYPVGPIIAI CLNSVLILVQGWKCFSPHFKGVDFVSYYIEIPVMIVMFLAWKLFKRTRFVHRGEMDLI TDRYNLIHSTGVDVNDSNEGSSNIHDDNAPQADGSSKKKFFSWDPEDKSVLGRIKQVG MWLFL AOR_1_876144 MAPSNGPRSGKNAKSSHTLKRKRAQDDLSTLTQRVEDLDIKETY KSFSDLPLSEPTASGLASSHFKTLTDIQSRAIGHALKGRDILGAAKTGSGKTLAFLIP VLENLYRKQWSEHDGLGALILSPTRELAIQIFEVLRKIGRYHTFSAGLIIGGKSLKEE QERLGRMNILVCTPGRMLQHLDQTAMFDVFNLQMLVLDEADRILDMGFQKTVDAIVGH LPKERQTLLFSATQTKKVSDLARLSLQDPEYVAVHETASSATPSTLQQHYVVTPLSQK LDVLWSFIRSNLKAKTIVFLSSGKQVRFVYESFRHLQPGIPLMHLHGRQKQGGRLDIT AKFSQAKHAVLFSTDITARGLDFPAVDWVIQMDCPEDADTYIHRVGRTARYERDGRAV LFLDPSEESGMLKRLEQKKVPIERINIKANKQQSIRDQLQNMCFKDPELKYLGQKAFI SYVKSVYVQKDKEVFKLKELKLEDFASSLGLPGAPRIKFIKGDDTKERKNASRATAYL SSDDDSDEGGEKKSKKDEKQVRTKYDRMFERRNQDVLADHYSKLINDDGTLVDPSKTA SAADDADEDDDFLSVKRRFDAGDEDLGGNSEEELEQKGVKVVQLDGKDTLVIDSKRRE KLLKSKKKLLKFKGKGTKLIYDDEGNAHELYEMEDEEDFKARGDAKEQQARFLAEETE RTRTADMEDKEVAKQKRREKKEKRKARERELLAEEEAEEAVAQLAPYKEDDEFSASDR EDDAPRPSKKQKVRIAEPEESKEEPWYKKSKKPAAKAPEQIQTLEDLESLATGLLG AOR_1_878144 MSSDEQDALDALEREASDFVKDAEIDRIRKAFSLDAYAVLDLQP GVTESDIKVQYRKKSLLIHPDKTKNPAAPDAFDRLKKAHSTLMEEKSRTYLDECIADA RRLLIREHKYTLDSPELKTEEFKKEWRQKTVHVLLDEEARRRRQAKAKLQEEGRERRK EEEEIEERKRKRDQDKAWEDSRDERISSWRDWQKGKKSEGDKKKKKKMKVLG AOR_1_880144 MANIAQLPPELFCPVLDLAFENQSDIQLLCSLSLVSRQWYEALV PRIYAEWTYNGARQSFRSLWNFLRTILNDSRIAALVHSLHIGNWGYYPYARSGEWEEL NLPQNEITLFREAINRVGIKHLESNIIKDIRKRDRRPLMALLLTCLPNITRIYTHVPQ SDPVLSAVLRQILDCQSGDNPSTILSKLSDLYVLGEVDVPPRDLVNHNLLPGADQTPL RLDDLWPALHLTGLRTLSLYGLDTANAALRLGASPAISRLKHFSITGGFNSSCTYADL RALLALPEALTSFSLYIQDYAFGSIGGDMISNAGLWKVLKKHQSSLEYLDIYRDAEHT GLYMSQGHFGLLHSFTCLKKLCIQAEVLLGSFWDRPNAPYRLKDRLPCNLESLTLYGG KRFFNTSSIGVHLQEALNSGIFSSLASVELEGFYVDSDISEVCRQNGVTLSMGRSCHH KAPRDCQLRKEGSCPPFVQKTHHMRMDGQRRAVMFAFFPEECRDRREILLPLDDSMDA YEENDAKDEDLDQCAGDLKLRMLDFWVHNGGTAYMVFQNFAHSSLPPLFSFAIYFTHA HVSREKIDHRALYHALCDSYSNYDVRFDLYFVPGITEEGCIAHYRQEGRFRGDYKRQL KAYKESSRYDVSPGVGALPSMVRDYSDTGFYRGLLFICTEPEWNGDQEILWSVQFDPI RQAEDGTESSDEENIPLNCIQRHPINDSSRWYEGYGGECPIDRWISDIASWHREELRG PWLKATRRGWQSWR AOR_1_882144 MVTVTDIFGPQPPGIDLNDNQTPQINATVIALYIVAVIAVILRF VTRIKVQRISLGLDDWLIAASLVPLTTLLVATILAGYCGLGKHVWRGTLDDVVNMRKI LFAYIFIYLVLLPSIKVSIILLYRRIFGMNWMMWLCLALSIGHGACCMVAFLCSCRPL SYFYTQFADPSGGKCIINLYAFYLGNAATNVFTDVITLLVPIPIISRLQIRPMQKVLI SGIFLLGGFVSVGSMVRIYYLTLLATNPDINWVMGDVYLWSTIEPCIGIVCACLPTLN ALLRRTTKLVLGSNAERLFGSFSLSASRRKRRDKSQSKSRSFQQLDGNEATPNAQLRP EDEIVLTTVSAHSEPNSYRRDTDSVVLMDDSARMAITVKHDFDWSEDHP AOR_1_1978144 MSQLSYSQSIGMLEAKNDRFGILEVSNRSLDYFASVCQIPMLDL LLDKNPICRLGPPSFGWSVKFSAEQYQERFTEGKQSHNGIKDFLDRYIETKSKMPNIV DDNVAQMYLVLNIIAGSDTTARAISAAVYYVLKKKGCIQPSAGRASGS AOR_1_884144 MRETMLVHPGVGLLLERIVPKGGFTLPDGRFVPEGTIVGMNPWV INRNRTVFGPEPDSFKPERWLPAEGEHDEAYQTRFSKMKGTDLTFGAGPRACLGRYIS QLESYKFVATLFTMFDVSFGCLQDVI AOR_1_886144 MTDTAIQPDPVLAQLNGGTSNLKNMAPHLPSPQAQYTSTSSTQQ PTTSSSLSEIIAQCHHKVHAFLAESHPPDSLLAAVQRQTRISLDVAATALSRYSLPEL ALSYNGGKDCLVLLVLFLASLHPHPPPEKGGLKSIPAIYALPPDPFPTVEEFVQWSSS AYHLDIIKYTTEPPKTTLKSSFAHYLSLHPSVKAIFVGTRRTDPHGAKLTHFDRTDSG WPDFVRVHPVIDWHYAEIWAFIRQLGLEYCPLYDQGYTSLGGQTDTHPNPKLRVDTTA GNEATKHYRPAYELTEDLEERLGRN AOR_1_888144 MAPQHSGDVLTPLLGALNLQAQRPKYPFVFLPDQMPQIPQIPQV PYMYPNVLPYPTLPYLVNCNEEQKNESLSLPFQMMKTPNGYILQDLESLTQQDPPIPR AVPAMWTNPSDLTLAKCLENREGITNVYIRGFLPETTDEMLYAYASRFGKIDRCKAIV DLDTGLCKGFGFVQYYNFESCENCIRGFFYLGYQASFAQKSRNSRLKDLEDKTSTNIY CTNIPNDWTEADLRRHFEPYRVVSEKISRDEKTGVSKEVGFARFETREIAEKVLTEYH NTTAKDGVKLLLRFADTKAQKLLKQQSNERRAYRAGEYNYSVEVVQGSTPSPSLHRLQ QTASHLSPNSQISYPSPVGVGPTWTPATSISPPYPMVKNQPSNVHLNTWSAGNSPATL DHTPVYRGRLPITRMGWMETISSGSSRTVSSRTALPNSPCAESRSGPTSPRKENTKAE SLSPIPSRREIIVKSPRSIH AOR_1_890144 MSMSRALSLPLRRVSATSPTMRLSPSAFTATRAFSSSLRRDDTW GFIGLGQMGYAMAKNLRAKIPASDTLIIRDINENTAKRFVEETQEAVRNSGAKEDTSK VLIAQNAREVAEQSTVIVTSLPEPEHVKNVFYCMLRHGELPALEKERLFIDTSTIDPA SSREIANAIHTTRQGRFVDAPMSGGVVGARAGTLSFMFGASSQTGELVDRVQSVLMLM GKKAWHMGNSGTGVSAKLANNYLLAINNIATAEVMNLGIRCGLDPKVLADMINTSTGR CWPMEINNPVPGVVETAPASREYAGGFGISLMNKDLRLAISAAEESGTPLALADKARG VYKAVEDEHRGKDFSVVYKWLQEQSATQS AOR_1_892144 MPLIPDESGLASHHTFASPPERLVTRSTPSSTVHSRETSAVRGR PADPSTLAPSTLQPQNTRRGHSHSKSPETASGRPGASYDATLERRPSNSYGHHRQTSI VHGIQHSRNPSFAASSTSNSPLSPEMIASLGRGVSVEQDNSPLGRLEQLDMHTFHQNQ ATNGTAHTLQGMLSTIEDRDTDEAAEGGLANPAHRRMNSSGKPRSHSRSHSKNHLTES KTVGEYALHHLFNSFVGQADNKINQAIMKLGESDVPVEMVCGPGADPGFDQLISALGH IARQKPKPLIDTIMYWRKVKGDAAILAKQVPNQSKENGLLIRRNTEPPQVAAETAAQP DHPPNPIFSRSDDVILAERRATVSVYLVCRVLIEIFNQSSLASITVDMAERLEEIVFG QLKTVDPDQVAASPLRIANWRIYSQLLGIMSETNFTSVTTRFITELERYQKEETLRGP SKDGDARAELLILGMRHLRIRTFPDAWPKSCDFMRSLARLFVNAHGQRVKQAYCYIFE KLLLPVAANPNCDLSLPRWKEFLDLVQSRLSQMLTKPRHWAATFPLHVLLLCVSSKEN FSSQWLSVILGLPARLKDRPTRGPALHAMCRLLWTYFFRYSESPTATLRKVDEIARIA LPVGKRTYLSTEPAITEPLIQLVRMIGFKHPEVCFRNIIFPMMNSDLFLSGKELKIEQ MEPEKMVIGIRSFLAIITDLENCDQLCPPFPTGSIPNPFTDISASTNLLRPQFLTDPR LPTALESRDDTRPRPVNTSRLSDNVRTYYIRFCEILGKITLLCDNTFGGQAALDEKFG GATPKTPISEAFSFGRRDDHINTLDQRQGFYDLLHVAVQALPRCLSDHIPFNSLINLL CTGTAHVQSNIAFSSAESLKAIARQCHAQQVTIGFARFIFNFDARYSTMSDEGMLGPG HIESTLRLYVELLEIWIEEIKHKSKGAVAADSGDKSGSGSRALQLDLSSVLAHVEEIE SHGLFFLCSQSRRVRAFAITVLRLITEFDRALGKENTRIIKILEADSHQILNVSDEQL TVAERSRIQKGKRRSASQNTLIELCSSEVSYDSTLWSKVFPNIIRISFETCPFAVTLG REIVCARLVLMHKTITGLAENPQHPPYGPLDATQTRPHGRSHMTAEILIEQWKLYLVM ACTTVNSVGAQSQSQLANAQHARKSSKGSQQSNDKISSARSLFAFVIPLLSAERTSIR NAIVAALGSINKNLYRTLLESLQYAVTTCNEEAKIRIGTHHRSPSSPKRNRRTDRLRT EVTHVYKLTSHFLQEPEVYNDDWIVNNLVTYAKDLRIFLSDAEVQNDWEFQRLRFHFC GLMEELFEGIHRTKDSSRWIPFESRKSAFSLMEDWCGYSPNQAQISQREENMRKLAIT NQRETGDVRNTAAAMEIEKKNLRAAALNAMASLCAGPISITTESGSVLRFDVGRMLSW IDIIFSSTISDKWHAIGRRALKNLIVHNKEHAYLLERSIEMCYITERPKALESYFEVV SEVLIEHTDYPLGFWRILGAVLVTLGNQKREIRMKSAKLLRILEERQQKSSRLQDFDI SISDKTTAVYKLAQFETSKRLAKQHSDLAFTLFSEFSLHFRNLRPDSQRNMVAAILPW VQTIELQVDPNGGPTARSYMLLANLFEITIRCSTILPNEVQALWQALTTGPHGGNVQL VLDFVISLCLERKEQNFVEYAKQVVVFLAGTPAGSKVIEFFLLQVVPKNMVQERKDIT PPPPDIKGLPYVADLAAVLPVGNKQAGLSLGQVALVFLVDLMVAPVTLPLEDVVKLLH VVLILWDHYTLTVQEQAREMLVHLIHELIAAKLEDDASAGTRQSIEDFVESIRKSDPK VVWEYEDLNDKDEEDNGSRVPSSMGSVTGQVVDFFSLAYEGINDLWAKEALNWATSCP VRHLACRSFQVFRCISMSLDSRMLADMLARLSNTIADEEADYRTFSMEILTTLKIIIS SLAPTDLLRYPQLFWTTCACLNTIHETEFIESIGMLEKFMERVDLSDPMVVTELIKGQ PPKWEGGFDGLQNLVYKGLKSCESLNLTLDVLHRLSGFPNNELMGDGNRLLFTILANM AHFLHQFDPAVDDPKTLARATLLARVAESERCPRLAASLLGFANGQYKAENDFLSHII TEIRSYYFPRQDVQSLIFLMGLLTNTTNWFRVKIMKILCVLIPEIDMRRGEVTCHGFD LISPLLRLLQTDLCPQALQVMDHIMTVSGNPMERHHIRMSMASSSSSRAIRKEYERIQ SLYGIPEPTGWSIPMPATQSSITRHNVHAVFYTCAEVDRIEVQETTPSEVEFHADEYN DSFFPMRADTMKSIDTQADGNIGDIVQKLDSLDDFFEETETSNPTIESIPDSALRGFT GTYVDTSANLYDQQTAPILRKSLARTASSSSFHNGLAESRPPNFRFDNPGVHSPGVLT PQASSQTLRPVSHARSVTSPVNQLFSPTSSSTQFFTPPIGFNESAFLSDDEVEEGMYD LDERIIANRLAPHQLNQTRSATDGSSSLESMIRSGMRRLTGGAANNRDKERQRDLVRA QHRALAQAASSPRVPKVPPEYLTGPASNPASPGQ AOR_1_894144 MAQLDTLDLVVLVALLVGSVAYFTKGTYWAVAKDPYASSGPAMN GAAKAGKTRDILEKMEETGKNCVIFYGSQTGTAEDYASRLAKEGSQRFGLKTMVADLE DYDYENLDKFPEDKVAFFVLATYGEGEPTDNAVEFYQFITGEDVAFESGASAEEKPLS ALKYVTFGLGNNTYEHYNAMVRNLDAALQKLGAQRIGSAGEGDDGAGTMEEDFLAWKE PMWTALSEAMGLQEREAVYEPVFNVTEDESKSAEDETVYLGEPTKGHLEGQPKGPFSA HNPFIAPIVESRELFTVKDRNCLHMEISIAGSNLTYQTGDHIAVWPTNAGAEVDRFLQ VFGLEEKRHSVINIKGIDVTAKVPIPTPTTYDAAVRYYMEVCAPVSRQFVSSLAAFAP DEATKTEIQRLGSDKDYFHEKITNQCFNIAQALQSITSKPFSAVPFSLLIEGLNKLQP RYYSISSSSLVQKDKISITAVVESVRLPGASHLVKGVTTNYLLALKQKQNGEPSPDPH GLTYAITGPRNKYDGIHVPVHVRHSNFKLPSDPSRPIIMVGPGTGVAPFRGFIQERAA LAAKGEKVGTTVLFFGCRNRNEDFLYQDEFKAYEEQLGDSLKIITAFSRETSQKVYVQ HRLREQAELVSDLLKQKATFYVCGDAANMAREVNLVLGQIIAQQRGLPAEKGEEMVKH MRSSGSYQEDVWS AOR_1_896144 MAYVVPIHKASSVRHALKLQFLNPEEESLVVAKANRLEIYTLTP DGLNLAASCTLYAKVSMLARLPAPAHSPTDHLFVGTDRYTYCTLSWDSAQNRIRTERN YVDIADPSSRESQTGNRCLIDPSGRFMTLEVYEGLVAVVPIVQLPARKRGRAPAVPTG PDAPKVGELGELTTARIDELFVRSSAFLHVQSGLPRLALLYEDNQKKVRLMVRELNYT SATASTSADATLTHIADFAQELDLGASHLIPVPAPLGGLLILGETSIKYVDDDNNEII SRPLDEATIFVAWEGVDSQRWLLADDYGRLFFLMLVLDSDNQVQGWKLDHLGNTSRAS ALVYLGGGIVFVGSHQGDSQVLRIGNGSFEIIQALSNIAPILDFTIMDLGNRTSESQT HEFSSGQARIVTGSGAFDDGTLRSVRSGVGMEELGVLGDMEHITDLWGLQVQAGGDTL DTLLVTFIDETRVFHFSPDGEVEELDHFLGLSLSENTLLAANLPRGRILQVTEQRVLI ADLEGGMVVYEWTPPNELVITAASANDDSLVLVIGGELMTVLDIGTEAQVITEKKFGA DSQVSGVTVPASPTEVCVVGFPQLAKVSVLRLRDLTEVHTTSLGPAGEAFPRSVLVAD VLADSPPTLFISMADGSVITYSFKTDDYSLSHMNKLILGSEQPTFKKLPRGDGLYNVF ATCENPSLIYGSEGRIIYSAVNSEGASRVCHFNSEAYPGSIAVATLHELKIALVDRER TTQIQTLQIGATVRRVAYSPSEKAFGIGTIERKLADGAEIVTSRFMLADEVLFRQLDS FELRPEEIVESVIRAEFPAGKDENGREMTKDRFVVGTAYLDDEGEESIRGRILMFEID NGRKLTKVAELPVKGACRALAMLGDKIVAALVKTIVMYKVVNNNFGTMKLEKLASFRT STAPVDVTVVGNVIVVSDLMKSVCLLEFKEGENGLPDSLTEVARHFQTVWATGVACID KDTFLESDAEGNLIVLRRNLAGVEEDDRRRLEVTSEISLGEMVNRIRPVNIQQLASVT VTPRAFLGTVEGSIYLFAIINPEHQDFLMRLQATMAGKVESLGEMPFNEFRGFRSMVR EATEPYRFVDGELIEQFLNCEPELQEEIVNSVGMMNVHEVKVMIEALRRLH AOR_1_898144 MSSPDTSVAAEALKDFFGQLYTFFETMIVRFFRNFYNSFATVGF NRWTKVTLSVIGYIIIRPYIEAWFKKMSERDRKKMQEKEKAKREAQGGKKAKVSANTL RGGASGGGKVLGEVENTDDEIEDGEDFATASGVPEWTKNARKRQKKYMKSLKEAEANA NKLSQDQIMELLDWSDSENEKKDA AOR_1_900144 MDPNEEVGLEERLKSALWLAIGKIVDDETIKLGVNATPQFIGAL TEMVWAQIETVSQDLESFAKHAGRSTINVSDVMLLARRNEGLESILRAFVDQQREEET AOR_1_902144 MEAVRFHGPRDIQVETIDEPICGKGQVKIRPAFVGLCGSDIHEY TGGPVLIPQEPHNITGRSYPVTLGHEFSGIVEEVGEGVTHVSPGQRVVVRPTIFDRQC TACKRGYEYCCENIGFIGLSGYGGGLAKYHVAPAEHFYPIPDNVSLEAAALTEPLAVA WHAVNLSPFKMGDNVMVVGGGPVGIGIVQVLKLQGAKNIMVSELYEKRRKFAIEYGAT HTFDPDQEDVTQRVMEVTDSIGADVIFDAAGVEKALNGAISACRTHGTIVNVAVWEKK PAIPVNDLMYNEVNYTGSALYDEGSFEAVIKALGYGQLKPEKMITSKIKLNETVEKGF ETLVNDREDHCKILIDVQA AOR_1_904144 MGSGGVVLRFFNLAIRVLQFLDGAVILGIFSYFLAVLSRHDQNI PQWMKATEGLSGAATLYGLLGILFTCCLGGVAFFAAVAVVLDVCFVGAMVAIAIMTRN GTQQCSGRVDTPLGSGESNAESPSKVKYEFACELQKVTFAVAIIGIFFFLVSILFQVL YARHHKREKRFGPSPANDYTYGTRPRAFWRRKKNNPDAASADDMLPTHPTPQDVELGP SQEKPSGFGGFFSRNKDTAQAPPSAPQNGYGYGNSAYTGNY AOR_1_906144 MKGLAFVCGLLATSVSAHMQMSKPYPIRSPLNKDADGEKDYSYT NPLSTSGSDYPCKGYANDPFNSVATYSPGQEYEIELQGSATHGGGSCQIGLSYDKGKT FHVIHSILGGCPIEKKYKFTVPSDAPNGEALLSWTWFNKVGNREMYMNCAQVTIGGAA KLAQTNALSRRDSFDSLPEIFQANNNGPGQCTTTEGEEVNFPLPGPSKEGSLSGKGYT CKSSAPFLGDSTSAASGTSSAAHAPKSSAHKFGSASASATPSSKVASAFGSPSSLHGA FATPSPSQPGRVDSHPLKHHESSQENCRDGSIICSEDGQTWSMCTFGHPTFMGPVAAG MRCRHGAMHRVW AOR_1_908144 MPVDVKLENGDSVNSPSEENVVQAQARTSDLPPDVPPDPESDED VPVQPEELQEALSRPPPVNSSYLPLPWKGRLGYACLNTYLRYSNPPVFCSRTCRIASI LENRHPLLDPSQPAHPTKNRPDRSQTPDIARGQAFVEALGLANARDLTKILRWNDRYG IKFMRLSSEMFPFASHKEYGYRLAPFASEVLAEAGRVIAELGHRVSVHPGQFTQLGSP KNEVVESSIRDLEYHSEMLQLLQLPPQQDRDAVMILHMGGVFGDKEATLNRFRENYQP LSQDIKNRLVLENDDVSWSVHDLLPICEELNIPLVLDFHHHNIIFDSTQVREGTQDIM GLFDRIKATWTRKGITQKMHYSEPTPSAITNRQRRKHSDRVSTLPPCDPTMDLMIEAK DKEQAVFELMRTFKLPGHELFNDMIPHVRTDENKPFKPPRKSTKKNGGFVDLEGHVPP APTVPEEVVGMGGPERRVYWPPTMEEWLRPKKVIRTKAAQSPRRPRQTKKVDSGVEES LEQDGEVASTALTTPASTPASKTPQRIQRTSSVKKVSSRKRKASTPASTSPSASDDDA LPETSKLARSRSTGVRRSRRAKPVSYAEDSESL AOR_1_912144 MSQFLSSTSSSWGSGGGAGGGRRQKVYQYLRAANELRQTYAAQW ASQINPSREYDEEYLKNTPGAFPDIEIARSEDEEMVIFPSYARRLVKDYDRQRRGSTS TLDEYRGVAEETELERLEREVLEDENAIVAVDVRGWVYSPHRGPMNRKQRLLIALARK LSGVPAPSNYSTDSEGPDSDNASIGKASGKRDDELVDAEAQSIIRKAEGRADAAWKSS ASERDGEATPARPLQRTTTSSTLEPSQMSMDELSIANAHLMERLRPFLSNPMNQQPVT VFFFNDGKSQSRSVLTDESGHFTLRAALPFIPTHIRVLASEDLSAMKQVEVIEPSGVS LISDIDDTVKHSAIANGAKEIFRNTFIRELADLTVSGVSEWYTKLAKMGVQIHYVSNS PWQLYPLLERYFKLAGLPPGSFHLKQYSGMLQGIFEPTAERKKGSLEQLLRDFPTRRF ILVGDSGEADLEVYTDIALANPGRILGIFIRDVTTSDHKQFFEKSIDHLEPHPSRTRS TPQLIDASDVAPNRPALPPRRPRAPDHRSADAISLDNADLIDLRDDDDLPDTVRPPNG RLPPAKPSKPSSLRTVSAMSDQPGKDNSSQMQDAIKRKPVPPVPPRRPSETPTPPNAL PMRSKTFNEVTPSESQELARSKQPPPPPPPRRSNTGATSSTATSNSSTSRPPSQRQPQ SYPTAAATAALQYASERLPSPSNLLRSTTTNPSSARSSTNSVDSDQQSVAGSAPPAPL PNKREELWRRRWERAHDILTDKGVVLGSWRVGTDVQDVTMWLVQEAMKDVPRSDTRKN AOR_1_910144 MAGKITSDEGYGSSADSVCDKTLSSSNSTSSITMNEILPVTKVP ALDTIDPYVVNRSADLAVARSPSVELFYTSEIQNLADDIVQGYARFISSFTGLEDVAF FVSRHSPFVSGTQRACGVICASVFGSDRAEQQTGQECCKVREVDTCYYNKDEVQFSLT LGLGVGPENGELQPSVQENVFGLNVRSTTNEGVLQIDFTYPMQLIPDTAISQLLKTLA SRLADSSTFLTLEVSPPELSIINFPPLMVPPSRGTEPNEVTEAESSRPSLLHAAFEGW ARRKPNSIALDFVHSLSSAEIPAEHSILTYAALNTAASNLATHIRTLLSGHDGSANYG RIIPVYMSTSPELYISYLGVLKAGCAFSPIPQDAPAQRVQEILQDIGSPIILGNTSEP SSVPWRTETTDTEATTPTWVDVAEVSKWKELRADQTPSTAISEPLEQLDISESQTAYL LFTSGSTGKPKGVQVSHLAVTCSIESHATAIPLPGDSAGDFRWFQFASPTFDPSLMEI FITLSRGATLCSAYRSLTLTDLEATINEARATVMMATPSLAALLRPSRLTTLQSLWTM GEKLNRTVIENFASTPDANGVNGSSGPSTMLVNAYGPTEGAINCTFLAPVDRSTRGSI IGKALPTSAMFILDPNTHVPKPVPSGLAGELALGGPQVSKGYLNRPKETAKSFVHSPD FGYVYRTGDMARIVWDETGSQVIEFLGRITSDQVKLSGRRVELGEIESVLSTVSGITE VVAVVSKRDSNMQGSEQVFACLVADNATEAEKDEIVRTAQESTQLHLASYMCPSAYAF FDSLPRSSSGKVDRKAISAKLQQGDVELFSTQKPKLETSVDAQHNWETTDEHTQQVQQ LVVSLIAETSDEDVTAIKPGSDLYSLGIDSLGAMRLLQKMRDNSIEGLSVGDVLRAET PKGLVSIIAKPHSTVNGVDSNGALLADGSAELLNQRLTLFSTRNHSVCAERLGLNSDQ IQKVLPTTATQSGMLTSFLRSSADKSFQTRSYIYHSVLPLEAAVDLDRVRNAWDIVTA SYDSFRTVFCWLDDDMAPFAQCILAADAVPQPKWNVYNASEGNSEKETLNKALRDAEE TIDLSTPPWKLSLITSSQRTLIILSMFHGIFDGGSLQLLLQDVSLVYGNKAMANRTSL EHIVQHHFGADHTATSNFWNEHLDHFSPISFPSVTPYRAPPVKAADCVEITAHISYDR LKRQSKAIGATPLSVLQAAWGSVLLAYTGTPDQDVVMGSVVSGRLDPDSEICIGPTFT TVPVRLAMHLIPQDATGTRTNRAVARYLSSFNAKTLSHLQPRLGSLVTGDGRLPYDTL LAYQDFSAGSSTSGIWSSIDHPPMGNDFAVMIEVWPGANSSLTFRASFNHALLDSESA EVMLRQMADIVAFILETPEGSFLDAPSQTQAELKSTFNPTSIVVPEVSEGALIHSRFE EHAESHPEDIALIFKGDLDDESNPHNISWTYGELNAIADNLAGNLLQAAGPLTNTPVP ICIEKSPAMYVAILGIVKAGGAWCPIDTLSPAQRRHDLIARTGAKVLLVSSDDGDQPE GSIPAGVDIIDVSRFTANTSELSGVGRPSTKQRTSPDDMAYLIWTSGTTGAPKGVPIK HSAAVCCMKSLAKDIPTDVQGGVVRCMQFSQYTFDVSIQDIFYTWSLGGVLISATREI MLGSFSKLANITKATHAHLTPAFSAGVPRKSCETLEVITMIGEKLTQQVADDWGTDMR AFNTYGPAEVTIVSTIREFGNEHKNIKSANIGWPMDTVSVFVTRNQRMVMKNAVGELA LGGPQLSPGYLNQEDVTKAKYVWNEETSQTLYYTGDLVRMLADGSLEYLNRVDDLVKL GGIRVELSEISFSLDSCHPSVENIETLILSRSDRPTKVVVAFLSAPAAASADDDQLLI LNSTALEIARAASDKAHSVLPDHMIPSVYLVVKNIPRTPSAKTDRRALQAVYEAIDID SWEGQLNPENEDSAKQPEDEADVTTASKIIDMIASLANISSSIITKVSRLGSLGIDSI RAIRLASRLKEAGYQLSVVEVLNCVTVQDLVKLASSSSKATNAASDTFNLEKFNLTWH VLAAKKIQEEFFTVRATTIQESLLSETMGTYDMYWSNHFFSLDPSVSLDRLKQAWLAV CQKTEALRTGFIPVAEVASTCHDNFDFSILQLIYNLPTMDWEVHQCTEHDWVALRDQR IESIMTKHQSNYFSYPPWAVTVFDKGSERVMVLTIHHSIHDGPSLSSIMDDVQSAYMY KPPLRHQLSSALSIILPTEVKSAETRNFWQSELEKFSELDTPVWPDLTGRKVEPGVEQ EFKLISEEIPLTEKISELQSAAAQLGVSSIASIIRAAWGYVSLCYLGIPATVFAETLS DRVLHPDLEDAVGPLISVVPIPFHPQGSARDFLAEQHRISVQSWKHRHIHARDVRRML NRPRGEALYPAVFNFHVAGDSKGPTRSQIWQELEDQIGLHVEHPMAFNVFQHADGALI LEASSDSRMMSREQLSLFVRQVDGLVTSMLVFPDKQLADLVHHLPTALKSISTRPVPE SVRDSVHTSPTYWLEKNAREHPEWTAVEVASDIAPEGIVKEAWSYETLNTKANRVAAY IASQGYKNKMIGVCCGRNLPSYPIIVGIFKSGNGYLPIDEGLPDERKAFLTEDADCPI VFTETQFSQSFSRVPEGCRVICVDDSGFQELVETMPAEDRDYQSHPDDVAYLLFTSGS TGKPKGVMVTRGNLSSFIESFREFCIKAAPGTLTLGGTGRYLAQASRAFDPHLLEMFF PWRQGMTTATAPRAMILNDIKTTLSKWEITHASFVPSMVDQSDARPEDCPKLHHMTVG GEKISKKVLDTWADSHIALVNAYGPTEVTIGCTFAHVGKQTNMRNIGPPLTACACHVM IPGTDNYTLRGQTGELVFSGDLVGKGYLNRPDATGFVTGPNGEKMYRTGDIGRLMPDD SVEYLGRGDDQTKIRGQRLELGEVSEVLRSSSAVPIAVVTTVVKHPGLARAQLISFIT RSDARRHQPDESVAFVQSDIATLGKELQDILKKKLPAYMVPELILPITYIPKAPMSGK ANLKELHALFVDLPLTTVLQGNNATNKDGSAVSRPLTADEEAVVEEVCTVISTDPSNI GPMTNIFEIGLDSLSAIGLSIKLRKIGYQATVTLVMSNPVIEQLARLPRTPSSLNGQD SLSNTRQRLLDIESQYRKSSPAGVDLSQVASVRPCLPLQEGLVARSINSDGDQLYVNH VILQLGKVDLSQLKSAWQTVVSDNEILRTAFAPLDKEIVQVVFSPDSNQLRWTEGEYG TLEESIEKSKLQQEEITRDIISNISNTPPVRFHTAKSSETKEPLALFISIHHALYDGE SFSMLMDDVTARYAEDPVPERGLPLAFIEHVYGQDSEKAKKHWTEYLAGCHPTIFRED PSVMELPTSAHKQLGNKLSVLERRSASLQTTVPSLIQAIFALLLADTVGASDVTYGLV LSGRAVSVPGADSVLLPCITTIPSRLDTNGLGTVTDVIEAVQRSTVRSLDYQHTSLRH IQRWSNSQTPLFDCLFSYIRATDPERHSLWKELDSHMPAEYPLAVEVEADHATDTINL NCLFSPAFGSLYNGEEFLEKMDAVLASVASGESFSLDSFNLLRLEESGSRSSAIQWDE TTWSNTETEIRGLVASFCGLSLTDVSKGASFLSLGIDSVTAIQFARKLRESGLNSSSS DVMRFSCVGALAKHVEQSSLPQSTTNGEHVNGTADIPLDDYQKHVRLLSYNDSVEAMF ECTPLQAGMITQTLASSGQVYVNPHPIRLNNSVDISKLRGAVQEIIKRNDILRTSFHL IGELGSSWIGAVHAHPPLEWQELSLPSGCDVLAKVNALFSFGEESSFEVPPIRSVLVN QPEGRVLIIVMHHSLYDGASLPFFFEDLAITYNGESPSERPQFSETVKYVTNGQDEAC HFWTRKLSGYEAVELPQLPCSESLDHMFISEGRVDLDLPLIIDACKKMEVTVQSVAIL AYAKALARLIGKRDIAFGQVLAGRSVPGTERTFGPMFNTVAQRVTFEPKFLSNRAMAL RLQQLTTEAQEYQHAPLRVVQNSLRKADMLKATSLFDTLFVFQKSADLAGSILEEQQI WKPFETDDYAAQAEYKLNVEVDHGQDGIAVSANCNGQYMSQMALDSFINDFTTAFDDI IQHPARCVTVVPERLGELPLRLSLEEPEENLVDETDAPPHEAIVKSVLADVSGVPVDS INSSTSIFSIGLDSLTAIRIASICRSKGLKTGVADILQGNTLRGISQRIRPIAEQAVK PQGALIKDYDQVEKIVLGQLKLNKESIETILPCLGGQYYHLVSWLKSGRKLFEPVWPY FTTERINAEMLEEAWYQLRQRHPVLRTCFAAVSPSEAVQVVMKEAVRDGESFKVIESS DSITETAKAQAKAEALVPSSLFVPPVRLRLLKASDRDGILVLVNHAAYDAWTMPLFIS ELGKLYRGQPLDSNPDFPSFVDYSVRSLQEVDEKAYWTSALGSGMRTVINSKQEAVQV PDQLFVGAWEKVKNVSQLETTCRSFGLSLQAVVLLAVARTLARATGSTSPTMGLYQTG RSASFPNIEKLSGPCLNVNPFTISDVISDQSVLNLLDKARAVQSSLAERVSFEQSSLQ DVLRWVDAQKSGSPLFNTWVNLLWMQTSIPSANDATPDADVSDNAEVFLPLRIGVPTD FIPDEPLPGLESTSVSALDTSLLPDENVYIDVGPDPKTDTIGFGVRVEGGALSEDEVN KLVSDIGAEIEGIVSSLQ AOR_1_914144 MAATRRLQQTLSHIQPPKAVEQLSIVYGPTQPPLLDITLGELLA LQSLQYGEHECLVFPWTGTRWTYSALNDEADRLAQGLLAIGIHKGDRIGIMAGNCEQY ISVFFAAARVGAILVVLNNTYTPSELYYALEHTDCRLLFMTPRIGRHNLEEVLSKMGP HPKRKGSSAALEEIVILRGEHSNFPTYSSVIERGLSVSSNALLDRQAQLRPDDVCNLQ FTSGSTGNPKAAMLTHHNLVNNSRFIGDRMDLTSFDILCCPPPLFHCFGLVLGMLAVV THGAKIVFPSETFDPKSVLHAISDEKCTALHGVPTMFEAILSLPKPPNFDTHNLRTGI IAGAPVPRPLMKRLFEELNMTQYTSSYGLTEASPTCFNAVTTDTIETRLRTVGKVMPH AKAKIIDAEGRIVPVGQRGELCIAGYQLTKGYWNNPDKTAETLTTDADGTTWLKTGDE AIFDPQGRCTITGRFKDIIIRGGENIYPLEIEERLASHPAIEVASVIGIPDHKYGEVV GAFIALAPGYENKRPSDEELRVWTREKLGRHKAPQYVFVFGEEGVDRTIPITGSGKVR KVDLRKTAAQVLERRTKAN AOR_1_916144 MPSNEALTPLLRQFRVLIAGGSYGGLSAALTLLDLSKGRLARFN YTEGAKPPQYQIPIQITVVDERDGFYHLIGSPKALACDKFAAKTWTRFCDIPALKSPN LQIVRGSVNTVDFQNKVAQILDLETKETRQEKYDFFIAGTGLRRVFPTVPQSLKREEF LQEATGHKEAIRNADEGVVVIGGGAVGVEMAAELKELYPQQKVTLVHSRDRLLSAEPL PDDFAERVVAELREQGVEVILGQRVIDTTAVEEEQGKRTWSLTLANGTKLKAGHIMNA VSKSSPTSSYLPKDALTEEGYVKIRPNLQFANPVPNAENHFAVGDIAQWAGIKRCGGA IHMGHYAGTNIHQLMLAEATKAKPEFMNLINYPPVMGLALGHTAVSYTPDEGTKHGKE LLGTLFGEDMGYSICWNYMKMSEPCQA AOR_1_918144 MPTAVDNATPTTIRGGNEQSRPRISQPLEYTGTLDIYTHHDLTP VIGREYYGVQVAEILKSEECDRIIKDLAATISRRGVVFLRNQDLTAQEMRQFGEKLSI LAGCPESSTLHVHPLTEEGSELGDQISVISSEKQKKGGGLTHQLSDTSRFASVGWHTD ISFERVPSDYAMLKIHTLPETGGDTLWASGYEIYDRLSPQMAEFLEGLTATHDATFFH DEARRLGNPLRKGVRGSPLNHGEELTAVHPVVRTNPVTGWKSVYVNKGFTRRINGVTK DESDMLLQYLFNLVTQNHDAQVRFKWNKNDMAIWDNRSTWHCATYDYAEARAGDRVCS LGEAPYFDTQSKSRREALAEN AOR_1_920144 MQRLYALALLSWCSAQVQAKAVFAHFMVGNSAEFSDKEWKNDIQ LAQNAHIDGFALNMAHNEMLPATLDKAFEQAEDLDFKLFFSFDYAGNGSWPKQDTIDL MNKYKDHPAYYKYDSKPFMSTFEGATSKDWPDIKKQTDSFFIPDFSSIGPEAAANRTY VDGLFSWAAWPNGPTRMNTSADDAYRHALNGRPYMMPVSPWFYTNMPGFDKNWVWAGD NLWYDRWEEVISFQPEFVQIISWNDYGESHYIGPLHKDGYEAFNRGEAPFNYANNMPH DGWRTFLPYVVDQYKNPNSTIPIKEENVVTWYRLHPASACTTGGTTGNSESQGQVEYK PSEIVHDRIMYSALLNSTADVTVSIGKTKVTGSWDNTPKDGKGIYHGSVPFTQSGEVK VSISRGDKEIASISGEHITSECPKEEKGFQNYNAWVGTSGADGTVAPSTAMCLFSLGT VTFILAREMIML AOR_1_922144 MPSRLIFITTSLFLTHLSVGIHANIPSSVSSPAPIRHQPAGHSL DIPARNSVLASDLEEIILNGPNEQKAREWNLHYTSEPHWLGQGESLASYPVPKSPSIP SYQRLALLERKPGDADGQNDTELFIASLNEGSTFVNPISGKIISTPQFFSSTPSANVT APFVFVNFGLDSDYDDLQQSNIDVSGKIGIMKQGSLTVGPALSKAQERGLVGLIFYLD PEFDANVAEAHGYLPFPEGPARAPGSIVRRGIDAPDPLLKIPTIPISYSEALPFLKAL NGHGPQASEIGTTWQGGQLGYLGVNYNVGPSPDGLMINLVNIMQTSNVSVYNVIGTIK GETEDEVIVLGNHRDAWGAGAGDPNSGSAALNEVIRTLGTAMKKGWKPFRTLIFASWD GKEPSSWGAALWVKDNLPWLSEAAVAYLEIETAATGTEIFTKASPLLRDVIYSAAAKV LSPDQSKPGQSVLDVWGGHIEPEGGGDTNIFVSNGIASLNLGFAPGPTDPVFHWHSDF DDIQWMDNFGDPTYEYHTASAKLWALTATQLADEPVLPFNATAYPVSLGSYLNELKVT LEEASSDEAYQQGQDSCTVNLQPLEDAIAELHQVAVQFDTNAADLAARLNQKNTTITA STYQEDKTIQDINRKYRTFEGQFVVPPASPGARAQHVVYPRTSYRTILPTFPSITKNV TNGNWCDAEVISFSPSQKRR AOR_1_924144 MPQQDDTATPPKTRPKPIPHFMNLPPELHILIANLLIFPDIIHL KLTCTYFNDLIPPLPHHELLQAELTDFALAHDIYACRYCLRLRPAGKFADRMLRRGRG RYGRDAERRFCVECGLMPRSGTARYGPGAQIVVQGVMFVICMVCREFAVGGKDCKGIA ICGSCWEERTTRERDFRDGTHLRASLLGVLAYGSLFMW AOR_1_926144 MPIISTTSTTHEFAGVLFDFDGTIIDSTEAIVENWRRIGEEIGI DHEVILQTSHGRRSIDVLKDLDPSRANWEYISAMESKIPTLCKNPAIEIPGARALLET LNSLHAPWAIVTSGTNALLTGWLDVLRLPRPQEVTVAEDVKIGKPDPEGYYKARTRLL QHRGEDDIKDVLVVEDAPAGVKAAQGGWCGLGDT AOR_1_928144 MHLHTPSRLVIACQALKPVHAHKSFIRQATSSAESRKEGDISSV FVSLSGKEEAPLPPRFAEQKKRLIAGREDQIERSWHRLLHTLKDEVRLIEQRGSDIIP SIDFKDIHAAPKTFRDELRKRGVAVIRGVVPEHDARAYKNEIEDYVKANPGTKAFPPH DPQVYELYWSQPQMRARTHPNMLEAQRFLMSFWHSNSPDAMISSTHPLTYADRLRIRQ PGDAGFALGPHVDGGGPERWEDNGYGRGNVYQRIWQGEWEKYDPWEASCRVLAEADLY NGAGACSMFRMFQAWLGMSHTGPNEGTLLVNPLLSLATTYFLLRPFFEPIYTPPKECS RMATETFLHPSNWRLERETSSNLQGATPGFAQEVTATLHPHLELEKTMVHVPKIAPGD YVAWHCDTIHAVDRVHNGTGDSSVMYIPACPVTEANANYVKRQRNDFLEGVPPPDFPG GKGESEHLGRATEAGLRKSTSQLGLRSLGLTKWDLNDQSLTQGQRLVLDKSNKILGF AOR_1_930144 MSAFNASNVDLNTASKEDVLCFLALSDNDYNGHLGARISSIFVI LFVSSAFTFFPVVAKSLPSWKIPFGVYLFARYFGTGVIVATAFIHLLDPAYKRIGPKT CVGESGYWGEYSWCAAIVLGSVMVIFLMDLAAEVYVERKYGVHRDEDATGAFIQGGHQ SAAVAHNAYDQEKSMPSEQATPAYRSDSESATAERSFKQQIAAFLILEFGIIFHSVII GLNLGVTGSEFATLYPVLVFHQSFEGLGIGARMSAIPFGKHTWLPWILCAMYGLTTPI SIAIGLGVRTTYNPGSKVALIVQGVLNAISAGVLIYSGLVELLARDFLFDPDRTKRRS QLSFMVFCTLLGAGIMALIGKWA AOR_1_932144 MVTTILRIVSATLRFIWLVLLRPLVIVVATGAVVSIYRAGLPLW KDVPALFYELVMSFIA AOR_1_934144 MSQPRLISNRDVENQSSLNLISSNSKTSIAHQHTSQKYRPRVTA IIPTLIKLCFLAILSLLLIVSHPPNSNTWPFNSFKPNFDSDSASRSKSAPGKLGAVAS ENSICSQHGVDILRKGGNAADALVASELCVGVIAMYHSGIGGGGIMLVRTPNSSYEVI DFRETAPAAAFKDMYEHNKNASVYGGLASGVPGEIRGLEYLHSKYGVLPWSTVVQPAV QTARRGFPVGEDLIRYMNHAAGYEDFFTKNPTWAIDFAPGGARLNLGDTMTRHRYADT LEAIAKYGPSAFYSGRIAETMINALQNENGTMTLGDLQNYTVAIRNISQIDYRGYKIT STSAPSSGVIALYILKVLETYKDLFRTEQSVNLSTHRINEAIRFGYGRRTYLGDPLFT DDMATYESQTLVQSMIDATRSKISDHRTQNISAYDPAGLECLETPGTSHIVAVDNTGL AISSTSTINHVFGSYVMVPETGIIMNNEMNDFSIPGSSDLFGYIPSETNYVYPGKRPL SSITPVIVERSDGTLVLITGSAGGSRIITATVQNVIHSIDEGLSAADALAKPRLHDQL VPNQVTFEYNYDNDTVAFMKSLGHNVSWVAPGQSNAQLVRVLPNGTFDAAGEPRQVNS AGYSI AOR_1_936144 MKLLLESCRTDRGVFLREIGKSRAVLPTGQGWEAAIAAKVDNAD LRDRMKIYHRFECYNIYQHVVEAGYHTGTYWIRDMRTNLAKKLCEKFPQRFRDQQAAN KSLNWVDQGCKYHEWAGQFKRGITDLGYLIALPLDVPHSAYTSRCTKKRMHEVANDLK SRGIESLVTQYELTELGNHIAVTLRDMTCRERREAPGDTPQGSCKSPRSMPSLSELSS HILTVHSAQTPTPPESLIANLYTIDCPSRGVEMGIEMEMEYPVNYRSTDHYASAYACR SIAADSGVPANGLLGSSMPILHTPFQTIDMGQAAYLTPSTSAVNNDALGPLNGWDEST NYTESLTPYGTT AOR_1_938144 MESSSPTEILTINELRAAASSNLQKDVEEYYNEGAGDMVTMSEN ETAFDRFKIRPRLLADRICANISSDKSGHDPGKRWDEVIPWVKANTSLEVWVKGISCP YDVLKAIDYGLDGLVISSHGGRQLDGVAAAIDVLAECAPLAKGRIKIGFDSGIRRGAD VFRALALGADICFLGRIPLWGLAYDGQAGVELAVRILEEELRNTMAHAGCHVRLVSIE ERVENILAQTPLIDGHNDLPINIRKHYKNHIYGSNFTKPFADGTLEGDTDLPRLKQGL VGGTFWSVFVPCPKNWIDPLNRQDAPDPRMTIEQIDLMLRVQRAYPDIFSSPPNSTTA LQAFREGKIISPLGIEGLHSIGNSFAHLRMFYELGVSYATLTHNCHNIYADAATVRGP GGSTRKSDPLWHGVSPLGKDLVYEMNRIGMIIDLAHVSEDTMRDVLGAGKDDWSGSRS PVIFSHSSAQALCAHPRNVPDDILTLVKERHSVVMVNFAPDFISCTASDHDDRLPDID DEHATLERVVDHIMHIVDVAGIDHVGLGSDFDGMPTTARGLEDVSKFPGLIAELLRRG LNDEDAAKVAGANVLRVWREVDRVALEMQAEGAVPMED AOR_1_940144 MPSKVVHLPCSHGSMGSEKTNIPVAESPRDVQTTLTFSKQNEDC SAPPPMYIGKPQSYNRPTTTLPATIHDISGHELEYTLDSHGFQFYSHESKVKDFLDEE RVRAEYYPETEQLLHDVTGATRFCVFDHTVRQASQDWTTERDARGPVQRVHIDSSYAG AEARVRYHFPDEATELLKHRYQMISVWRPIKTILKDPLAVADAHSTPESDLFPVKIHF PDREVEGWAVKADPQLKWYYRYKQPPDMVTLIKLFDSKLDGRARRVPHTAFVNPATEH EAPRESIELRALIFHPDDPN AOR_1_942144 MDIPDRCTVLVVGGGPGGSYAASVLAREGIDTVLLEADNFPRYH IGESLLRTTGSFLEFVDAYGKFESHGFRQKNGAAFKFNSKPAAYSNFLQHGQHAWNVD RSQCDDLMFKHARECGARTFDGVKVQSVKFSQTFCLDEKESGEQAHLGKPVSASWVRK DKTTGSIKFQYLVDASGRAGLISTKYMKNRKFNEGLKNVASWGYFEGFEMYGVGTVAE GCPYFASFPDGSGWVWFIPLGENKVSVGVVMEQKSATAKKKLMESPSSREWLLTQAKE APGIGDLLAKATLVSDVKSASDWSYTASTYATTNIRIVGDAGCFIDPLFSSGIHLALT GAFSAAATICSSIRGDCSEKSAADWHSKRIQEAYARFLLVVASTYGQITGKEAAILND EGESDFDSAFELIRPIIQGSAEESTGKAGPEDATEAVSFCMRVIRKAQNRLEDISIGD KIIRAAMQPDTGSIGGSDIDGMVIHAERGALGLVKM AOR_1_944144 MGQITLYYSPGACSLAPHILLQESGLEFSIHKEKTGQFTPALYA LNPKGKIPVLALDNNEIITENPAIMNAISTLVPEKGLFGKTPWDTVRVHEWLTWLSGT LHGQGFGLLFRFRRYTDDEGQFEGLKKRGMAIVTDCFQIIEQKLTQAGPGGFAVGGVF TAVDAYLFVFHRWALGCDIDMPALYPRYTALYEAVGGMEATKKALAAEGL AOR_1_946144 MYPERQGFRGAASWKSSQSRWNKAIYRSHSTSPDEAENEDPERV PFLQASDRSLQNDADRRSHHGPVPSVFAVTITACMVMLILDITSAVPTAPRMVIFEDI ICRNHYAAWWDISKLGDCKVKAVQGELALINGWKETFEKIPALLVSIPYGALADRIGR KKVLILALTGCLLCDTWVAIVCLFPRVFPLRAVWMSGLWQLVGGGGALVISMCYTLIG DVCSPEKRTTAFSQLYAAVLISELISIPLGSSLISLDPWIPVLGSLGFLALAILFALL FAPNFVHSAPKPRDFESDIQTQGPNSVHSGKIRDRLSYTWARVGDNCQWITRDVCLML GAFFSCQLSRQVSGILLQYSSFKFNWGYAKASYLISLRSGINLVVLATLIPALKRLFT KIWRSRQAQSDKYITLISGLCLALGSFIIFLAASPGVLILGQIFSSIGFAFAVTAHSL LTAMVDPRHLGLANTGVTVTNSVGHMAGGPLLASIFQWGLQMGGFWVGTPFLFTAVLL SIATLAVSISNAP AOR_1_948144 MVSNEHACDSMTPSNRAMTTEAALAAEKNFSAKNYESLPIVFAR AQGASVWDPEGNHYLDFHSASTALNHGHCHPKLVAALVEQASRLTLTSRAFHNDVYPK FAEMVTKLFGYDRALPSSTGAEASETAIKVARKWAYKVKGVPRDQAIVLGAAGNHHGR TLASISLASDNMSRENYGPLVPNISCTIPGTDKLITYNDKAALREAFAAAGFNLAAFV IEPIQGDAGVIVADDDYLREARALCDKHQVLLICDEIQTGIARTGKLLGHYWSGIWPD MVILGKTMTGGMYPVSCALANDDVMLTVEPGTHGSTYGGNPLGAAVAMRALQVVEEEN LVERAEHLGNLLRAGLRAIQAQTPVIETVRGRGLLNAFVIDQNKTNGHTGIELCEVMK AKGLLLKSSRTGVIRIAPPLVITESEIERALGVIKDSINQLVNGSCKTI AOR_1_950144 MTIQDKPTFPDDVLFRRLIDIAIERDSKTIVDDYSTGTQFGYRQ ILHGIAKLQQTLQGLLHLSESRKPGSVYVALLAPNGYEFIVGVIAVLAVGGVVVPMPT GALPAEAAYIIQQCNAQVMIVSRELTESATQIQREVEIPSITIEGNTHTSSNLPPAGS YRLDSTLAVSEETPSILFFTSGTTGPPKGVLHSRRTINKYAHMETEPATNDDICIIPR GAFWSIYFTKLFQMLLAGVRVEIQNFGRNYNLIWERLREQAGTKIVLSPTFWYGMMLH YESHISKLPEQVIQDYIDGVRYIRDACDTGAMPSSRVKQFWQEMRGGKPLRVLYGSTE TQEIAMWDGAIGSEEADLGTPFPHVTMKLSEGDQGELLVKTPSMFLGYLNSPDATAKR LDAEGFFKTGDLATLENGRFIFKGRANMDLFKFFTYKVPRMEVEAKLTALPYVSEGYI LPVQDPQCDTRTAALVRFHDSYDKIDLGSLRRDLAHDLPAYQLPTVLRSLREGETVPR TWSDKTAMMKVIQMFFPQDTEDKICGDATEVMDVSGFMKMKTTKLWELSGIR AOR_1_952144 MAGCTNLSAINIGTPGCDIKRDLKREVSFSDLKLTSVTLMTAPA FFESTLRKETKMLNTKDYNAMSLAQPTNFKAMLNSGQFLWGTGCRIPHPEAARIIAAT PFHFCFLDAEHAPLNATLLVSLVRTIQYQSNGCMVPFVRIPRCSPELYNYVLGAGAGG VVMPHIQNAKQAEELVRLCRFPPMGDRSFPPAALIGEQQNRTPIGQSTYDVWDSHVAV FCQIEDLEGLENVEEICKVPGVDGLFIGTGDLRMCMKPSHGSLDGDEPVYLAALKKIR DAAKVNNLPIMGFGISPAALQLRIDMGWNAFIIHGDIDAICTSATDSLQTYTNAAYGR NGHQDGGKNGDSLRFDVYIEEEDTDQLNTTHDVIKYVETKLWWYSL AOR_1_954144 MASCEAAQQADLKSISLAGLLKGDADTANDLVSACKEKGFFYLD FRDPSTCGTLTQVDELIAVGRSVFKLSLEEKEQYSTEKHLPSRLQGYKRAGCSVGPFA EKKDGYESFSIHNNGIWGNDTLELPRAFEENLPLIEAWMGDVHGYTECILSILSKALN LSDDLKDCHRKDAPSSANMAMLNYLPWGSSTEKVGNMAHTDMGTLTVVFTKNEGLQVF EPQTEQWYYIKPRPGHAVVNVGDSLRFLSNGALASNLHRVVPPANPEGLDKFSCIYFL RPEFDAKFTSHDGREMNSVEWHNQKYALFREASLDAKQHGAMLTGRNGYLGATTQTV AOR_1_956144 MTVNKSLLHLDTQAVRRQFPGLEKGAVCLNNGSGALVYKGAIES IVRTMSAPHMNLRGLDSKSMVDVKERTAQYAKLASFMNADPDEIAFGPSTTGMLRTLT NSLRPNLNTDSEIIVSVLCHEAGNTAWVALAQSLGISIKWWAPEGGIGNNRDPKLSLD TLRPLLSSKTRLVCCGHVSNITGTIEPIKEIAKLVHTISEALICVDGVAWAPHRPIDV KDLDVDFYVFSWYKVFGPHIAQIYARRKVQKRYLTSLNHYFFDPTALHVRLGLGNSCL ELEHAVTPIITHLVDHFMDVRRRTRKRGFL AOR_1_958144 MTVAETAPPVPSGHKKGVTEGSLLREPLELRGHLNQFKSFYVTP IIGTEFPDAHVVDWLKAPNSDELLRDLAVTISRRGVVFFRAQTDLTDELQKELAQRLG VLTGKPESSKLHIHPLTNYNLDKDPELNVITTDKAANPAEDLWKNRPADIRNSWHTDT SYEPNPADYSILKLIKLPETGGDTIWASSCEIYDKISPVYRKFLEGLTATFAQTRLPV TAAEKGFKLYSEPRGSPNNIGTSLSAVHPVVRTNPVTGWKSLFAVGNHVVKINEVTAD ESRRLHDWFLQMIVEEHDTQLRHRWQNPYDIAIWDNRTVYHSAIFDFAGLGARTGHRA VGIGETPYFDPNSKTRREALAAANSI AOR_1_960144 MGLSSQELTEQYRLEASGGNSPHTDFGHHEGQFEEPSSYSLGSA RLEALASAPLIGNHRRSQSADTDDSNNGTDHDDTLDTAAEKSEKPASWSSLPRKGQLA ILTLARLSEGLTQSSLQAYLFHQLKSFDSSLPDSTISAQVGIVLGIFPAAQFLTSAWW GRLADANCMGRKRVLLIGLLGTMISYLGFGFSRSLATAVIFRTLGGLLNSNFGVMSTL ISEITVEKKFQSRAFLLLPMCFNLGVIIGPTMGGSLADPVQNFPRLFGPHSIFGGTEG VWWMQRWPFALPNILSTIFILISFLAVFFGLDETHEIARYRHDAGRELGRRLAKAFSG GRAQYTRPLSRATDDNYILRDTHLVSAPSSPTFSDTSFKPKLRQRRKLGQLWTRNVLL TLLCHFLLAFHTNAVQTMTFIFLPTPRVPTESHTGIFHFGGGLGLPSAQVGLATAIIG LTGLPLQIFAYPRLQGKLGTLVSLRTFVPFSPVSYILMPFLVLLPNILWVFWPALTFV ILLQAVSRTFVLPATSILVNNCVGDPASLGTIHGVAQSISSAARTLAPVISGWGLAAG LRNNIVGAMWWALGMEAFIGWLMLWCISEGKGIDPVKEKMEEDDDDH AOR_1_962144 MVRLMSPLAALAGATAASCQTDDQNHDSYTGYTQTITYIKRHPD WAREEFWTHWQTEHAPKVAPLATYFNITRNQQILVGGMIPPTAMGADQPANTTLVSFD GIAIFPYLNPSALTAMLSHPYYIDIVEKDEETFIDKSAYGDGMVTTYVGKNVEVADEG SNVWVGDAATAEKYQKLFESYL AOR_1_964144 MTTNIKTDVLIVGGGPVGLLVAYGLARQGVDTVLVEKHDKEQQA MYGRATTLYPRTLEMLDQLELLDELNQIGYIGRNSVTYKDGKRVTSRGWHVMFQRMSG TYLDYCLNIRQKYSENVIRDTYLEMGGRAYIGWALEDFTVGSQSEGDHKVTSQIRQVD GGEDMTVYSKFIVGADGGHSLVRRLSNIPFEGDRTDFKWVRIDGQFKTNMPDADLGFA SIESKSHGNVLWVQLDHGMKRIGFAMTAEMLAKYGNSLTEEQAKEEAVKSMEPFLLEI EKVDWWTLYSINQRVADTFFANDRILLAGDACHTHSSGAAQGMNTGVHDAVNLAWKLG GVVKGWYSTDMLRTYDNERRLAAQHLIELDKAFSATISGQIPDTHKGLYADANELFTK LFDESIQFNIGLGIHYNESSINKAPSTGMVSAGWRAPDALVYAPGSRIPVRLFLLTRN TGMWSILIFAGQPTLTRETLALSMKKLTVSLENLPKGMVRCFTLIAHSITEGDQVFAI PRIGHAYYDSDRSAHAAYTISTSSGGVAILRPDGILGYATALEEIETVEGFFNGFVLG N AOR_1_966144 MIAQKPVTPLPEAIDDCYLSAGAPTCKQPPRVLSRVTWFIETLK LYDTLRKILKSLYDNAGPTEAGNHMPAGNTRQIQNIIEIDADLENFKTKLPEALMWDH EVLRDGPDNFQREKCLLRASVWCYNVFYAFTAGSVILLAGLSQSLVNNVTQEALQQSW QKCQSALSKLGLYSSTAERCAENLRTIKERCSITFPHSAMSTTNILSQEQPSANQFPT FEQLDNDGNDDLVSEGTDYLPLTDDLFHDLDFNEDTFFDPFWFSLQF AOR_1_968144 MGLTVGPLDRDQNTSREFFGDSSTVAFIQQLQQSIPPTITGPRS GHEAPCRSIDLHNYDRHPKLSTEPSPSVELLPPRPLADHLVDCYFSKIHTLYPFVHKD AFFSAYRSLWVPAESSRSTNTVHGLGIGDITVNHTTFHCALNAIFALGCQFSNVVQTQ RETTSEAFYRRCKPVLDLEFLEGGDLAVCQTLLLITHYLQCSRTPSRCWHVIGMACRL AQALGLHSDLGNERRSFAEIQLRRRVWHGCVMLDLYGIFYLVPVYHC AOR_1_970144 MASHLSKSLASLAVTAAFAQAQLWDQTIQTNYGPVQGFKYFNES TLEKYFGVSESNVTAFLGIPFAADTGYQNRWKPPQPREPWNETLKATDFGPACPSNYA SNISEDCLSLNLWTNAGSADAKLPVMVWNQGSDETSNDAWWYGGGMALKDVILITFNR RDDAFGYLAHPELNAEGLQLTGHNTSGNYGVLDQLEVLKWVQKNIAKFGGDPDRVVVA GQSFGSSQVYHAVNSPLFKGYFHGGISESGIRYPYDPLLAGLATSYVNMSAAITHGVN YTTFHNVSSIKELRTLSMEELLIGSQDRVNDTWIDPITALSAGYPLIFKPVLDDYVLP STYLETLINGPANDVPVITGNTKDESGASTTTDYTVGEYESYSTLKYGNLSSRYFQLY PDHGNASTVDRAWNAAARDTSLIGSWAYATDWYKSASSSFYTYYWTHAPPGQDQGAFH QSEIMYALNALYANADKYPFTQQDYAIQEKMSAYWANFAKTLDPNEGGSYTGSGSLPR WSPNSANGTQVVMELGNAFGNVPIAKKVQVELIMEWFHQQTPY AOR_1_972144 MGLQDICVETVVTILRSLDVQDILNLRCVCRYMNQIVLGNMLYI GQYNLTMNDRLWSRLLYEKIAHDVSSHESMSRILSRLRSLSTAQCCAEDCAQAISTAL ISYKGRAWVAQNLKSVMHWAESMDDFGIMVLGAWLGLRDLVRVLLGNGVLGDKSHEYL GSAMYAAAFNNDELLVELLLDHGAGAWKMDGVYGDALQLAAYKGSKTVARRLLETRVS KEASPNTYGYGPYGSPLGAAAAAGHDDIVRLCLKWHRDPRQLGPHLRTPLFYAARSGR AGAAKILLDGGEMRPNLDDDFNDTPLSVAVEYNHEDVVSVLLSSDKVRADYPGVQHGK LTPLQTAVVKGYTNIVRMLLPRCHVEVGEQEDKKSPIIMAALKGNTEIVNLFLTKDKA PYVQHFLPWVASRGLTKMVKLALDSQILDPNSHDAEFRTALHHSVGRRHYDLVKLLLQ HNDTSPNREDRKGRTPLILAIESGDQFLFRLLLDDPRTCIGISNFEGMTPLHVACKHG LSTFVASILAREDVNVNALDEHRKTPFYHAVVSKSADTIQLMLENENTDPNQPDNNQG ETPLYIAVRDGRCELAMMLIQRYNACPNCWCVEYLATPLMIAAVRGDLDLMSVLLEKT LDINVPNNRGRTALGIAASHAQAEAALMLLQHPDIDVHHQAVDGTTVFLMAARGGHLD ILIDLLAKGAHPGIANSMGETPIYVASENGHYEAVMLLLERADVFPDQPTYYHETPLS VAARRGYKLLSMVNKSTIMELLLDASERFVEVP AOR_1_974144 MDSHQLFLHAAATGDIASMEQEYLKNKAVLTGKDSDNRTALHLA VLNGHLKAVERLLDYGIAWCPKDNQGQTALHLAAQLSSATIAETLLERGANCCTQDHD GKTPIFYAYQNYSPDVYTSIPAPRVADVVENLGKMYLIMTRLHGQLLAVVWHLMSYAE WSQLADDLKACIAQVQKTPNNMPYEFGNTIGGPYIDHRIPDGSAGLFNSESEFYAHLT SHLSGTLATIFPERSICLDHHSHFTHSDLHPLNLLADRGRLSGIVDWECASAMAEYWE FTKAMYGTRARGVFKHIFYRAFGREYEYELEVGRKL AOR_1_976144 MVLRQFSTSSLEALCAEEHLELLDSVDTLRSQGISHYISLPQII VCGDQSSGKSSVLEAISGVSFPVKSNLCTRFPTELVLRKSSHIGVKVSIVPHRSRSHV EQDALSRFHEELESFEGLPTLIENAKAAMGIFTHGKAFSNDLLRVEVSGPDRPHLTIV DLPGLIHSETKLQSAADVALVQDVVQSYMKEPRSIILAVVSAKNDFANQIVLRLAREA DSFGHRTLGVITKPDTLVEGSESEHQFVSLAKNQEVTFRLGWHVLKNMDTEKGNYNLS VRGQEEAEFFSRGIWEDLPRSHVGIDTLRRRLSKLLLGQIATELPSLIDEIQSKMDLC VKGLEKLGEPRATLKEQRSYLLHISQCFQTLVRSGLDGSYNSSFFESAHSENGYHKRM RAVIQNLNEDFAQHITENGHYRDILSGGQPGTSQSSSAEQLFVSRKEYLQHIERLLRR TRGRELPGTFNPMIVNDLFKEQSQPWQRIATAHVTKVWDATKTFICAAVAEVSDMATL GALLKDVVEPALSDLLHSMEARLTELLEPHQAGHAITYNHSFTEDLQKARRDIMEDRF SHVLTDFFGVPQITTSTNTYYNNYDNPPQQDPFDDDCYYNTNKKRNKNRDFNLRKLLD SLLESTETDMICYAAREALDCTLAYYKVALKRFIDGVATDVVETTLTKSLNQLLSPIV VHHMSDTSVSRIAGESKENRDLREQLSKKLEILESGSKTCRKFVNIQGISMLSSYL AOR_1_978144 MDSTEGTFEFKLYRYTPSLGAAILFLVLFALITLYHLYQVIRLR SWYFLVFVIGGVFQIIGYICRTLAHNDTNSIPIYSVQTIMILLAPPLYAASIYMTLGR LIRYLDAEALSIVPIRWLTLIFVIGDVVAFVMQAAGGGIMASGTLSAMNTGETVTIIG LAVQLAFFSVFIVTSTIFHWRIHQNPTQKSLIKSQTKRTETTWVTVMGVLYVSSVLIL VRSIFRLIEYAQGNAGYLISHEAFMYVFDSMLMFLTMVVMSFYHPSKLLNPRNMQRRG GESDGTTTQLHRLSSA AOR_1_980144 MSDTSSPSATAAAQSTTSRARQEKRHRARHLKSRKGCYTCKQRR VKCDEVRPVCGACSFRGESCSFPPPAPSIMTDSEGPRNSRKPKRVVPIPHPLQPLEFH LPGLSAAPVPSLHGETINMSDMNLLTRFMLQTSKKMSLHQKRMFIWQQIIPDMAAERE YLMHLLLALAGAHALYESEIASTGTPSIDGSQLIPSSIDNPAIHDLHRIIEHHQKGLR GFREALSDMTAATAEYVFCGSLLIVAFAFASLSIRDLSRTEPALRNGDNDESPFTDWL HLVRGLTSVVQEHWFTLKLSRLRDMLYYEYANEDWRHTLSTARVPRLTNGSRMVLMFA DGAAREISTLRTYATTLSSSPAAHEANPTSIQYSPDIPTGEDDKQDEHSNTIDKLEEI YMRILNVFHFAESKRDCSALRDFQIDLEEAAVLSWPQMVSNVFIASLRPRDQVEIAEG FSYTILAHFYLVFVLFEDLWYINRGFYKEIEKIFQLVNALNNDRLLTLMEWPMAVIAA NRME AOR_1_982144 MGSSDDAASATPNEATMFAFYRYDPNMAGAVIFTILFTITTVWH AVQLFRTRTWFFIPFVVGGIFEIIGYIGRALSSHESPNWTLGPYLIQTLFLLLAPALL AASVYMLLGRVILILRAESHAILSKKWLTKIFVTGDVLSFFLQGAGGGIQSSGSLDNM KLGEKIIVVGLFVQIFFFGFFIITAGSFDLKLRKYPIPRCHDPSIPWRKHLNVLYATS FLIMVRSVFRLVEYLQGNNGFLLHHEIFLYIFDAVLIFMAMAIFNIFHPSELTHLLRE AHEYELQSSYDKYGV AOR_1_1982144 MPNTPISFDVNGLYILVSDLGSDIQFHWAFYLVQSRGQGIMFHM INSIETGNRWQYQTKPVTGIPNSLNLLVAVKIAVMDPALHSALADRLAAVPDTPPITC RLWLKRALLELDEEGYIQLTGRVDNIEQEALIEAAENQPQRIRTGLCSRYRVV AOR_1_986144 MNERSGDGNGPKVPRGPAKVSIWEKLQDQINPRPPDDDEPQDWW FASTAIPLIAATTSPFANVMSVVALAMSWKSEIHPEQQDPEGNPVQVLLADPRWCIGL NATSLAFGVLGNLFLLFNFTRTIRYIIALPASIILWLLATAILVGITSSVHIYASPIP PNQTYSQAYWYAVIAAIHYFILTSILMINMLGYFLGHYPQYFALTDGQRTLILQTTAF GIWLIVGAAVFQKVIGISIAEALYFCDITILTLGFGDVTPKTPVGRGLVFPYAVIGII ILGLVVGSINKIIRDLQDTNVVQKHTERRREATISRSLMEEDLQQRLRLTPNTSKIAY RPKHTRKTPIISKVTAIYRDAIGRPKDIVMKEEKDRFDAMRAIQYESVIFRRWYRLIL SLIAFGILWTCGAVVFWALEEQFTYFQALYFAFCSLLTIGYGDITPTTNAAKPFFVVW SLIAIPTMTSLISEMSNTIVAVFKHATSHVADYTVLPRTGKYKSFITKFPPIQNYLEK REQNKRVNRGFQIGPDDIEGTQTGESSGGARNKQSIEKEGDKEPSDFDLAQRLAFAIR RTTRDAVNGHPKRYNYDEWVEFTRMIRFTDPNAGDTVLYEDEYGILNWDWMGENSPML ASQTEPEWVLDRLCESMIRFISTQAQKRRSDGVGDIDEDEPTLRKEKDI AOR_1_988144 MSHFGTVYTYPNNPRVMKIQAAGNLNSLSITTSPDFQMGVTNRS PEYLSKFPMGKAPAFEGADGTLLFESDAIAQYVAESGPAKDQLLGVSAAERAHIRQWI CFAEGDAMGAVVPFAIWQMGLRKYTAEELEEHLAKAERALGAVEAHLKTGGGRKWLAT EEKLSLADISLVAALNWGFATVLDAELRAKYPNVVAWYERTIESEGVKQAFGEKKFVE KRPAFQ AOR_1_990144 MAEQAVAYTPLEVPIPPVPTKEIFSELQWATLMSIADTVIPSIR GPNAPKSFTTKVIPQAQFDASLSSLTASIPGSDATSLATQYLEENASSNPRFRPGLQR LISEYVHEEGRNGLGFILNTLNSKAGSLILTGSTTPIQDQPFHVRERIFCSWETSRLK PLRVIYRALSAICKRTWLTYSPTLCPTIGFPRVPVHGSPADGFEYEFLQFPSGDGPET IETDVVIVGSGCGGSVTAKNLAEAGYKVVVVEKAYQYSTKYFPMNFSEGSVSMFEGGG AVSTNDGSIGIFAGATWGGGGTINWSAALQTQNYVREEWAKGGLPFFTSLEFQNSLDR VCERMGVSADHTTHNKQNRVLLEGAHKLGYAANPVPQNTGGTEHYCGHCTMGCHSAGK KGPTESFLVDAAHAGATFVEGFRAEKVLFTETKGGRVASGVEGTWTSRDAYLGVSGVG AVKRKLIIKAKRVVVSCGTLQSPLLLLRSGLKNPQIGRNLHLHPVVISGAVFDEQTRP WEGAALTAVVNEFENLDKQGHGVKIECLTMLPPAFLPAFPWRDGLEWKRFVAKLPHMA GFITLTKDRDSGRVYPDPVDGRPRVDYTVSAFDRKHILEALVATAKMAYISGAKEFHT SSREIPPFIRPEDASDAASAEGTNNAALQAWIAEARSKTLDPEKTTFAAAHQMGTCRM GSSPRTSVVDPDCQVWGTRGLYVVDASVFPSASGVNPMVTNMAIADWASQNIARSLGK EGGVMARL AOR_1_992144 MRTIDVLTTVANNGTVSFERLYRTKTERTPIPIDKVLNKPSGYC FVFQNPLDLHKLLEDPDPASVAICQGMKKLRFDLLQHIARDKVTFREALDGKFKSVDL RALMENWRMACRNIPKDHGLEELTFDLSRAKELCKLHVVSSTVQLISTTLVLKAAQNL RCWIQGLSNMNRWETCHVQMALVSR AOR_1_994144 MSDRSTSTPQRGPSPSKPRPKCTLPPEKVFSIQIGTELFRVSGA SIASDAPSYFSQFFEEQMLQTPDGSKIRTLYIDRDPNTFKAIARHLQGYHIRPKDGTE FVQFFADAQFYSLPRLISQLFESEIFIQIGDKDFQIPRDIFSSPGDSPNFFSLGFGAF FASPTEIFPGLNRHGLLRPPAIVPPSVPNRSGEVFAQLLHLLRGYPLEIKNETHRAEL LRDCRYFHLRGLEQKLIPHHISFNPIRQRSEIVVRLEDVRRSGVSVAHDSIPSSGWVT YSRPFVDEETYDLILEIGDETTIVDLDTKHVEFLNSTKARFSSLQQIITGKVNPGLSE GQSTKVSIEQDTDMIVDGQARYLEGIGHGSEEAGVSQPAAKRRRVEGSSNEGRRYIVR NGHWRLRFHPNATGDILEFTLVAVKLDAYTEQRSRNHTRAFLGS AOR_1_996144 MSLYYDAVKILTSPSPTGGSFKSRIYNARNIKANPAQIYALTIE ASKWDTVLKEVIDNAGILKLEPKLTPLLALLLVHDHLLAKNGIAAPSSHPIRQAIERH KTRLKGEFIKARVRRGCASVEQLKDAVQKEKQPLGSAAFYPRWIRINNVRTTAEKQFE STFASYKRVNALSELAVKDDTKRIYVDSNIPDLVAVAPGVDFTATPAYKNGEIILQDK ASCFPAYLLFGEGSVWSGGDLVDGCAAPGNKTTHLASLLCKNEKRKKPRQRIISMDAS QVRAKTLQKMVSAAGADHFTTVLPGQDFLALDPEDERFEKVSALLLDPSCSGSGIIGR DDVPKFVLPVSPAEERKKQGKKRKRGQDEAGDAADGSVSISATDENEMASTHLDLERL TKLSNLQTRIVEHAMSFPAATRITYSTCSIHLTENESVVERLLTSEVAKRRGWRIMRR NEQPEGLRTWKHRGVRTESRGSGDSETQDGTQGAELKVDLSDEVLEGCLRCWPGDDEG LGGFFVAGFVRDESLAGKVEDPQHGHEDKSEDDDGEDEDDEDEWAGFSD AOR_1_998144 MATHVLTATTTPANPISVYGNPSPVNTASNSPTSPRQQYLPLQT RQLRPPKGPLYVPAALRPTERPQKASPPSPPRSVHGSLDSLNDGSEEPQPALTRRSTI ESAVSDGISKLAQDEWMKHEHLGEVTGLPTREHWKADSASPSCDSPTCRSSFGLFLRR HHCRHCGHVFCSSHTPHIVPLDQDARFHPEGVPSRACDLCWLAFQRWEESRSERLNKI QTQIDAQNTKTEEVAEETPEHVDNIDEESRKALAAMLGQSTEIAASIPRGWNWSTF AOR_1_1000144 MKLAVFSAKSYDKHYFDATLRKHHPALCEITYHSFALSSETVSL AQDSDAVCVFVNDQLDAPVLETLYANGVRAILLRCAGFNNINLQVAEDLGFFVANVPS YSPEAVAEFAVALIQTLNRKTHRAFNRVREGNFNLEGFLGRTLYGKTVGVVGVGRIGL AFAKILHGFGCKLVAYDPFGGEEFKKYGEFVELGDLLAQSDVVSLHCPLTEGTRHVIN DENLGRMKKGALLVNTSRGGLVNTKAVINALKSGQLGGVALDVYEEEGALFYNDHSGE IIHDDVLMRLMTFPNVLVCGHQAFFTEEALSEIAGVTLGNLEDFVLKRTCKNSLVREG HLVVPTDKEPVRL AOR_1_1002144 MPVEEQERMTRMTVDSLGRQSATAALTKLDGEHGRADSRWEQDP FQGPFGILRLSPSATSPGGHDASQPDRGESSQADWDFLWPSLDSAPTIAEDNHLFDGL YDITEDVEDVPRADLSLEVDPMLDNLEVLLPGPLGIISDELVGQQTPLQTSLIPNPSL ALSRSKYIPSQAPELLRYFKENIISLSFPLKNCRKCPWQAIHLPTAMSTYAELSIQQT ASHTRLSLFYSLLAASCLHMLSRNPNAVDLNTSSKGYKEIAKQHLELALNEEVLGPRR AKYKELLMAVLSMVMLSIFHGENSNAQAFLVDAEYLIRIRGLPKPHKSLKVRSLHHVY TYIRIMAESTCGCALLDICPDRPSSSLLAIESSPLSLRSFRVAHDSLDEEIDLSLQKS DEVGHNDIHLEVMGQWRDTLYPDIYGVPESLMTLLSQTIRIANERELLHRSATVDTNV LQDLEKRASLLEQYILSWELPSRPYPSLISHSAIEGSEDSDNHTSQLLMRAMHQALIL FYYRRIPNISALILQDTVRKCLDFLRRSDNARADSVSNDTAILWPGFVAACEALDPDL QRGLLDWLVTTGQRTSLSSFSAAAKTAQMIWNARDQAKDYTLSWFDVLKHERCPIIAT AOR_1_1984144 MSRIFITGATGYIGGDVLFALTQAFRNSNISALVRSESRASQLT DKFPSVAPVIGDLDSTAKITSEASEADVVLRKTLSLFVVAVGTLTKEKDLASSNHLAS ATAIAQGLTESKRQKPVWIQISGASLLSGPDITANAYGEPRSQEFNDLQGISEIRSII TSSPKRAVDNLLLKLSATNPHVRTAIIYGPLIYGRGRGPVNQRSIQLPDLAKSTIQHG HGLQVGRGLSCWSNVHVSDIAQLVLKLTQEALSNSQNPSIWNENGIYFAENGKMPFGE ISQRVASFAAEQGFIKDSTVKSIDADAADKLTAHGAVLWGTNVQYTAARARELLGWQP QGPSLEGEIPRAVREEASQLNSKL AOR_1_1004144 MSNSDYPTTPSRNHYDVVIIGGATSGSSIAWHLSTNPDFKGSVL VVERDPSLQFSATKASNNCMRQQFATAINVKIAQYAADFVKRFGAEFPPDECVPDGPI RNFGYLYLSDSTEFTEVLKKDQQLQASCGAGTQIILKADIKNKYPFFYTDDIDSGSLN LIDEGAFNALGMVEWLRKTARQNGVDYIGNEVVDMTVDGDKICDITLKTGEKVTVSTL VNAAGTRAATVSQLAGIDLPIEARRRYTYIFSVDEPLPQDLPLTIDPTGVHLRSYGAK DYLVGCPPIGPDTAVDVNDFSFAENAWEEKILPIITRRVPQFASARVTNAWMGHYEFN IFDHNAIVGAHDKVSNLFFCVGFSGHGSQQAPACGRGVAELIVHGSFQTLDLSALSYK RIVENRPLTERAVI AOR_1_1006144 MVYLKRLLALSSLLSLSQAQWSPNVPLETRSLDEIYEAAKKESG PLVVSSGGDAGNQNDFIINAFQKRFPDIQVNWTVDLSKYHASRIDRGFYGEGETTDVV ILQTLQDFPRWKSQNRLMYYKPAVWNDIYASERDPQGAYLPIAEYGFGGIFWDSTKLN ESEVPDDYASFTDPKWHGKLVLTYPNDDDAVLYLFAKIIGRYGFEWLDALQANDVQWV RGSYTPAAVISAAHNNTSNPRSITFTTADGSEDWWGTKTPQKDESMSWSQTGAILAST KRPETSKLLLSFLVSDEWQKAQADAGSFVPRVSLDQGRLYEQNVSEVGGFRVFMNQRN VVDWWKSQLETTLGTPQGISPLDVYPRV AOR_1_1008144 MSDLEPGAYIIVPRHVSNKRLDVDDVTDPDNVELQLYEPLTDRE KADQVFVFAKCTESQYFIISIKNGTYLTATNDGEPITATVSSPMNKRIRWRIHPVGDG SGAFYISSVAFPGKVIDVAGGATDNHAEIIIYDHKDDGSENQQFFLTHPNNA AOR_1_1010144 MEQDFPIHQAFPFRMQRNRLAELLTADVIAAAGSASLITPAVMI LDRLVVEKSSHNQPLLPAFRRHLWLSITQPATFLTSRPSLLVWGLYTATFAAANATET VLDRVYPDVDHAISGMATFLSTFVVNSSVGIWKDVKFAQLFGHPPAAKPTNPIPNPTA VSKSGFLRRSIRTMPLATYSAFLLRDGLTIFGSFNLPAVVSSSIPDWIASRDYAKILF AQLAIPASIQLVSTPIHLLGLDLYNRPMQLPARDRIARVSRDWIGASLTRMCRIIPAF GIGGFANTEGRAFMHQQLQLCGEEYENEG AOR_1_1012144 MKILYIGVLQNAQQPAVELCAERELSSYSRFTRGSISEFMTMFS KTVAERTKQGQRQDIQEQDFTFHVYARTQGIAGVIISDNEYPSLAAHQILSKVLDEFL TLNPNAGTATQPVSFPSLKTYISAYQDPHQVDSIMKIQKELDETKIVLHKTIESVLER GEKIDDLVNKSEGLSSQSKMFYTSAKKQNSCCILM AOR_1_1014144 MEKSTGETGSPNLGIPQSPSQLEQQSDLIDLERLGRERPPCFSN IWSELTFGFSIVMSQILAEYYISGSNVLVPTLVKELHIPEASVVWPSTALSLVVTSTL LIFGRLGDMYGGYVLYLAGAGWLAASSILAGFSQTWLMLIICRALQGFALAAFLPSGI MILGSTYRPGPRKNFIFSVYGACAALGFFAGIFFSGLCSQFLSWRWYFFIGAILSAVT FISSYFSVPSDFAERRKAKVKMDWAGCCLSVPGAVLLVFAIAESSYAPQGWKTPYIPV CFSLGVIFLGLMVYVEGWVVKNPLLPGDLFAVKYLTPLVIALLCLYGSLGIYFLYAVL YMSDIMGAGPLQIVAWTVPMGVGGLILATAGGLIMHKVSGTILMLISCIGYAGSGLFF AVIPKGGIYWGFVFPAMICGTVGIDISFNIANVFITTHLPKAKQGLAGALINCTLHFG IAIFLGFADIVKSETEHLGQFKSFKAVFWFETALALVGALIVVFFVRIHHAKSDLTVE ERAALTAESRNT AOR_1_1016144 MLDTEDQQTSTLPVNSGPRVNLLYSVPGFAAPDPDSIKRTVASE NTIFSWGSVEIARISADIVEKFGFHVTLSEAKNMIFVKQNTESLPIPKVLAYYTYGPM SRDMDDYGSLFDIYIFMDYVEGQSLDKVWGAYDETTKSYIASQLKEYLCQLRQISHRN YIGSADLGPVTDPILERRHNKGQFDSEEALNNAIIEVYQ AOR_1_1018144 MDSTSSSRNGRATRSSLACLPCRSRHVKCDGKRPCCARCAEIGQ DCNYARSRRGGLDRAALADRRRRLAANDRTSPAPDSSRQPHTRTQQVQEHTTPLMAED LRHEPNERISVGDVILGISFPDAGIAQPDDIESDFLINAYYEKFHGCHPFLLPRKHLS RMYQDPHRQSSFTPLLAVMRLIGHIYTSHEWSTSLRDHIEACFLQASPSDPIMVQSRL LFSMALFWHDYKDAAKLEMDAATSLAVDLKMYLSDFAASHGRDDPVLKECWRRTWWML YIVDAYYTGTLGTMNFRVVDIAATVDLPCEEREYESGDIPEPRTLQEFNCREFSAEPI RFSSFAYLIGAVQCAASAIAIAPKIATKGDSTHVIQAADCSLDGWRLLLPDDRKQLMD KDGKIDELMFQAHLIIHVSTIGLHRPFSALKFNAVEHVSSCAREPPLDTPIPDLVNVH TVRVLRAVEAQIRLLALPVPEFHHTPFTTCMVSEGTLALLSACSALFKGTQLSTARDQ IRMTLGCLKVLGEVWPRIARNVREIQTIAQTVLGIGPVGKNSMTPSSSAGPSFGGGED GLDLFTCVPSNDTTNSSSLGSIEDLCGWYSLGELSDLPWGMGNGS AOR_1_1986144 MQAIRVHPAPPSCDPYSPSNPAPVSALHLDEDIPVPKLSKPGEL LIRVKATTVIRDMLTWPETYRHDYAIMGNDLSGIVIETFDDNSKFKSGDEVFGMTHVD RAAAWAEYTMVKEDEVALKPKCLSWEEAAALPLSAHTAYEALFVHAGLAIPSVDTALR NKAQSSQHQKQILITGAAGAVGIHLVQLASAAGLHVVAATSSNARNQDFLRSLGADET TEYARLDGYQSDFDIIVDAVGGDVLAKCWNYVKDDGVLISVDSASYNFVEEHQKRGIC KEGVQALFFIVTGSGEALRYLAELVEQGILQSLVIQTYPFRRVREAYEHANGRHTGRG KILLTN AOR_1_1022144 MVDGLLESNNTIGSESNWEKADVRKDRKTSLDVLDIHPYLAMEE PTYDPSRPLATTTLVYQYKLVNCPGKSTVGLLVEYPPDGATPPHRHGGASVSAYLIKG SVLNKMNNDPMKVVEQGGSWYEAPGCHHRISANASKTEPAAFFVNFVLDTETLEREGP AVLVQYDEEYKEIVAQKMKA AOR_1_1024144 MTGEKNTGSGSGDSPLSQPAHALPYDVVIRELGTRLDEGLSTDE AKQRLQQYGPNKLEEGEGVSVIKILIRQVLILAMAVSFGIKSWIEGGFISAVIVLNIV VGFFQEYAAEKTMESLHSLSSPTGTVSRDGQTFSVPSVEIVPGDMVELRTGDTVPADI RLVEAVNFETDEALLTGESLPVQKEYDSTFKEDTGPGDRLNIAYSSSTVTRGRARGVV IGTGMSTEIGSIAAALRASNSKKRPVKRGPNGETKKRWYVQAWTLTGTDAVGRFLGVN VGTPLQRKLSKLAILLFGVAVLFAIVVMAANLFSDNNEVILYAVGTGLSMIPACLVVV LTITMAVGTKRMVERNVIVRKLDSLEALGAVTDICSDKTGTLTQGKMVVKKAWIPSKG TYSVGTSNEPFNPTVGDVTFTPVSPAHFDDEKEGEPAAKPEDLIPGNRQLEDFLDVAS MANLSHVYKSDEGEWRARGEPTEIAIEVFASRFNWNRDRWTKGEGAVWHQNAEFPFDS TVKKMSVIFTKVTPQEERSMVFTKGAVERIIDACTTIIWDQDSSTPVPMTDSHRSSIL DNMEELAKLGLRVLALAHRPYKEESRLLEDSDLNRDDVEKDLCFLGLIGLYDPPRPET AASIAACYRAGIEVHMVTGDHPGTAKAIAQQVGILPADLSTVAADVADAMVMTAGQFD KLTDDEVDALPTLPLVIARCAPQTKVRMIDALHRRGRFAAMTGDGVNDSPSLKHADVG IAMGQAGSDVAKDASDIILTDDNFASILNAVEEGRRIFDNIQKFVLHLLSENIAQACT LLIGLAFQDLDGRSVFPLSPVEIIWIIMITSGMPDMGLGMEVAAPDIMDRPPQDKAGI FTWEVIIDILVYGLWTAALCLSAFSIRMWGFGDGNLARGCNREWSEECDLVFRARATT FVCLTWFALFLAWEMVNMRRSFFRMQPKSKRYFTQWMYDVWRNPFLFWSIMAGFVTTF PILYIPVINKIVFKHTGISWEWGIVFVEAILFFMGVEAWKWAKRVFFRRRARGQHSLA QANATQMP AOR_1_1026144 MTPSPRDHATPAPEILKDASIGRAAYSPAVPGECPNIRLVDDPA HYKRQYELRAGIGVSNPETGNFQFYGPSSGCAFLQRIYQRIQKSPSRESLLNCRTGPV PDGLLKWGVERFMFTADADGDLRRSRLAAEAFLPQALGDVFIEAYFRIVHPQMPVLVY TEIIDSWKQMWETPIRGRPVKNQEILFMVLALGARVIRLKKSEQENRADEWAEYFSSR VSEGSIFMQEPSVKGAMYSLQLMRQNDAYLYLGHATRTAMVLGLHRSQVTCGREPHLH RLRHTFWIMYVFERLSSVYMGRPSSLSDNQIDTAYPEDIPCYKDEPFHPPAVECTWTR VMADIAKLADHISVDVYSPASIKSLADTAKVEQTLLEYDAALQATTRCLPEYLHFFDE SVPVGEDWQEIQRLSLGFAYNVVRMLLYRPALVLTTFFTSTSEAQRVAAGSRNLISLA HDVYFRRFPDIRYDGALASYMVSAIMTLLYDVLNLGTEPDKAHETFAVVEQGIRCLDD IEHTGYTVGKVLSMDLMKVAKQAVLAADPVVDTNQVLVDSFPWLEYGSLLLHSDH AOR_1_1028144 MSQKAVVYTAPKQVSLVSDRPLPKLRDDYILIKTVSVALNPTDW KHVEWDLGATGCLAGCDYSGIVEEVGKDVKKSFRKGDRICGVTHGANVLELEDGAFAE YIVAKGDLQMHIPDSLSFQEAATLGVGITTVGQGLYQSLKLALPTEPISQPEPVLIYG GSTATGSLAIQYAKLSGYNVITTCSPHNFDFVKKLGADAVYDYRDPNSAAAIREATND NLRLAFDTISLDDSAKFCDNALSTKGGEYSNLLDSKIERENVNDRATLGYTAVGEPLQ FGDLQIPAIPEDREFAEMFWKLSEPLIAQGKVKVHPIKVCADGLKGVLEGMQLLKEDK VSGQKLVYNVAETP AOR_1_1030144 MASSRIFASRLASQMAATTKVARPAARFAAPKRTFTTQRKTAIP MTPFQTVKRQQPSMIQANARQVFANVQARRQYSSEIADAMVQVSQNMGMGSAAIGLGG AGIGIGLVFGALLLGVSRNPALRGQLFSYAILGFAFVEAIGLFDLMVAMMCKYV AOR_1_1032144 MADIPTSTVQITTLPTKSVTITPQRATIVREIHTSIQEKFEDIY PAESDSDDSPEPDSDSDLDHDDPELQAISASIAEVEARLARAENEQTMAVSIREFLDG YAKKMDLEHVDAEMLDGFLGLYTRQRVEGFQRHHQAGVEYGKGERELARLVKRKGKIE GRLKRAREVVKKKERREREKRATERARKTEQRKMKREERLKFWTTRVGQVVVHLDSQA GTSRRSSIVERVERLSVGEISSEPVDVTLRLSYVVPGVSWSSRYELRINTPSSSARMA YRAEFRNSSSETWTDARVTLSTSQASFSGLEKRIPSLHPWHIKLLDAIRENQEHPSWE KILRGGYANRPMQAVQTSSLFSGRPSGSCLFGKPAVQPQQSPGFGGFGLPNKPASSGG LFGSAPAQNQNPSGSAFGGAQPSTSAFGAEAFYRARVKNTSSLRITRGKVGLTVDGTF LGTATIPNCAPGDFFHVSLGVDPSILVTYGKPTVRRLNTGFFTGHVGAVFRRTCWIKN TKGVAVDITVLDQVPVSEDKELQVEILEPKGLREKGNEVKLDLETSHGSGKAIMEKKG EIKWVIHLEPGKDVRVVLEYGTKAPRGSEVDTA AOR_1_1034144 MKYSKLLLLLVSVVQALDVPRKPHAPTGEGSKRLTFNETVVKQA ITPTSRSVQWLSGAEDGSYVYAAEDGSLTIENIVTNESRTLIPADKIPTGKEAFNYWI HPDLSSVLWASNHTKQYRHSFFADYYVQDVESLKSVPLMPDQEGDIQYAQWSPVGNTI AFVRENDLYVWDNGTVTRITDDGGPDMFHGVPDWIYEEEILGDRYALWFSPDGEYLAY LSFNETGVPTYTVQYYMDNQEIAPAYPWELKIRYPKVSQTNPTVTLSLLNIASKEVKQ APIDAFESTDLIIGEVAWLTDTHTTVAAKAFNRVQDQQKVVAVDTASNKATVISDRDG TDGWLDNLLSMKYIGPIKPSDKDAYYIDISDHSGWAHLYLFPVSGGEPIPLTKGDWEV TSILSIDQERQLVYYLSTQHHSTERHLYSVSYSTFAVTPLVDDTVAAYWSASFSANSG YYILTYGGPDVPYQELYTTNSTKPLRTITDNAKVLEQIKDYALPNITYFELPLPSGET LNVMQRLPPGFSPDKKYPILFTPYGGPGAQEVTKRWQALNFKAYVASDSELEYVTWTV DNRGTGFKGRKFRSAVTRQLGLLEAEDQIYAAQQAANIPWIDADHIGIWGWSFGGYLT SKVLEKDSGAFTLGVITAPVSDWRFYDSMYTERYMKTLSTNEEGYETSAVRKTDGFKN VEGGFLIQHGTGDDNVHFQNSAALVDLLMGDGVSPEKLHSQWFTDSDHGISYHGGGVF LYKQLARKLYQEKNRQTQVLMHQWTKKDLEE AOR_1_1988144 MKAATLLSLLSVTGLVAAAPAGNGPAGGIIDRDLPVPVPGLPTK GLPIVDGLTGGNKGGEKPGSKVTPREDPTGSAPDGKGNDGPDGDLTGRPGQGGLDNPF DLPTPELPPVKLPGGLDGGKGGLGLRRRGSPVDGLPVVGPVVGGVLGGGGAGSGAGAK GGAGSGTVGRRGSPVDGLPVVGPVVGGVLGGGGAGSGAGAKGGAGSGTVGRRGSPVDG LPVVGPVVGGVLGGGGAGSGAGAKGGAGSGTPKRRDGPVDGVPVVGELAEGATGGLLG GDAGSADAAGADAGADAGAGAGGQ AOR_1_1038144 MTTTTTTTITATPEEPCTLVPINLHNSAEFAELKQQRRKCGWDY EDVNLIAWRDKQDANLKSFFWITVPSQSTDADAPRIRAGHISLDSYADPPDPELATAD RSNLTIQTFFIDPAHRGGLGRKAMDIVEAMASEEPYGSPECKYLTLNALSKRYFYEES HFWDKLDRVRPAVCTAEWYERRGYVYWKSEPRYHEPLLEGDDAIIWADFLRKPLRR AOR_1_1040144 MGRKAYFARLDDQAKERRRPRRQINGYVPGADKEEDAVRNKDKK LPKTKELHQQTLDLWFDFTADPENGFQHYKTVPGCLSPSHDMVKAFIRWYADSTNGRL DKSRKLIVRTTKACAERFFGGFREVTKTEVPETDRKEIYSISSRLSLF AOR_1_1990144 MAEMREDIQISEGNNDEEKLQAKKRKYREALVRQRRADLKKYRE SWIHVERDQNILNRGKGKPLHVVKDICTRAQYLIMPELARIATAMACTAELTFEEKLF FPGDLRTLCSRKEDVIYLPNEQPIEGFCPAQGCQLEIENLTKSERSAHIHECIRREKG LALQVPNSRLHFCYECMEWFLKRQWPDHCTAHLQSWQTQHCEAVKYRHTVIRPTYCPF CLWNFQNPAEERLQYWTRSGNLRQHIETKHMPEISWPAMTSVCECSQTFENERELCHH LHDVHGLKDTIWRNPKLPRKRKRTSKIEPQILSQKSEADYSKKVRFHRSPPH AOR_1_1042144 MQFSRFFSAAFASAVVAQSPIAIIYLDPGFAGPSQQISSIGECD AIDPNTMPPEVGSIQVASGIECTTYSDAQCQNPNQHLTGTQSNIAGPPDAQSILCQQA N AOR_1_1044144 MCSLSQLFLCALLLTPNAIANNDKASFSDVIVKDGSTEYEIGHR VSSQMATSRLMNRNKTVGYANPHAARFTVVMDYFHNVALLVVYVSVLKAKGRTGTRLF CKGIQNCTKGGDEQGYKPGFQYVDFPIPKDIPTVAVSSSSMSNLAMSIMLVIFGEIRE WKGTFHAHQFLNGSGKVINESGK AOR_1_1046144 MMKIAFSFMSRALFLLSFIVIPIQAIVGGIEAAQASHIGALYAK SEFGFYQFSCGGVLISPNKILTAANCVDGRSLSDLKIGYGSLDRDSEPTTSHLSEITI HPDYDPLTLSANIAVLTLRDVFSAPSYAPLAQQPSIRTGDSLTLYGWGRTSLEKIKLP TKLHKVEVQALDTIACVSEHLDLGSGQFCDTSTSGKGSCFGDHGGPALDSSGTVVGII SGRQNCGLAKSELITDVAYYYTWIISH AOR_1_1048144 MMKDTLSFARLALLLFGFVVIPTQAIVGGIATTNSISIGAVYTQ GLFGSQYACAGTFVSSNKFLTAADCVLGHSPRDISIKWGASNRLNEPSSSPPTLVTIH PDYNELTGDANVAVLTLKTSTTGPSHATLAKESSIQTGDALTLYGWGLTGLEGLSTRF PAELHMVEVPALSTSECRSEGIDIGAGQFCDQSDSGKGFCIGDHGGPVVDSSGTVVGI ISGRENCGLGTPEVITNVAYYYHWIISQ AOR_1_1050144 MASNQDFDLPPISSFDLQSLTDERSKTLYSLLQRNHQDHAVLSE PKLIFHNHMPHMLGSAYLLGYPCEKLIEMYQNESPQLKSLNDGLIRTGITKDNWRQFL GKKKYTAAYTTFFDQELANTQNDWKELVNEYLFTPPQPLINGFIGGLGHAFIHLAYAY EFSHPQIATEALSLGCTDRDPIHHYLDTPYPDTSTYKTTSAKEILHRVHTDIRFSSLF SVPGFINIATTFAHAEHALLEHWNAWDIVNPAKQFRDVVDLAGLLLIGSRNGEGEYDF FLAHLLTVGHALRVLLPSFPWGYRVGVLRQFWLFVLYVYVAQLRPGVGDGEDVSGVEL RGRDWGWVYGRCLEGEFWGDAHVVKVVRAFRMLEEWKNEKDGWCLRAAVSFLEGFRGW TGFGIGVESVEVEE AOR_1_1052144 MSTYPTAFCAAQVVGLTGAAWLSGKILSLSTITVPALIQSTRED RLPLDAAVKLWRNLYNRGKSQAPPIAAATSAAFLYCAWAVRASTTLAPLAPTHSSSLY CVAAALTLGIVPYTLGMMLGTNNKLMDLANSGRVVDEKSSVEVESLLSRWLKLNAGRG LLPLVGGLVALTAAIPWPLEMI AOR_1_1054144 MASTDTQLSLKPHHHVVKIEGAREDSENHGEDLISQLKSIPSDI TALRIEEDAPSDKEWAILGSHFTDIQSLELESGFNEDLNDKELPLHWPLKRCQISSAC GEVTRTPHIRQGRVSHLILLLTSGIRFEGPTSSELSKAHSQAIARGEEKADFITVKEG TPEERQIQITSIPELASKWMINKYEGKEHQLEEDNHPPPTINLRTLEILENDAIDTFC RMTLALPHLIENLTTLNLRSTHCLDFHFLHESMVQQFLPQLTGLETLKLSVGEVFTDE SRLHTLYKWLPPNISTLRFRGPASLTKSTEWNNWVQAFAERDFLPNLKRLSFVLDLDY EPSDSSFGRKKNLKTIPEHTLHEARAACEPLYEAARNRGIVIERLYDEWSDECQILRQ VDDRWLC AOR_1_1056144 MSDTESTQTISTTTTSTTQTTTSTKPAATTKTQTFPLAHPPPPK HTLRLTPHLTLQIQQLTPTSRRPIPILEVYQPTRFGKTLGDAPRKLTARDMYIVQSDG YAHLPEEQQNQGGVVGVIYMPAKEENRKMAFPGGGVWFPVGEGWEVSVTVRGGYRFMF KGRADGVSRVVEWEKRVAKGRSSSASEGSETNADWRFTLNIAELGLRRPCLATLTKQG LKVGGWDRAQREYLASSLRSGNEVEEDAALYTSVLTMGVYVAAQEGWLNQYL AOR_1_1058144 MALASKDRIEPGSFNVPVGAFPATCSSTGIDADKIASEVIRLLN TALGLKQPQQISKLFLEDGYWRDHLVLTWDFRTIKGQENITRFLQENPRLLQVEIDRS SAFKAPAIFPIDAFGDVTGVQFFIKVTTDAGSGKGVVRLAEKDGEWKIFTFFTSLLEI KGSEEKINHHRPVGVSHGEHHDRRNWQDRRTADFNYEGKSPTVLIVGAGQAGLTIAAR LKMLDIDALIIDEEDRIGDNWRRRYHQLVLHDPVWFDHMPYLQFPANWPIFTPKDKLA EFFECYAKLLELNVWTKTKLQSTSWSDANNVWTIELQRQKEDGTVETRTFNPRHVIQA TGHSGKKNLPEFKGVETFQGKRICHSSEFPGADPNSKGKKAVVVGSCNSGHDIAHDYF EKGYDVTMVQRSSTCVISSKSITDIGLKGLYEESAPPVEDADLFLWSIPSDLFKAQQK KVTAVQNQNDKATLDGLEKAGFKVDRGPDDAGLLIKYLQRGGGYYIDVGASQLIVDGK VKVKQGQEIAEVIPQGLRFADGSELEADEIIFATGYQNMRTQARIIFGDEVADRIQSV WGYNEEGEMRTIWQRSGHPGFWFMGGNLALCRYYSRLLALQIKGVELGLNH AOR_1_1060144 MFYSRIRSVASTAAVTSLFTATVAQAGNCSSSVIPHPSIPGGQV LDLSAVPVSNYAYDESTLDFCNVTVTYTHPGKNDTIHATVWLPFTNWNHRLQGSGGGG FAMRSEDAVLAAAVAQNYTVLATDGGHDLNSQSSASWSLDASGNVNMALLEDFAYVAL GDAATIGKQIATSFYGLAPRYSYWNGCSTGGRQGLMLAQRYPTAYDGIMAAAPAINWA SFLVAEFWPQFVMNQLNAFPPTCVSDAITAAAIKACDDIDGVLDGVISAPDLCDFDPF TTVDTRVNCSGVSVRISKKDAEVANSAWEGFRSSEGSFLWYGLDKGTPLSMGASSLAS TVCSTPFNCTGSPFAISSDWIRRFVLQDPSFDLTTLDHKALDRVFSLSKERYNDIIST DNPDLSAFKQAGGKMITWHGLSDTLIFPKGTEQYYKRVEEKDPSVRDFYRFFKAPGVH HCSGGVGPVPVDPLSQVVDWVENGVVPETVDALAADGRRRNLCQYPLVSVYKGGDVKD AASYRCEEGH AOR_1_1062144 MAGKSNDRALMPPPASPSRSPRRSQSSQPRTDISNAFSESVKLE VIRMTGTSCWSCATPDPEFAHVVAQKDGQAPYWIQAGLFPFSFKTAVNCIPLCPICYS AFDRFSDPCWVFLPTNLAFFIMWEMKDRARRAQGVDPSDRTVPTIAQYRDHLASQGLV SQNADGGLYRGYFLKDVLPPAYRSISLLKTLATPKIWHGHPMAAIRRGIAILGSARCY ALDRTTIDQLATLRRLYFDDMNLINERLAEAYHAPPKDHKRKRSDDESDHDDQKSPPT DTDIHRIVQDTHNIGDIQDTHHVCTLPDSLVIDIHNEVYASSNWVLGPNATGNDAINR FAPLFESMDVVKLLS AOR_1_1064144 MPPPALPPPSPVKRLRMSSSQSEVSSGNFSELVKAEVQRQNDNC FACGTEIIQVAHVIAKSAPSVPLLQQRGLINFDLRGL AOR_1_1066144 MRRGSIPQILKQFNQASKQLERVFFPNAGWPYVSDIISHYIVGY LFGFKNDFLDFCVLCLCMFARHRNESAVREEFTNGTSSAPLLVTAGCGGTGINLQSGS VIIQMEVWWNMNHERQAYARCLRQGQDKMVKVYKLFAENSNIDIMISKCQVRKDKLNS QVMKPLVRKDDDPLIIPALHS AOR_1_1068144 MHIRTAITAGAALVQTAVAASVQAKNVIYIVPDGYGPASQNMAR DLMSLVDSGTTGSNPKIDELPVDDLVILSQAIGRVRTHSANNMITDSAASGTAYAAGH KSYNGAISVTPDGQPVGSILEAAKLGGMKTGLVSTTYISDATPGVYAAHAANRGSMPL IAEQQLGYSHPLGLMVDLLLGGGRCNFSPNSTEGSCRTDDFDLLTYAEEQGFTVATSR EEFNALGKGQGQADLPFLGLFSDESMRYEMDRRVIQDEPSLLEMAETAVNALHRATRR RNKGFFLMIEAARIDHAGHDNDPANHAFETVMYNNVVAWVRDWIDQHPDTIMLSAADH ETGGLTLNGYDPLPLRNATHSRAYLQSVWDNDRPADVDERDFLVSEILPAYGLENVED ADIQTILDADSIGAGIAALMSSRAGVDWSTGGHTSVDVTLHGYAAGRKRQALKADLAG GWDNTELPRYIEEVLGLDMDAVTERLRKAAEEDSSWLGPRELARRDLGGCDHYH AOR_1_1070144 MNRFICSGRMLGGSAILLSTIIIVWIVFVNPPQTRILHSSSPQT VSPTHNSSSPWNPSDVRCSGLLDTSHIQVVVKTGSNIIYDKLPTQLLTALRCCQDPLI FADSEQDIGPYHVYDVLANVNETLKATHPDFAYYRTIKDYLSSGRDIRLLRTSRQAAW DLDKYKFIHMLVETWERRPGHDWYVFVEADTYLFWGNLVQWLARMDPAKPLYLGSAAT FQSEKFAHGGSGVILSREAMKRVLDGDADLAARYDEHMHDEIYGDYVLMKALKEKGVE LSNKWPMMQGEKQNTLPFGPGPNTGSRHGCQPLITMHSVTPVDVNAMWNYEQRRKHPQ EPLLIGELYDYFMGRALPSQRDDWYNLSDDLMFRAPGVEGQRQKSPADMTPVEKEAYS SFEQCQKACHEHSKCFQFVYHDQTCGFSFSYRLGYQRDPEGDEGPYKSGWILDKIEKD REDHPCKAPEWL AOR_1_1072144 MEASSSLSLVSQLCSLLQNPDFPAQLTSAIQASKGSPRKDLEHE QTNSHLFLRIEASLFSGVPCAFQGSSWVLGCVDLNNERVVPLSQLTPNVSEIICDERD TTAAPETNQDDHGPRSLKRRKTVSGLRLAPHTQDAAITGTESQIDGCAASDSNGMTDD AQKSSVRQVHSDTRFPQRKNPSKDTSAPALEPTSTDKLIAGIWRQVFSPVKLSRFHSV IEPGIDIRTGVSGEVFRAVNTLCLKYYNQSQSSRALEMIVQAYWIECYEARIAVLRLE NPNLSAMEIRMMGLREACAVLNWKEKDLRNRIAIWRGYKEIKDAGGWASLIFASAGVY RFCKYRTGFGEGFSTRLRHIRSSLEVAADTLHPDWRDLLQEDQQSP AOR_1_1074144 MTETQTVLASRQSGRSSESSSLDAIAAEKQNLAVHSVPSTRPDL HNSASPKRWKTFWTAFRYLQHLTPKQVDDFMASYVIYNLDWSDEKQMVEELGPNYQEK VGDCLKSYYGVLNHLCALGDVEKMYIPPFMSKKATVLENQLLYEESIAEHIGLKPGDK VLDLGCGRGRVAAHMTQYSGAHVTGLNIDPNQIAQARSYNEKLGFKDNRFIVQDFNSL PLPFEDETFDAFYQIQAFSLCKDLPALFREIFRVLKPGARFSMLDWVSLPDYDPSNPE HVQLMRRVKPLIGAVGTPTPKILENALTDAGFTVTRSDNASVGGLQAPLIAKVDLYFR SMRQLILGLVKTHVLPKHFKTLINRLCLDGEAFVKMDNMRLVTTSYRIIAQKPLH AOR_1_1076144 MSQTLFDAEDWPLPAVRRESTVSSSTQSSSSSFVSTSFFPLPPI SPATSSPGRSRQASCQSLYDEVIRLPLNPAVTISFVRSHKLFKLRYTYIDIRKDATGS LRALELGGAVGQQTPFVHTFNTTKIPVPHLEHPKLPDEPSLRISFMEEQTIQTAHTVF TSQLSYTFEDWNDCVQFQELILAAKLVFIAGIAEAKSKGRGEECISQNLRILRGYNGK QVILFFANSQRKELKRYVSLPVNCIESVQPPKKAGRPVVLQLLPNFDHLSQMRSLQIH FLDHGDGLSFCQFLADHAK AOR_1_1078144 MTKFRSRLYKTDRARDFEHEQDRHVRMRQVYETIDRQGYQWIVV FVAGVGFFLDGYTLFASNMAFPMLSYVYWRDDPSSMKLTNINIATLAGTMLGQVLFGY LADKYGRKKMYGLELMLLITSTLGVVMSSNGVNHSMSVYAWLIWWRIVVGIGVGADYP LSAVITSEFAPTKHRARMMASVFFMQPLGQITGNIVSLIVVAASRSQGHEDLTRTVDI MWRWVIGIGVVPGVVATVFRFIIPESPRFLLEVEDDPIQAEFDATTLFNEPNNSPSIE TDSWHNLPLPAISMTSQCFSDRSPSQTEILQPATLNSHWHLTGKDITQYFWTEGNWRT LAATSLSWLLLDFGFYGIGLSNPQFLAKTWGSLKLHGPAPVWQTDDTPNADVFKMFLD SSTHALVILNSGSFLGGLLLILVIHRLDRVALQKYGFLALAALFIALGTMFLTVHKEG AVAVALYIIGQAFFNFGPNATTYIIPAEIFPTRYRATCHGISAGAGKLGSILVQIFSA HFNFGSGLGNEPIIRHGWVLIVFSVCMMLGAVVTHFWIPPVKRQDGQGKFWGGKTETL ETLALGRMGWKSRYAVKLRERERVISPTLSPGGFGL AOR_1_1992144 MGPRVDMRRDQGGLCAVGRWFLPRPAVERTRAVWSYGEGPGPVA KDGKPDVVSNSIFMVGPVNSYPGTSDSFGAKIYWFGELPENLQRLNQYNFLLFPKLAG FFQREDASYRVFIRKVIRGFGGGSCLESYMLEYDGMGWKETDEDLVALFSHEMIHSFS LMNLEADGYDNGWYIEGIAEFYSIFLPYRFGLRGLECLHNRLNAVLLEYGTSPRIEMD AIDAQHEFYNDWYAERIPYVRGCVYLLQIDSRLRKMTGRFGIDQNSPLDDIIVDMGKR WHRGEQVLARDWLEYLRPLLGEDMDVSEDFQSMLRGKEILEIGFDKSSNSTRIVAGVV PGSRAALAGLKDEDHIVATSRVSLCYSSLSAYYELVIERAGEFTTDLLLAALIYQG AOR_1_1080144 MAVNGTNGTSKTNGHSVNNGTSAYHAASTQEAIQAESDFAAHNY HPLPVVFARAQGTSVWDPEGRHYLDFLSAYSAVNQGHCHPKLVAALVEQASRVTLSSR AFYNDVFPRFAQFVTQYFGFDMVLPMNTGAEAVETGIKIARKWGYKVKGIPENQAVVL SAENNFHGRTFAAISLSSDPESRDNYGPYLPGIGCNIPGTDKPIAYNDKAALREAFEK AGPNLAAFLVEPIQGEAGIVVPDEDYLQEARALCDKYNALLICDEIQTGIARTGKLLC HEWSGIKPDLVLLGKAISGGMYPVSCVLGRKDVMLTIEPGTHGSTYGGNPLGCAVAIR ALEVVQEEQMVERAEKLGHVFRDGLKAINSPMIQTVRGKGLLNAIVIDESKTNGHSAW DLCMLMKEKGLLAKPTHENIIRLAPPLVITDDEIKKSLEIIAEAVSELPTLKGAAEDK VIPPPEKKVKIGVEN AOR_1_1082144 MSTSSRRRRSPLELSSSRSSSSSSSSYASWASTTSPSTSTSTPP SLSRTTTVSTSHVFLFLLAFRLLNALSLRTFFQPDEFFQSLEPAWQTAFGETHGAWIT WEWRHHLRSSIHPLLFATVYSIADLAARALRLSPAFRADLLIVAPKSAQAILSAIGDL YTWKLARYVYGRRSHEAWAALALTVLSPWQWFCSTRTLSNCLETTITIVALNLWPWEW SSESTPTVQPRRNTRSTTRDTGLDNTGDGAVVVRLRKCLTLAALACILRPTNILIWMG LAGVAWFRSAWRERTILCREVLLCGVSVLTGSVVLDRLYYGLWTFPPLKFLYFNIAQS LAVYYGRNDWHYYATQGYPLLLTTALPFTLVGLYRTLSQSQSTINTRNVSVQTQLAAI CLLMPLVLSLISHKEVRFIYPLLPSLHVLTAPPLVDFFLPAVSRSNGAYMPRRLSLVF LLLVNITVAIYTSVYHASGTLNVLSYLRDQQQAHTTVDKSAYSPGSSQRITAGFLMPC HSTPWRSHLVDPNIHAWALSCEPPVDLTEPQKAVYVDEADQFYNDPSQFLRENMVGGL RHLPRKPSYLASSKSLEASPQAYQQATPHEWPDYLIFFAQLEPTLHSFLRSSSYGECW RTWNTAWHDDSRRRGDIIVWCLDPTEQAAWRSATRKRTLEHRDHQFDRIVETLRKNAP GQRKSSPWTRWTSSLSGRSASSTWSWSWPWERRRRSWFGIQLPVWKKSSWTLPTWTWP KSSKRKTRAVDRDLWS AOR_1_1084144 MVAGISKRQQLRNERALQDLVRSVPGNDRCADCQAMNPGWASWN MGIFLCMRCAALHRKMGTHISKVKSLSMDSWTAEQVDVSDRNMKSHGNNLMNKIFNPR NVKPPVPADVDESDACMERFIRQKYQHRTLEEGKPKPPSREGTRRDDRSPEGSPPPLP PKPARPHGLGLRSASSTTSLHRLSNRQAASSRFEAYESPRSVSQGMGASVGNSNASHE SQMATLRSMGFTNEYRNSAVLKGLDGNLDKSIETLVRLGEGPPSLQGGTRVQTTTAND AARQQASSNPFDQLDSKPAQPSGQSYNPFDVPTPQPAAQTLEASFQNLQVSQPLFPHS TGGYPNQQPSFPQPLYQQPITPPVMPTISQGAVVQSPQPVDGGQNPFFQSGSFTSTPN QTPGLAQPQTNPFFTQPPSQLNSMQTPSQAPAGYPHPPRHANTMPAISSTSPFGTASP FQQQQQQQQQIQPPQLQVQPPQQMQPSHNPFQPMTAPPTPQSAGYQVQSQLGLQAPAQ HLAPQPTGRIDKNSILSLYGLSPPPSATSEYSQPPNPAGAFPGPGTAPAPAPGYATTT QPQQPTDPHSAGTRNPFLTSQAPAAGLPQQQQQQAYLQQPQLQPQPQYTTTSPFTMPV KSNTMPPAAPSAFPRPQGHMSQQSVDINAFQNGRHSPDAFASLSARYG AOR_1_1086144 MADYNYGGSEEENAELRKLEAELLDDPDNFETWERLVRAGEALE GGINRNSNPQAITTVRNVYDRFLAKFPLLFGYWKKYADLEFSITGTEAADMVYERGVA SISPSVDLWTNYCSFKAETSHDADVIRELFERGASSVGLDFLAHPFWDKYIEFEERVE AYDKIFAILGRVIHIPMHQYARYFERYRQLAQTRPVAELAPPETLSQFRAELDAAAGH VAPGAKAEAEVERDIRLRVDSYHLEIFSKTQTETTKRWTYESEIKRPYFHVTELDEGQ LSNWRKYLDFEETEGSYPRTQFLYERCLVTCAHYDEFWQRYARWMAAQPGKEEEVRNI YQRASYLYVPIANPATRLQYAYFEEMSGRVDVAKEIHGAILINLPNHVETIVSLANMS RRHGGLEAAIEVYKSQLDSPQCDLATKAALVAEWARLLWKIKGSAEEARQVYQQNQQF YLDSRPFWTSYLTFELEQPTSSETENVQYERIKKVIDDIRSKSSLTPDAVKEVVQIYM VYLLERGTKDAAKEYMTLDREVHGPASVAHAKTGAAAQAQAQAPPSANQATPVPEAPA VPTPPQPNSYAYYQQAPVNGTTAA AOR_1_1088144 MSTPQAAGSLVYKLPQRLRNFFARYPPQIYSAAVAPRPEPTEEV NAESLPSPYTPNRDAKGHKRPDPTEYSPSRAILYSNPDHPNPFLPRKNFRTGKWIGPR YGLRTQADLVKLAKKYNVEALLPPGRKSTEFKETRREERGLQIKGTGIGQKVKGHKWE RTMESRLEERRKAMMEMPEMIRLWKQRGHGRGWKQWPKR AOR_1_1090144 MQAKSKTESRAEYCTGYSEDVDVIREREYPFLKDTTYLDHAGTT LYPKSLIDSFARDLTSNLFGNPHSRSSSSQLSTQRIDDIRLRALRFFNADPDEFDLVF VANATAAIKLVVDVFRDSSPQGFWYGYFIDAHTSLVGAREIAERGHRCFLTSGEVERW IADLATDQKNFPRLFAYPGQSNLNGRRSPMQWCKKIRDGSSGAGNVYTLLDAASLVST SPLDLSDASAAPDFTALSFYKIFGFPDLGALIVRKSAAGIIKKRKFFGGGTVDMVLAQ GMPWHAKKSTIHECLEDGTLPFHNIIALDSALSTHGRLFGSMSNVSFHTRYLAKRLHN RLAAMTHFNGQKVCHLYMSPESDFDNSTQGPIIAFNIRNSSGAWIGKSEVERLANVKK IHIRSGSHCNSGGTATSLGWTGPELLRNFSAGLRCGDDHDVMDGRPTGILRVSLGAVS NLRDIDAFARFIDEFYIEKEPEFVSLVPPMEVVLQEPSFYVESLSVYPIKSCGAFKVP DGQRWEIKREGLAWDREWCLIHQGTGAALSMKKYPRMALIRPVIDLERGVLRITCGSD SKELEVSLRREITNLVTTSLCQSAKSSNVCGDRVVVQAYSSPTVASFFSNFLGVPCTL ARFPPQISTRISNPTRSSRRSQRALMPGSFPEDPSPTSEQPPILLSNESPILLISRSS VNRLNENIKYNPRPSYSTPAKAVEADVFRANIVVAENLHQLANAERPYIEDTWESFSV GPEQLCFDVLGSCQRCQMVCVDPYTGTRREEPYSTLVKTRKINSKIVFGRHTSLSNME LSQGAGKPKSCTVMVGDVVTPQIA AOR_1_1092144 MIGSFFFICNRLVEIIFLIPIIGMLAYFIDGYLKANVITPTYIL VLFIVSTIAVFWCFDTLIRHATTKRSAAFVAFVDLLFFGAFIAGVYQLRFIANANCSH WDGGSVWVSLGPFGAYGYRTGNPLALQVNKHCAMLKTCFALGIIEVVFFFWTAFWALF LHSRSDVVVKETTTIRRRSHSSRRGHGHRRSSSHRRPQYVV AOR_1_1094144 MGHLPSKLPFSKRRLRPRIVISYILDYVILVACIAGFYILDSIE PYHQHFSLNNISLMYPYAVHERVSIPLALCISGVAPLIIIAVYTLLIDGLFSHNKPVD PTSGKRKLTGPYRFKDRLWEFNCGFLGLLLSQGLAFLITQVLKNACGKPRPDIIDRCQ PRPGSEDPFRGLSNYTICTGDPAIIKDGFRSWPSGHSSSSFAGLFYLTLWLCGKLHFM DNRGEVWKAIIIIIPCIGATLIAVSRIMDARHHPFDVITGSLLGIVCAYIAYRQYFPS ITEPWKKGRAYPIRSWGRDPVVPSEAAPLVTTNESTVALRNPEEERLNASGVPDTRDP TQLRASRYMPPANNPYATNMYGRDDDGHWSSSSEDVADGYEMQHGYARTQNPTYGGQL PRYETDTSYHSQMQPQVTGVSVSHPPPITTIRSDGERELTDVPPRAF AOR_1_1096144 MKNFATVAALAAGANAFVGRSNNCCFHLTASGGESGTVGQLDDG QNRIHGGLQEAEFCIDSKGALTDGHGRGCIITGPSTQFQCDEGATPMTGWSIDSQGQV SYNGDADFVACATGQNGGLNIYTTESSDVTGCKDIKLSADSCSGSASGSSSVAVPGSS TPVPGPSSSASTPYVPGPSSSASVPYVPGSSGSAVTLHTTVTSTYCPESSTVPVIPGT PGTPGVPGTSATPGVPGTSSVPVIPVTSGTPGVPGTSGGQPSQTASSAQPSGTTTAGG SCPTDLSGEYEFPHLIIPIDSSSPDTAAGTSYNGTISSTVSTIFNFDIPSSDTGKTCS LVFLFPKKEDLETSSYSFSGDGKVDFASLQSAATQSTTYSNAPAVKEDYGDFTISPGN SYLVSTFECPAGQTVSYEMKNSGSTELDFFEDYNPSPLGLYITVC AOR_1_1098144 MGVPGSNLQDLERQRLELEGNILKLQESLYHWRTWEAEYDGLKD EISELDDDATMDDFLRIGREFGGSLVTEDEVKVILGERQGVTRTKQQVIDLVSRRVDY VQQNVTIMEKRLRSVENQLHAFESAEQLPAEPTSDFPMTEIVEELDEDGNVISSSIAT PGDQAPELLELLKKAGVKDVPDAPNANAQPGYKTEDEPSSADKQKHGDISEKSNLRET NGSSGAYSEEMLAASESATEKQELPVTDIDESPEDAKLRREMLQYGLNEVGAVVAELE LDEDASDISIDDDYDAFAYDDEDDEEEDEYGRSTRTVLSEDYHQQMRELEAKLNARGM WNMGKDTGSLPAEVQKELEQPSVVRVEKSTETSDQQAPEKKPKKKVAFAEELDIAPAP KPPTAEKKAVPPRQSDVPVLSDAVVERTASSVQDPVTNDAPKKTSRFKSARSTGGSEN SIRPSEARSSLRKPITSPSPALPLFPAKPSEPKPFSQPISDIIEKPPAPRGPEGKILA DTLVEHEVSQGAAMPPEPDEIDEQIHRKEIASEFYRIRNRMIQQNGGFVGEEPETVPI ETEDPPKRVSRFRAARMT AOR_1_1100144 MPPSRESMQNRGDEDEVCPVCKSSRYLNPDMQFLINPECYHKMC ESCVDRIFSSGPANCPVATCHKTLRKNRFRKQTFEDINVEREVDIRRRVMQILNRREE EFDSKRAWDDFLEQREEIIANLVHGTDVAKTEADLQKYAQENMRSIRANQALEAQEAS SFQARQTQEQELARLRREAVRQEYENERRELLAGREDVLSRLAAGRPGDAATIAREGQ KVLLKKSSARRSEEDRIRQKQAALRNSDARKAGQSGTTAADKAGDAGDTGLIKGLKRI KTPEPEKPYDPFGGMVPDKRDYYTLQDFYPSSYLDPIRQDTRMQAGGYDLREYYSRTL LEAFAGLGCFIDEEVSEREAANTDTAATEGAAIAAASTSPAGSA AOR_1_1102144 MSLFRSCRTASVQARGFTSSASLRIGPESPNFVDVPRTIQPDLP SKQHVKGTLPVPREIFPVRRADKPSEEYIAAATPLPSKETKADPNDPHAQYINWKRRM AEMRRQNLREGLLELHSRKQRTDKSMMQRSVEKQKRRERIFRQPEREDERLTRPSVIQ EMLPKRTPVLPDPNREERLAISKARLEATKAQKQAEQQDSLQTLYMNARNFITTEAQL AAEIDRVFPEGENEAWRNDHQQGENIWNLGLPPTVQSIVNESRKSEAARWDLIQGRVK KLGEQITGGKL AOR_1_1104144 MGTGKKEATRRERQGKVGDGMGNVRVKGENFYRDAKKVKRLNMY KDGKPRRDAEGNITVAASYQSREAPVARIEPNRKWFGNTRVISQEALSSFREAVAERA SDPYQVLLKTNKLPMSLIRDGQGVNGLKQHQAKMAIETNPYSDTFGPKAQRKRVKLGV GSLEDLAGETAKMHDAYVEKSDHQTHADGSLAVSGDVSAAQDDAHTTTATAVESVFSK GQSKRIWNELYKVIDSSDVIIHVIDARDPEGTRCRGIEKYIREEAPHKHLIFVLNKCD LVPTGVAAAWVRHLSKDHPTLAFHASINNSFGKGSLIQLLRQFSSLHSERKQISVGFI GYPNTGKSSIINTLRKKKVCTVAPIPGETKVWQYITLMKRIYLIDCPGVVPPNQNDTP EDILLRGVCRVENVENPEQYIPAVLKRVQPRHLERTYGVKGSDDPLEFLAVLARKGGR LLRGGEPDLDGVAKMVINDFLRGKIPWFTPPPHTPGEEGEKVNGREGRLGEMGRKRKL DAVSEETEKNEAKSGSTSDGEFEGFGDSDDDDNDSIANLEVSDEESGEEND AOR_1_1106144 MADDGMSIVPYGSSNLDVVLRHNDSVVVFDRDSQQLVLRNATES NADIDLTDCPYCHRPLHNNGGGQEGHHTSSGGQPEFINPNYFRMLHRSLPSSATSSTS SSPHRRLVQPALPDGPTSEPSGGTSASQGISSAAFTPNYFKKFFVEEGILGKGGKGVV LLVKHVLDGVSLGHYACKRVPVGDDHEWLEKVLGEVQLLQHLTHQNLVSYRHVWLEDA KISTFGPSVPCAFILQQYCNAGDLHNYICGSVQTSTTAQQLKERLRRRSRGEPDPRSD ANEPRKLHFEEIYSFFKDITSGLRYLHANGYIHRDLKPNNCLLHKTSDGIRVLVSDFG EVQAQNSIRLSTGATGTVSYCAPEVLRREYPGGPFGNFTFKSDIFSLGMILYFLCFAQ LPYSNADLIHEEREDLDRLREEISQWAGFDDARRMRPELPEQLYTFLERLLSVNPDRR PSADDVLNGLQAGASVNENIRSRRTGSSSSDGHSGSRMQFAENSTAASFSRPPTSPKK PFSRSPVALRRNPAYESNGGGPMAFVDDLGPHDERRMSLGPERDMIIRGRYSNTPSLP SAQNEPSAVHENREPLQHLLPPPSSGSSLTRIFPFSSSTLPDLQIPLPTIQLGFFLLK VVSAFQPCSPLAVNPWMFYPLLLLAALNLRTQSIGMQTMFLIIHLVAVSLSMQFGVMC LWQTPRLMMFSK AOR_1_1108144 MSNEQEIPGGFENTDTHDHALLAPGESNAVQKVNNNGKQSDVKW KQASKIVDEQLMPDLSNEDLWLLVRRFNKQIHHVKAIQGPPQDELDLNRADEEQFPPE KLRATTERFYTSVVVGLVNIFSHVTRLQSWKEPRRTTAFCITYFVAWFLDLLIPVTTG VLVALILFPSTRSLLFPPRITSGDGSDAGSVEQSTRDSITNSPETYKGEAAEQEASNL VNDIANIAMESARGKYGQSVIDGDDAEGSSEPEPVDVGAITADVQAENAPVEDKTKKP MKKKISKATNQTMRILGDITDIYEQFSNILSPTPPFLAIAPRLQLVGMLISIALISLV TSSHFMIKSGSFLLGLAVFGDPVLQRTIAFLNDKVANWKEYLDLQNTLLKGVPTNAQL TLALLRLGEINSTPLPPPPTSHNNEPLWPIRKPFGSITSGKNKDEPSSALISQTPSPK LELSKAEARKKKWSKILKFIGRTIATAMKGHIAFDRAMRITESANTKNLIGLLSRRGW ITAPPVGPLKFEAKFERKRGTVVIDSSQEQPVLYFTTCQSAKLDDLRLENQKKSAVLF QIPINEIKELKKTEGLGWKGKLIVELTAGTKDSIDGLVISRMEPQYQSYHVTGMRGRN QLFNRLIAMDAQFWESH AOR_1_1110144 MDDIVMNGSPEVPPPQPPPEPVERPPTPPPPPPEESVAPPPPPE VVAPPPPPEDLPPAPPPPEPKKKKVGWGAKKPAATPLSVEELVRKKREADAAAARPKF LSRAERERIALEKRAKEVEAERRLKASNGVDRSATQSPSVSSEVNHSDGRTIPTGPRA MRSSDTPTAPAAMRNSHSHNKNRDLSPPPPPKSMSFGLASSKGDKRPVDDDEVAAQVA LVKQRYMGADQTSTFSAKKKRKRTTDRKFNFEWNAEEDTSGDYNPLYQHRHEANFFGR GRLAGFGDDVADNVAKKYARALEDRDHEAGGIRAREILEMERRRREESTRNQLDKHWS EKKLEHMRERDWRIFKEDFNISTKGGSVPNPMRSWDESGLPKRLMELVNKVGYKEPTP IQRAAIPIAMQSRDLIGVAVTGSGKTASFLLPLLVYIAELPRIDEFEWRKNDGPYAIV LAPTRELAQQIEIEAKKFTEPLGFNVVSIVGGHSFEEQAYSLRNGAEIIIATPGRLVD CIERRMLVLSQCCYVIMDEADRMIDLGFEEPVNKILDALPVSNEKPDSEEAENSMAMS QHIGTKDRYRQTMMYTATMPTAVERIARKYLRRPAIVTIGSAGEAVDTVEQRVEFIAG EDKRKKRLGDILSSGEFRPPIIVFVNIKRNCDAIAREIKQWGFSSVTLHGSKTQEQRE AALASVRNGQTDVLVATDLAGRGIDVPDVSLVINFNMATTIESYTHRIGRTGRAGKSG VAITFLGNEDTDVMYDLKQMIMKSSISRLPEELRKHEAAQSKPTRGFAKKNDDNSAFG SKGGW AOR_1_1112144 MCRRADVPSGSTNITHGREVLPTNVKPVHYDLTLEPNFESFKYE GTVVIDLQVTEDTTSISLNSNEIDIHSAIVSAQGSVVTSSPEISVNKDTQVATVKFAE TIPAGSSAQLKLTFTGILNDNMAGFYRSSYKTANGETKYLASTQMEPTDARRAFPCFD EPALKAKFTVTLIADKSMTCLSNMDVASETDAEGGKKVVKFNTSPLMSTYLVAFIVGH LNYIETKDFRVPIRVYATPDQDIEHGRFSLDLAAKTLAFYEKAFDSSFPLPKMDMVAV PDFSAGAMENWGLITYRIVDVLLDEKNSGASRKERIAEVVQHELAHQWFGNLVTMDFW DGLWLNEGFATWMSWYSCNSFFPEWKVWQTYVIDSLQGALSLDSLRSSHPIEVPVKRA DEINQIFDAISYMKGSSVLRMISKYLGEDVFIQGVRNYIKKHAYGNTQTGDLWAALAD ASGKPVEQVMDIWTKNVGFPVVTVAEDAASSSIKLTQNRFLRTGDVRPEEDTTLYPVM LGLRTKQGLDENTMLTEREGQFKVPDLDFYKLNADHSAIYRTSYTPERLTKLGEAAKQ GLLTVEDRAGMIADAGALASSGYQSTSGLLSLLKGFDNEAEFIVWNEIVARVGTLRAA WLFEDSQAKDALKAFQRALVSSKTHEIGWEFSEKDGHILQQFKALLFGAAGSAEDPVV VKAAQEMFQRFAAGETSAIHPNIRGSVFSIVLKNGGEKEYNVVYDRFRNAPTSDEKTT ALRCLGSAEDPALIQRTLGLALSDEVKNQDIYMPLGGLRNHTAGIEARWAWMKNNWDA LYKRLPPGLGMLGTVVQLTTSSFCTEAQLKEVEDFFKDKDTKGFDRAVEQSLDAIRAK INWINRDRTDVESWLKSNGYLRDGKL AOR_1_1114144 MSDSASKSAKGVMPDTKALEEGPRRADGVSQLYEGNVFDATPED RRQIGVVSASFLIFNRVIGTGIFATPSTILSLAGSVGLSLFMWVIGTVIAMAGTAVYL EWGTAIPKNGGEKNYLEYVFKKPKFLITCMYASYVMLLGWAASNSVVFGEYILNAADV EVDRWNQRGIGLACISAAFLIHAFALKWGLHLQNFLGVVKLVIIVFVVVCGFVALGGH MKIDNPPHNFRNAFEGTTNSGYGIVMSLYNVIWSFIGYSNANYALSETKNPTRTLKIA APIAIGSVGVLYMLCNIAYFAAVPREQFLSSGQTVAAVFFGNMFGPRAEKVMSVFVAL SAFGNVLSVIFSQGRIVQELGREGVLPLSKFFASNKPLNAPAAGLFEHYIVSIIILLA PPPGDAYNFLVNLISYPLAIINVFVSGGLVYVYFTHKTKFPDWNPGIRATLPVTIFFC LSNVYLVVAPYVPPSAGQSVYEELPYYLHCVVALGIFAAGGIYYLVWAVLLPRLGNYV LVKETVVDADGWSRSVISRLPLAEAEIRQAQWQQEQQHS AOR_1_1116144 MSSSHHRRSRETSHAEMPIGRYTRLDEIGRGSFATVYQGVHTKS RTYVAIKSVNLSKLNKKLKENLSSEIHILKGLYHPHIVALIDCHETTSHIHLVMEYCA LGDLSLFIKRRDTLGDHRYTQDMIAKYPNPRGGALNEVVVRHFLKQLASALKFLRDRN LIHRDIKPQNLLLWCDESFSPATGLESLPMLKIADFGFARSLPSTSLAETLCGSPLYM APEILRYEKYDAKADLWSVGTVLYEMVVGKPPFRATNHVELLRKIEKGEDRIKFPEEN PASEQIKSLIRMLLKRNPVERMNFSDFFDCDTITGPIPGLIADDAPSTSRRSSVAVNT SGSTSRPQSRTGSRTPTGMKREKDASYPGKKDDQVSYPAAHRPPTQRSDTPPASSPMR RMGSGDRATTSKETVTTTPRRPSVVSLATAPGRQELVDRNATAAVMERQRSRNTYAGV PQTEKQAEKTKEESERAAQEIAFERDYVLVEKRAVEVNAFADELAHSPRIQGGFPRNA YALSRRPGTQGSSTATATSPLATTGKAMQVASGRARADSTHTRQGSYERRYGQSPTSA ISKALHMASGRLFGMGFSPPMTITKGGRSPPLGYNPFPAYPAAQGSLMVVGDGARTNV TLDEDAKTVQVIEECATRSDVVYGFAEVKYKQLIPLAPSVQTDPSGRANVPGGERDST DLTDGGLTVDAVVTLSEEALVLYVKALSLLAKSMDIAGAWWSRKNRGEAFGESAMGRT DATSTLVSNRINNVVQWVRNRFNEVLEKAEFVRLKLIEGQKRLPPDHPSHPSNHSVGP SVGSGASTDVVVSSGVTAEKLMYDRALEMSRAAAINELTGEELSGCEIAYVTAIRMLE AVLEEEEVSRSEPGSGTDRGDARRDGDKAMLDGVRMEDRQVVIKLVSSIRGRLASLRK KLALLAKRQSPPSSVPGKVAPSSLVPAAQAVGTTPK AOR_1_1118144 MAASQNHEERLTPSSNLIQSDSFISDSLRNSSRSPHPYHRKGSK RSEPHLLPTDGRDQPTPNSWPKTSSDSGTEADDESTGILKGLPAPPLRQRKGLRSGFN GAADRDSWLPLLQPWPSLGRSTSRSSRQSSSEDTGTGRVGLRGKAGEKRLEVLRRLLE TGLLLSVGAVVLSQENTRLLAWAWRKELLAHGLLVTGLYAVYPLRRNGRLRLSGLYSF TIPSSFDPAPLLYPILIPIYTSLSLAHCSPALVLPNIILSLSSLPAPVIPLREWMHGH SVVHWLVTLIPILVSEHFSADHTIPKPLTLRGLNSEVLTLVFPFHQALIPTLDFLLTT SILPAELQLLTSALVNLFLFASAPQAEILKALLWLGGLCIFISCRHVLRWEVALARIP SWKFRRSPSGSQSRINLLYVIDHKLCQKLSRTGSSEDALSDSESEAHIAPIPRRTTHE FRDKTPARELADKAPQENGHRHAVHRRRHTISSVDEVAHSERIRTTPSGRRKRSMAPG LASFLSLTVPQAQVRKWLYALYVYAAVTIIIMGPVRKYVGERALQGEEPFGWALSYLL GNVSWFRFWVIMWNLEYWIPLPPRLDGEICSLGWMECLRQTSFGEANTRLLIAAHCIV VIMMGLGVVFQLSSLVEVDTRRKVFHGMMVLMFLPTIYIDPAFCALALALVLSIFLLL DLFRASQMPPISRPLTYFLAPYVDGRDHRGPVIISHIFLLIGCSIPLWLSLADIPRSE DHPWGAWNVQFRDVSMVSGVVCVGLGDAAASLVGRRFGRRKWFWGGGKSLEGSVAFAA AVTGGLVFARLWLAAGQWAVHGNDGQNQVFWLWTVCKAIIAAAGTSATEAILTGCNDN VVVPIVLWLLVRGLGL AOR_1_1120144 MTPSPQPQGKSLNVIALISGGKDSLYSLLHCIRNGHKVIALANL HPPVQDAQEDIDSFMYQTIGHAVIPLYEQALDIPLYRAPISGGAVDTARIYRNDAADQ MAESHQEDGQDETESLVPLLKRVMERHPEANAVCAGAILSTYQRTRIENVAFRLGLTP LAWLWNYPVLPAPVEREGVVTQAGLLEDMAGVGWEGGEYESLAVDGPGFLWKGRIEIE EREVCSGEGGVGFVRLRGARCVPKDGEDGVSPGDVRRPALLDVKFSGVLDGVVSEVGD LELKTVEESQSMWRLGEVAQSRNGGTWAISNLAAPEAGPGAGEQMEAIARKIQLILES TGTRTPADIVFATVLIRSMVDFPLMNDIYVSLFKKPNPPARATVACGNSLPEGVNIMV SLVVDLGPRDLRQGLHVQSRSYWAPANIGPYSQAMSIPVRSERLVYIAGQIPLEPASM DMVAGPESWLEGYSLRAVLSLQHMWRIGAAMQVDWWLGAVAYLTGADHIGTKAQIAWR LWEMMYAQQTDSDEDDEEPVLDAWDIKYGGRAHDQPINLEAAALPNISVVQSDVLVPP FFAVQVAELPRGSDIEWQGLGCRCGGLKMAAEELDVGRKIDTITDGNLRYTGVEIDNG TELESCLQRLLERYSTAGVSHAVLYTAQPLSANTWPGQIVPCTSVWGQKGRQLAAGII LQTHNPTDAWTE AOR_1_1122144 MDATYTMAPTVQGQPSFAYYPTADSQRQQYTSHPAEPQPYYGQI QAFPQQHCLPEQQPVYNAQPMMNMHQMATTNAFRGAMNMTPIASPQPSHLKPTIVVQQ GSPALMPLDTRFVGDYYSFPSTPPLSTAGSSISSPPSSSGTLHTPINDCFFSFEKVEG VKEGCESDVHAELLASADWTRSATSPPMTPVFINANSLTASQSSDFLSAHGSCPSLSP SPSPVSSLFTPSQSAFPVEQATSDFCDPRQLTVESSVNTSSPAELPPLPTLSCDEEEP KVVLGSEAVTLPVHENPSPAYTSSTEDPLSSLPTFDSFSDLDSEDEFVNRLVDFHPSG NTYYVGEKRQRLSAYSFDDEEFLSEHSLEDSSDDLELAHSGLSFLGCADFAPAQSDAS ETSDEMKTKKRSNSRKSLKRANSEDQDALKKAQAPINSRANSTEANVAQQAAAPSCSA SEANVSSSCEAPSVPVSVNRRGRKQSLTDDPSKTFVCSLCSRRFRRQEHLKRHYRSLH TQDKPFECNECGKKFSRSDNLAQHARTHAGGSIVMGVLDTNNASERYDNRDASTMGAV LYEAAHAAATKSTTSESSEDGVSDAPSIDRRSAKKRKRDEHV AOR_1_1124144 MSSPVHISSKEQFSTLLTKSRFVVADFYADWCGPCKAIAPAYEQ LAAQLSRPNRITFTKINVDHQQDLAKAYGVTAMPTFIVFERGRPTSTVKGADPQKLSE VVRKLASEASKPDDGGEGSSGSSDADWIGLAAPKGYADITDQYDPKGLELLNRDSEFG TAKTLFETSKPSALNNGKGKAKDWVESDTDEQLMLFVPFQSTLKVHSLQITSLPPSDG DELPMRPQTIHLYTNRSHVLGFDEAEGIDPVQTVTIQPEDWDKKTGTAKIDLRFVKFQ RVTSLIMFFVDGDGDSEKLRVDRIRIFGEAGEKREMGKLEKIGDEPGE AOR_1_1126144 MTRSNAVTPDYYEILNIQSTETTAQLSKQQLKLAYHKALLKHHP DKASSVADSADLPRSNQDLSRDGKPYTIDEITTAYKTLSNPQLRAEYDRALRLDRAKI VEREKTGAVFHTGLEVVDLEDLACEEEGDSAFWYRGCRCGDEKGFLVSEEDLEREAEH GEIVIGCRGCSLWMKILFAVEDG AOR_1_1128144 MASAARTASRAFLRSTPSVRPAVRSTRFALPTQAFRASARRGYA SEAGEAKSSNTFLWAGLAVAGGAGAYFYLQGGDSVSSKNFVPTKEDYQKVYDEIARRL ADETDYDDGSYGPVLVRLAWHASGTYDKETGTGGSNGATMRFAPESDHGANAGLKAAR DFLEPVKAKFPWITYSDLWTLAGSCAIQELGGPAIPWRPGRQDKDVAACTPDGRLPDA SKDHQHVRDIFYRMGFNDQEIVALVGAHALGRAHPDRSGFDGPWNFSPTVFTNEFFRL LIDEKWQPRKWNGPAQFTDKTTGTLMMLPADMAFVKDKAFKKHVERYARDSDAFFKDF ADVYVKLLELGVPFESKPEDRIVFKTSQ AOR_1_1130144 MDKEQVEWAKEATDIEGNVQQKDMAGNQSAPRLTDWKFVMVIIG LGMASLGSQVQPFVFAAITPLVSASFNASSLLIWFFTTQIVSCGVISPFAGPLAGMFG RKRITPADIASSMIAVIVCASTPTAGGYTAGQVLAGVGIAVQELMAIAAITEIGPVLP FAFAALVKLIGTSIFYTQFVSVLTHNTYEYVVPVAIETGITDFDVLETMMPTLLETPW KEWALSVSALNTAEKLNMLHDAVINAFAPAFARVWYISIAFGVAAVIASGFIEDLTNL MDEHIAVNYF AOR_1_1132144 MRDIFILAAVVIIVVPWIIISLLVRRALFENEIPFREDLRRSML CSMLRGLSILPVPTLHQVLKRKDPAVFLNVPRFMNWKDELCIRVSEGLCSGYWICQGP PEKPLRPKDSDVVLLWFHGGAYYLGGPLGEAVALLRTAECAASIFSVDYTLAPFATYP RQQEEAVAAYRHLLFNEGIEASRIVVGGESAGGHLALSFLLALTETTLPKPGGSLLLC PWSNLTNESPSFKRDRYKDALNKHLLDRDVNTFIPRDLSGHLPPLAIVNFTRPFTGRQ AWKQVLPAHSWISISGHDIFLDDTHNLVHQARLDGALVDLEITDGEPHGWQFAANKAS EMIYSNLPPNKEVPERVMPGSTNVAKVGEI AOR_1_1134144 MVMKSIHGAAHFCGFITELSPLAMDYLRYLADTAFLKMVYGCEY VLRSCEILYMHYEDTKKYLRTVRDVAQLMSQIAIDATHAAKVYGDSILEKVDRIEQSL QEHSALYPQGLEPSTESHVQSDPSSSSTAQYDLMKAFYV AOR_1_1136144 MAYQPRPHFLLTLCRELFNTFIHSTGDDPLLARTHRSFQNQPAQ TNNILLLVPITLGLILNLLIRYIIGHVLMSILILETVEKQADTSELGDKKPAPSSRQL LRTSAILYRSGGVRLLLNGIGSACTYWAMHISVAKLSTTLLPSSAAHILASVLLAETH FLCTARTILPRDQLRFVSNPGDRRRWLVLPTLAYAAAETVMLHVPAVFDSSIAPVPDE EVTMAGLLYIVRSDILVSGLMLSAQLFLLLPSYMVLILVQASLLPPTCETLVFSPSRH QRGRRVGEIFSAVNRGPLRAQEAAQMIRTGQLLSCLELHGKMCLCLVGVAAVVHSVIY CML AOR_1_1994144 MANTSSVLSEAFRLHQVVRCSLAEEDPCQGGSHKVLKVVFGDSV QWAARLCHDPNNWKYELRAVKMFQHIKQTHPDIRAPSVSFKAENPVLYSEWVTGKSLK VWNSQIPLNKRQMLLEGLADFLLQLRTTAVPLILFQSKIPGIQWGDAIDYLIMRSMIP EYAGEYDKYTDVGFAHGDLNAYNIMKDDDFHLTGRLFFQSAFHFKGIHEKFVKLHCAR TEKNLKAANSQLNAVLSLYPELNETEGVQRIKDLLRDMCLEP AOR_1_1998144 MTAIFIAFELYLFQPERATIHSPHAYVPLFLFFSSSLSLSLLSK STKLLIRKLPSQRLIREIAQDIKSDLRFQSSAIATLQESVEAYLVSLFEDTNPRAIHT KRVTIQSKDIQLATMRDMSSENADEGCAASIEA AOR_1_1140144 MYFKKNPLLWSYYYRIILVEPQGERLSGICPRLYNKKNINRVPV DPHQARVFGLARKGSGNVDFRVAKVAVNTEPDRPRERIGYPIHAHCWVILDRVIGHEM VQKHLREFTRAVEAYWSRNRIYWGSILGHDTCCGICSLETCHQWPLFHFSGSPLRIPG ILGLITKATECTGVGRVELRRDSVIVNPPLDVAILIVDQIYGSRPCSLEMLRDTRNLL EAFQWKLPDTYWRTRCESRLVFEMDDLIRENRPVNWKEFCLGLEELLLDKDWFCNSGM RIRLRTLTSLGGIKECFLDLVGQQA AOR_1_1142144 MTGILVQFKRCLAWKPSWLSLFSFTTKKHIPTLCFAILFAALAS ASSPVFATLLGEAFNSLALFGSDQISAHELIQKTKTSCIKLACLGVYSWFCNSIYFIL FIIFGELQVANARGTLFDGLLQKEQEWFETQQDGTRTFLSCLQAQIYELQKSTSQPLG LLLQYSFRAIGSLTLAFCTSWNLSLVTLAGIPVFSAMASFISSKMKLNIEAQQAELAS ASKVVNSTTTSIDTVKCLNGEAFELHNFSNRIDGAASQFLKQARLNSIQIALIRLMTY GMFVQGFWYGSSLATSGRLSPGDVLRTFWACLTAAQSIEFIMTQVIVLDKGAIAASAL KKTLNRQTKGGGPKEMEGAVYPHHCDGDIEVSDVSFSYSSQPERLSLNSASFFFPAGE TTFVIGKSGSGKSTLGQLLTRIYSPTSGEILVDGYPIQTLSKSWIRNNFTLVEQRSVL FNESIFMNIAFGRHDYDQIRKEDVQECIDLAMLQSVIDRMPNGIDTCVGYGGSFLSGG QKQRIVIARARLRDTPVLIMDEPTSALDGANRHEVIRAIREWRKGKTTIIITHDMSHI MDKDFVYVLDQGSVVQSGYRYELEKVLGNEDFFPSNEEDYQLDNFGQRVLLLLGVLFT LCHSSTTPIFAYFLSKLQVTFFNKRSALKWALAVLGVSISDGMVSFFMHYFLSLCSQA WVDCLRKRAFRRVLAQPKKWFEEENSPSQLTACLARDGEEMREILSRFGGYALVATSI AVIATVWSLAVCWKLTLVALSVGPVVYAITRGFERISGLWDRRCNEARGAASEVFVET FSEIRTVRTLTLEPFFRGKHTKALLKCLTTGLRKAGYTGFLFGLVESVIVFVSALIIY YGGLLVSVLEYTVEDIMTVLSMLLFSIGYAIVVLSWIPQISVSRERGSRLLQLANLAG ASHERLGYLRVATPTPVKITRLNFQYPSRPDTPVLKDVSFTIPENSCTAIVGLSGSGK STIAALLLALEETPASDSVPAISLGGVDIRDLHTPTLRSVVAIVSQQPTIFPGTIESN ISYGLEGPLRDPRNVRDAAKAAGIDEFVSSLPQGYSTVIGDGGVGLSGGQAQRVVIAR ALVRRPRILILDEATSALDPASAEIIRHTAQKLVASQVGLTVVIITHANEMMAIADNV VVLEQGRVVDSGPYKTLAKRPHLQALINDQHRT AOR_1_1144144 MSQKFDPETAENFEDMEKQFAVKAVEHLMTYWSILEKVPGSKLR LTKMDDQILESFKKEFPDFDPAATLNEDDMKSKAGKEKWRNWMKQYEKTIDDFNFGTM LRSNPKFEYDQDTTIFAVRMQFYAVEIARNRAGLNDWIYERAQKGKSSS AOR_1_2000144 MASETPGPKSKFEVALFLAASKGYESIVQLLLGTPGVALDCKDE DGRSALSWAAEEGHEKVVQLLMASGNVDVNARDTKLGQTPLCWAVKNGHEGVVSQLLA RSEVDPNIPDLNGNTPLYWAAEKGKPTLMALLLKRNADPGMKDANGRTPLLWAADKGH VQVVMLLIDSGRINVDDADAAGRTPLWWAARNGHLPVVQLLVRQGANLEAHPPVDSET QGLHGTPLYQAVRQGHTEVVRYLVRKGADKNTPSGELGLPLVLTLVMYDRSKRSMMKM LQLLLKKGADVNVKDNSGQTSLHISARNGDVELATLLLQMGADVNELDKEGKTPLHHA VRYEHDSTAELLLSSGAEPDAQDDLVDINVTNYSGETPLHKAAERGHRKMVDFLVQNG ADIDLQDDYGRTALHRAVSSKGHALRLLVNRNADVFARDMFGQTALHMAAKAGLRNDV YFLLGHGASADDKDDSGQTARDLAAKAGETDVVKLLSSMTVSDSD AOR_1_1148144 MDFQTLRGAEKLQQVTQLLSSLEKDLNEKKLSNTVKVQLLLQLR QHGTNPADAGPIYSKRGMEILAKYGVDGESVDIRRAALRCVANALLLDPKMRQLFADT GHGGKLAEKLKCDSSEDEMVISRILFLSTYDTTMDFDNLVNKHGLGDNVNYQIVRHAK QFPKSLKKPLPQIDELALIDTLKLIFNVSKIYPDLSATFAPSIPHIFKMISRIEIPAK PLDGLLSYLLNCLSTLDLENKKGKPFDSNPLFPTFNQNCNVDKLINILDHATSLYSPE ELETKAIPLLHSLITIHELAPDGPRKYMQWLLLPEDNDRNQPIGQSDTLSSKLLKLST APYPNLKTAISELMFVLSGKNAENLTKNIGYGFAAGLLATRGMEIPKTAGEAFAAERF DPEINPITGQRWAAEKQDTGPPMSQEEKEREAERLFVLFERARANGILKVENPVAQAV REGRLEELPDSDSD AOR_1_1150144 MVQALGPLSARPPTPPRTSRTELNHTQDTPITVKTSLDSPLPAK ENGSLASRKSKRVNFSPWPKSHPNKSDLKALPPSNECKPSKSILKATSSPAPVNSPHV TSYTPESFAMLLESITQQLAGESVSSRLDAYMQFFGALRAYDGLPGGQEIADKLGLIT QFIQRDVTRDLGTGGPSDTNLVTQALKLATALVWHTEICAQLPDDFKIFLVDHSINGL QDAKLPKSVATHYLSILSTQTFHAKIMNNARLNRLMSVLHDITNRVNGNAITLQRLAI YQRILNQNKSLFISQTALWMNHLISGLLHHIKDVRIKAISLSYQTSLAFGPNPILSKN IRDNLDRPIGQDRKLVQEVSERMSRMMSSADTGVHVPQIWIAVILLLRNRRLTVDHWE HLKEFTTPLQKCFNCSDGQTRAQSIIAWNRFVCVIGPNDATNPAVLKILIRAILSQLE RRGQSKLASQPNQMVLCSYYNLLYYAFRPSASYHHLDIVWEEYLAVPSSTTFSMVPGL SDKLAQVLSNMLWSSQAKVWLENKANESNRLLPEELPSLDCRWVRSRITAVLKVFENI FRSSTWSDDIEQSSIAAAWVSLSRALSYASSKEITPSPESMQAVAHMLGLLQRLWKAG PSSLNAIEDHALDKFFDRFRFLSTTMIVSLGSIPFTEKLLLKTADEKFQAANTPTHRP LRVNTSLDSPILHLLRLVSDVSGVREPTTSYLRLINDTLSAACKDRTARSSRLELLRQ CADLYPCETEFSFRTHNFAQVGWKSTARLAADSVCSYPIESARERDGSVLRDYDNVIK ILSTGLKFSDIIQEWDQLVDSLIRVVRTEKGDDAIATMVVEPLSECMLALNVRDTCLP AASLLNHSLSITYCLHNVRNTGGPVSGPNQRASGSSIFPAKLVELVNRILRESYGGFD PTVTNGIADFLESFTSLLSSGVPEFRSAILETTQQPLALWLKDDVRKINVESGVESRI ITARFEPVICSGLESSHMSIAKRFLDFWNPSFGQQKSHPYPESISRALQQLESQIKLQ NSGQAQGQRQAELETTSLESQSSNSNRIDMSEKSRIAFILDHPVEPSYPVGFNSSPVT RIIEPRVAEQPSEARPRRSAEPNGSDQIGVEDASVSFLPTSEEPNKRTDVFSMIENLR SSSPPTNTPKEYGFMTPPQLRGLRGPDRGSGTPQTPTLPPVIADNEDGFLGSSPTPGI RGRTQSVGSQIPSSLSTPAMDSHFDSDLPSSPPELKSQGANARNKQISLSTTAVENRV SKKKKAKKSKRSASKDKKRSDSQHTQSEEAREGPSQAGTPLSSRLRSSTGKTSKADAQ STTEPQPNMPPMGESNLAANASNSNHGSPDKSMFSKSTPKDVAVSGETTDGLDPASDW IADSFSDDMETQIASQLEQDLEFAVDSDKPDQEQEAGLPFEPPSEPPMTRKRKRDEEI ASTPSSKERRRSTRHSAKEIDNADLEEPRSTRSKKSTSSSNAQQVASSPAGSAPKKQK LHAKGAADDAPASLPDLQLGNPGTTKVSEATDSQKRRSSRLSGHSPLAVPREDATPRK SPRKGRWRKRNAKRKGNASREPSPRPEAHAEEIATAASHDNHEEETQEGSFEHHDIQH PEEPAPAAEKTATAPTSEKEPPTNQEPKNDINIGNADVDSVFRTGKQALSRTTQMDAH PDNVSGATGIITSFRNLLDDIKSATLDRDAIRQIDDLMFEIRVETGEALRRHTG AOR_1_1152144 MDRSNKPSAIGVGASVPQPTISLRDNTVEATLPSGESVTIHLYG ATVTSWKLANGKEQLFVSEKAHLDGSKPIRGGIPVVFPVFGPPPQNHATSSLPQHGFA RNSTWEFLGKSSSESLGKDRSGDGSVKLDFGLSRPMLTEYFQKAWPYDFGLVYSVTLT KESLETSLQVQNKGSQNFDFQVLMHTYLKIDDISDIRVKNLESKTYADKTQNAAVITE TSPAVEINKETDRVYQSLDPKVPIIVSSASDDKPIFSITREGLNDVVVWNPWIEKAKG MADFGPDEAYKNMICVEAGSVAGWQTLEAGDFWEGGQSIRPRL AOR_1_1154144 MDTARLESALGHKQELVRNFDLVSLTSLGIIIANSWATTGGTIV AALQNGGPMAVLYGLILVSVFYTLISASLSELASSMPAAGGVYYWSSVLSQKHGRIVG FFTGYLNACAWLLSASSISSMMGNEIVAMHLLRSPGMKWQPWQVFIVFQLVNWICCGI VCLGNRFIPLINRIALLLSMCGLIVTVIILAVMPTKHASSPEVWTNFHNTGRWPDGIS FMTGLLNAAFAVGVPDCISHLSEEVPNPEIKVPQGIMLQMLTAFTTAFIYLIALFYSI QDLDAVFNSEIAVFPTAEIYKQATGSRAGAIGLIAVLFLATFPTLIGTLVTGGRLMAM TAVWWFFRGRRDYRGPQYSKDAALRLLSESDRPVEGKT AOR_1_1156144 MLLSLPLELIFVIFDHLETYQQRLNLARLCRRFYKLLSPRLLSS IELPRCSPEYLIPLTLTFNKYRYLAKNVRCFTVGKPEPTRWVGCLVRKTLEDMIGEIS HSESERAHWISQLEGNQNGEYWMALLLHLLPNLEELECFWVGNKMHCVDLMLKAIVAG KMPFVDGRPGFTGLRQVHIKLIQSGGRGNTSRKLRPFYQLPSMKVLKAQMVIGGFVPA DQLPEKSSPIEHLEIVQSCMPNGCHDLIAPCKNLKSFKYSHHDSSRLDVEAFSQSLGA RKDTLEELSVDRFWGVSSGTIDSKSFGSLSDYAALKRLRLSMDILVGDRLRTPLVDIL PPCLESLYIADMGRDEGKHEVLVAGLCSLVKASHVQASTPIYHLKKEVNA AOR_1_1158144 MGNVSPTPRQLLTEGLILWSIGVVLFIGRMISRSIAAGSVKRLS FDDYVMTATFMIYTSLLILIQVSSRHGTNLFPTEETQQILSDPQQVRDRIYGSKIVIG LEQCMLFSTWGVKICMLSLFWRLTANIRFLHLYVKAIAVYVAVGFVVIMVTYFGVYCR PFEQYWQLPVENIQCATYQHYSITQAVFNISSDAAMLVVPVPLLMKTQLKARRKFILV CIMSLGVFTIFAAILNKVYNFLSPLTTMYQIWYIREASTAIYVANLICLWPLLRKLFG LKAFQSNSKHYRHHGAHLIKESPGASQSPGTTVSSQSRPSFSIPRLHLSRLGSGRTVQ NVVHKGSDEMHPSPEATNKMSLTRLDTKEATHQEKKGLGEPGPTTLETHASYDLEAGD IGHIDYHSSLKFG AOR_1_1160144 MGDHSDKHSPAAPLGYLAPVCAGLLVISVWYRHFRRDRRNAGFL DLESVPFRRGSTVISSGEVDKQFPLMKYSDWWAGRSRKESIAKETIGSLSSASEDPKN GLEDKEIAITVPEGHVQKTNSAENAPDTQIDKAQERVRGSGNACEHDTDDAASETGCL CAICMDSFEGETYIRPLTCGHIFHSSCVDPWLTKRRASCPLCNKSFGDHEASNREETV AHIFPVLAVPRAAMLRSDVFPRTI AOR_1_1162144 MVKLCLEDPPIFLKVVIIAISKGIVKVNVAAEWRTDWHRGLGGM GHVVPLAVCECRTRMRSFNRGLCAIGDLTAALPTPPAPRRRSRWSRDTSAASTEPQQQ GVEEGMAVLTLGVL AOR_1_1164144 MYIPSQYGRLVARQSGPPGADNNHDSRSETSRRNNIFIIVAASI VFTIAVILMTYFTLRTLRRMNCRPKYIPGKSLKDRWNRWQAGASYGQVPNDGASSNRA EAGANTGSAERGSEMNTNSAVRRETSVRSVMTLPAYSSSPKPSEQVIAREGERGGMDV VVEFPETAEEEESRREELMESLYQIRQQRRQEIAEREARREERREARARGDYIRLEQL RQESRARAQGRPSANGSNSNFSSAVALAEARSRGRERRISSVSYAALGYVRHDGTRMR NASPDEPDTDSRPLLSNVDTMSTETANRSSTSSLTNVHSRGESYSSAHTADTGVSEQD SLTPVQSHAASTHSMIPSSGEGDLGALHIPPPDYEQLDWGEAPPYESPTAERGSHPPQ LRELTPLPTIQIELASPVNNTPTTPTNPHREEHSSTENQSTHRDS AOR_1_1166144 MSTRTTLGYETTLAAQDAQTYARYRAVGWKRFAADDYLLFYTAQ TALAYSVSSVAHGLANSGMTDAQRATLSTESPEYYQRVVGSKIQVAGWATYMALIGSL KLSMMAFYVRLTNGLGHRYRVPVWIGFALVIGSFLASFIVILAACRPFHKYWQIYPDP GNICQPAISEAIVWVTFIANIITDPYLIFIPIPMLWKSSLKLIKKIATTIVLGAGVFV LVCATLKSVFLITEPLNGAEIANSWGTRETFVAVVTTNLPMIFHLFRSWLTVLFGSAF QSTEKTSYKSPYIGGSDFTSRDYRSRRGPPSVNPITNMTFSESKERIVEDVKMQNMNI YSEPVADGAIFKGIMVSNQIEVTHETRSSHSRYPKC AOR_1_1168144 MYLLKMEAFTAVAVWAMTSACRSVARDWANGLCPRDDADLQELG AKLSSTAKVYFPGSSEFEDASARWSTLAEPNVTVVVVPGTESDVVETVKFANKKDLPF LAYNGAHGALTTLGQMTHGIEISLSQLSSVEVADDGKTAKFGGGTISKTVTDELWKVD KQAVTGTCECVSLLGPALGGGHGWLQGHHGLASDQFISMNVVLANGTLITVDEKSDLW WAMKGAGHNFGIVTSLTTKIFDIEHRDWAIETITFSGDKVEAVYQAANDYLLKNGTQP EGVINWSYWMNDATADPNNPVIVFYIIQEGVTTVDSKYTKPFHDIGPLSVVPDSGTYK DLATWTGIANSSIPCQKAGYSNPRFPIYLESYNPQAQKKIWDIFAPAIRGNSVFNNSM FQFEGYSTQGVYNTDSRSSAFAFRGEHLLVAPLINYLPGGADRDSQARALGTQLRDIL HEASGRKDMRAYVNYAYGDETPEQLYGSEQWRQNRLRSLKQKYDPEGKFSFYAPIP AOR_1_1170144 MSREHTANSFASFGSDFDLEHEAFASTKEVGHSPKLPDMKDSFG KSRHESDHEEEPDYAINSSMLERYFPEFSQAGSSEEDDLPEDDEFSVEVGRGPAKPAR RLDDSRNSYMSIENSVRSSSPAVRLDYPTFTPQKPATRNTSRRVASENLRKDAQLRRA SLAQKENVDPQTSKSKASSQRRTLSDMHAKVRDSYDGSFLGDERPPAATNNARTTRFG SHQIADAVERASQEAYAREIRKGKQPANSRLAYMSTAGDTATQQSFLLPDLPNLSELV SGVYEDGTPVFTRQNRARTTRFVSPPHDATDVSLTRDHVPLDAVPIPEDEKALFVSLR LLQDKVSELERAKAEAERKIEEVKSENATLKAGKPRAKDKHGRTRYDTDEDDQRKDRL TNENRKLDATNLALQNKLDVVERKVEIQETALKRLNRERDMAVSQLGVAYLESQDLKN EIEDLRHENTELKSQLMKLAPFIAKKRDETQQSEQTSASEASTEASQDNTHSRNVSRG TKELTSKSTRSKTGRREDSKARVSTQVDNEISRLEKERADEALFTIDVPRSKEPSSST SRSGQRSQTKKSNTGKQRVKRVVVEEVDVTEPVDSTVEATGNTRKSSGAEQDLTLLSF IDEREIAQLRKTLEEERLARKRRQSNTSRDHTSNETDNSTRRSVSKSAAPRKSSLKEP KEIPARPASAMGDVTATSKASEGDSNLSVPIERPRRHSDHSATPRRKRQVSEDMTSAF ILPDITLHRADLVAENPARLPPSAQRALDSATQHNGKNCTVCKRSIPGDSCDHTRESV KIPKPIPVSERMPEPSIYNEEPTMRPAQPPAVALATVLKALEDELSHLKMQLVTYQGA YNKLDASLSKRQRKSLSTKIEKLLKDIDMKADQIYALYDVLEGQKSKGQEMTEQEMEV TLQSIGIDVGAARADVTATTDKSSQKNAETDFDIDDDEDLPWEGIESTMDVTGGSNRQ AOR_1_1172144 MGPSRLRVIPPRPIDDVDTGITTEDTDAQHSQHRKTRSPPEGDN HSASAHSYHSTLDEYDDTESVDTVIHDGDSTHDRSLTGASISRRRRGVSDDSEDEDDS DDDPAESSSEKPVIWRSLPKKGQLAILTFARLSEPLAQTSLQAYLFYQLRSFDPSLPD STISAQAGILQGSFTAAQFVTAIWWGRLADTEWMGRKKVLIIGLLGTCISSLGFGFSR TFASAVAFRTLGGFLNSNVGVMRTMIAEIIHEKKFQSRAFLLLPMCFNIGVIIGPILG GILADPVKNFPQLFGPGSLLGGKDGVGWMLHWPYALPNLLSAVFIFISLLAVILGLEE THEVTRHRSDWGRKLGKRLANTFSRRRVPQYYRRLISHEDDESLYIDGSVASRSAPPS PARSRVRPRGDRPSFRQIWTPNVLLTLLVHFLLAFHTSACNSMAFVFLPAPRAPKGSR DGFFHFGGGLGLPSSRVGLATAIIGLIGLPLQIFIYPRVQARLGTLTSFRTFLPFSPL AYALMPFIVLIPRYPWLVWPAFTVVVGLQVVSRTFAQPAAIILVNNSVTDPRVLGTVH GVAQSIASGARTLGPMIGGWGLGLGLKYNMVGGIWWALAVEAIVGWFLLWSIYEDKGI EQRKDQTEEEDT AOR_1_1174144 MSDSIPSAHPDPDAVDQHRPANAEDRKAAAALSSLNTNEIGADS GAKPPSSADQEALGKAMSRLEIVAGQDAGKKTTEQQKEAEVVRKKAVKVTPEDISLLV DQLDLTKVKATELLKSNNGDAKQAIKAFITPIGA AOR_1_1176144 MDPWTPSSWASKPIKQDVPYSDTKGVQAALDKLQKLPPLVTTQE ITNLKKNLENVALGKAFVLQGGDCAELFDYCNQDMIEAKVKLLLQMSLVLIWGANKPV VRIARIAGQFAKPRSSPMEVVNGVEMPSFRGDNINGFEATLESRTPDPSRLVSAYFHS AATLNYMRASLTSGLADLHSPLDWGLGHVITPTIKEKYERIVNRVKDALRFMQTVGID TDRGVETVDIYTSHEGLLLEYEQSLTRLLKNPATETTHPAQAPGATTAPAPPQSYFAT SAHFLWIGDRTRQLDGAHIEFFRGIANPIGIKIGPSMTPDELVRILDVVNPTREIGKV TLISRYGAQKIAQHLPGHIAAVQASGHLPVWQCDPMHGNTQSTPSGVKTRHFTDILSE LRQALEIHKAAGSFLGGMHLELTGEAVTECVGGAGGLTEENLSERYTTFCDPRLNEKQ ALELAFLVAGFYREMDEETNSI AOR_1_1178144 MPPRYPQLPQNLTTTTLTQALTAYLLPSTQTQPNLLNDLSTCLP TYPPKTTVQFYLTHRLTSLALNCLLYPFERYTSFLEDRDFDLSLLSDVRDIFGLGRYG DKDGKRLRGFYPVCWTRGWVNDKCDGGYLVEVLLAGIRGGGVDCFLREGVGWRVRVLG RVAVHDCESEGDKKEGSKDVDEGEMETDCQVEDQSGEAVKEGDKGGEEGEGGRKKEKK KVHWVSIEMNIGDFEHLMSQALLNLLNNVAQDAVGSELDRDVRGLIDSAMEWFVGFD AOR_1_1180144 MARAAVIPAAASPPRATRTAKRTTTTTSRAGAKPAAKTTKAKAP TSATEGRKRTARTNLSSMSKSADDVTDEDTDDELGVMNTTEKSSTTKARGRPAGSTTA TTGRGRKPATTTSTKSGTVNENEDGETHADGQKKRAGRPRTKPATETDSATAAPKQRG RPKGSTNAKSTTAAADTQKKARTLATENTSSQGPKELTITTNSTLMRSNLLRGPAKKK TVTFKDVSDSDDFSEPSPPPPAGRRRPAERPAGLAAKPSRKGTPGRGRKPAATKKGAS KPLSPKKATQVAKGISSYASSDGEDDELSTTKDQIKLHVDSPNKHGSEQTGLSSPVKR INFTSSQPPKAVDENGQPTLQPPKSVDFSDAAFLSSPARRPPPSPFSYSVRETPRRGL ALSENPKSIAQPNFTPTDNSPLKSSPRKANLETPRRGNLGFDDLKPLSQPNFTPGQNS PLKSSPKKGLFGASFLSQPSLQESSTPLKRSFLQSPAKKVASPFKSSLLFSRSLMTEH VESDSNRPNELEIPSPKVAESSYQRYDSEETLEMPDDELVTEALAGRHDAETPEPEAE PEFIEESNDVSYTPQSQMEDDSHGQNLEHTVEAHVDAVEDFGMNRGETASEHEGDMEH INDGLEEPLDAVDMDRESTAESDGADMGLYELFEGQNEIVENILMEDDFEQDDFEERL EAYVPEIPDEYTICLEVAEQDDYEPTKYLESLVDTEIYDEHATAEDRISVAPDVEEDI TTLENQQGDDYEEENYKSEDDSQNDELQEPVEFASRESMLEGLEDVFTEDPSGASEMP RNEETDDRAETPEVAGDQSVGPNLEYDEEEVDMLDDYEFDEEEATLVAFDTPGRTLRH TPRRTPRGTPRRTSRRVSERTPRLASAQSSRQTPVEVPRHTPEQTPEQIPEQVPEQTP QQPYEQMPRETPEPTPQPRAITPEVYAPYEIEEPPTPQLPHYFYFVPQYFASFAPPRF ADEYRDTAVETVNFDVADNTTTTDLPVPDAQPSPQPQNHAPGPEKERHQRPRFTLLAE QLSELKASSPQKTEQRRSGRRGIFSLSGNLSGPSNVNTVQEDVSYPDLSAKSQSPVLD ELQPNEELAATEDEYDIVSPEMGRCAFEDLEKSPGLPMFEIFSDEDIVENKQPDAHED TQVSIVYESPLRPVASIQEALDDEKENYEVQLPAPATPVRGKTNPLQTFHTVSKVPLK PEGEVSPLKVSRKRGRSLSITSPTRSSPRLRKSILALQEDTDSLPPRKAPRLSYSTMP QLQPSRSRSSSRARETTQEAERKRAPSRSPSPAKSSRRRSSIHQPPPTGALQGAVVYV DVHTTEGEDASGIFVELLQQMGARCVKNWSWNPRSSVSPDEQTETREGKVGITHVVYK DGGVRTMEKVRQAVGLVKCVGVGWVLDCERENKWLDEAHYAVDSSIIPRGGAKRRKSM EPRALSNVNGTLVKADAGSRRSGVGAADFARSTTSTTRDAPSTPKRSKKSEAGYPGID PRYFQTPKTPAFTFNMDSVGMSPATPFFLSQRSKLVQQTCPPKQLRQGLFSNSGPSEE QSQKLRVKLEAARRKSLAFKPKIGSPLVQ AOR_1_1182144 MKPTTAAIALAGLLSGVTAAPGPHGERIERIDRTVLERALPNAP DGYVPSNVSCPANRPTVRSASSGLSSNETSWLKTRREKTQSAMKDFFNHVTIKDFDAV QYLDNHSSNTSNLPNIGIAVSGGGYRALMNGAGAIKAFDSRTENSTATGQLGGLLQSA TYLAGLSGGGWLVGSIYINNFTTISALQTHEDGAVWQFQNSIFEGPDGDSIQILDSAT YYKHVYDAVQDKKDAGYETSITDYWGRALSYQLINATDGGPSYTWSSIALTDTFKQAD MPMPLLVADGRYPDELVVSSNATVYEFNPWEFGTFDPTVYGFVPLEYVGSKFDGGSIP DNETCVRGFDNAGFVMGTSSSLFNQFFLQVNSTSLPDFLKTAFSDILAKIGEEDEDIA VYAPNPFYNWAPESSPAAHQQELDMVDGGEDLQNIPLHPLIQPERHVDVIFAVDSSAD TTYSWPNGTALVATYERSLNSTGIANGTSFPAIPDQNTFVNNGLNTRPTFFGCNSTNT TGPTPLVVYLPNYPYVSYSNWSTFQPSYEISERDDTIRNGYDVVTMGNSTRDGNWTTC VGCAILSRSFERTNTQVPDACTQCFQKYCWDGTTNSTNPADYEPVTLLEDSAGSALSP AVITTIVATSAALFTLL AOR_1_1184144 MDKPPELKSEWETALRGAGLEGKTLQSLDLLSASKINIEQFLLL KKHYDACFFNPDHVGLGGPIKQANDILANYKDFANYRSVLTPRRTTASNHTIPDLGTF SLVEDFQADVRGLQKPVIQSSNVQFSPSPLSGRTRAKVKAKSIQQREGPGQGAPETPS KPPKGLFHGRGMTMMMEEGEDLDLDIGGLNLAEAPTRPMKISPYPPAPKDASILLERT DDEETVGFPS AOR_1_1186144 MSPQPNPNFLPSKAPSGAKYHKIDFTTSNPPLPEFKNRFAAVID NILTEEECNELIRLAEASTVTPQSPTPVWERAMINVGNGKQKLATDTRNCGRIIWDTP ELADKLLNRLMPFLREFEIDRLENRPLVTGLAGRNKTYRLTRLNERLRFLRYEGGEYF RPHWDASYTTPDRKEKSFFTVHLYLNGDGEQDLKELRREQARVERGEGDVNLGVGGKL LGGATSFLPRFEEKERHLRVFPKAGSVLVFQHNDLLHAGDSVFRGTKLTMRTDILYQE L AOR_1_1188144 MSVSIETHDIAPAAPTTQAAPCLGFKNRMPEFSLAGKVVCVSGA ARGLGLTQAEALLEAGAKVYALDRLEEPSPEFFEIQKRAKEELGTELQYRRIDVRDTE LLDSTIEAIADSEGRLDGLIAAAGIQQETPALEYTAQDANTMFEVNVTGVFMTSKAVA KQMIRFGNGGSIALIASMSGTIANRGLICPAYNASKAAVLQLARNLAMEWGPYNIRVN TISPGYIVTAMVEKLFVEFPERREEWPKHNMLGRLSTPNEYRGAAVFLLSDASSFMTG SDLRMDGGHAAW AOR_1_1190144 MGSSSSKPVRSAAQAVSRRQYPKQPSTLPSTPSKPPSPGPASAP RPPKEPETKTRAPTGPTYHSKEQPSLTKSNAIDLDGRDPDFAASLRGIGPVSPAPTLS NSSTFASGAQRGDSVQTVFPRAANPALLVVTARQKIAKAAEREVELTGRQGFTGREYL DALTIRQALSMRDRQGMPSGEIERLLRLKKGVVDRLGEKGVVSEVG AOR_1_1192144 MQLWNTVLQLSLLAFTAAPTAAASAWGFTDATVSVQTKGAGVGS GLKENIPDNKALTKPVSLGSADTLKVTLTAREGSSGKRAHQVFLLLQDPETGLDISYP FNVKENGKSRVELTQKDLPVQFLSLAEPLDAKLLIGSFGSAEAYNGAAFKLAVTRNPD QPVPTVEVSRYGKLPEIHHIFKEDARSPPIVITLAFVAMVLGTLPVLAGVWLFLGANV CHLPKALKASPVSHGVFLGSLLSIEGIFFLYYRSWTLFQILPAVAVAGTVAFISGSRA LGEVQGRRLDGLR AOR_1_1194144 MLTTTKVAGRSSVTRGEASPAPSLLDSASRNSRRSSSRASRKAT RELELNLSHPSRLAVSLPPTPITSSFEEALSSTQRAKRHRSVNYYEKTPKSKGAETPD QKNASFSTQPSGSASRKSSRSRKSENADKDVVKARDSTGDQAVTPASTSNPKRKSQTE QSTLHTYLQKKPQERRKTLTTQAETSDKNNPKSDSKHDLNSPAMGAAVSSSRKTRKSI PAKLNGIESTEKATPKSKLATRLSTPVSRKDRKSKSLVEAEQVPKSTPRVKPESTPAV NSMSKADKSQAYITADPVTPAERTPVSTPNATVTRSRRRDRKSARKSMAGRSQLANES SQTDTATNTDAEDRKPVIQDSDKPASQDSDKGSSEPQQATKRPSNTVTLSLGRKSLES FVQKTLESASYGNEVADSVEGTPVRVYDDSYHFDYDTDMYRNNFGLDGQMDTPASPTS FSTTTSTGARMSGRTRKPTIRALESLESERRFRRPRAQTPGKAESSATGKQSDKAPNG QKDAEQPKTAPAETTQVPSATPQPDVDAFARRIFELAAAAVSDDFVPAPEADTWLEQL RKEYQGKGDTEVAAVAAVVEGESRPPSDDQPTTEQWTDEDGWTHTGQINQFGEEYVVV GPDFEWYRPNNTYGDKQLPEPPVRLRSLEQSEKDRIFGFPPRIGERNLPRATNFPFMM EDVYHERAKIKAREEARQKGITVDRSMSVPQIEALIDRHSKSGSSQSSETPAPAPASA KSSKPDRPAGSRKRRRTEPAIPSEAPSTNDSTTESTHKPKRRRKNTVGASAPTPTETE PSSEKPKTLKLKLIFSKRELPATPSASNTTNTSAKPKKRPHSEIETDGDNAASNGPSK SPKTASSNPTTTPRRLLKLSTPKQGQKEKSNAAPTSTPEVPPSADQNSLTTPGGRPRR RAAAALMAEFQNHKEERARRANARKKTNPDNPDEPNSSQASGSSAKQH AOR_1_1196144 MSNQQPRVIINPQSLQRPPHPPRRGPQSVRDIRQTKEYKIAARR WLSTIVALPILMYTSYVLYERTYLNKSQKHLARPSGSGTEERKDGSA AOR_1_1198144 MAVLSVLLPITFLLSSVTGTPVTSPRQQSCNTVDEGYQCFSGVS HLWGQYSPYFSVDDESSLSEDVPDHCQVTFAQVLSRHGARYPTKSKSEKYAKLIKAVQ HNATSFSGKYAFLKSYNYSLGADDLTPFGENQLVDSGIKFYQRYEELAKNVVPFIRAS GSDRVIASGEKFIEGFQKAKLGDSKSKRGQPAPIVNVVITETEGFNNTLDHSLCTAFE NSTTGDDAEDKFTAVFTPSIVERLEKDLPGTTLSSKEVVYLMDMCSFDTIALTRDGSR LSPFCALFTQEEWAQYDYLQSVSKYYGYGGGNPLGPAQGIGFANELIARLTKSPVKDH TTTNTTLDSNPATFPLNATLYADFSHDNTMTSVFFALGLYNTTEPLSQTSVQSTEETN GYSSARTVPFGARAYVEMMQCTDEKEPLVRVLVNDRVIPLQGCDADEYGRCKRDDFVE GLSFVTSGGNWGECFA AOR_1_1200144 MSPISPVTGPSSEDALRTEKHCVLEKKRPFVDEDSSLSGREPGR PRPVSWHPSTPVEPPLNSTQLRPIGVDSILNPPAKAPSVSASAETGREGLGDQFSTSP SHQHLLPATVHLPSPSTHAKRLSTSPGMRSRQIITPVSPSARFVSSGVGYPRKASASQ SPLVQETRPGTYTVAPGSPLPVDSVTGQPMSVSGYQQPVPVSVHSTPTFHSRRTSANP TPNPSPQETSPTTPISTFSQFGRSSPAATPASGPQPAPSYMHSTPHTKMEPVSRLPSV VAGHKHVSEEPVVLPGSQPENPPYPGMIPCILDLKSGSSSQAEKRKANSDASRRFRNR KRNELQMEQKITAQQDEIRKQTEALQRQAQEIRALMQERDFYRSERDFYREHVSRLAP SASCGSKRSATARIGDQINW AOR_1_1202144 MSAFQKWTVTEPYIDIAGTLLEGPFYDEPRNEFRFVDIWEQKFY VVDLAKGPDSLKTIDTSASIGVTANIKDSGDAYNGQIIVAAKHGYALLDRATGQLSYI SKVWDDQKDPERARIMRFNDGAVDSHGRFWAGSMNDPKVQSPVNEAVLFRLDPDMKVR RMVEGLTIPNGIGWNHADDTMYLTDSPTGKIYAYDFDAQTGEISNRRVHFDLGEPKEP DGFAIDAEGCIWSAIYGGGKVIRISPEGKVIGEVSLPTRNITCPIFVGTELLITTAKD DTDDEQFPNSIRYGGRVYRVDVGIRGKPKNEFRFQN AOR_1_1204144 MPLCPSPLLTRLCLRGPPLFKLPLSSSLPRRLFYSSPAIMSDVT VQLTAPNGRKYAQPIGLFINNEFVPSKSGEKFATINPADEKEIASVYAAGEEDIDIAV KAARKAFKDPSWKLLPPTDRGALMLKLADLIEQHREILATIETWDNGKPYSVSLSSDL GEVINTLRYYAGWADKVHGQTISTTPDKLAYTLRQPIGVVGQIIPWNFPLAMAAWKLG PALACGNTIVMKPAEQTPLSILYLATLIKEAGFPPGVINIVNGLGRVAGSALVTHPDV DKVAFTGSTLTGREVMKLAAGTLKNITLETGGKSPLVVFGDADIEQAAKWAHIGIMYN QGQVCTATSRILVHESIYDKFIELFKQEVASVSKVGDPFADDTFQGPQVTKAQYDRVL SYIEAGKSEGATLVAGGEPYKNVGDGKGFFIAPTIFTNVKDNMRIYREEVFGPFVVIS SFAAEDEAVTRANDTTYGLGAAIFTKDIERAHRVASEIEAGMVWINSSNDSDFRVPFG GIKQSGIGRELGEAGLEAYSQVKAIHVNLGSKL AOR_1_1206144 MPVKKRKSESVPAAEENNSPKRAAVPDPATGEKRKRGRPRKYPE GSGPKPSPGPKRGRGRPRKDPSAIGRPRKYPAQNGADTPTDRSTQPKSESADAKAEDE ADEDDSGRSYWLMKAEPESRLEKGVDVKFSIDDLASRSKPEPWDGVRNPAARNHIREM KKGDYAFFYHSNCKVPGVAGFMEIVQEHTPDESAFDPAHPYYDEKSSREDPKWDVVHV EFRRKFQNFVSLNDLKAHAKAGDPLENLQVLKQSRLSVSRVTKKEWDFILGLAKEKES SSSEGESAEETSE AOR_1_2006144 MVGGVISDIYHAEHRNVPMSCFSGAVLFGTGLGPLIPGFIDYRA NWRWIHYSQAIASAVLMLVLFVFLNETRGSVLLSRKAKALNKYYDTLESAGYVGVVFC SDDDFMEKQQVRRIRWKVKSDEERESITKMITISCFRPFHLLLTEPVVFFFSLWVSFS WAVLYLQFIAIPIVFSTNYHFNVEQTGAVFAAVSIGALLATPLSIYQEKFAMRIGKMS STPEGRLYFTCAESILLPIGLFWFGWTSFSSVPWIVPTIAVGCSTIGIFSIYLAAFNY LADTYHRYASSAIAAQSFCRNVMGAVFPLVTNAMFNNLGYPAASSLLGGIGVLLTIVP WVLVFYGPQIRARSKFASEIMNQH AOR_1_1208144 MAQERAAPIRLGSTAPNFDADTSNGPISFHDYIGNSWAILFSHP DDFTPICTTELGAFAKLEPEFTARGVKLIGLSANGTDSHKLWIKDIDEVTGSKLTFPI IADPERKVAYAYDMVDYQDTTNVDSKGLALTIRSVFIIDPNKKIRLIMSYPASTGRNT AEVLRVVDALQTTDKHGVTTPINWLPGDDVVIPPPVSTEDAQKKFGEIRAVKPYLRFT NLNNKKE AOR_1_1210144 MMSRSQSSLGYLDSNREDLAGSGVSPGPQQPSSASGPINLSGDK LYVFGGRVLSKSRPQLTSDIYELDLIRRHWTKIDATGDIPRPRYFHSVCALGDNKLVC YGGMSPKDTTPNGSTGQEAQPEVVVMSDIHIFDVPSRTWTRVPATDSPQGRYAHCATI LPSSAHFTSATAPLSAIHHNPASSNPHQGSIGVDIDGFGGAEMVVVGGQDSSNHYIEQ VSVFNLRSLKWTNTSPLGRSCGAYRSVVAPLAGINVSDIGSASIDKEAHEPVEESQVE GCPMLIYSNYNFLDVKLELQLRLPDGRLIEKPMQNQASPPGLRFPNGGVINGHFVVSG TYLTSSKQEYALWALDLKTLTWGRIDAGGTVFGQGSWNRGVLWARRNTFVILGHRKRS LVEDYNHRRLNFSHVCMVELEAFGLYNNPCRTAPTSGYISHSSPAVPASLHPKLAKLT SGGRPFSSASDELGRLAQSLPEMTDMELQAVGGERIPVNSRILTRRWGPYFIQLLRES SDNGVSDSATLRPALQMYPNRNSSITITPSIGPNSTYSNATTLVSNHSNPSKSLLANL EIPSAHSLPPTSRPRVLYLPHTYLTLQVLVYYFYTSSLPPAGSSLCTPQILCSLLQLA RPYQVDGLLEATVERLHQVLDGRNAAAVFNAAAMAAGGGRGTGFTSGPGGTLEALNGV HGSTDSVAGTGTDTSHSHLTSDSSDTEHGTSGISVASSTSGAASGSRGIPLRINTNIF SRRQRNTTNLERDRDDSISNASTATSASTNTSFDHSDTDDATHSHRRRNTDAELRPNR EIWTGDLSSVIGLQKRGLRGLMEGRRLRERSAKPASTSGPVSIPPENPPSAGAG AOR_1_1212144 MDRPRRIDDLADELISHILSFLLGSEPSSNDTSPTNSHGSYVPN GSSAHGETSDLDRFRLVCARFKRIATPWKFPRFVLRFSRDGFRRLEDLLDMQLACHVR SFTYMVRPFYQGSDWSRVLKVVESQNLAVSQVQKSRREDQEYIIAGAHDMLLLRRAIS AFSSLQQIKLLRLQDGADEQLLDYIREQSSAETVYLDWESACTRAITSLAISLLESNC SAIRFVGPQISPESAVKLSQTPPLTLSTLGARLTSIDVTFHSPKDMTSSIKSVSSVFH DFFLSAKNLTSIKLGFRANMPLDLSLELIFHRLQWKRLRALSIQGWRLDSEEVVSLIR RHRRQLRDIALVGVYLRNGGRWRDILSVLHDEMDQVERIDLRDIDYADHLDLDSPDTN GYASTSSTSVLSVVPEPTLALPPYHASFNADYPFFSPCGSTRRSFSETTLERLRALTA DDLGDNGLSVRREQRLLWEAWVLSSPGNMLRGRQ AOR_1_1214144 MALDRISSASALLLLPPPPAASFEQCRNVYESLLSTVFTKLAEL NGTNHAAILDIALFLPGLQSHTFSVENNIELDAPGGINTRVILLDLDTVHPSGKDSSQ ASQIGPVLDLQTLATSARPWDRIYYPDNQVGQNLATAFSSIYSQFKDPNAGALHSIFG ASNWTYLESIVAPDDSRGSQTNHSVIVGGTFDHFHIGHKLLLTAMALVLDPVRDTNPG KEALLTIGVTGDELLVNKKYAECLESWDERCEGVASFLTAIMDFYPPDKNATRTERVT QPGPNGKYIVMKVRSGLTLKLVQISDPFGPTITEEDISAIVVSQETRSGGAAVNEKRA EKGWKRLDVFEIDVLHSKDVPSSDFEDFASKISSTDIRRQRMEQAKNESS AOR_1_1216144 MSNPSQSFEPNRRATTYGRPEELHIPSGGMGAANLQRQSMSHEF PATADNHVPSINVHPTNSQPNQYGAGGNNTALPGALQPGNANRPPAVSINTAPSGIPT LSQMSTQLQQQPQPQPQHQQPPPQQPQPPTTPRSNMSNAHGHSRSSPANARYGSSPGA GFPPHTPQGAKYSPLGLADIRPTGDLLGDPITSPGSLPFNGDNQVPSNSNYIAPWPIY AVDWCKWPIPGNSGSFGGKIALGSYLEDNHNYIQIIDTHWTKPDPDTPDAATGEIKLE YVKTAEATHSYPVTRILWEPPSSQKQSTDLLATSGDHLRLWDTPSAKLSPLALLSNSK SPEHTAPITSLDWNTISPSLIITSSIDTTCTIWDIPTLTAKTQLIAHDKEVYDVRFCA NSVDVFVSCGADGSVRMFDLRSLEHSTIIYEPTEKSDKLVSPGNGSPSAPASIWPPPL LRIAASPHDAHLLATFSQDSNIVRVLDVRQPGQALLELKGHGSSINCVEWSPNRRGLL ASGADDCCVLLWDLMNQHNAASVPPPVHTPGAPSATQERGPAAAWQCDYEVSNISWSP QGGTTGAGHPRDWLGVCGGRGVWGVAL AOR_1_1218144 MAFNGRDQDLRSSPATDNIPLNDLESGINRDSQETTKWTNITDF TPSHILSDPSSRAHALTNNWKACLESFLDRLAIAITPSYLQHLVGGQPPESSKLHAIA ALDGLRGWACLLVFNFHFLFTYTWKVAVGWGFSHGNYNLFQLPILHMLVSGHIMVAIF FVISGYVLSYKPLKMIRSRSWEQTFTVLASSTFRRGLRLYIPSVIGILIVMLAVRLGV YDYSQRVLHEGHTIQGTNEQHPPIMKSFHKQFWDWYSTVVHLMNPWDWALYYNYYNPH LWTIPVEFRCSIVLFLTILATSRLTTVIRMSLVSTLVWFCMRWGRWDVVLFLSGMLMA EADLINGTWERPTEGEKPWSVRFPTKYHPFGLSNRKLWIALFIVGLYFGSAPNTGYRW TPFYMWTWSITPKTYPEPHRFPQTIGAVLIVFSINHSKDIQKLFTNPISQYLGKISFA FYIVHGPILHSLGYSLMPNIWAVIGKASDFQYCLGFLIGWLICLPLCLWAGDIYWRAV DIPSVKFARWVEDKVIVKATGKENGSANSRRE AOR_1_1220144 MDLRTIMNNDASGTSDAPSTAPLQSPSQVSRKPSDPMYAPRDQQ RTSSYPSAYSSHPPQPPPLQRPHASPERSSSYGSLQSPYQYHPPSAQIAGAQSQRGPS PPPYGSSASRDSFSTYGHPQQHQQQQSPFAQQRSQSIQSVLTPSSTSTYSFHPRESPP AVASQPYPSQQFSPPAQGSVPNTPRGSVAASYTRQTPPSARPQSSGHESLSNRASSPW VGPDAQVHMSPTAIPRVSRQDSRPLEQTPRQNSSATDRRDSDESVSPKTAFPSGSRQG STAGYTDLASSSQPKPTENGISLKESPPNLQTSQPAPAASNFDSSPPARKSLTDDTSA IDQARSLPTKMDMTPDATANSSPQAPRVKRRRYEEPPIYAQRSVRTKGRIPMIPNRCP PIPKHARNSMQNPFVMRQQTVSAQASATDSPAKLKSETPPTNGPPAPRRPPEPAQAGS LGPWEPSIYGYIPHEEVTKTVCDFLFQHVVMRNDATAAPAGATATGQGAMIEVEAKLG QLVDMDRGERLLLPISTEGIVNKENTRLRTAFESTMTIAQHQAMNNFLNEAVKMSMPQ ANPGRIPLSYTHKKERDTFYEISPSELPPVIRQNLNPRHKPKVRVTLDQRTGEVLAKI VKCRIADLDVYSPRTCVDWRISVNLEMSYEGDVSHLPVVDPGRGRGGERNKDRMSYRH LAYQIDLTQVAKSEPPSKGEFEHELEVEISAAEIRRQGQLAIAGDPKNQYEELVKGFV DNIRILARAVPP AOR_1_1222144 MSRNMLDHDFGSDEEDDDFNPAPAYDSDNEDARPTHQDRDDDDD EEDVKPSRRAERRVGSEEADDNEDADGHDDEEEDENDDDDEEEEDEDEEGAVSRPKKR RRKGGVAHFFEEEAGVDEDEDEAEDEEDEMAELGGEMHPDDMDALPVGAETDDRRHRQ LDRQRELEASMDAEKQAQLLKERYGRNRAAASDAVVVPKRLLLPSVEDPSIWGVRCKP GKEREVIFAIQKRIEERPMGSRNPMKIISAFERGGAMSGYIYVEARRQADVMDALQDM SNVYPRTKMILVPVREMPDLLRVQKSEELLPGGWVRIKRGKYQNDLAQIEEVETNGLA VTVRLVPRLDYGMNEDIGAPFMDPKRKRPGMNPAVARPPQRLFSEAEAKKKHGKYLSA TSGLGGKSWSYLGETYVDGFLIKDMKVQHLITKNVSPRLEEVTMFARGSEDGTANLDL ASLAETLKNSTAEDSYLPGDPVEVFRGEQQGLIGRTTSTRGDIVTLQVTEGDLAGQHI DAPVKSLRKRFREGDHVKVIGGSRYQDELGMVVQVKDDTVTLLSDMSMQEITVFSKDL RLSAETGVDGKLGMFDVHDLVQLDAATVACIVKVDRESLRVLDQNGSIRTILPTQVTN KITPRRDAVATDRNGAEIRHGDTVREVYGEQRNGVILHIHRSFLFLHNKAQAENSGIT VVRTTNVVTVSAKGGRSTGPDLTKMNPALMSRGGPSGMMGPPKSFGRDRMIGKTVMVR KGPFKGLVGIVKDAGDVQARVELHSKNKLVSIPKELLVVKDPVTGQTIEMGRGRGGPR VPSAAPPSGWQGGRTPMAAADSSRTPAWGGASSARTPAWAGMGGSRTPAWKNDGSRTS NPYDGSRTAYGGFGSRTPAWNAGARTPYGGSGSGQSDFDAFAAGSRTPAWNANSGSRT PAWSGATASNGSKDSRGYDAPTPGGAYSAPTPGAYASAPTPGVSAPTPGAWADSAPTP GAFNAPTPGGPSKKPYDAPTPAAWDSRPYDAPTPAMGGDGDDAGPRYEDGTPSP AOR_1_1224144 MSKTFSKEDVASHNKPDNLWVVIDEDVYDLTKFQDEHPGGKKIL SRVGGKDASKQFWKYHNEGILKKYKSKLQVGSLNTKAADASAPEPAAAKETPKPQQAA PVDVGSAKSSEPQEPYGDLIPFADPSWYQGYSSPYFNQTHAALRAEVRQWVESEIEPY VTEWDEAKNVPDHIYKQMGERGYLAGLLGGKFPVDHTKNRVQSVAPENWDLFHEMLLT DELSRAGSGGLVWNLIGGYGIGCPPLVKYGKKPLVDRILPGILNGDKRICLAITEPDA GSDVANLTCEAKLTPDGKHYIVNGEKKWITNGVYADYFTTAVRTGGPGMNGLSVLLIE REHGGVSTRRMDCQGVWSSGTTYVTFEDVKVPVENLIGKENQGFKVIMTNFNHERIGI VIQCCRFARVCYEEAVKYAHKRRTFGKRLIDHPVIRMKLAHMARQIEATYNWLENIIY QCQSMDETEAMLKLGGAIASLKAQSTTTFEFCAREASQIFGGLSYSRGGQGGKVERLY RDVRAYAIPGGSEEIMLDLSMRQSLRVHKMFGMKL AOR_1_1226144 MPPLLTNRQAEELHKSMIAYLVASDLPDTAAALRREVNLSEDVF DPTTAKRYEGMLEKKWTSIARLQKKIMDLESRNATLQSELDNSTPASRLKRNQDPASW LPSTVRYSLESHRDKVNCVAFHPTFSSIASGSDDCTIKIWDWELGELERTLKGHTRAV RDVDYGGPRDNVLLASCSSDLSIKLWKPTDNYKNIRTLQGHDHIVSAVRFIPSRNLLV SASRDNDMRIWDVTTGYCVKTINGHTDWVRDVSISFDGRFLFSTGQDMTARLWDISTV SNIEHKRTMLGHENFIECCAFAPPTSYQFLAPLAGLGKRPSSTNGADFMATGSRDNTI KIWDSRGTCLMTLVGHDSWVQALVFHPGGKYLLSVSDDKTLRCWDLNQQGKCVKTLDA HESFVTSLRWAPGVAKNVPGGDGAAEGEGNDKNGAGSENPANIQMRCVVATGGWDQKL KIFAG AOR_1_1228144 MVGLASAAGLVGFLSEPDPELRVFALKTLDSQIDLLWTEVVDAV PQIEALYEDETFPERELAALVAAKVYYHLQEYNESMVFALGAGKLFKLDNGGEFEETI IAKCVDTFISLSAAQRPAAGDQPANLNTAFPTSSEGATSTSASLTSPITPFSQSALPS KSLLSRQEVPGIDATYPGGDDASVNQDETPLVLKRGVQGQLQAVIERLFEQCFIQKRY RQVIGIAIEAKSLDVLRKTIIRASEDEKKQNGESRRSEELMEYVLDICMGIVQERAFR NEILKLILELLNEIPNPDYFSIAKCVVYLNEHSMASVILRQLVEKGDARSLAVAYQIS FDLYDNSTQEFLQKVRQEIADLVPEAEAEEKQDTVEGDREPKESDPLLEDQSSSSQPR SIGANDKSKLSSESQSAFKNILDILDGIKSIQLNLEFLYRSNKADIAILNKVRDSLEA RNSIFHTAVTLSNAFMHAGTTHDKFFRDNLEWLGKAVNWSKFTATAALGVIHRGNLSQ GQKLLQPYLPREHIAGVGGSGSVYSQGGSLYAFGLIYANHGGMAVDLIRDHFKKATEE VVQHGGALGLGVAGMATGDEGIYEDLRNVLYSDSAINGEAVGLAMGLVMLGTGNMRAL EDMIQYAHETQHEKIVRGLAMGMALIMYSRQEAADELINGLLGDPDPTLRYGGIMTIA LAYCGSSSNKAVRKLLHVAVSDVNDDVRRIAVLSLGFILFRKYQSVPRMVELLSESYN PHVRYGAAMALGISCAGTGLDEAIDLLEPMLKDSTDFVRQGALISLAMVLVQQNEAMN PRVSTLRKAMMKMIGDRHEDAMAKFGCAVALGIIDAGGRNCTISLQTQTGNLNMPGIV GAAVFTQYWYWFPLTHFLSLSFAPTSVIGVDQKLEVPFFKFHSNTRPSLFDYPPEQQV KTEEAPEKVKTAVLSTTAQAKRRAQRREKQQRRESMDIDQTPTTPKVSDQMPDRMETD DAATKVEDDTKEGEKESGEGQRKKVERERVGYELENMSRVLPAQLKYLTFPDPRYEPV KRPTGGVVVVLDKKPEEPRETIEMKASKEVRQPAAAAETLQDRLQAAMGAAALQTPQR GSSRLAEAAAGAAAAAGVLTAVDEDDEDDEEAPVPEEFEYETDGDED AOR_1_1230144 MSTTLPKNGDSRLLSLPIRASAYHQDPLIYIDREAKHIQHSLQA LIDAQSEGLLAGLQGPRLNETPTGSSTPSTEPSVLQRPLTIPVRQPVAEKISLRAARE GIFKSIFDLLKLREEEREILTARVAVRTDALDEINGFITKKAGLEEAVSTIYKNRESQ LTKELREEGHKLETDIHELETKLSQMRARHRHVMEELAHMENSVESKLSSYKASLSLL ESDIQKFLQKPPIPPQSSFANGTTLYSLNPKRRTLDMALEQWTTEQSELRKRQEEVDH EIQALEAGGGVWKQVVLEVCRFERRLKVEMRRSLQNQSQVLESSRAAENNSELDHVRG ILEDLHKTTEHVEHYLGIAEDKDWKLLVCCIAAELEALREARAMLLDAFDIPDEGPSQ SSERRVPDKSGENNLHNTHQDPLGVDNPDPPADLLQDAEEHHSDTISRSEDEDDEPDP AWLLPET AOR_1_1232144 MYLPSPTYSFTIPSLHDETQLDCRLYLPRNSSLQSETIRGAIVA HPYAPLGGCYDDPVVSFVGGELLESGYIVGTFNFRGAGTSGGRTSWTAKPELADYVSF YGFMLCYLHSLRSQELTLDRADIHLILGGYSYGSLIASHLPALNVVADLFRNVSAGTQ AHGILESAAKVSALLEANNSTQEQNLETGDHLAMEEPLDISGTTISYLLVSPLLPPLN LFLTFFSTMSLDIGAQSPAQRRQIPCPKPTRQLCAHASLAIYGNQDSFTSASKLKKWS DELSHMPGGQFQSAEIDGAGHFWRENGVESQAREALGKWLRLIP AOR_1_1234144 MAILHDSPILRPHCHPNSKHVRKPSSVKEASGTQFRFLSRAVSL PSLSSVIKSSLTWAGDTLYSVNQDGFSKVDKDGHTTTDDRKQVLYLKMRNAVSYKEWK DCAYELDELEDNNSWKATFESSEYDPHLVQERLKQLEEARISCDVSRMIFLIRTSLSR DLGNMRNDSLYRHSHVGTKDLIDQYITTALDTISSLVDLSAKGRCDGLELKYILDQLL AARQAFGRSALLFSGGATFGMNHIGVLKALWQAKLLPRIISGASAGSIVCAVFCTRTD DELPLLLDTFAYGDFAVFNDPDQEENILQKTARFLKYGSFLDISNLAKVMRNWLGDIT FQEAYNRTRRILNICVSSAGIYELPKLLNYITAPNVLIWSAVAVSCSVPLVFSPFVLM AKDPETGEAVPWNDLHRQYIDGSVDGDLPMTRLSEMFNVNHFIVSQVNPHVVPFLPKY DGPTHGTPQTPFLTSRLFHTMTHLAKDEILHRLTVLSELGIFPTSLTKTVSIVNQKYS GDINIYPEILYTHFPAILKNPTREFMLKACLSGERATWPKLRRIRNHCAIELALDSAI QQMRARVAFSSSQTTLRTIGLPGYSETIDGSCGRGRLLNRRSSYSHEVEKAKHIRANS GRRARPLLRRCCSVQSPEQSSDTDRQDEADDDRAEARESYFPDLNDDLSLTDSGTDEE TRPLALERLGLAEGRAVHHQPPRWSAPHGALPSLRSLPTTSIHSRRSSVGTQHQPSTH VTDPSLISARQLSTNLISSPQNRTLRMTPTSHPDLSPPAYN AOR_1_1236144 MASIVQRPLNRLRKSDSYKPLHERFGDVSISAPTEGSWNQLQNP RQSSHRGYGNNLARGNSTRSSREHYDTASAPENTTAPARQNSFSMSTLNPRRLSMRLA PRSRHSTEDPDEKEHLHHPDRRTEFAYKPIHQDYSTEVAEKAASRVHDSPRFRYIPAD ARAAAVSPGSHRYSTNSQHNTQSNYAGTEERENRPRRHHRDSHYEDKYNHYVEPHERS HRPSRTGYRTSGEYSEWAMAAQMNATSSVEKKRIRAAKRMTMTMVPDAEDIYG AOR_1_1238144 MAEPVVQLQCGVKNDPWGKQGKNSLAGQLWSKTPKNGDVKDDQT YSEMWMGTYPTVPSRILSTGELLSDYLKKNPQLVGKSALDKYGPEIPFLPKILSFSKA LPLQVHPDKSLAEQLHKENPDQFNDPNHKPEIAVALSNFELFAGFKPLSEIEAIMKLK PIEQLVPSNQPFDDDLLRELCKTLLTLPPIVVSEIIQSLKDLPEGQFGKHRYIPGMLD RLSKQYTEFDNGNLVAALLMNYMTLGPGEAVCVPADSMHAYLCGDIVECMARSDNVIN TGFCPRAERDNVDLFLRALTFKPHGVDEALLPRRKSDKGANGKSDEYAPPFSEFNVLA TSLGAGEHETHKAISGPSLLFVTKGSGRLELSEGKSVKTFDLQEGYVYFVGQGVSLDL STDRGIAVYRPYAE AOR_1_1240144 MFLPLCRRSLVTRSSDPATDQIIIGVVLGCVAAIAITAGILFFL LKKRRWDRIRQYEEDMLVMQAPMGYTPQGCPSGSQGIERPRPYSSMYSYSQPREQNHT HPRGRLSRDTPPPAYTTIPAYDPSKYQAISQLPPSVKINRPTQVDPVGMFEERPFSFI RGVEQQYTGPAETQRSSSQAEQRGLSLESSRSMNSDSSTTNFSRPLEGAQSLRRPKPV LSRLVTNFH AOR_1_1242144 MKSPWNIMTGIIQKLRRKRKLSSELHSRWGDVAITYPNAGSWSQ YEQSPVGTPNSIPVKMLDHCRRHGSLDSLDRHGHTSALPSGNFKERTSSTDSAMTMPT GHYDAKLRGRSKKKAPPPSPIVGHKAHPTVRDGFDESSADEEEDSISGLHSPKGRYPR DRSRTESREDSDAYSRASKSPPLSVTSRMRHFSLRSTTTEPTASIPATSSSRHTSYTS SIPSVPSEDPRLGHRPSPRDTKFMHRPKPAPVAEQELVPSYDDLYG AOR_1_1244144 MLGSWGLVPRSTSVWAAALIILCQLVPLAVALRTAPGSPCANVC NKQSTNTTGSEITCLDTDFTSTSKGSQFKQCVDCQLRSTYSDPSSGETDVDWGLYNLR YTFTSCVYGFPKSVSNISTQCTVNCQPLDRALEFDLADPGANNFYTWCGTTTFADNLI TQCEQCYNFTLTQTQDPTNGQSQVFMANFLEALRYNCHFRTPTGFAFPISPTRIFSES LLPSSTVDLINPTSTGGPGVNLALVIALPVLGFVILLCALSICCFFFIRWRRRKARKH RQSSHLHARWNDTGISTPWANYHEMYRPTMYQQGHGQHQQQQGFSFVDNDGQYRDVGY SKNLTEVTASAVASPPLNLSPDGEKQKNPEHPEYFGQDSKQKR AOR_1_1246144 MATPTQEQLKTLEQSRQRLVQLTRSLASLITSLNQSDPLPSWSS LQSQASIISNNLLSVSDHLSDNRDLLTSLVAYPGPDYPGRTQANTLEQLLRTKLDPRV EDWVARGRKAGASALEDKSGLAEAELAELWDWAPVEANQEARRRNWGGNFTLEEREMG VQNVVTGLARVLEDEGSESEDEEEGEEDEMEIVGVRRQSAGAGFEFDIAPASAAQHQQ QKFVEPAVPLEDILRFMTTGAEPGKR AOR_1_1248144 MAASIMEPLQQNIITPLQPYLRQIVSSLPEPVHDTVTSLIGSSC HNALLVDLDVTKDPACTSLAISKALGIAIVGASAIVKVPQILKLIGSRSSAGVSFVSY ALETASLLITLSYSVRNQFPFSTYGETALIAVQDVVVGVLVLTFADRSTAAAAFIAVV AASVYALLFDQTLVDAQTMSLLQAGAGALGVASKLPQIITIWREGGTGQLSAFAVFNY LAGSLSRIFTTLQEVDDKLILYGFIAGFTLNVILATQMVYYWKAPTKPKKAKKAAPKP VERAPVAQTSSASPSPKPSGKTPTTRRRG AOR_1_1250144 MILLRSSFRRLGSTRDPSLVCSRCLIRNNRSHPPSALRSFLSSA RSQSSVADDQSSSAVLQKTYFSANRGIEGTVSKDGISPSLSTTSSAQANAANTSRSEG EAGSASMQSIESELPHRRRKRLKEEAAGNNGADHVIPPDASAQLSHLSATLPKTSIRR KIAAYLALTKPRLSVLIVLSTTSAYGMYPISSLLALDPSMTPLPTLSTSTLTLLYLTA GTFLSSCSANTLNMMFEPKYDALMSRTRNRPIVRGLVSRRAALLFAVATAASGLALLY FGTNPTVTGLSAANIFLYAFVYTPLKRIHVINTWVGAIVGGIPPLMGWVAAAGQTATT GHDTWRDMLFSKDSIGGWLLGGILFAWQFPHFNALSHTIREEYKRAGYKMLCWVNPAR NARVALRYSILMFPISIGLWYVGVVGHGFLVSSSIANGWLVKEAYHFWQHQGANGTAR GLFWASIWQLPILLVGGLVTKKGLWDGVWRNAFGQPEEDEDDYLYYDDEGEDEETGNQ IVSQSASVAGSRPANAA AOR_1_1252144 MQLNFLLLHMTLNHFSLRLPQMKKWYSMAISDIQLVSLAKNGAL EKLKAVRANSPVAVRGKVKAKQAKGSEMEKTDPWEIQVDDIRTLNDFPSDIIMKSDTV FPPKQRFLQLRSDSELRDALRFRAQVHNVCKEELEQCRPPFVEIETPLLFKSTPEGAR EFLVPTRKRGLAYALPQSPQQYKQILMASGIPRYFQFARCFRDEDLRADRQPEFTQLD MEMSFATGEDVMRTVEGIIRRLWSTLMKDPAPSGPFQRMSYQDAMSRYGSDKPDTRFG MEISRIEHLLPVDLVSKITPLTLPIVEVFKLENNENDPAAMSEFISQFLDSPAGVPFN NNPEGGPGIFVYDAKKPLCGLQPFGFEAAEYLEELLELDHGDLIVIQAREKAPFSGGS TPIGDLRRALHSAAVSSGFKPAPTGFDFRWIVDFPLFSPSSDSEPGQGGAAGISSTHH PFTAPKTAADVDLLLTDPTKVVADHYDLVVNGVELGGGSRRIHDATVQEFILRDILQM PAERLADFSHLLEALRAGCPPHAGLALGFDRLVAVMLGKESVRDVIAFPKIGKVGEDP MVKAPSVMTEEALETYHLQLKE AOR_1_1254144 MRPHLRTLPRAARLRVPVASPIRQSVCSVRNYVRTAETLQKSAL QSNVGRPELRITASGGVVGQTRWITQQHIRRTKEAEKEWAEFAEEIKAGKRKNFAQHL EDRGLLHDVVGERELLHKVFTEKRAGMYAGVDPTAPSLHVGHMLPFMVLAWGYVWGLP VTWVLGGATSRVGDPTGRLKGREQVHSSVRKANMASMHMQLKKLGASIEQYGKRHGYE KKWAWKRSLVNNNTWWNKVPFIEVLRDLGAHMRLGPMLGRETVKNRLSKGDGMSFSEF SYPLLQAWDWWVLFKKGVQVQVGGTDQYGNILFGMDSVKAISKNTAMEQERDPLENDI DNPIGLTTPLLTAPNGEKFGKSAGNAIWLDKDMTSTFELYQFFVRTPDDVVERYLKMF TFLPLPEIAKLMEEQNKDPSKRVAQHALAAEFVELIHGKQEADAVALQHRQLFRSRSS TAEPTPLQKNSSPPAGHAQSPTSGFVNPQSGNQYAPQTNFSNMPSIQVTLPESLVYGQ NFHKILWSAGLVSSKGEGHRIVTNKGAYVGSRPGDSGPMSDDLAFTPILTWPAEKTKD FIVDGNILFLKLGKWKFKTVKIVSDEEFKRLGLSAPGWEPEESAPQPATDN AOR_1_1256144 MPSHTESKYEFGGPLGAAAITVGLPILLYVFAFTCNDVTGCPVP SLLSPRTLSWGRLKSEIGRPLGGIWGLYSWEVTGVVLAYYLLSMFLWKILPAQEVRGT KLVQHDRPLTYRFNSFSSSVVQLAACAIGTYLKGADFVVWTYIADNYVQLLTANILIS YALSAFVYVRSFSVDTNYPNKELRELAAGGNTGNSVYDFYIGRELNPRVSLPIFGEFD IKTWCEMRPGLTGWILLNLAFIAKQYRTYGFISDSILFTASVQAFYVLDGQYNESGIL TMIDITTDGFGFMLSFGDLVWVPFLYSTQCRYLAVYPLHLGWTGIAAVSAVFALGLYI FRAANTQKNVFRTQPSDPSVANMPYIQTKRGTRLLTAGWWGMSRHINYFGDWLQSMPF SLPTGLAGYTIMSAGSAAALSTDFKMLDGREVVQGEAKGWGAIFTYFYVLYFAILLMH RERRDDAMCAKKYGEDWEEYRRIVKWRILPWVY AOR_1_1258144 MAKGPPASSSPAATPPSGVLKRTTSSTQNMKNQKSILGFFQKSS PSTPSTARNAEPASSPAQRVSEQRGAARGSVKSDKKKSLPQLSDLSPVPSSDLVEPEE DEGHIQATSNDAKTDSPSRRPKKQVNYFESDSEGEDDDEKIFRPGRKSSKISKRRKLS PESDDEFEQGGDDAGYSDEDMDDFIVADDSDEDVKTSKKRKRPTQPKPKSSSVPPVPS FEEDMDLNIPDASSGSAMKWTYDPDSAEPRQNRTAPAKSKSPSGKKLKAHVTEPEQRY AWLANIRDIDGHSPGHPDYDPRTLYIPPLAWAKFSPFEKQYWEIKQKFWDTVVFFKKG KFYELYENDATIGHQLFDLKLTDRVNMRMVGVPEMSLDHWANQFVAKGFKIARVDQIE SALGKEMRERDGKKGGKEDKVIRRELSSVLTAGTLVEGSMLQDDMSTYCVAIKEAIIE DFPAFGLAFVDTATGQFFLSEFVDDADMTKFETFVAQTRPQELLLEKSTVSQKALRIL KNNTGPTTIWNHLKPGKEFWEADITVKEMDVSEYFVSEDDDNLKAWPEALRAARDKEL VMSAFGALVQYLRLLKLDRDLITIGNFSSYDPIKKASSLVLDGQTLINMEIFANSFDG GSDGTLFQLLNRCITPFGKRMFKQWVCHPLIDAKKINARLDAVDALNADPNIRDQFSS QLTKMPDLERLISRIHAANCKAQDFLRVLEGFEQIEYTVSLLKDSGSGEGVIGQLISA MPDLNELLEYWKTAFDRTKARENGILVPKSGVEEDFDNSQEYIEELHNELDSLLKRVR RELGSTAICYRDNGKEIYQLEVPIKVKNIPKNWDQMSATKQVKRYYFPELRTIIRKLQ EAQETHSQIVKEVAGRFYARFDEHYITWLAAVKIISQLDCLISLAKASSSLGQPSCRP VFVEDERSVLEFEELRHPCLLSSVEDFIPNDIKLGGDRANIDLLTGANAAGKSTVLRM TCVAVIMAQIGCYLPCQSARLTPVDRIMSRLGANDNIFAAQSTFFVELSETKKILSEA TPRSLVILDELGRGTSSYDGVAVAQAVLHHVATHIGALGFFATHYHSLAAEFEGHPEI TPKRMKIHVDDEERRVTFLYKLEDGVAEGSFGMHCAAMCGISSKVIERAEVAAKQWEH TSRLKESLERRKGGGFIGLGWWSDVAWALRESSDVNEHEVTDRGLDVLLKAIEAL AOR_1_2008144 MAETKGSIKSEDSSVKGEDVSIKEEMRSIKQEPEDIYDDCKTED ESPMTNEELDAQLNAMYEAAHPGIKAEAEGDA AOR_1_1260144 MSNKPKPDTLLGLTNSEQKILLLSILCTDESNKLDLDKLAQYGG YKNPASASTTYRNAKRKLSDYKPEPPTSAEGSAANTPKRGRPPKKVAAAEPPMAADTE PVEEEAAPAPKAKRQRIIKKGIAKVIKKDSYEYAPVLLRTRNQNEHR AOR_1_1262144 MRPQILLHPQLRTLLPRGVRRDLNSTIFYRLKTTQTPFRLSKNE SPALKPKENNATAARSSPQSATTHAKTAIRRGPPERILIYHGGTGKTIFLGMLRITTI FLFGVSVLVVAPAFASDEFPWYLAPAVVVGGALPMLFVSYTSAPFVNFVHLALPAFAR RSKEHTVQYAKNLPPTATLYINTMKFTTIPRQTEVRLGDLVPDKAIFRPVSFRNKNPA PLPWWAGKTLRQFYAAEKSQPGRESTTFYPELWEHVYKQIQKNPSPKK AOR_1_1264144 MSSANSGLFRPLLTIGVALLYFLLAGQAYEEVSDNTLNALPRPN NDFDIHNGALLAPILRPRVSGTAGSAAVLEHFADFFRTTLPSWNIQFQNSTSTTPVSK GKEVPFVNLIASRDPPWAAPGDVGRLTLVAHYDSKYSPEGFIGAIDSAAPCAMLMHSM RSIDSALTKKWEKMQAEGNADSLEEQKGIQVIFLDGEEAFKVWTATDSLYGARSLAEH WDSEVNPAMSTYKTPLSSISLFVLLDLLGSKSPTIQSYFSTTHWAYKKLAQLEKRFRD LKQFKSSVDSSHPAWFIDMSKSEHDITTFLGIQDDHLPFLERGVEILHLIDAHPAKGF PLVWHNEKGVPDDGEHLDLATVEDWSMLITAFAAEWMELEGFMPQPIGEAPPAKNADR LNKKTEL AOR_1_1266144 MFTPVHTSLGALLLFQGSSGLLLHNGAVFGISSLLSGSVLRPSR DNVPIIAGLLSSVVPVYLFVPSLIPLYPPGPNSWASVASTLATGFLLGWGTKNGRGCT SGHMLCGISRLSPRSFIATAIFFTTALLTANLVSGGQNIPPCPHGVPCYTPMYPSTAE LIFMIGTTTLTFITNWFVVPRIMGKSEKSRTLFSYLAGLQFGMGLFFTGMANPSKVLR FFAFPTDLFRFDPSLALVILFGIGPSLITFLTAKPGQKTDKLDGKPELPTLADSWRLP TATMADIDWRFVAGAAAFGVAWGLRGVCPGPAVLRAALQPAWGLVEMTGYMLGNLV AOR_1_1268144 MALPSAYRENLEAALDPSRQKGQVFKLTAPADELGCVDFGSNKT LSLGGSAATREEFLRELARNPNFAIGTGGSRLLGGTTRYIDELERDLAHFYNADEGLI LPSGYEGNVAIHATLPQTGDAIIHDAKIHASTRDGMRSSKAHIIRPFAHNDPQSLYDV LEEVKLLEPAIADGLNTVFVTIESIYSMDGDVAPVAEIVNEAKRALPKGNLVMILDEA HSHGIVGPSGAGLACQLGLEHEIAVRLHTFGKAIGGGGGIILCDGLIKRYLVSHARNF MFTTAPAFTFFATIKAAVTVMSSEEGDWRRKQLQDRIYHFYDLLFHHPHWEHVRRSGI LSIPTTDHFLTGSSFLVPIIPVITQPGYCRSLEKWLLDRGMYTHGVRYPVVPMAKERV RVMMHVENTPEQIEALVKSIMEWADQYAPTLSPTTIKLRL AOR_1_1270144 MEASEKPIATATVDEPVKPSSPAQDQQTEDNGDGKQPPPLIAKL IAVLLISCISFGSSWSSGVTGAMKSTIKKKMNISNTQFSLLEASEDFMVTLLMLGSGI VTDRVGGAEMIIYGNVVYTIGSILVAAATTVRSFNFMVGGRVILALGDIATQVAQYKM FSSWFPPSNGFASTLGLELAMRKIGGFVGKSTANPIAKNTGNFAWVYWTSVFMNLFTN AATVVFWLYSRYCNRHYQGRQDKATGEVLTEKNKKFELKKIFQLPWMFWCILAFSLFQ TSAALVFSQNATELAEKRFNVDSIKAGWYSALSQYSGFFLVPCLGAFIDVAGNRATVL CICGAGMLLCMTLVNFASSKAGTGAAFGIYAIASALGPTTIIDGIRTSLWHQSVFGSA YAIKVTMNNAMNIIVRIITGALQDADDDSYRRAVRVYLFLAACSVVVGLAIFIGSMLT DELGLLQWTRKKRLTYGPEIIDRMRERSLVTYGRRSWWISLCCFGALVLLILGSWAAY IWGAVTGHNS AOR_1_1272144 MTIATGATESQTHFNNWPNDLGFDANYEERSPVELIVTGHIPTY AAGVLYRTGPGKYKIDRENGDTFQVSHWFDGFSETHRFQLVAPDATHSSLRVFYNSRF STDYLIEQARKTGRLDKISFGQKRDPCKTVFQKAQSDFNPDPSSANVGVTLSVNMPGL DKALDENRPNIGRWNGSSGIQTLYAKTDSTSYKKLDPETLEPVGLASQKDLHPDLDGP LSASHARSDPITGDVYNFNLAFGKCSTYRVFHVSASTGKTTILATFEGTPAYLHSLFL TKDYVILCVWNSHINPAMLEKGSFLQAIQSFDASQPTKWYVVDRTQGQGLVATFESLP FFCFHTINAWQESSASGTGVDIVAELVRYDNADTLHALYYEKLVSSSAEAKAHAQIKK DTYRSEFARFRLPNIPVSPSTEIKTAAAEWMSCKAFSPELPTMNPKLVTQKHRYTYAI TARDKSTFFDGIVKFDSQTKETLLWNDHAQSPGEPIFVPRPDGLEEDDGVLLSVVLDG YSGKSYLLCLDAQTLKELGRAHVNGPIGFGFHGQHIPSNGVPTGDY AOR_1_1274144 MRPCFGDSCKDYEERPCYTLRDHFYLAGRKNSTADIQDEHDITE TFSKLFNAGENGTARFSNYPIQALTATIKLGEYTVTDRGPYLRLSNTDKDLADQLEIY KRGDEYEELLNPADIITSKDSDNKELVQDFVQWVLSGDGQDVIANFHEEDGYCLYRGF PTEDGEDGEDGEDVEASDCKWELS AOR_1_2010144 MTKPDYNIISLSHILDTTAVLVQGFLYLLHTKYMGRRKHEALSR FTTNIATTHTQPTIEDNDVPKLSSLDAPVSPPRKRSTTKATHSSNALSNLNSPEQQTE AASNKERLNLAAIEAGQVEVTDHLSIFSSRLSQSARPAVSQSPRLQIPDWVSLYQRNQ SPEGRHFVIHQHDHPVAGPHYDLRLQFSDSSSVSWSIMYGLPGNPNSRRLNRNATETR VHCLWNHLIETASPRTGSMIIWDTGEYEILPYQPEQTQPETDDSRSDLSSDTSISTVD SKPDSAKLHQAFQNRKIRLRLHGTKLPQNYTIILRLDKNINFKRNPTTPRKRRRPSTS TSNSSPPPSKSDSTGTPAPGVSDQTPSASETPGGEHSDEEDDIDEQIRANNAYPGAVN SIGSVHQRRWFVTLDRVNSGFVAEAGSGPGRKRWVRKWDPGTGQLLGFEPFYVRGPEV ESSVVTGRLGRDVLEDEGVEGFVPRRGWRAVLE AOR_1_1278144 MPINTGNKPKTPGAGAGSYRSQSRTQLIPPAQMSLFSRITCFPP LGQVTCPQRIQQVLSSGEDDTVRFTVVIESSHSLPEQPWEAQIWQNITSPEWGAFPLQ QSSSPVVSLLNKHESEYKFYRHVFCGEIPLPSHGGCAQFTVRYRVSQNSDWQWVNQQQ NTKDGELVFAAKGPEEENINLAQLSLTSANEGLGKYFDGISNNLEVESRKSEAPGSTL WHISGNVGPAKDSQPGLTNVVLGIPSRTILYFALVRVWTPWLGPRHGRGKFRLTEDAI LCSFLRDDGEHVVLLAVSGINNVLTVLGSGDNGEVVIKSHNDNTTASNLQVLASAAAN FEVAISAVIYEARKLVRPYSAETTNRIPTPVSPPGDDVVLVEKDAKAQWLSEWYDGLT YCTWNGLGQDLTEEKIFDALDTLKSHGINISNLIIDDNWQTLDNEGDSQFKRRWKQFE ANPDAFPRGLKKTVETIRRKHPNIQHIGVWHALLGYWGGISPDGDIAKNFKTKEVRIK DPAAGGPITKAFEKQLLLAIDPDDIQRFYDEFYSYLASAGVDAVKTDAQFFLDLLKDP EDRRKFTRAYQDAWSISSLRYFGTKAISCMSMFPQAIFNSQLPTNKPTIPLRNSDDFF PEVPASHTWHVFCNAHNALLTRYLNVLPDWDMFQTSHPYASFHAAARCVSGGPVHITD EPGNHNISLINEITAPTTQGTTVILRPSLVGRTIDMYHDYNAGQVLRVGTYTGWARTG SGILGLFNVSENRRTSLVSLQEFPGIHDDYDTKYIVRSHTSGIITDLIKPIDRNALVG IVLEDKDWEILTAYPTQAFTLKRKNSSDIREPNPTHATVLGLLGKMTGSAAIVSSDIY VEANGRLRFDISLKALGTLGIYISDLPDWSIEDDFMVTILGYPVPRKTVWKEGDDEKS KVLAVDILTAWREMKLKPGWSNEVIVQVFLG AOR_1_1280144 MYSAITIILFVLFPLIYGYQNLPNVDVAIVGAGLSGLSTAKDLA KAGRSFVIFEARDRVGGRVLNNQLPNKGIVEVGAQFVGPTQGRVLDLAQSLGLSTFKT FNSGNTTLFRNGTRSVFNGTFTTGDVPISPEGLTQA AOR_1_2012144 MATELDVDAPWSHSRSVNWDSETVQSWLNREAPHPDAQFLLTQG LQSVFSTEPREQSLLYTLAYIAAAGNATTRGTFERLIDVAGGAQEQRIVGGTQLLAIR LAERIGLSNIIFNAPVRNIELKGETYLVSSNNQSVIAKHVVVAMSPPLASRITYQPLL PAARDHLTQRMPMGSIGKAFAAYATPFWREAGLNGQVVSDTGAVRITFDSSPDDGSFG IMMGFIEADEMRRLDRLPEHEIIEEITKDLVRYFGPRAANVQNWVIQRWDLEQFSRGG PAAYAPPGVLTAYGTSLKSPHGRLHFAGTEASSFWVGFMDGAIRSGERVATEILMDL AOR_1_1282144 MAPENMKQWTVQGKANGFDELAYNDAPVPKVGDNDVLVKFHAAS LNYRDLIIPRGMYPFAINFPVVPGSDGAGEVVEVGPKVSQFSKGDKVITLFNQLHQYG PVDPKAAGSGLGGVIDGTLRQYGVFNENGLVKSPKNLTHLEASTLSCAALTSWNALYG LKPLQPGQTVLVQGTGGVSLFALQFAKAAGATVIATTSSTEKSEKLKELGADHVINYK SDPNWGETARKLTPDNVGVDHIVEVGGSGTLNQSFKCIKLEGVISIIGFLGGVDPKSQ PSILDTLSNICTVRGVYVGSKELLNNMVKAIEANDIHPVVDPKVFTLDKAKDAYEYMW AQKHFGKLAIKID AOR_1_1284144 MLYSSSGERVFMGGAAAVSFLQFLQAIVKRYVGPMGFTESQNSR KMFEVDVPDTGTDFFADELMETEKWALIQCFLDVYRQMPAEDRARRLRIGKSIRVLDL VSSSILGRPGSTSSLRTDDIRVDDFDQEASHRTSALNAAYEASSVLEAIVQRLTEGEK LDASSADHFLQIWREWSQALPDKLRLRPRKEPNLGLNPDYRENMIGNIHVACTYYFGV ILVTRQSLIQHIMPQIRGKRPRKTTLRQETNEEGNEKVAELSSVCTDAATYMAQMCCD AAEAGILWGNMCILKAWLFAAGLVLGFSLLAEGQTTSEICDAFHGACRLLGSLGHLSP QAAQYHRILTSFSEAIDVYRERLRHERHESRTPFVERILTLDPSSDANGDVQNNQESA PITTLNGESRVGENEDESFLESLSGFLSLRETSDWPPPLGNDDLMLRLFWEGYALNFT DYLPPDETVPPPT AOR_1_1286144 MGSHQESWRRLNVGVVGGGIGGMSVAIALRRAGHEVTIYERNDF AGEVGASVSCAANGTRWLHEWGVDVEKGDPVVLRKLINRDWKTGEPVSVYDLEDYEER WGYVYNMFHRQYMHAMLKDCALQEDGEGTPAKLQVNHACKDIDLSTGVITFTNGVQAQ HDLIIGADGIGSVVRGIIGLRPEKKASDQSCLHANVRTEDAVRLGLVDYSQNSALEYW GGQEGKWDKIVLSPCNGGRLLSYYCFFSREKGDFSNHTWGSADRPVEELLEKYPELDR QVFSHLSIGTEIRPWRLWVHQPYPYIARDMVCLLGDAGHPMMPHQSQGACMAIEDAAA LGILFNKDNFTGDIAETLAIYDEIRLPRATKVQSAAAKAAYNINERIGFSSNTTNSVY KVEDEKKKLTIEEMNAYDMYKDIEEAIARRKGLPFTAPYTKGLPFGLKLSNGVTVGE AOR_1_1288144 MTTENSAAFHEFLTSDFVSLQALNSTRVFKVHKALLDAKCKAVA SAFNGNFTERQYGVYTFSDTSEETLARFLEWAYGGDYTQPAVKRKDTTSEKVNKGPAG DQLESLDHPLVAHMALYIFSEVYIVPNLKELAFTKIKEAIELIERPETADDCLGVISL LKLAYTKIPANTSSAELQEWLALYAAFGLEELRDQPSFNDVLRLSPDLASKILSYLNP TDSPPWSGGRCKPWGYRSWQ AOR_1_1290144 MSLGRTFKLNSGYNIPAIGLGTWLSKPHEVENAVEAALRAGYRH IDAAACYQNENEVGNGWKKSGVPRDQIFITSKLWNTHHHPEHVEEAVNKTLKDLQTDY LDLYLIHWPVAFEHTNETLTPIDPVTKRFRLANVPIADTWAALEKLVEAKKIRSIGIS NFTQDKIDDLLKTAKIPPAVNQIEAHPYLQQPGLHKYLKEKNILSVAYSPLGNNIYNA PRVVDDPDVKAIADKLGKDPAALLISWAVQRGTAVLPKSVTPSRIESNFQDFIIPDAE FEALNKLDRNQRYNFPFRWGIDVFGEVGAEETERRAEEHAAKQREGA AOR_1_1292144 MSSSGKDRVTKNKSARREETRRKIEQLSKKLGKDGKKRLPLVER TNKLSLFEFMKSYVSAEDLECRGSPAKNATVSGSEQELHYSLDIYTAASIPDADFEAC FKLIEETSSDAYKESGWGWSPKKKTKEMRLPDMRYLILRRGPKTTPENTGSAEGGIAP PTGQFLGFTSFMVTYEDGKEVVYCYEIHLSSAAQGLGLGSQLMMRLVNIGRRIGLEKV MLTVFRSNDKAVRFYYKLGFTEDEYSPPPRILRNGMVKEPDYMILSKSLRSNRR AOR_1_1294144 MSVDRRQAAVFAGAFALRLLLLVLFPSLPDLLTGRVEVSTPVTS FKRLQEGLFLYTRNVSPYDGGVFHQAPLFLPIFALLPNARELPLPTALFYSLIDLINA NALITISDSGQAVSGRLFSALRKHIRWDGVSVAAWFLFNPFTIATCLGRSTSVFTTTG ILYALSSAVSGNTLNAMLSLGFASYLSIYPALLFIPLVLLCYDRRAQGPKPPSGVAIF AIQHMAVFLLSIAGLLGISCLVVGDFSQFISATYGFQLLVPDLTPNVGLWWYFFIEMF DSFREFFLGVFWLHLAAYVGGLTVRLRRQPLFVITSLLGIFAVFKPYPSISDASLYFA LLPLYRHLFPLMRYTFFAVSALLYATLLGPAFYHLWIYAGSGNANFFYAITLVWSLGL SILLADTIFAVLRDEWEQENPEMRGKEARQV AOR_1_1296144 MESMTKKYSELYQEYEEIWTKVPMQYRYKSDFWDYLSPRSCVVL LSAHLDHLHCGFQILRMLQQEGQDTLTTILDTSMGLLSVSVLWSSWRRYSCHRTSSAH AQGAPSAFLYPLFPYSS AOR_1_1298144 MAKIKKKGTSGQAKNYITRTQAVRKLQISLPDFRRLCIFKGIYP REPRNKKKAAKNSTASTTFYYTKDIQYLLHEPLLRKFRDQKALSKKIARSLGRGEVSD AARLEKNHAPQLTLDHIIKERYPTFIDALRDLDDALSLLFLFANLPSTAHVPPKTIAL CQRLCHEFQHYLIVTNSLRKSFLSIKGIYYQATIQGQDIMWLVPYRFVQRVNGDVDYR IMATFVDFYTTLLGFVNFRLYSTLGLRYPPKFDTRSDENGAELAAFTLEGRAVGETTK AIEGTKQTSSTANKEVSQDVQAKVDKVIKSAGLDQTKDDQAVQATEESTEEIDKFEPA APEADTLLQPDISGDTAGALFAPFTFYISREAPKAPLEFILRSFGCKRIGWDAVLGDG AFTHDETDTRITHQIVDRPALPESSLPAVPAASENGAGAVQKVKPGTRIPGRTYIQPQ WIWDCINEGKLLRPDLYAPGATLPPHLSPWVKPTRGAYDPRASLAEQEEEGEAEIAAE EEEEDSDEEMEEATDGKKVDAKAEDSAEEENEDEDDSVDGGMDVAGTDDDEDESEEEM EDEFGGFEEEAASESEDEEESARTQHQKELEAEAAGLPFSSSSAGGDSTKKKSSQAKK VASKKRKEEEELERQKMMMSRKKRKLLEKMMYSNKKQSEEAAKLRSKRRKLEKGAEK AOR_1_2014144 MSSISGGRSPRFIPPQSAQPYRSIFGGSSSQDVADLQPYPEWQG DADFGSDDFDVAMEDIPIVEDDHPDDSTYKESDEDEPGSEAGGSQQRIPQSTADAATG HSDIASPPSSAEYRPNRFRGSESQWRKLTAEDRQNAEALETIRARDLAAHLYNAYALR VRARELARQAVEAGEPEDEIKVFGPPKRWAAWPMSATEVPRGNEHVRRGEDEAWTLRM QPDPRPSAELEESLIAIMLKDAKEKFQTRSWDDRLSSVQQWAMSQANIDNDTATDGEQ KSDPEFIHEILLRPVVQADDEKSRRQLRPLTRNILTQFDDLLMALHNARNGGVGADDS SASEWQTDTESIASSISSRKRRTIKNTAERSQSRGRKRTRRSSARTGSDRKRSASRMR LGLRDWSEVLGIASMIGFPPAVIMRSSQRCAALFREDMEFRVLQEGTLQQVRDGGSST WAYAENEPEEPGTSLPPPSPPPTKRSLSRTTSVKKASSTRATSPATDHTDEVTRGKGK GQHRKQDLICPVRTCPRHINGFARTWNLNLHMKRMHAGYRPKSTDSKSKSSVVGPAGD TDS AOR_1_1302144 MDRLLRPVSRQLLRSRPRYPFTIPPPVYAKRLYSMGHTVPPLKD QSLFIEKAYVNGEWVGAQSGETFEVHDPASGKLIGTCPEFNAADTEKAIQAATEAFPK FRTTLARERARMLRRWYQLMIDNAEDLATLITWENGKPLADAKGEVNYAAAFFEWFSE EAPRIYGDTIPSSVAGNRVMTLKQPVGVCSLITPWNFPAAMITRKAGPALAAGCTVVV KTPGETPFTANALAELAHRAGIPKGVFNIVTSLKNTPEVGEALTTHPEVRKVSFTGST NVGKLLMKQSSSTVKKVSWELGGNAPFIVFDDVEDLDAAVAGAVASKFRSSGQTCVCA NRIYVQRGIYDEFVKRFVEKVKGFKVGAGFEEGVTHGPVIHGRAIEKIDEHVRDAESK GAKVAVGGRKLSDLGPNFYDMTVLTDMNKDMLVASEETFGPVAGLFPFETEKEVVDLA NRAEVGLAGYFFSGNVKRIFRVAEALEVGMVGVNTGLISDVASPFGGVKQSGFGREGS KYGIDEFLTIKSVTFGGMGEPLQS AOR_1_1304144 MVSFRSLIAPSTGLFRQFLRKPLTGGFLARSFSQLTTLSLTNGL RALRAGKEQAGNVSVASTVRQLDQIRGMKTRSSVKRLCDGCKPVRRKNRVYIICSKNP KHKQRQGK AOR_1_1306144 MSAESSTNGIATPVSSKYSNLALTEYSTVPTPDSENDDGKQLGS PPNWGIPDAFLLPNGYPDYLRLILTSRVYEVINETPLHHAVNLSNRLECRVLLKREDL LPVFSFKLRGAYNKMAHLNQEQSWKGVIACSAGNHAQGVAFSARHLKIPATIVMPSGT PAIKHLNVARLGGSVILHGDDFDAAKAEAHRLEKQHGLTSIPPFDDPYVIAGQGTIGM EILRQANLQKLEAVFCAVGGGGLIAGIGVYLKRIAPHVKIIGVEAHDANAMAQSLDSG SRVLLKDVGLFADGAAVKAVGEEPYRLAREVIDEVIQVSTDETCAAIKDAFEDTRSII EPAGALALAGLKKYVSLYPDPNPKRELVAITSGANMDFDRLRFVAERAALGERKEALL SVNIPEQPGSFAKLVEVILPHAVTAFSYRYARDESADVFMGISLSASTGQKDLAKIME ELDKGGMKAKDLSDDELAKRHVRFLVGGRCDVKDERLFMFEFPERPGALAKFLTTLRP SQNLSLFHYRNYGGDVGKVLAGIQCPPSEKDQLEAFLRDLGYPFSEHTDSSTYHTFLR S AOR_1_1308144 MSSDPVPSSFEGNPQFEEETSLQKFRRRLKEEPLIPLGCAATSY ALYRAYRSMKAGDSVEMNRMFRARIYAQFFTLIAVVVGGMYFKTERQQRKEFERMVEE RKSQEKRDAWLRELEIRDKEDKDWRQRHAAMEAAAAEAGKKTAPHDAARSAIERSEEK SIGVLDAVKELLSRRN AOR_1_1310144 MRPSMKLLAGVSRGQSSKLRKPAMSLDHFIQRQRVLGFWREVTR ALHKIPKSSTRDELRSYARHEFERHRNVTDLQHIRYLLSVWLYATIDLTYF AOR_1_1312144 MRCPSLTRLPYRAVSGLPRSVVRLQSQNFLTRRCASTAVLRSPT AAPAYQSILNKHLQQRRNASGTAAAVLEAAASDNLSQEAIIENLDPVEAGRLSRVRNI GIAAHIDSGKTTCTERVLFYTGRIKAIHEVRGGDKVGAKMDSMDLEREKGITIQSAAT FCDWVKKDEDGKENKYHFNLIDTPGHIDFTIEVERALRVLDGAVMILCAVSGVQSQTI TVDRQMRRYNVPRISFVNKMDRMGANPFKAVDQINNKLKLPAAAVQVPIGAEDEFEGV VDLIRMKAIYNRGPSGEELFETEEIPEKVKSTVEERRKKLIETLADVDDEIAELFILE EEPTEQQLKAAIRRATIGLKFTPVFMGSALANKSVQPMLDGVVDYLPNPAEVQNLALD KKRDEASVQLVPYQSLPLVGLAFKLEESNFGQLTYIRVYQGTLRKGANVFNARNDKKI KIPRIVRMHSNEMEEVSEVGAGEICAVFGVDCASGDTFTDGQLGYTMSSMFVPEPVIS LSIKPKNNKDAAKFSKAMARFQREDPTFRVTYDVESEQTLISGMGELHLDIYVERMRR EYNVDCETGPPQVAYRETIGNRVEFDHLLKKQSGGPGDYARVVGWMEPTGKLDDNVFE EQIVGGSISEKFLFACEKGFHLACEKGPLIGHKVLGTKMVINDGATHMTDSSEMSFKN ATQQAFRKAFKESNPSVLEPMMKTVVTAPAEFQGDVISLLNKRNATINDSEVGVDEFT VYADCSLNGMFGFSSNLRAATQGKGEYTMEFSHYEKCPPQVQKELIAKYLKAQADRHK K AOR_1_1314144 MVELQSIFKKAYWSLAAGGLVYVSIIFALTFPTVQRFALYAHKA NPALWEDVNQVESFGFLKTQVQPFNLVTPDNETLYAWHLLPPHLCREHEEKLNADEPS GPASDYTKTPAYELLANDPNARVVVAFHGNAAHIGSAQRPETYRMLLGLSTPSNPIHV FAMDYRGFGISTGSPTEEGLITDGVALLNFLTSSPLNIPPSRIVITGQSLGTAVSAAV TERFAFGSPDPTAIQPAIKNPEPFAGVILIASFSNIPSLLDTYSLKGLTPPILSPLVG YPRVKNWTKSHVIDRWDTAARVARLTGVGPTAQNDSAIGYADKGLDLTIVHAYNDAEI PWYEGRRVWVAATGENDKDAPGRLVHEKKDSKSQNEVLIWENRSSKNAGVVKSVRWER VPYGGHNRVATFSVAALAVLRAFEQ AOR_1_1316144 MAEKRRLSARERREPASKRRASEAPSQSQSTPSSSKKRASTAVA PPTPTPPPPPPVEVVKNPLPTKIKDGEGLPTVPSPQPQALSAKEYQSYAESAVLLASL ERSKKKWLSDGILVRYWTKPKKTKREQIEGKNPPKESMSKVGPCNIAVGPHLFDAMLY TVKDPNAPPPIQYTPPQRPMVHYGHPNNFQQYQPYPTPQHARQHPPQTSPAHSASPQP TYQQGNHPPPPHHARTPNQPPPQRPSQSAQRPSPSQHSQAQPPKPSPDPVIQMLATRA ASDPDLKALMRVVASSKASQEQLRAFQAHIDELNAIIRAREQQQQQRQQQQQQSHPQT PNQPQTSARTPQQTSQPPPPSQKPSQPPQPPQQPSQQQNQQQKKPTTPSQQPAQPRPQ EQPYPRVEVHVPKPPTSLVSTPQTPSASAPNQSETPTQKPNVMPQVKQEPGVAGAQPS PTAEPSANTKQQSPAVRPMVPPQNQSAGSRPGPPYPPYQQPPYQGHPPAIQSRPPQYG SPAPYYRPAPPPPPPRLNYKSVVFEFTSPLTPYGSSTSGHAGSGDRYLFPEYTILEWL PDGNTVLASFLLVRKVDPNTPFPIETASEVANSRTKGKSASRSKKGDKSKDKDKEKDK DKTKEGEKSEEKDKEKGESNAQPPTSQTPTTESKPPPTEGDKPAGGQVDPKDPPATTP SQPDSNKPLPKNKPEDEAKASNLKEYYQPVTFRIHSPNAKVLEPLSRVVKPPDEVRKY MNEIMDRAERAPDGFLAFQLPREEAGDEHDVDEKKKSGTPVPANRSRLSRGRAVDDES DIENREEPAEEEEEEELKDYYGPPTGLVPMGL AOR_1_1318144 MGLSQSTELGQASSPEELSHMLAERFATKCFTPLELTHFKDNFF TRAAGQGDVKYWNEKILSDFLAIPDSSDAECPLDAGPVIFRMVSYLGAFPFQNTLAPS VLTFEAMVKVVVLLTERYGKVLRRARKDRIRLLFGSLADVGRKDIDQPANDGNSKEDK VDSSATKSHAPGFSVDEPTNDDYEDDDDDLALAALESLDAIEVFRHDSRIDKAVYEAR ISIATFRRLLMLLLVISPLRPLEPVKAYTSDLNEGRMRTVRQQADNILAAFPQEESGG ISYRAFAKTIETSLPYLFDPLTPLFEHLLFSRNLNLSQKRDRSDSTDPTDQTSETPLP LSASIMLPGSFESAILNPSIVSHLSFFLPSTNGSKNLLRDNLRLHPIFSTAAHGSSLT SFSHNVLTWQSGTLLLLEGAVAEPSGEQMVTLGAYLPQPWKTGSSAQSSRLSETSALP CLFQLSPKHLLLPGNPSSSIQNPDTPAAYFSNHSGISLGCRIPPASRSQRLVPSPLGA GSLTIDTSLETAEFHVAPFGHNGVFLPAGTSSTSDNATKTHIDIYNLELWGFVPDPGV SSSEKSAIELQKAKWDFEAREAERRRSLNIKAGAGDSAMEGARWLLETAGIIGDSHGR GGGSV AOR_1_1320144 MSDPKDTKGKAPQAEDTQAGSSEQKLKDATITPKMAEKLLENNP ALKNELAGMDKKDAAEALRKMTISELLTGLSVGGKNQKDMASYRFWQTQPVPRFDETS NASGGPIKIIDPEKVSKEPDQLIEGFEWTTLDLTNETELQELWDLLTYHYVEDDNAMF RFRYSQSFLHWALMSPGWRKEWHVGVRATKSKKLVASICGVPTEIRVRDQKLKVTEIN FLCIHKKLRSKRLTPVLIKEITRRCYLNGIYQAIYTAGVVLPTPVSSCRYYHRPLDWL KLYEVGFSPLPHGSTKARQITKNHLPSTTSTPGLRPMEIKDIDAVHDLLERYLKRFDM NQAFTKEEIEHWLVHKDGAGKEQVVWSYVVEDPETHKITDFFSFYNLPSTVIQHPKHK EVRAAYLYYYATETAFTEDLKALKERLLLLMNDALIQAKKAHFDVFNALTLHDNPLFL EQLKFGAGDGQLHFYLYNYRTAPIPGGVNEKNLPDEKKMGGVGVVML AOR_1_1322144 MSRNYEAAITALNSLQTNFAVVQQLRESGDRRQMNLRSLPETIE WLRRIGYQPSDLNRLNPVHVAGTKGKGSTSAFISSILSQYTSPVPSESNDSAPRLKKV GLYTSPHLRFARERIQINGAPLSEEKFARYFFEVWDRLDEAARVAGENPEELRTKPQY FRYLTLMAFHTYLSEGVDAAVIECGIGGEYDCTNVLERPAATAITSLGIDHTAMLGTT IEEIAWHKGGIIKPGVKGFSAPQAASAERVLYDRAADKKTQLEIIAGHPDLASGSSVK LGLAGDFQRTNAALAIATAAEFLKKVGLEDIPDDFMKKPLPAKFRKGLELARLGGRCE TRHEKNVTWFIDGGHTLESIKLAGQWFTSQIHANSSSGAVANRKLRVLIFNQQTRDSN ALAQALYDTLAAALGSEQPFTHAIFCTNVTYKAAGYRPDLVSMNTSASEVEKLQVQNS LAEKWRSIDPRAEVKVYGTIEEAVDFTRDLAAKERECSDNDETPIMSFVTGSLHLVGG FLDVIETKPGPQ AOR_1_1324144 MATTLLPFRDINLHASSSHYAFTSPSSPNAPTLIVDRPTGDLRL HDGTLPGAKRISSIAGILGMIKLKLDKYIIVITKALPMGRLRGHMVYKVAGTEFLPLR ERPLHDHDEDTYLALLKELLRTGPMYFSYALDLTNSFQRQSQSDASLPMWKRADDRFF WNRFIQSDLIDFSLGGHDTTSVRYGPQPGVDPYILPVMYGMLRITPAKVKSTSFTFAL ITRRSRHRAGTRYFSRGIDEQGHVSNYNETEQIVILNDATGGLSGFSGGQSMKEKTAD SGRDLQVMAYVQTRGSVPVFWAEVNNLKYTPKLQVRGVETAVDAARKHFAEQIRLYGE NYLVNLVNQKGREERVKDAYEQLVRILVSPSTENTEVDAVSSEKIHALEPGQRQKELD RLHYIYFDFHNETKGLQWHRAELLMDRLIDGLTRGGYFRGVEDPGVPSGQLDIRSSQT SVVRTNCMDCLDRTNVVQSMLGRWAVTRQLTDAGILRQGEAANDDRDFEDLFRNIWAD NADVVSKSYSGTGALKTDFTRTGQRTRAGMLQDLNNSITRYVKNNLLDGPRQDGFDVF LGTYLPPNSTLGNLQLFLDRRPLIIQSIPYILAAGVFMVLISIFTRRLPDAAVWPLRL FVIFWLVVSAWCARFILGHGMLYVNWPKLNTPAAGSEGYQDAMIKARSDPIVGQFLPS RKHQRGYSNARLGFLEEGKTRIE AOR_1_1326144 MASRAAAGARPGARFAQFKLVLLGESAVGKSSLVLRFVKDQFDD YRESTIGAAFLTQTISLDESTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDITQ ASSLDKAKSWVKELQRQANENIVIALAGNKLDLVTENPDKRAIPTADAEAYAREAGLL FFETSAKTSSNVRELFTAIAKKLPLDQAGPRNLRTNPRPGVDLRPEAPGTQGANSCQC AOR_1_1328144 MLRSSVAPGRQLLLSSVRQRTASQWLSRAGASSRLSGQRFFADA KPPTSAPTPVSPSSETPIPPETIPKPSPSEQDSQTPPPPPPAPARKTGRFRRFLLYLI LTSGFAYGGGVFLALKSDNFHDFFTEYIPYGEEAVLYFEERDFYRRFPNTLKGQARIS GHKDESKKVTIPRESGLTSKAAEEQGSGSDLSQKGPHMSAVKGDEAQIKTESAKPEHK TAAVEKAKSDKAAKDQAPKTSDKNAEEPRQPALPAVTTLEFAQVNEGDEAIVQELVKT FNDIITVISADENSGKYSTPVAKAKEELQKIGEKIIAVREEARRAAQEEITKAHATFD ESARELIRRFEECRAADAAQFREEFESEREKLAFAYQEKIQTELQRAQEVAEQRLQNE LVEQAIELNRKYLHEVKDLVEREREGRLSKLNELTANVSELEKLTSSWKDVIDTNLKT QQLQVAVDAVRSVLERSSTPRPFVRELVAVKELAADDPVVEAAIASINPTAYQRGIPS KSQIIERFRRVADEVRKASLLPEDAGIASHAASLVLSKVMFKKDPVAHSDDVESVLVR TESLLEKGDLDAAAREMNSLKGWAKILSKDWLGDVRRVLEVKQALEVIETEARLQCLR VE AOR_1_1330144 MSQRTDASPTPPNPLPRVDEKPLRSGSWRSSRRVESNDKPDRQR SLRMSEGRESGELEGSTLGSSSRDGEKGVRGSRRTHSSGGFLLDSSFLPRSSSLRHSY HRAHHSESEQREKRGTPPNSEIVVPKRRSRFPWSRHKESTKESQQAAPEIEASQANSG PLHSQQDVTPEPSQTTEAGSEAPLGLDRDSLQIVNLALNLSESRRVSSHGRVPSGRVA GGRWTASAGQPSVSPSESRAPIALGSQNDGPYPRHSLIQMPVDRSKLLGASNADQAVH APSSVLNLLPDSANSNSLPHVFSDGTLTRAEKARRHFELFSEYLRLLPSLSPLKPYEA HADPDSTPAGAGVILQGRSYNPLQSIRNRKVRFRERCPIDTEAEGWTNVGKVHEWVDS IKGQYNQQDHSAFECLKLPPFHQGSKDLSHKDPGDDEIIAASPSSSLRRASRASSVKA RRPKSDWMISPPELLADVAWVEDIQNKSKIIDKDGNNLYPDPAMLVPSDALKTKTRSL QEELPSRRESVDTDQPTSRTSLSDAHPGLTPEFKRIGRGRRRHRFQGPSHIVRSSAGR HKLRRRSSSSSSASSRDGKQYWKYQGESAVSPKRSTSLRPEPSRTKGYTVPPDEESSL DMKTRSPQSSGFQRSWKTPDRIKSQDQRESISSAPSADDRYDRLTSSDGKLPLTPIQP TFFPSIASNLSPPSSRSPSPSKGRFPRAIIPRRERSKSNSRPKDAVDNSSLESEIVRN NSLSEYTEGLPRTGRLEPSPLPDQVPCTHQDGRVRSSQQARKGLAQHESKLRGIFKGP GKIAEKVGNEMSKMGGLILKKDSLAHSRQSSFESHVTSDDENVLTDADETKGDRTPDI KVPLRRLPTFSEDYSLAVRRESEKAAGKSYISASSSFTSPPRQGDQPEGHRRSDLGSP QKAFSESEMKSARETDNKNEVLSISQQKRPDGRKKLEKVPTFGPELHTIREQIKKGRI KDPSVPYSLTRPPITGLAQAKASHEPSSRERRSTPSSQSRSWSISERSVSTSMESGVP AKREVERTRALLLSSGIKAREITRRAHTVRSPPPEFVRRAFGSDTPVPEVPRSHEFDL AVQALVKRFEKSEELFKRSMDGYPGAKTSALRSHLGALEDLVNNSLNPRVRAAAQDAE DLSIKLNTTSTLAVKQLSDTLDKGIRRRHRRLRWIRRTGFVMLEWALVGILWWVWLIV MAFKVFRGVFRGVISGVRWVLWL AOR_1_1332144 MVMAPTACIPAEICMTKHSQDKSDFNYNHNLSQISNNGGDDLSQ SRLAAKDLVMDVLKKRAGEVNTDRCAPGDEDAFYVADMGEVYRQHLRWKMNLSRVRPF YAVKCNPDPEILRLMAKLGNGFDCASKAEIDMALDTGIDPSRIIYAQPCKTKSYLRYA AQMGVKQMTFDNADELYKIKACFPDAELYLRILTDDSTSLCRLSMKFGASLDIARQLL ELAHELELKVVGVSFHVGSGAEDPTAFVKAVQDARMVFDQAAEIGHELHTLDVGGGFS GDTFEKFAGVLSEALDTYFPPHIRVIAEPGRYYVATAFTLAANVIARRDVRDPEDPAN DAYMIYLNDGVYGNFSNIIFDHQHPVAQILTCANASNAATSAGIAYSIWGPTCDGIDV ISQRITLPGLLDVGDWLFFEEMGAYTKCSATRFNGFSDNHEVIYISSEAGASALLEY AOR_1_1334144 MSPIPITIVTGFLGSGKTTLLLNLIPQLPQDYRLALLKNEFGDV AIDSQLASTQSISGVRELLNGCICCNLVGQLSDALNQLREEVKPDRIVIETSGSAFPA TLAMEVNRLEREQPGSFVLDGVISVIDVENWEGYEDTSYTAKLQAKYTDLIIFNKWEK VSERRFDLCLDRVGDLEVQTPYVKSDKGRVDKDVLLGIDGALFTKDDGAGLTDGHHDH DHGHGHKHDHQSEVEVLSVTLKSSQPEQTVDVSALEQLLLSAPKDEVYRIKGIMRCST QSPPAESSDALAEPRPAASQDGTTRHYILNWAFGRWTFTPSEVVAETADPGVAARITF ILARYESGKWKKKLEASGLVQIGEGNEGAELVVERLV AOR_1_1336144 MSFPYKHFLLIGATSGIGKAMADRLIESGAKVTAVGRRQDRLDE FVRQHGEDKASAMNFDISKTEQAPQFARDVFAKYPDIDCVFLNAGVQRQHNLTSQETF KLDEFLNEVHVDFTSLVALAHAFLPYLKAKTEPVGFIFTGANLAIVPACPMPAYSAAK AALNAFILCFREQLKSTNVKVVELSPPAVQSELHDYMTPEVGRKIGMPLDQFIDEAFA GLQAGKDQVVVGSIADEKTFYEVLNNRRAMFETLSKLLGSQRYAGREP AOR_1_1338144 MEPTTNSAAANPTASTTANTAAAQGQAYDAPRNGSTASEGSLSG VTNSIATVAGNSISEAKNKLTTVTSNESVQSVWEQVRSMATGRNNETQEVDTSPNQDE IDLIDNMDKEKIAEFLREKNRSDVRLPKRR AOR_1_1340144 MSVPRITLYLDVVSPFGYIAFHVLRNSPVFAKCNINYVPIFLGG LMNACGNTPPVNIKNKDIWIGKERLRWARYFSVPMVENTPEGFPPMTLATERALCAVS VKSPEKLIPTIEALYHSFWVQGNAKIGQVEGFTPVLESVFGKDGTQEILQAMGHAEVK ERLKANTDQAFKSGAFGIPWFECTNIKGETEGFWGIDHLGQVADFLGLDRGSDRGFKA VL AOR_1_1342144 MAASADMFSSDTASWADKNRFPVGARDADTSSSDFSDDLFADFS DWSDSEYLQDSVARKRRHGVYFHRPPVWVSSSSQTEYQATAFAGCKTCHKSAEECNCD SDSLRETLEALYKERGSNPWSMNHDHGVFGKVTAATADTLASIDASVSGSAGVPLVDW EGHKPKWRNSTSITASSWLDRPLSSDEPLLNTRPSECSYRQVKPGLCTRLKCQKDIRL DAGERWALQEGSLVICIDPSYTLLDHERQSDEFEIVDGDVYVICRIYADLWALCVKVS FIPPCEPSCETMNDSVRLGFLPLCAVTLAANFSAFSRRCSWNARCNSDEMKYPGNGLP VMPPSRSHSLTASKQIFKGKRHCFMLPGIAYDTFHHTSLSNDSDFIPLDSTLEQVLSR VGSQRRRPIRSRGRFSLHKIWNDVKASGIWKQYQIRGLLPYLPQSRSSGVAGVVQNYL GKK AOR_1_1344144 MVYYIRFLKTPRIQKQKAGSLSIPALICITTDLGDAFLAQDVDL VVSLSLKDSEKVLHQEPLSWKAGKRELAILLGPFHPQLSQHAIVLSVAAADRRKHHPP SPDNLLGNPGVPLVISGWSAPFGGTDSLVAEKLVERRFGPKGHLDLRIWEETGNSIAR HIWDAAIASVIYLQQIAAGDSAFTVPVLSKLLQPECNGPLRVIELGSGCDLPEVEEIV TQNIAVAKPTSSSNLEYRTLDWDEALPDDLCNNSIDLVLVSDCTYNADSLPALVSVLD RLVQSSPNAIILVALKRRHDSETVFFELMQSSGLSNLHHDSMKLPSQHDQLDQIELYC YGNKAKLLKPIAT AOR_1_1346144 MMRPRDPRVRQTINQISHNLETANETAQEGLYTFSHHYILPCFA TIGNCVYACASPCLPSREDQLRRRRRGRAEAIFDFYDDWDNDDADDGLLGWGTDELDR LLAGSGLTRGSSEQPRRQRKMSYGTRRARRKSTVLVPDDRNDPTVIPSSSFLGFLERF PWRFGARGLKYQPSVADLQEHPTGLRMHAHEDEPLMEAEEENEGPSSNAKTGRYRSST QSSRETANSLSSRGDLIPSDEEEDAVPLDDEFAMALGSRRGTGLESEDQFSDKPASMR SVSGSFSLASKESKKKRKKRSSRLRSPQNSFIDVAQDITAPSIVDLHKEEEQAERQEE SEIIRKRLAARQLASSRGLSQARDQSTPQPLVLPSPTVFTETTRPELSVNTPLESTGE SELSTGRMSGDFSQIEPFPPLPLTSPAASEGLEPEGPPDSAGINREDPPNH AOR_1_1348144 MLRSSLGTGKSRVPVLRQPTYGRWLRSNNPSLRWNQLRRAASTV TNVESYPKVGEQLHGFTVQEKKHVPELHLTAVRLKHDKTDADYLHVAREDKNNVFGVG FKTNPPDATGVPHILEHTTLCGSEKYPVRDPFFKMLPRSLSNFMNAFTSADHTTYPFA TTNQQDFQNLLSVYLDATLHPLLKEEDFRQEGWRLGPEDPRASDALDGKPEDVLFKGV VYNEMKGQISDANYLYYIKYRESIFPALNNSGGDPQYITDLTHKQLVEFSKRNYHPSN AKFLTYGDMPLSTHLKQIGDVLDGFGKGEADTSVKLPIDLSRGPSNVTVPGPIDTFAD ADKQYKTSTSWYLGDTSEVVETFSAGILSSLLLDGYGSPMYRALIESGLGSSFTPNTG LDTSGRVPVLSVGLTGVSEEDAPKVKEAIQKVYQDSLSAGFSDEKVQGFLHQLELALR HKTANFGIGVMEKTISSWFNGVDPMKELAWNDVINEFKRRYQQGGYLESLMQKYLMND RCLTFTMVGTPTFHQELDQQEMVRKEKKLSQLVEQHGSMEKAISSLREQELQLLKTQE EAQHADLGCLPSLRVEDISREKERKPVRESKVDDVDVVWREAPTNGLTYFQALNAFED LPDDLRLLMPLFNDSVMRLGTANKTMEQWEDLIKLKTGGVSSSAFHTSSPTELGKFNE GLQFSGFALDKNIPDMLEILTTLITETDFTSPYAPAMIQELLRLTTNGALDSVAASGH RFALNAAAAGLSRSFWVQEQQSGLAQLQATANLLRDAETSPERLAELIEKLRLIQSFA ISKSSSLRVRMVCEPSSAHQNEVVLQKWLAGLPQIRSPTSVDARSMQQVSSKAFYDMP YKVYYSGLAMQTVPFVHKSSAPLSVLSQLLTHNYLHPEIREKGGAYGAAASNGPVKGI FALTSYRDPNPLNTLKVFQNSGIFARDRSWSERELNEAKLGIFQGLDAPVSVDEEGSR YFMSGVTHEMDQRWREQLLDVTARDVNEVAQTFLVDGPRQSVCLLGEKKDWAEDWDVR KLSMNAGEAEAAAAHEIVSLSEGGQCTTVLSHLEQSDPTAGSILNRLDRIEAILGIHK GRYAVGTVGVDSDQDEEASIPNSELSKAVRHLRLITRPPQNNNSLWSYSTVKGLWETF LNNLPLLHFLKDQRAFSSPSPLLLASVLYISALHNPTKELASLAGEYFVATCDAITEL VIPIPLPNALTGFRSNPADRNYLSCRNEDKTFQNILGLIMASLVSEAYIETTDKWIAI AYRLLLDSCPTDFNDLTQDWCGLLSGIQVIDIEHASMHMCHPLLPRQPPTPSLQQLNS QEGDAYRGLTQIMHQGLSHFVGRGLPTIWSFVSSTGIDTISQVRTPFTDEDSRVIRLW ARRLDDWLVRYNGTSQPSPSDRQGILILLQYHLHKLYVLSIYHPARGFDMSSTNITPS ERHELLVSARTVLRLRQDDASIWSNWDLIMITWAAMLLLRGVEDGMIRQDDLSLIQTH ISSLERSDPSVPSIHRVLADRIQSSMQSMHTPPDMSHELSFPPPNLDHSWTIFDQEIM SLANPSWLFEGPSVVSTQAEHSQHPAMSSARYTDSLTTTTSPNSFDPNKQWGSTEQLA SVRMSRFNPAFGHSKAVGNDRYLL AOR_1_1350144 MSSAAMSKKNKGKKVADPNETSKLLAAKISQLEQDAAGEKDQEA EIEREVKKATRDLNQLLSNIESPMTRLETVHKKYTELLADMKKLDRDYSKSKKRADQL QKDQDKGKSELNKTVTMKDKLEKLCRELTKENKKVKDENKKLEDTEKKARLIVNERLD SLLYDIQDVMAAKGNPRSEKVDIDLDEALRVKIKTIGEKFETREVHYKSLLRSKDAEI QSLTAKYEEQRRAAENEAARCRALSSQVSTFSHTEAELRSQLNIYVEKFKQVEDTLNN SNELFLTFRKEMEEMSKKTKRLEKENLTLTRKHDQTNRNILEMAEERTRNHEELEKWR KKSHHLEALCRRMQAQGRGQGLAADLDGDDEGTESEYDEDYEDEEDDEGISDDEYEDS TDRDMNGDRNIPPQQPEKPVFGPPPPPNLLEARANGNKAVLNGCH AOR_1_1352144 MSLQTPLCSLLKIQHPVLLAGMARASGAPLAAAVSNAGGLGTVG GLGYTPEQLSEMLTELKASLRDPSLPFGVDLALPQVGGGARATNHDYTHGQLDQLIDV VISHGAKLFVSAVGVPPERVIKRLHDAGILIMNMVGAPKHAEKALKLGVDIVCAQGGE GGGHTGDIPFSVLVPAVVDVAKKYKSPLTGQPALVVAAGGINDGRSLAASLMLGAVGV WVGTRFVASEESSASQLHKEAVVGAQYGETKRTLVVSGRPLRMLPNDYIKNWEKRPEE IAQLTAKGIVPIEHDFNNDKEDIEIPYLMGDVSGIIKQIKPAGVIVQEMVQQAVEVLR TGGSYISAGPASKL AOR_1_1354144 MASGSAENQFLRPPSDEEYAPVYRSSSSYNPLHTFQLPSGQERH YQQQYGDMYFLRLAKLKPAVEEIAVETWEGFSIAGEHARRVERVLDVRQGELCWVAGT IYMDLPLKPNILDDLTKENFTLAPPPRPTYLDPAHPELTQIMLEDESGRLRLTGNMLR SIQLATGAIVAVLGTENSNGDFEVIDVKVPDLPRQPRRWERDEKQSSQKGTGKSKIAF VSGLGITGTSGDTLSLELLTDYLLGYTGSQSPANDESAPPDASAITRLVIAGNSLGAS MTTDPNENAASSKKKSGPKKYGYDASAYNASPITQFDNFLAEILPSIPVTLMAGASDP ANFSLPQQGIHRAMFPRSRAYCSGPTSAGETPEPGWFDSVTNPWEGDIEGWRLWGSSG QNVDDVLRYLDFANSSGTVGADGDAEARVKIMEAMLRWRCGVPTAPDTIWSYPFQTHD PFVMEACPHIFFAGNQPQFKTAVIEGDAPLKLNGTDTEMTGTNDNISGPRVRLLSIPT FKETGELVLVDAETLEVEVVKFGTYAGQQEKQ AOR_1_1356144 MLLNAKTAISTSTVLLVPYSKWHVPRYHEWMKDEEIQEATASEP LSLEEEYAMQQSWRQDPDKLTFIVCQPSPTGTGGSPLRDEDDSSERMIGDVNLFLRVD DGEEGNSEPQIIGEIELMIAEKSNQRKGFGKATLLSFLRYIADHEVEILEEFVRGDQV AAKALREAGAPDPDETNQAAQPETTISSPPAVHATWFNVHDRSDFRNISISLPASIDK ITIAIGNHVRDAAYSIVSMSASAYSITVVCSTEKSRAEVAELVQRMKEELGQNAIIPP EELVLLREWEEKWGVVGELSTVEDGLDTDVEHDRRG AOR_1_1358144 MAMSKIVSLTGLLASASLVAGHGYVSGVVIDGQYYGGYLVDKYA YSDNAPDTIGWTTSATDLGFVDGTGYQSPDIICHKDGAPGALTAEVAAGGKIELQWTE WPESHHGPVLNYLAPCGGECSAVDKTTLEFFKIEAKGLIDGTTPPGQWATDDLISNNN SYTVTIPTSIQEGNYVLRHEIIGLHSAGQKDGAQNYPQCINIKVTGGGDATPAGTAGE ALYKDTDAGILFDIYSDLSGGYPIPGPEVFSA AOR_1_1360144 MPREISDIKQFIEICRRKDASSARIKRNRKTQQVKFKVRCQRFV YTLALKDSDKADKLKQSLPPALKVVDVSKGEKKKAL AOR_1_1362144 MPTALDRAMHSKNLFLGFAGMVTAAAAWAIWGSDIFPAESDPTG DPETWTVEEMKRWLSNRGLMPNNEATREELLERVKANLRIPSRSRA AOR_1_1364144 MAPTTEDALTKVSTDAATEFVQSFYPALQSNRGTISSFYNQTIS MILFNGNVVADGKAVQEIFVNQMPPAHYEVQSFDCQIINPAYPTPTATGLKAPNETTL RDKSILVLVSGYVRFGESRDLPQRGFSETFVLVPNPSADGPKGKRKREWLIESQTFRL VV AOR_1_1366144 MALPRARFRALSWANQFLAYKRPTWQCTTCRTLRPTPIIAPRRF ATAPSGEHKKPYYVTTPIFYVNAAPHVGHLYTMIIADILKRWRTLCGETDAQLLTGTD EHGMKIQQAALAAEMDTQAFCDMNYKTFEDLAKAANMDYNYFIRTTEAAHKKSVQYFW EMLDHRGYIYTSKHEGWYSVSDETFYPPSQVHNSLDPTTGRKRMVSAETGKEVEWSSE TNYHFRLSAFQERLLDLYKTGFITPSNYTTEIVKSVSSGLQDLSISRPVERLTWGIPV PGDDTQTIYVWLDALVNYLTKAGYPFPPGQEGGLGWPADVHVVGKDIVRFHCVYWPAF LMALDLPLPRNVLVHGHWTINHEKMSKSTGNVVNPFFSLDRFGVDTMRFFLAYQGGLA GDADYDNSYIIRDYKKLLQSGIGNLVLRTIGSSKGKLHSYIVSGTSGELPAANEQDLQ FEQLLRETPVKVGEQMESLNPRAALQNIMVLIEQGNKYIHLSEPWKDAIKAQRVLFNV AESLRIAGILLQPFMPTKSKELLDVLRVDGTRPSKRAFSAAVFGSDAEYGEGIKKTVL FPPLIVEQ AOR_1_1368144 MGSIALEEAQPSFKTPWVQTPLVESASLSRAAGCRIFLKLENVQ PSGSFKSRAMGNQILSHLIKPENANRPVHFFASSGGNAGLAAVCAARSLGYPCTVVVP LSTKPLMVQKLRMAGAADVIQHGDTFQAAGEYMREVIMKNKDENECENVAKIALHPFD NEPIWEGNSTLIDELATQLPPPAGEDEEATHRGRPLPVDAIICSVGGGGLLNGLVMGI ERHRQLQKMSLSYASNPISHSQSNPIHLLAIETLGTDSLAAAVAKKSLVSLPKITSQA TSLGAIRVSERTFQYAISPPQGIAVHSAVLSDADAARGVLRLADDHRTLVELACGVCV EAAVGDAARARPSTSSDALTAGTKKRKREDADYPSHRDEGYGDDGSSATETESEAGSE FQSKLKQLVPDLNSDSRVVIIVCGGSNITIDMAAEYKKLLNEGWGN AOR_1_1370144 MSGKYASDPTWASVEPIPLNDGSETGTLPLATIAYSDEYLEATS YLRAVMAANEMSDRALKLTDDVIAMNPAHYTVWHYRVKNLFALKKDLTEEFEWLNKMS LKYLKNYQIWHHRQVLMSSRENFPTLPPNELDFLMKMFKQDAKNYHVWTYRHWLVRHF RLWDEPREIQDVDLLLSQDVRNNSAWNHRFMLRFGPRSDEPDGGMPNSTAPPPEKGRL AVVDEDLVDAELEYAKAKVIKAPENRSPWGFARGVLRASGRPLSEWKDFAKKFVQDKV EDGKVVDVEVKSSHAVEWLADTYGEEGELATAEAVRMFTLLKEKYDPIRKNYWDYRIR MIAPATWSQEIPTSA AOR_1_1372144 MRRVKKSRNGCARCKSKRVKCGEEKPHCSRCTRLGVKCPGYTQS LRWVTKYPSATEEYDSNAQLNRSPLGSGHSPAPGPSTASPRPSQFPDTLAESPLVKGD ANQTTTHENPEPKGLGLDESNALNDNLWGLPEPGSLPELADLGPSPSSASVSAVGHER AGSIFDVDAPNDPGNPLNLLFSRAPESQHELPNFFGFSPSTIVRKYPPSNSQPPQRDL TSIPQPLNNPSWTLIEYYFKEVAALFSSYDSQMNPFRSTVSRLWGSSLAMCKTMQSMA AATLVNDFPQFGPLGRKLRNEAVDIIAKETILDDKSLLALLMLGQTASWHDSKDLGIS FFNLLRNHLETKPLEITNSERGNNHQFFQEALVYWEMLLSFVADDTAVLSGNAAADSG GPLVLQRIPHPWTGIARDTQFTVQEVGRLVRCERKRIRARVFTSRADIAEAQKAIEKA RELEERLLELAHPAEAEIVSPGDDETPVWHLLTMAEVYRCTGLMQLYRVFPDLLYRRL GSQQPSSQSYNQQSSATPRDPFLSVDTAPDLINSAWFESMYLQPESNPPNDSETLPDT YYDSWLTEFALTTLSRLKTIPIESRTRCLQPFLLVASSSELRLPRSEVSLDISGPNIS SHAIEVSRSRQFVLGRLTSFLHVLPPKPIDVCLQIVKEVWRRMDARESNVYWMDVMIE KGWETTMG AOR_1_1374144 MHNGRGRRKNGSVKAPKNRQRPTMSHLISEQSLPTRSKSSVASD DSVDTSDDTSAAPSFSSSPPSTKSITNGVHRPAMARKASSPMAPAFMVSAPGKVIVFG EHAVVHGKAAMAAAISLRSYLLVTTLTKSQRTITLNFRDIGLNHTWSIDELPWDLFHQ PTKKKYYYDLVTSIDPELLDAILPLVERISPDLPEDKRKHQRGAATAFLYLFCALGSP QHPGAIYTLRSTIPTGAGLGSSASICVCISAALLLQIRTLAGPHPDQPPDEAEVQIER INRWAFVGEMCIHGNPSGVDNTVAAGGKAVIFRRGDYSKPPAVSSLPNFPELPLLLVD TRQSRSTAVEVAKVGQLKEEQPLVTEAILDTIEKVNASAQEIIRETDSSGISKDTLER IGALIRINHGLLVSLGVSHPRLERIRELVDFANIGWTKLTGAGGGGCAITLLRPDADP SAIRQLEEKLDEEGFAKYETTLGGDGVGVLWPAVVRNGTDEEGGEEIDQQKFENADGP EGIERLVGVGTQEKREGWKFWKRAMH AOR_1_1376144 MTHSRGGGTSDSMSRRRPNFSTRTAQEDVSRLAPSESPTASPEE NSRFLNWRNALSLRPYEPLNSSSHATERQRHSLPSNFFGSISRWWDKPDNDGPQGTSN RSHLPVDLPTGPLRDSSVDQKERGGQKNRASDSAAKLGTFSGVFVPTTLNVLSILMFL RFGFILGQAGLLGMLGLLAVSYTINLVTTMSLSAIATNGTVKGGGAYYLISRSLGPEF GGSIGIVFYLGYVLNTGMNAVGLVDCFTQNFGTESGTLSNFLEEGFWWQYLWGTIILL ICTGICLAGSSIFSRASNGLLIILLVATFSIPASAIFMKPFSIPKLHVTFTGVRLETL LENLKPRLTKGAAGSQIHGRENFQDLFGILFPATGGIFAGASMSGDLKNPSHSIPRGT LSGLALTFVTYTLVIVAMAASITRESLYKNSDIIQVTNASGVIILLGEFATTFFSALM GVIGSAKLLQAIARDNLVPGLKIFSKGTEKNDEPVHAIIVTFVVAQLTMLFDINQIAS FVTMTYLMTFLVMNLACFLLKIGSAPNFRPSFHYFNWQTAAAGALVCGATMFFVDGVY ATGCPWGDVSQSLIYHQVRKYLLRLRQEHVKFWRPQILLFVANLDDQYKMVSFCNSLK KGALFVLGHVIVTDDFSSAVPEARRQQTAWTKFVENSKVKAFVNIAVSPSAEWGIRNI VLNSGLGGMRPNIVVIDQFRNGQSLVETLQPRKDSNDRHDGAPESAVDDIPRPQMSCA NYVTILEDLLFKLRINVAVAKGFEHLELPTSGQHQKKYIDLWPIQMSAELGADSESKK NVLTTNFDTYTLILQLGCILNTVPSWKKTYKLRVAVFVEYETDVDDERGRVQALLEKL RIEAEVLVFWLACGDVKSYRIIVNGDRSPEVRDVQEKVQTVLKDEGWWLDIEKFRRRS HNQPQSDDFGADLGRVSSWHGASSHDTVQKAPAQLAGGLKKFIQSTKRRRSISSFKGL GGVNLGMQTHRLLDSFVDYDSSDSSSSDSELEPYADDREDDTNHRRPASPDTTKHDVP SIVAPGTSPKSQASGARPPISRSASSNRFSSSPIPEAKVNTDEGAGPSIMFALSSSPP RASNRRESIYTRRSSSVGSGTASGYPRRASVPLSFNDLPSRAQHLILNELMAEHSSET AVIFTTLPSPMEGTAQGEAASESYLSDLEVLWQGLPPCLLVHSNSMTVTMNL AOR_1_1378144 MSSPAHPDNGEGSSSQPRQSTPLSTSALRPGSPSADASSRQISI ARLASPVPSPSSSYPHATRQLPVPIQPVTGTDEDIRKVDNLSSLPGPGQSMIASALQE SLGRSPPRLGTPPRRTASPALHQAQPIRSNYGSFDNKPGWEGSEYSAGPYEDPEVVKR HLVLPQNTVESRDDAASGDAANDEEFSSLQLQGGDITRQVYRWAEGAEAGSPSRFNRS KSFSINRPTPQDETMNINSIRIPGGFRRDYIRRTVASNVPESPDGQGPQPPLPQPQLP TSSFLEFLTLFGHFAGEELEEDDEVLGPDEYFSDAWEEDGREPGERSALLRPETPGRR KRKPRGGTGNNTRTGAALLLLKSFVGTGVLFLPRAFLNGGMLFSSLVLLGVSLLSFYA FILLVNTRLKIDGSFGDIGGILYGKHMRRIILGSIVLSQLGFVSAYIVFTAENLQAFV LAVSNCKSFIDIKFMLLIQLVIFLPLSLIRDISKLGFTALIADVFILLGLIYLYYYDI LTISAQGGVSDIISFNPSTWTLFIGTAIFTYEGIGLIIPIQESMKRPQQFPGVLAGVM VIITIVFLSAGALSYAAYGSATKTVVILNLPQDDKFVNGVQFLYSLAILLSTPLQLFP AIRIMENELFTRSGKYNPRIKWQKNCFRFFLVMICAFVGWGGADDLDKFVSLVGSFAC VPLIYVYPPLLHLKACAHSRKQQIADIALTIFGVISCLYTTSLTLANWVGGGAPPSPG YCDLKSG AOR_1_1380144 MAAAIKAINAKIRSNKVLDYVCSTHFWGPVSNFGIPVAAVMDTQ KDPEIISGQMTGALVIYSGTFMRYALAVSPKNYLLFACHAINFSAQCTQGYRYLNYWN WGGREAKLAAEAAGKGSQAPEAGA AOR_1_1382144 MAANKQGKMQNLINYRMRVTLNDGRQMTGQMLAFDKHMNLVLAD TEEFRRVKRKSKPAAGPANAPLVESEEKRTLGLTIVRGTHVVSCSVDGPPPADPSARL GTSVPGAAAAAATLAAGPGISKPAGRGLPVGLGGPAAGVGGPPPPPGGFPGFPPGGFP GAPPPGFAGRGAPPGGPPGFAPPPGFAPQGGPPAGFQPPPGFQPPGQGRGFPPPGFGG R AOR_1_1384144 MSDGKDKSANPMRELRIQKLVLNISVGESGDRLTRAAKVLEQLS GQTPVYSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRKRNFSET GNFGFGINEHIDLGIKYDPGIGIYGMDFYCCMTRPGERVAKRRRCKARIGAPHRINQA ETIKWFKNRFDGIVR AOR_1_1386144 MSNQQNNPQSPRHWHGNLGDRYEHHNVWVRGGPPQPYMARRPSA AEAASATDDRRESVSSSMSSGSNSPPTAPNRRRSSQGSSLFESLTNQKRNSTDPASAA RRASYNDQAQQGGFFAKWWDGYTRGSK AOR_1_1388144 MAESPKVTTIIFLCFPGLSLGDNWSEDCFQCNVWVPTGEPPKDG WPVLVFIHGGFLQFGTPNTFSAGALLGEAAFNAIIVMPAYRLGVFGFLYSSELEHDAA TVSEPVGNQGFWDQRLALEWTRDNISLFGGNPSQITISGYSAGAYSVFYQLSYDIHLP EDQSIIKQACIWSNSPVAQPKAPSSAQTQFNELLSALNIPHTLSWVDKLAQLRSIPGS KLLSAATSLEINQFRPTTDSLFIHPTIFQSLDNGNIGRRIAARNIRIMLGECRDEGAL YATWYPPQNDHSSLYKRLLADYPTPLVDTLMKLYCPDGKLPSGCRDWNCDAFGRIYAD MQVYNMQRGLVHSLVLGGAAHLLYRYRIEHRLKCADETIPPEWGVTHATDQYIWWWGN GSVLQADEKSMIKSAFIEPLTKFVHGESDIGWGTKSHREMRKLRSDGSVEIWQDGLWD EAIRVWKALRAVGEQGNGPIAKL AOR_1_1390144 MAAPFRQPEEAVDDTEFIDDHHEHLRDTVHHRLRANSSIMHFQK ILVANRGEIPIRIFRTAHELSLQTVAIYSHEDRLSMHRQKADEAYMIGHRGQYTPVGA YLAGDEIIKIALEHGVQLIHPGYGFLSENADFARKVENAGIVFVGPTPDTIDSLGDKV SARRLAIKCEVPVVPGTEGPVERYEEVKAFTDTYGFPIIIKAAFGGGGRGMRVVRDQA ELRDSFERATSEARSAFGNGTVFVERFLDKPKHIEVQLLGDSHGNVVHLFERDCSVQR RHQKVVEVAPAKDLPADVRDRILADAVKLAKSVNYRNAGTAEFLVDQQNRHYFIEINP RIQVEHTITEEITGIDIVAAQIQIAAGASLEQLGLTQDRISARGFAIQCRITTEDPAK GFSPDTGKIEVYRSAGGNGVRLDGGNGFAGAIITPHYDSMLVKCTCRGSTYEIARRKV VRALVEFRIRGVKTNIPFLTSLLSHPTFVDGNCWTTFIDDTPELFSLVGSQNRAQKLL AYLGDVAVNGSSIKGQIGEPKLKGDVIKPKLFDAEGKPLDVSAPCTKGWKQILDREGP AAFAKAVRANKGCLIMDTTWRDAHQSLLATRVRTIDLLNIAHETSYAYSNAYSLECWG GATFDVAMRFLYEDPWDRLRKMRKAVPNIPFQMLLRGANGVAYSSLPDNAIYHFCKQA KKCGVDIFRVFDALNDVDQLEVGIKAVHAAEGVVEATMCYSGDMLNPHKKYNLEYYMA LVDKIVAMKPHILGIKDMAGVLKPQAARLLVGSIRQRYPDLPIHVHTHDSAGTGVASM IACAQAGADAVDAATDSMSGMTSQPSIGAILASLEGTEQDPGLNLAHVRAIDSYWAQL RLLYSPFEAGLTGPDPEVYEHEIPGGQLTNLIFQASQLGLGQQWAETKKAYEAANDLL GDIVKVTPTSKVVGDLAQFMVSNKLTPEDVVERAGELDFPGSVLEFLEGLMGQPFGGF PEPLRSRALRDRRKLEKRPGLYLEPLDLAKIKSQIREKFGAATEYDVASYAMYPKVFE DYKKFVQKFGDLSVLPTRYFLAKPEIGEEFHVELEKGKVLILKLLAIGPLSEQTGQRE VFYEVNGEVRQVAVDDNKASVDNTSRPKADVGDSSQVGAPMSGVVVEIRVHDGLEVKK GDPLAVLSAMKMEMVISAPHSGKVSSLLVKEGDSVDGQDLVCKIVKA AOR_1_1392144 MAPLPIKFTELINLTNAEIAPASIGFNSCTLESDHYVCVRQKLN EEDKPQVIIINLKNNNEILKRPINADSAIMHWTKNIIALKAQGRTIQIFDLGAKQKLK SAVMNEDVVYWKWFSDKCLGLVTDSSVYHWDVFDPTQSQPLKIFDRLPNLSGCQIINY RVNDDEKWMVVVGISQQQGRVVGSMQLYSKERGISQFIEGHAAAFASIRVEGSPLEHK LFTFAVRTQTGAKLQIAEIDHQDPNPRFQKKAVEVYFPQEAVNDFPVAMQVSRKYDVV YLVTKYGFIHLYDLETGTCIFMNRISSETIFTTAPDSDSAGLVGVNRKGQVLSVSVDE NTIVQYLMENPAMSGLAVRLASKAGLAGADHLYQQQFDNLLAQGNYSEAAKIAANSPR GFLRTPETINRFKNAPQTGQQMSVILQYFGMLLDKGSLNKYESVELVRPVLQQNRKHL LEKWMREEKLEGSEELGDIVRPYDMNLALQIYLQANVPHKVIAGFAETGQFDKILAYS KQVGYQPDYTQLLQHIVRVNPEKGAEFAAQLANEESGALIDLDRVVDVFLSQNMIQQA TSFLLDALKDNKPEHGHLQTRLLEMNLVNAPQVADAILGNEIFTHYDRPRISQLCENA GLIQRALENTDDPTAIKRNIVRTDKLSPEWLMNYFGRLSVEQTLDCMDTMLQVNIRQN LQAVVQLATKFSDLLGPGSLISLFEKYRTAEGLYYYLGSIVNLSEDPEVHFKYIEAAT AMGQVTEVERICRESNYYNPEKVKNFLKEAKLTEQLPLIIVCDRFNFIHDLVLYLYQN QQYKSIEVYVQRVNPSRAPAVVGGLLDVDCEESIIKNLLSTVDPAVIPIDELVNEVES RNRLKLLLPFLEATLATGNQQQAVYNALAKIYIDSNNDPEKFLKENDMYDTLTVGKYC EKRDPNLAYIAYRKGQNDLELINITNENAMYRAQARYLVERADPEIWSFVLSENNVHR RSMVDQVIATAVPESTEPDKVSVAVKAFLEADLPGELIELLEKIILEPSPFSDNGSLQ NLLMLTAAKADKGRLMDYIHQLNEFSPDEIAEMCISVGLYEEAFEIYKKVNNYIAAVN VLVENIVSIDRAQEFAERVELPDVWSKVAKAQLDGLRVSDSIESYIRASDPSNYLEVI ETATHAGKDEDLVKYLKMARKTLREPPIDTALAFAYARLDQLSELEDFLRSTNVADVE TSGDKAYEEGYHEAAKIFYTSISNWAKLATTLVHLEDYQAAVECARKANSVKVWKQVN EACVNKKEFRLAQICGLNLIVHAEELQDLVRQYERNGYFDELISVLEAGLGLERAHMG MFTELGIALSKYHPDRVMEHLKLFWSRINIPKMIRACEDANLWPELVFLYCHYDEWDN AALAMMERAADAWEHHSFKDIIVKVANLEIYYRALNFYLQEQPLLLTDLLQVLTARID VNRVVRIFQSSDNIPLIKPFLLNVQTQNKRAVNDAINDLLIEEEDYKTLRDSVDNYDN FDAVELAQRLEKHELIFFRQIAANIYRNNKRWEKSITLSKQDKLYKDAIETAALSGKA EVVEELLRYFVDIGSRECYVGMLYACYDLIRPDVIMEVSWRHGLHDFTMPYMINFLCE QTRTIEMLKKDNEERKKREVTQKTEEDNTPILGGSRLMLTQGPAAPVPSPMPYQQTNG ITPQATGFRPF AOR_1_1394144 MGPVQSASEPKEEAHVAAIELPRQQVHLSRLPNTDKVIREKPSH SETFSSHDTDRRQTDVLHGLDEKTTRHDRIWASRGNGWEARVELKLRPGNRAMSSLDW ATRWEKAIDCLSSKELENVDMYEMDELALAAFWDRVWGCYVPYAGGRDE AOR_1_1396144 MLAKHVLAVLLSVGASAIPFDKRDASAVLADFNTLSTDLSALGS AISSFDGTLNGALGVQQKEGQVETALKQTVSDVKASTAFSAADSTSVTNAVTGLEPSI VNVLNDLVSKKSGFDSVGVTSIVVSDLNSLHDLTGQLSTELQSKVTSGDASTISDEAA RLDAEYKKAIAAYS AOR_1_1398144 MSQQKSAILSVYDKTGLLDLAKGLAKNNVRLLASGGTARMIREA GFPVEDVSAITHAPEMLGGRVKTLHPAVHGGILARDIESDEKDLADQKIAKVDFVVCN LYPFKETVNKVNVTIEEAVEEIDIGGVTLLRAAAKNHARVTILSDPQDYPEFLKELDA GEITESSRKLYALKAFEHTADYDTAISGFFRKQYAGNGEQHIALRYGTNPHQKPASAY MLQGKLPFKALNGSPGYVNLLDALNAWALVKELKQALGYPAAASFKHVSPAGAAVGVP LNEKERKVYMVDDIAGIETSGLAQAYARARGADRMSSFGDILALSDVVDVPTAKIISR EVSDGVIAAGYSPEALEILSKKKGGKYLVLQMDESYVPPAEETRTLYGVQLSQHRNDV VISPQKTFSTIVTPKDLQSLPDSALRDLTVATIALKYTQSNSVCYALNGQVVGLGAGQ QSRIHCTRLAGDKADNWWMRFHDRVLNIKWKKGTKRADKANAIDLLCSGNTPRNDAEK AEYERVFEEVPTPFTQEERESWLEKLSEVAVSSDAFFPFIDNVFRAARSGVKYIAAPS GSQNDGPVFETAEKLGIVFVEQGTRLFHH AOR_1_1400144 MSDNPTILRPRPRRVFDLTPASTESSEPSSPAEPVNPDFLNPKD AGSTSVSRTGSIMNLTTPTLYGIYSPTAFEDSRDESSPWGTEAQTPAVEKPNPLTVPE KPDRFTLKRTRSRLSHGLFMGVILPQALKAALLFSFGIVYGIITIHLHENHWITPVKL ENTHYYGSWEYLGFWGVAGVVLGNVLPGLDLFSEDVTVDYAKQPSRSSNDEENEERTL SWVAAVRSVGAFVGVAFAMRRTPWQSTTQASATLALANPVLWYLIDRTRTGFFMSTIV GVGGMGIVLALRPDLVPPSTGASASAIPALNSTLRDLGFGTGITQESLAVRTWVASVL FSACVCFGNIGRQLAIFARRESLQA AOR_1_1402144 MAEVESTVADDSFVHSEPQDEQQTHNMTVGTRRQANGTIGSVYS GNKIRHLKKEDGIPLWRKDIQHQFLKLVFEDKTPVFTRWPDGQKGLDFADVYIDAMAK SSKTSKILKDKLQNDKQAAISMAMVCLLVNFGRMNTTLNFFPEMRAQLRTYHSIPSLQ AHQDPNAYKQLQDAPRLKSILKGASEDVDQPNTLDKIKRQRIPRTNPVHLIFVMAQYA PKVSELHFFPPRDFFDLVMRSTLSSKSRARAFLWLMWWYLESDFSPEAALNNPFGPGL DGEGTGGLPIKVPNFESLTEEQANEENVDTQSEIEYGEAKRLERKRILEEEEPIPRIT KRSKKSLLEPGYDDDQVSGDLSSRGDGLGGRGSAMSTPLHPSAKRYPDDEDDYLTPGQ SARSRYKRPKRDSSLNRSVGQQRLILKTKMEHTPDASSPAPPGSGHPILNRFVPEPTL PQQSSSRRPRPLTQHQLAVEQNRRQRIEYILAKRKNEAYRLLRAKRETEIPFHRYNRL LQNVPDNYDTEDEEHSWGKGGLIPNPEEEEDYGECASFYLSVIRKAARRLDRWDYEDA NGPRRDRKREREERQKARQSGIGLDTDLTGRVPTSARSRARAARNAKRKLAGATTDAS TAATPKTKSTSASRSKNNRSRTSRPTGDALAAADGATKDGLEAPSQDQELSSMPGDMD GEAEGLDDIDRELLGEGSGEEDEGVSRGPLPSRPAEPGYEDSFIGEGVDDDADALSSD ENDDEADEDDLEEGEGDVDVEGDGDENSSTFEGGNGYAASEASSVAGDAADKSLERRT GEKDEESRGDH AOR_1_1404144 MADSLKMGSLSLNESQHAPAPNSSGRAAYIPPHLRQRQTGANMD GAPAAAPPAPGPSGPGNSWGSRGGPRGGNWANANAPDFSPRGPNPNGNTSWTPTEGSR RSFNPDAYGNPGHGGSYGGGSARGSGDGQWRDGKHIPGPANARVERELFGLPNDPTKQ NTGINFANYDDIPVEASGQNVPEPVNAFTNPPLDDHLIANITLAHYQTPTPVQKYSIP IVMNGRDLMACAQTGSGKTGGFLFPILSQAFQNGPSPTPAPASGQFSYGRQRKAYPTS LILAPTRELVSQIFDEARKFAYRSWVRPCVVYGGADIGSQLRQIERGCDLLVATPGRL VDLIERGRISLVNIKYLILDEADRMLDMGFEPQIRRIVEGEDMPHVNDRQTLMFSATF PRDIQMLARDFLKDYVFLSVGRVGSTSENITQKVEYVEDHDKRSVLLDILHTHGTSGL TLIFVETKRMADSLSDFLLNQRFPATAIHGDRTQRERERALEMFRSGRCPILVATAVA ARGLDIPNVTHVINYDLPTDIDDYVHRIGRTGRAGNTGIATAFFNRGNRGVVRDLIDL LKEAHQEVPSFLESIAREGSGYGGRGGRGGRGRGANATRDMRRVGGGMGGPPSFGGSS YGGPSAGYGGSYGGGAPSYGGSGGGSYGYGGSGGGGGYGNPSGPTGPSSWW AOR_1_1406144 MNFRNLFLSFFFLLAVGLALVHAEEANQPRGPKITSKVYFDIEH GNKPLGRVVLGLYGKTVPKTAENFRALATGEKGFGYEGSTFHRVIKEFMIQGGDFTRG DGTGGKSIYGEKFKDENFKIRHTKKGLLSMANAGKDTNGSQFFITTVATPWLDGRHVV FGEVLEGYEVVEAIENVPKVPGDKPQQVVKIVKSGELESEDKDIVIIEEPSETPAPSS PAPTPASASAYASSYSLSGFVVFLVAVALIVISVRKVCKARCQCKANDEKSIV AOR_1_1408144 MPLSPMQAKPTGFIQSGKMVFILGVNFPERNLVKKSLQSFFGIG NNGSSRLLARFHIHPTCKVGELANKQVLDITAALSEMKIENDLRRQYLDDIKRLKETG TYRGRRHALGLPVRGQRTRTQIKTAVKLNRMERRL AOR_1_1410144 MSEGSNSTSAAASTQRQVRVQLTSKQEDIALPENTGPILVPTGL RRYALSTLVNNLLGNDKPIPFEFLINGSFLRTSIDEYLTANGISAETTLEIEYVRALI PPLHIASFEHDDWVSSVDVLSTTSPAASLASATIAAGQERILSGSYDGLLRVWNMSSQ IVATSPSAADGGHTASIKAAKFISPNSIVSAGLDRTVRVWKYSEDGDGFSGKIAPQLE LYGHKGPINSLSVHAPSNRILSASSDHSIGFWSTKKSDAPAAPEDLLPSAALRSSKRR KLNSSVTIPQRGPLALLSSHSAPVSAAIFDSNDSTVGYSASWDHSLRTWDLVTATLVD TRTTSHSLLSLEHLPEHHLLAAGTSARHITLIDPRVSATTIAAMTLRGHTNAVVSLAR DPKSSYGLISGSHDGTCRIWDIRATKTDKDGVVGESVYSISRKSLEEQGKSEAKRIGG EGVKVFGVAWDGTVGIVSAGEDKRIQINRGEGVLSSA AOR_1_1412144 MAHKASEQEICQSVLGFVTEGTYPTSENVIAAEFPVSALAKELE LISKAREEVEADITTLSRDNDFDADGWISQAKQLHADIERSRVTAREIVAQHENTNPL QLKVEDAAAKFQLVETEIAFNQAVTHTLEEVQRLCQRLDAGRAILGEGQVMDAIETLE ATEQAIKRDNLFSNTTVMHVLLENVGELRREIAEYLRARWSKQLNVDRTASTLTLLNN NGHPLEETIAALVHLDMLALCKDKFEKDLIATLFEPILLPAIEGQSFLGFLRQHVPAT ISDSFSSSLIPTLSSKVISCWLSSSIPTDLEGLHIFEDILNYVIKFTNTIETLGWQGY EELVSWVNQAPRLWLTKRRVDSLDQVRKVLAASQGTTKQVERVEKEEVSGKDEVLLEN TTEDWDAGWDDDNEHETTAKHSENKEDEEDVSAWGLDDDTEENTAETKPDPSTEDDAA DAWGWGDEDEEEQPDDAQTKSTNGGKPVNGKDSSHHASPREVTLKEQYTVTDIPDSIL GIIQQQIKDSEAISQPKHSHSRVVSSGAGLLALPTLILAMFKATASSFYGLKLNSGQM YLYNDSLYLADKVRNLAEEHQLSRLHADIDALEKCGKFAYSKEMQTQRTIVTDLLDGA QGFSQCSEQPFLGECENAVSATVDRIHDVYKEWQPILSHSALLQSVGSLVSTVINKII IEIEELGDISEAQSQQLVLFCNQVSKLEELFMPETADDIARVPMTAVYVRNWLKFQYL INILESSLADIKFLWLEGELRLEFSADEVVDLIEALFAESDYRRKAIAEIRRVSR AOR_1_1414144 MSPSQKSHFKLLQKFKPDYSPSEFVQYESERTGMRVVVIDQKGP KVTGYFVLATEIHDDSGAPHTLEHLCFMGSRNYRYKGFLDKLATRVYSSTNAWTATDH TAYTLDTAGWEGFAQILPVYLEHVIAPTLTDEGCYTEVHHIDGTGNDAGVVYSEMQGV QNNAAELIDLSARRLTYPPGVGFRYETGGMMEQLRVLTAERIREFHREMYQPKNLCLI ITGEVDHANMLETLDKFEDTILDVIPSPDAPFKRPWVDSKQAPPLEKSIVEKVEFPEE DESFGEIEIRFQGPDSTDPVQTGAVNVTLLYLAGSSASLLDNILVEKEQLASAVYYTT EDHPSIEIRFTLTSVETDKLAQVERRFFEVLKGAMEKELDMNYLKECIDRQRRTWKFS TESSASSLAEYVISDFLFGKKDGSTLLDVATLKEYDVLEKWSENEWRSFIKRWISDAP HVTILGVPSMKLSETLKKEEEARVAAQKKRLGEAGLKELAEKLEKAKAENDKEIPKEM LERFRIPGIESIHFVETTTARSGAALKAGRPDHTAQKLIDADGSEMPLFIHFEHIPSN FVQLSLLISAQSVPVQLRPLLSIYTEAFFNLPVQRDGRTVNFEQVVVELERDTVGYAM ENARSLGNSEMLRISFQVELEKYNTAIAWLQELSWNSIFDVERLRAITSRLLSDVPDS KRSGDDMLAAVHVMVHYAAESIVRARSTLVKARYLKRIKKQLAEEPELVVSRMEEIRK ALFQFENMRVLVIADLEKLKSPVSAWKPFVERLGAISTLQPITARRALLSEAGQNLGG ESYVVPMPTIDSSFAYATARGLDSYNDPRLPALLVAIAYMNAVEGPLWVAVRGTGLAY GTNFAYNIDTGFVNFDVYRSPNAHKAFESSKQIVKDHLSGAIPFDPLMLEGSISSIVV SYANEQATIAGAAQGSFTRQVVRNLPSDYKEKMLRQVRNISVDDIKGALRDIILPLFD PKTANIVITCATVLEETIKEGLQSSGFTPEVQPLKDFEDDYGLKVGDDEDEESDDEDD DDEYETGSEEDEDDDE AOR_1_1416144 MLCFRCRAMPSALRTYSSPMSMSRYLTPKTSTTTPFSTLSSPLR PMTNFTTTIRPQLQTLSNTQLPSAATPSAQQTRSFTASASLAGKRATYNPSRRVQKRR HGFLARVRSRGGRMIILRRRAKGRKSLSW AOR_1_1418144 MATGPSPLPPTFILNSKSISQSAAHDFLAAYIDLAATDPAYQPN AGISEHGPVSRTTAAAPNLTIHNLKRVKAGLAGEVLGRDLALAKLEEGDAQQQQQVGA NGDWEDAKKFQEGENGDAVQDENDAQGQMEVDDAEQDAGALDKEERKRKKKERRLAEK RAKAKAETQAEE AOR_1_1420144 MYILEQLARLLDRPFFPWKNVLVGFSLGQFVLEGLLSLRQYKIL QRTKPPQVLENEVSQKVFDQSQSYGRAKAKFGFVAGLYGQIQNLAFIYGDILPKLWGA SGLLLAQYFPSRFQGEITQTLVFLFGFNLISTILSLPISYYNTFVLEEKFGFNKQTLK LWVTDMLKGQMLGIVLGTPIISAVLKIVQKTGNSFFYYLWLFGIFVQIFAITIYPIVI LPLFNKLSPLEPGDLKTGVENLAKKLNFPLQELHVIDGSKRSAHSNAYFYGLPWKKHI VIYDTLIEKSESEEVVAVLSHELGHWSLSHTTKLFGIAQFHMFYIFALFSVFVNNRSL YQSFGFINEQPIMIGFLLFSDALAPMDAVVKLLMNILSRKFEFQADAFAVKLGYSEKL ASSLLKLQIQNLSTMDADWMYASYHYSHPILSERLKALGWKGGKVTDYKAEDDEKPVK AADREL AOR_1_1422144 MAANGDFSDDESQPGSPMLNANGHDDIEEQEPLDQEEKPLKSAM KSVPPVSQPKRPELPEQPDPATLDLSTLTPLSPEIIARQATINIGTIGHVAHGKSTVV KAISEVQTVRFKNELERNITIKLGYANAKIYKCDNPECPRPTCFKSFKSEKEIDPPCE RDGCTGRYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNETCPQPQTSEHL AAIEIMKLSHIIILQNKVDLMREEGAFQHYQSILKFIRGTVADGSPIIPISAQLKYNI DAVNEYLVSHIPVPVRDFTASPHMIVIRSFDVNKPGAEIDELKGGVAGGSILTGVLKL NDEVEIRPGLVTKDENGKIQCRPIFSRVVSLFAEHNDLKFAVPGGLIGVGTRVDPTLC RADRLVGFVLGHRGRLPAIYTELEVNYFLLRRLLGVKTADGKQAKVAKLSKNEVLMVN IGSTATGAKVMGVKADAAKLSLTSPACTEIGEKIAISRRIDKHWRLIGWANIVAGNTL EPILN AOR_1_1424144 MAKRKREETTKDARVSSQQPSKVVKSTTSHEPSSSSVDLPAVTL QIITGSYERVLHGFTAAIPPSCFSSSEEKDSSAHSSAVQFIDTFLFEAHASAIRCLAL SPRPNADSTEDSPKVILASGATDETIKLYSLSAAPLEVNEQYPSIPTLAGNKILENPK NRELGTLLHHSSSISALHFPSRSKLLAASEDNTISVTRTRDFAVVSTIKAPRPKVQGR PSGDTAPPGGSPSGVNDFAVHPSMKLMLSVGKGEKCMRLWNLVTGKKAGVLNFSREIL QAVKEGKWSTGEGRKIVWDSKGEEFAVAFEWGAVVFGIDSTPICRIFPSPRSKIHQMK YINKDPSAEDGDELLAVSTEDGRVIFYSTKKVQKPQDEDDSPIPYAEAVAELGGKASG FPGRVKDFEILSLKNETAGPQDGFLVVTGNSEGVIRVWYVPGEDLAGKGKGGKTSKTK DEKASKTPQVGKFLNAYETGNRITCLKGFVMLPSEDPSSLLDSEEEFEGLDSDEKNES ESEESDA AOR_1_2016144 MLREELLRSKNDEIARCLLSRKRKLSELYFATVGFAGATENPSA DSLYHQKEQAFLDANDLSKGRYFDEATLPPLPNYAEILSRKYQEAVQSRPEPSKASNA IPISPPAGGEAVVAQTAPDAGKKTSLPRPLPEQKPPGTVLQQQQQEIQVPTQPAPGVT PEGLPGLDASTPVAAPPTESPAPEQKVISAVPGTPKSSQGHDSTEVSISPSQAKPTTT TGVSSGPTDVSSVQKKPDERPSLVLSRVAHRNEQPLSPVSSAGPYSNNTPVPVAVSPE TSPAEEGPDPTDKIVPSPKPEEPTQAPPILVPSTPDEQLRLEEAQSLRQSSLVAKNTI GDSGTNEPSTNEVLQESVAAPTDLEASLKEEQVSVATPLAPESKKPDGVVQLAEDDGP PPSHQAEQVQPSNAIEPKVLPPATSAQKKVTQTVSGPAQPERMTTRVSSGAIRHKSVS EILGEAPKPVVAQPDKASATDKPADTARAVSKAPSDSAARLSFKDRKARERERSKLST VVFPKQQQQQLQDQADSMDLVRQHTGDLAKLNEERDYLFTLFQSKAYSPPRGTSLSTL LASAHKTLTTANHHLEYQEQMDCRTLRRIYQLQNANRWPLRQMKRSAEPPRQGTHWDV LLDHMKWMRTDFREERKWKIAAAKSCADWCAEYVNSQPEHRSLLRVHSRIPPLKLVKK VEPDTNMMSPPEETGDEMLGLSHPTPDLVPSTEEDSVSEGYNDEPRHDLHDTVAPAAI FSLSSDEFTFSLDMTPAAQKLLDELPVYSPVGIAPETNLPTFKEPPDAVWKTEILPVS KYASGKIAFLDDEPPRKRSRYDYSQYQSDPEQGLLDLPPEQTNVALFRPENKHIRDRI HPGHTFRPPTEYPMPSVGFFESRQSSQWTYAEDDELRRLVKEYSYNWSLISSCLTPSS QFTSGAERRTPWECFERWIGLEGLPADMSKTQYFRAYHQRLETAQRTVLAQQQAAQQQ QQQQQQQQQQQQQGNNNPQSLPPVRRRTTQPVRVDRRRSSKHLALLDAMRKLAKKRET MLQKQQHASQLASLRKVNEANQPKPPISTPAEFSRLKYERELKLQERQEQYRQQMIAT QRANLAAQRAGQMPNQQPMMNAPGRTPNAMPQNPGTPSMPSSTPNGMPNGLPNGMPNG IPPGVGANQGRPHMQAMPNSGPVNGHMPPNPMAMKMMPQSGMQQTTPTRPGMPMQTTP DNTRVIREANRLQEQQRLLQSRQQQQNPQPQPQQPQQQFHNQQQFVGQGSHSPNMNVP NVNGTPNNPAMMAALQAGGGMQSPSFHNATPQGVSTPSPRMGQPNLLSSGVVPTISSL QNQIQRTHPGMSAEQVNKLATERLHQYQQQRMSQVAMNAAAGNIGAVQANYQMSQDGN FQSPQNGMNGGPGIQMPQTQGYSPMMRVPQTAQQNRVGVGNSPAMNGAVPQPSRSATP QTQRSGSVQGGPMPPSNKSPNPPQAQTASS AOR_1_1428144 MSPHPSRDSHERSQGSSKPFSLSLGGGSSASNGQTKKASLNLQR STRGTGAPSRTLARRPHHLHDDDESDEEERAPMHEAVTEFDTETGTAVSADKKDEKRE LVIPVASNNNWRNRPGVSQKPKGKNLLPKEVQAIQEAAKRGEIAGENTETDSPSMAYG LSFAQQRRTEQHAEDEADDKPMEDAEPVNEEEQKPLTQDEIALRALIRESKGETEGRS DLIIESRPVDGEEDGTGGRYDEGTSFRADIASRPESATLDQYNAIPVEEFGAALLRGM GWKEGQAVGKGKYGSSAVLDKPRIPERRPGFLGIGAKDASGGKGAEAELGAWGKAAMR KGARKSGKEGETSTEGVYMPIMMRNKKTGESITEEELAVLQKEGKSKKDDDEWKERRD RNLERSGRDKDRDRDYRRRDYERDDDDRYDRRKTGSSRRDRSHSRRRRYDDDDGDSKD DRSYRDRDRDRERRRDRERDRSLPADTRPIHQADMVEIVTGIEIVIVTLTGDGGMMTD TLLDTTTTGIAA AOR_1_1430144 MSKAVVKTTFEASRTLRPIYTGGSTALDASGRLLVACVGEDALI IDLETGDQLASLEGDGEIITSLAITPSASHVVVCSRSMSMRIYSLTPFEDSSRTLDAK LVRSLKPHTAPVVTTAIDQTSTLLATGASDGSIKVWDIRGGYVTHTFHGHAGVISALC FFQVSFQDSESKSSSKKGKSKRKSDDSDEDEDMEDVAPVASIGGFRLASGSEEGKVRV WDLNKRKSIASLDSHVSVVRSLSYSPAENALLSAGRDKTVIVWDVRTFKTRRIIPVLE SVEAATFVADSGLALVGGENGVLRVWDCNRGGEVTQEQEAAAEFEAIVAIQYTPGMPF AMTVHADQTLRLHSLDSLSDFKPGSSLDPLPIIRRISGNDDDIIDLAYVGPDRSMLAL ATNTESVRLISVGRSVDRPSNKEEDYFGADIAHLEGHDDIVICIDVDWSGHWLATGAK DNTARLWRLDPKTSSYTCFAAMTGHAESLGAISFPRVPPPANTPARNDPLNHPPQFLL TGSQDRTIKRWDTGKLAPLSSSKPHNPKAAFTRKAHDKDINALDVNPTSTLFASASQD RTVKIWSVEEGSVVGILRGHKRGVWSARFSPNGTPTISSSAQGSTNRGLIVTGSGDKT VKLWSLSDYSCLLTFEGHTNSVLKVLWLPPSDLSTKKDDDEVDDDEATPAQKNATQAR PLVASAAADGLVKIWSPYTGELETTLDNHTDRVWALASPTPSGSRADVLSSNTHNISS PYAIASGSADSTVTFWTDTTSATYTATVSANAARIEQDQKLENYIRAGAYREAITLAL QLNHPGRLLSLFTAAVDAAVDPSSTDAERSERANSLTGNPSIDEVLQTLDSNNLRTLL LRLRDWNTNARNSRVAQRILFALFRSYPASTFIELATASMANRRSDSRTAAGMKDILQ ALSAYTERHYRRIEELTDESYLVEWVLGEMDGGVGLGGLGISGTRDVIDSATDDVPEH EKDTIMLGA AOR_1_1432144 MGRQAYLNRLALGRSPYETPEHPADPTAVPGNPTQQRVSPRYAD GYVQHYDERGHPINPESKSFGRELRRAKNDILSTMGIVVSEEGNASGLSEQQKIDMIA TENDYGLVMATLDQVSVFLGSWWTSSLTGRIQTFRSYTHIPLMGIIAHERASYGILGF YFAGIPAWALSTCLSICRHHPLERLISSLQGKFPDNDVLSKLARSSLTILHSATRGTL LVLAIQSYVYSLLQSLHLVHPHGFPGIRFLVPLGELTTMLLPPLPAAFTLQSLGDFCL NLLKAPPLLVYIYVYLRPVIEIRLYRLIRRRLPKPTLADELSIKVAIENDLIDWMAPT LGRRSEEENRRNNLSLLEDLMCEFKFLQGWVLSWFGFKIRRTSTAPEQAADSRRRQEW LETLPMSVEQLQDERQTRTRRLQQAVPPAPEEVVQAHEAARSGQPSTPVTAPVPDSGF DFSGDRVLSNEEDPIHQSPAQMSTGGLSEMAPLGRTSDITAAANGSPAMNTEAHGEDD AHEDQRNSRSNTLFSRQTSPETSSPTSPHVRASLIHQNSDIITMQLELLGNRNAQNQG QLRPRPGNDIDFPTSNGETGHRRSITELLDTILTHQNQSLSTIVHSDAMDSDGLSNMT PGANGEDVLGLVSQDQQAGQGTDDFTAESPVEGPSSSLANILPESVEEPPSQEDTRNH PSEAEPTGENDFDSGIYPRISDPNVREGPSENTNSPTAHRITILSSHPVDSLASHLAS MITTVLFIPLESLYLRSLASSYLSSTASSAADVRALGAWGGGGSRSDTLAYMGKLALM MGMHAAVNAGVWGVISGTAIRIGRRFCGWGTL AOR_1_1434144 MSKRQAELALEEDTVAGSPAIKKARVEDDIEEGDPRHGALPLRR ASGQEREEDERKGNDILAAADQEGEELEEAAVDNGAESDFDDADDDRPVIAAPKRQSA PMEGYSDLYLDTINREILDFDFEKLCSVTLSNINVYACLVCGKYFQGRGPKSHAYFHG LEVGHHVFINMGTKKVYVLPEGYEVKNKSLDDIKYVVDPHYSKEEVSKLDKEVHDAFD LAGNRYRPGFVGMNNIKANDYLNVVVQLLAHVFPIRNYFLLHEFPTPGTPQLALRFST LVRKLWNPKAFRSHVSPHELLQEIALRSSKRFTLTQQSDPVEFLSWFLNNLHLSLGGS KKPSKTPTSVVQAAFQGHLRIESQAITAHSDTQNARLVFTESGDIKSQTTPFLILTLD LPPTPLFQSANRESIIPHVPLTTLLNKYNGITASEKLAHRVRHRLLHPLPPYLLFHIK RFSKNRFVSERNPTIVTFPSPRSLDMSPYVEPNPDIWPPGEPILYDLVANIILDPTVA VPGATDEAAADKGVNAASGASSSGAGAGSEKVSWMVQLHDKAMSAENNRQHSERAGEQ QGPEWLEIQDLFVKKAETETLFTREGYLMVWERRKVPGMNSRKGKNPAK AOR_1_1436144 MEVLLGITGKDFVLVAASKAAMRGPTILKAEDDKTRQLNKHSLM AFSGEAGDTVQFAEYIQANIQLYTMRNDTELGPNAVANFVRGELARSLRSRSPYTVNL LLAGVDGITQKPHLYWIDYLASLAPVPYAAHGYAQYYCLSTLDKHHHPDISLEEGLKL LEMCTDELKRRLPIDYKGVLVKVVTKDGVREVDFDNDKIVKSA AOR_1_2018144 MPPKAARKLKDSIEQEGRILLAISAIKKQEIRTIAEAARIYNIP RTTLRRRLNGHTFRAETRANGHKLTQNEENSLVHWILSLDQRGAPPRPAHVREMANIL LLKRGFSDTPTTVGENWVYTFIKRREELKTQFSRRYNYQRAKYEDPKLLHKWFERVQI TIMQYSIQPDDIYNFDETGFAMGLISTTKVVTRAELADRPFLLQPGNREWVTSIKYIS SRGPLPPCLIFKGKVHIEGWYEMGLPSDWRIETSANGWTTDEIGLRWLQQLFIPFTAG RTVGRYRLLILDGHGSHLTPQFDDICSQNNIIPLCIPAHSSHLLQPLDIGCFGPLKRA YGQLVENKMRLDFNHINKLDFLEAFPQARAQIYTTSNICSGFSATGLIPFNPKRVLSQ LNIQLEATPPGSRPSRNYTEEAA AOR_1_1440144 MSSFFTLPASQRKRKREDRAGAPASKKRGVDADGVSGAKGSRRT KEREQSISGSDLDEDDAESIVSGVSGEESDSESDEGETAADRRLKLAERYLDNVREEV DEYGFDAAEIDRDLIAERLKEDVDEFKGRTYRQIASDLSLSAASHSFFRADTQSTTSI AVHAPFVYTVSKDKTLIKWELATPSHATTDSSANGQNSSSKRPPRPQRKKPKQVRFTR GLQKIAESDEEHGHTKNILSVAVSPSGKFVATGGEDRKLIIWDAETLTPLKTFTQHRD SVSGLAFARHISTMSSGEQLFSGSFDRTIKTWSLSTAGHAYVETLFGHQDHISSLTAM TIDQCVSVGARDRTARLWKVVDESQLIFRGGSSKHSYQENNLDCVAPLPPNHFVTGSD SGAISLWSVHKKKPLHTITLAHGLDPLPPLDELSSEVDPNIAASNARHMRRNPRWITA LATLPGTDIVLSGSWDGWIRAWKISEDKKTIIPLGAIGGVSSEPDTPSQQLKQSLALD NPVDSAQMAVDGRREQKMEEVKEEAEPLVKGVINGIAVFERRAETSKPGQPKSKSESA EPEPRGLCIVAAVGKEHRFGRWKGFANNYYEGPTPDGRNGAVVFEVPFINGNPQSK AOR_1_1442144 MSKSTLAGAASEKSSNNTNATLGDDVSGLSETLQGHVDDIRALL QCGICIRPLYEPFTLACGHTFCYSCLTSWFAGGRSNKTCPDCRAPVKTPPAPAYLVRA VVQLFTGRAELLEKGETTAEHKRHQREEAEKLENDKKNTHPKEGGLFRGTFNKKLPTA QPIVDLEDNVVRCPRCSWELEEDSNCAQCGYRQDEESVTDTSDISDSDSTGSISTDSE ENSEMTDYMDDEFEDGFGELDDVDWNGFYNGVSIEGRVDDLPRHIYSLVRHYHHHTPP SHRPVFARNSLFDEANSTAHETEESTDDYLDDTDMDSFIDDDEHIEQGHDSDSDRSTV VGGPEVRFPPDQDDVQLSSEVTMSQADDCIDDSLDSLDEISDSEEEEDEDDDDEDDEP IRPPVAGNRRRQFPASNGASSSVLASRMGGAPNVNPYAMRRQASRSQSTNPSLFESRI RRGPNVNSYAMRRQASRSQSTNTEGTSASNAITLDDDSDEPVRAIRRHCRNTGR AOR_1_1444144 MSSTSDQQPESSEQGHPNSWSNTERRFTNKSASEYYDPCQDFAD RSLKCMKRNNFDREMCHDYFQAYRDCKKQWLTQKKLSG AOR_1_1446144 MSTNDAVFYRRNKQIQDAIDGQNLKQALQLIDKRMKKGEDTRFL KAWKAHILYRHVDEIHRQRGIAETLDLCKAEPPATDLDTLDILYQTLKRMGDQAETMR TLWERASKAKPQDLDLQMRWFTDAFEGDDWKSAQKAAMTLQNNFPKTRKYYLWAIFLS HLVATDQASSESDRKLFGTLAYRMVSKAADSVPSDPKELLSPPRAIQGPEELLLLVKI YESQGRHDEIVKILDSENLGLSSRVIQNDWSFVGVKLSSLEKAEMWMQGLSYAKELLA IPTNEAEKKALQERDDWAVWKLLVISTRNINTQETTIETLKFIGDFLDVVPKSRNARL ARLDLIHSGVLAGTSKTEDLVSTCQEYFDNNKNKLYCFGDLQLYLAALGKEAVTKFVE YASKGQEGNVKNDPFKGVTTINALKLEYCYELSTNGTNVTKIQVEDFISRCLQVYREV DRPERSSAPSTIESQPSDDLCLLAAMSLIRFSGIWISGNQDQIPDTILIRAAAILERL LIDSPHNYQALLLLVRIYLRLGAGSLALKVFSKLSVKQMQFETVAHNLFTRLATIHPH SAPPIEGAEYKDFNPQSAFVQALNFYRTAEVTTVRHRSNGLDLGSYVNIEGTIELQRR LKYSVCRRMWALDVRRMQRLAGGDPMGRYDEVAMDPSPVTDQRLFDAFMNCEPTNQPT FEERMRLGPLPREQWVMCTRVTDQLFSTLKNMTVQKPVSVEPNLPSPKDFVGSEASSE MTSSEIESAKINLSLLKVATFLNGSKSVAAEEVDSCLTQVEEWLCSKSKDLDMNGPKI SQLVSETAVPLRRHEASAPTWRSFHDLYLIMESLKALSLITSIASKKTTKAAKLPKDR IQRLADSTRQVYESLRANARALKSAISEPGVLGSLVDLVVGGSDDGEDGTQLRAELDK TFDTAAVEMFCGELMESWEEGLDGLLRVSL AOR_1_1448144 MNPTYDHKHSPQRWDVITEVLCLSISTCCVCMRMYTKLLITKAP GWEDFTCFFAWLGLIVYAVMTFETGKHGSGLHRWEVSPSDLREFLKLANACQIIYGPI IFITKLSILLLFLRVFAPSFRGTTYFLIQLLIWLNFLFYFADTILKIFECTPRSKIWD EHVPGHCININGPILAASIFNVVSDFLILLLPIVCVWRLQMTFKKKICTSAVFVAGIF GCISSVMRLVVSIPNSTATDNTFVWFPEFLWTAAEITSGIIASCLPALPTFLRHFFQK TRYFFSEPVMTSSGSSYIASKKTVEAKRFPAQHRRNVSLTDLLPGDNLEMLSRGNRSG KTYETTCYTTVEASPVEKGRDDHSKVGDSPNRGILKTVEVDVESGPEQTGRKK AOR_1_1450144 MAQERSGIVVGLNKGHKTTPLNTPKTRVSRTKGQSSRRTAFVRD IAREVVGLAPYERRIIELLRNTQDKRARKLAKKRLGTFGRGKRKVEDMQRVIAESRRV TGH AOR_1_1452144 MSAHVVVLDSTARRATIKTTPGKYLTDVLQEACAKLGVDASQYG LKYKGKQVDLSLSFRLSGLISGAKLELVQLSRSPSVVTVALQLPESEARGAPNGRLLD KFPSTTSLWFILRKFEAGVAGNASIRNLTARGAPVTGNGDNGSGRLFYETPVIQILER ELSTFTDLQKSLAQLGFNSGNVLLRLSFRRTEDPLEVAMSKIQEYFKSSDDTNPPSQE SSTPAEQKQTVDGPSQQEQEPPKFTSDAPLSAPVLPEQPRNQIPPSAPAEPFEPATTV SSRQVAVFAPPSSDTPQSAKTAYNESDYVPSVDHAQAHQRRLNAASQNKRLLSDAEIA AKAAAEEEKLAAVKEVDVKVRLPDQSQIVAKFGQQDTGKSLYSFVRNCLAGPFVGEKF TITTFPGGGQSGKKLHNVIPDSDQTLLIKDLGMVGRTLVNFSWDATASQAARQSQRDL LRPELRTQAKELKVEQPANVMDTSEETVQPKSGSDRQGEKSSGRKPGSLPKWLKLPGK K AOR_1_1454144 MSSPFDINGGACVAMVGKDCVAIACDLRLGMQALTVSNNFPKIF NYSPSTFLGLTGLATDVSTVSDLFRYKVNMYRLREERNIAPQTLANLVSSSLYERRFG PFFVSPVVAGINSTTGKPFICGFDSIGCIDFAKDFIVSGTASDQLFGTCESLWEPDLA PEDLFETISQALLSAVDRDALSGWGAQVYIIEKDKVTKRLLKGRQD AOR_1_1456144 MARPFEISVPDAQLHRLQQRLITATFPDELDDAEWDMGVPLEEM KKLVTYWRDTFNWRQKEQELNERLNHRNPNAIPLLFIHGWPGSFLEATKLIPLLTQGG ENHPAFHLVAPSLPNFGFSSAVKKGFGLVQYAEAMHNVMLALGYKDYVVQGGDWGSII ARVMANRYSDHTKAVHLNFLPVPLPYPWRSPLVFLRSLLTIPFSSDDKAHLSATSDYL MQGNAYMRQQETRPQTLGYALHDSPVALLAWIYDKLHSWTDSYPWTDDEILTWVSIYY FSVAGPAASVRIYYEAARNDSSSAVPGMSTVDAISKPTPGNVKLAAAQFKKELIRVPM LWTGLAGSVVRAKQFDCGGHFAAWEVPELLATDLRNFLGRNGQAVQVERSPIRVGS AOR_1_1458144 MSDSVESEWSKTFVSAGRSKPFLPCKSECLSGDTSASTLLNDDP QADPLNRTHIGGHQSMAIEQLMKLKQELRGLDLESFWSRLMEHITSFCNAQYGFVARR VRDDENVGDLGEHKPRLFGTAFYYNDGHQNVGMHRHRYFAGGNPLSHMDHGKPCLIPE KLGSVMSFDQDQLPFAAEGYLAIPLFSETQCLAHFGLMWSESGLQKRKLSWSLLEMVL YSLEDLIVQRIREDAAKTDRPSKDIKNPSKGHKIIDDGYLNALYGHPDFSSQPLKPFA RSLSHELRTPMQGIVGMLDVMHATVREAIQGKPSPRAGNVFQSLKESIEMVQDSARRA VEAADNVVHAYDMNMQVPKTPQVERDNDLFGGPVQSPIPTCENRPNIFADGTNVGINP YKRRRSNPPEFTVGSTPKQKMPRVTATKELSPRSEEVKNAVLESDKIIQATPAHQIEA VMANMVDPRPSLAVRRSAPHLLLEGININLKSPALRVTKLRDLLRLVINESLHVGGRP DFAVTNGTELGEKIELRSRSSNGEVFSKTIDWSVDTALPDTLFVDDRDLAKLISCVFL NAVKFTNSGVITVSATLGRKVGDVLINVRDTGSGIPEAFLPNLFKPFAREDTSTTRSK DGLGLGLLVAKGLARKMGGDLICVRSATSGPDRGTEFEIRIPITQPEPCTRPIAPATK LLTPPQLSDPSRLSQPSSTTLDALLPPAMRTPIQQPSPSLTDETSSQTPTHSRSVPDI KSFGGSINGDAYDSKLGEKHPLTFLVAEDNKINRRVLVNMLKRLGYRDVYEACNGREA VRIMQDVLASQRPEEATNGSHAVPNQNGGSDDRSILKPPPKYRKKLKPVDVILMDLWM PEMDGYEATSRILQLMDQYHGQIPPNPGPKHVRTTPPTVLAVSADVTDDALGRASKVG MKGYMTKPYKLTDLERFIMSFCCGDDTAKENNSMKT AOR_1_1460144 MMASASSTSWLSSVLAFCAILCLSVPVNALYFYIDGRQPKCFFE ELPKDTLVVGTFSTQVINQQSNTYSVDPSLKMLITVDETFDNDHRVVSKRDGHSGRFT FSAADAGQHRICVTADTSAATGGWLSGAPAGAVKVTLDMAIGETSKIETEDKGKIQDI VQKVKDLNGRLQDIRREQVFQREREAEFRDQSEATNSRVVRWTLIQVAVLSAACAWQL SHLRSFFIKQKLT AOR_1_1462144 MAAADVRDMLDLPAEGQPRPHKKQKVVEKRPEGITRELYALLGE RAPPIAINENRYKGRPKWMSKLRVRPWRMAPFTNEARSDGLVLHHWQRQGDTVKPALE GPETEGEEQKQDEGAPQTPDQEYLFAKYNVKARVPRRYTDEEYNRHLKSDDWSRQETD YLMDLVEEYDLRWVVIADRYDFQPHPVDAETNNSALVPANQVRTMEHMKARYYFVAAS MLALEHPPSEMSEAEFDLHEKMMKFEPERERARKELAALQLERTADAVREEGVLLEEL KRITANEQNFIAERRELYSRLEVPISVGNTTMYQSSQGLSQLLQTLLQADKSKKRRSI LGPEGAAPSPAAQTPAPNANTRDSRGETPSNAQAAPTNKKAAAAAAANKEAQQSIRTL TPSEEARYGVQHHDRLAPGVQFRSDRAQKLTQAKSNVQTQKLAAALAELEVPLRLVMP TERVCKEFEKLIHSVNLLLDARKVAEKVESEIRVLEAAKQERERKAKEAKEKEKPEVK TEQDDNPAPTADVATESTSAAAAALPSAEGQETAGGTEDKEAEAGSGDTGEATAREGT SQKRSASVLSNTSDKSTKRQKK AOR_1_1464144 MTGVPSLTDNGSVCEDKRGSSGLSERLRKRFSREINAGPKSTDK KARSSFLPFTPKPTNSKPGLLASDGFPSSLMSERGYDSDVQFMDIPTHASNTSERPYN SPSIWGSVSPLAQPYYETEPDDPGEELAGESSMVVDGPFSGQSEMERPQLPWHPRDYP HWGPVPPITHNADHERARKRIGSPYTTRFFDNPGRYSTVNRGRLQGYPGRTPISPIPC ATSVDSVYGPSSQLSIRKRRQPPPGELKSESHSVHLGSMDISKRLASPSISPRILSDK SSFDGNGRDNTTGVRSASNQGYDRTNVDTTQAQTENVQASNMVASRAKESSSYSRRTS FSSGFSKYQFETKVFSLPESVREPMENPYADASSLSPSCQLQETAGAIQNIPTDASPC DTSGRAQQSKSPGPCEADPLVAPSRADPQSNEGAAASSSRQVSVGWMSGGRRLGYGYT LIPADDKGNLSPEDTCSLKTCNLNSASTIGEGHGKRSASAVDKPCMEQDNRTGSGKGL PIFEITNMMHRLNLHHWSGATTSSGANNVGKEPSSTSVTSLLWGKFEFLRKSQNEPDP HVDQKPPWSHFCGVGLDHALDGALTPTRDTVSPVNDIEGQVSKGRMGLHRARSLWTKG RTITDKAHGPETKSPTKIPIFTKQNPGLRRGKTRVIKFKDRGRKKTINHSLEEEKPIF PSPKQHDHSAISQMEERHGPSAGYRERRDGALQVIERSSSDTDLADAYEDCLEVHSLP D AOR_1_1466144 MVQSSVLGFPRMGKLRDLKKATEAYWGEKISRDDLLAEGKRLRL EHWKIQKNAGVDIIPSNDFAFYDQVLDHIQMFGVIPERYSKYNLHPVDEYFAMGRGLQ KPAKDGQAAIDVPSLEMVKWFDSNYHYVKPTLQDNQTFKLAEQPKPVVQFLEAKEAGI VTRPVILGPVSFLTLAKADRGQTVDPITKINDLLPVYVELLQKLKEAGAEDVQIDEPV LVFDLPAKSKDAFKPAYEKLGALGDKAPRIVLATYFGDIVHNIDVLPALHNLYGIHID LVRNPEQLDTVVGALGPNQVLSAGVVDGRNIWKTNFKAAIEKVELAIQKLGKDRVIVA TSSSLLHVPHTLASEKNLDAEVRDWFSFAVEKTAEVVVIAKAVTEGPAAVREQLEANA KSVQSRASSSRTNDPKVKERQAAVTEEMHNRKSAFPVRLAEQGKSINLPLFPTTTIGS FPQTKEIRIQRNKFTKGEITPEQYEKFIEKEIEDVVKIQEELDLDVFVHGEPERNDMV QYFGERLTGYVFTTHAWVQSYGSRCVRPPIVVGDISRPAPMTVKESKYAVSISKKPMK GMLTGPITCLRWSFPRDDVHQSVQAQQLALALRDEVIDLEAAGIKVIQVDEPALREGL PLRSGKEREDYLKWAVRSFRLATTGVSDGTQIHSHFCYSEFQDFFHAIAALDADVLSI ENSKSDAKLLKVFIDEAYPRHIGPGVYDIHSPRVPSEQEIKDRVEEMLQYLRPEQLWI NPDCGLKTRQWPETKAALTNMVNAAKYFRQKHTK AOR_1_1468144 MGPTISTLNSLPPLQDLLHPTPETYTQILNIWQYFASFTVVIWL TTWFPMGKTSLKSSIFNIPGRLAWTAMELIAPLNLIYVMKALVAKLNTDLTSLPLPNQ TVAALYLIHYANRAVISPLFAAPSMSPIHAFIAVCGLSFNWINSTCLAAWLVGYHVPV TGYRADGSGPGIVLFFVGMAGNIISERTFFRLRREEADKLHNTQKDHSKNPGTKNKYS KVYVIPPAKGLFRYILYPHYVFEWLEWTGFVLVGTAVYPAAGAVGPEMGLVPWLRPAA ALAEKLRVPLPLPAVVFVVNVVTSMVPQARLGKRWYLGRFGKDKVAGRGAVVPFLGWL SV AOR_1_1470144 MSDNLSEADKIRNKRLAKLGNPSPSSRPEGAEPSSRPQSPSTPA LSRTQSNAGSAASSRAASPRPDLQSEGKRIKITPAVSNITGPDRSQSVTPVSGTPPPP RAEESIEAFEDRTLSAVFKLTLKEDRQRDIHGQRLTYLSGLKSELEEQGRDLRIETAV LDQALLEAASNAPQQKPLDYLLPCWRRISRLHKGFRRAREDDPKFKVICEARRLCLSY CMFAITMPEMFGIEPSGQSPLKPYLLLDPEDDKGVDFEFLSEAVKRFEEDENIKPAFI AAVEEMSKDLAAMTINDDYKPYVTALRNLVRHAVVGAAITESSLFNESREPATFEKDT LLGPWFRLSPLQGAVTMTYFSSPKTRDQGYILNAQRSQRMMQQMLSSDLFDIINHLIR ASKDARERVLDWFAAALNINHKRRAMQVDPNTVSSDGFMFNLTTCLDHLCEPFMDANF TKIDRIDAGYLHRNPRVDLKDETKINADQHASDAFYAKKVDGTSNFITEIFFLTVAAH HYGSESLTSKLDQLEKDLRHLENTINKFEQERHKWSNNPMQLRVFEQALKKYKDKLDL GLALKYSLQGVLFDDQWQARSMLFMRYVIVFLLRLVSGKNFPQEPIQLPLPAEQQEVW KCLPEYFVDDIVSNFKFIMWCMPQIITATQGDELVMLCIAFLESTSYIKNPYLKAGLV SILFRGTWPRPGGARGVLVDLLNSMPFANEYLLHALMKFYIEAEHTGTHTQFFDKFNI RFEIFQIIKCIWPNTLYRAKLSNQAKRNLDFFVRFVNLLLNDVTFVLDESFGAFITIH KTQTELRNGAGMDPTVRQQKEEQLASAQRNAKSYMQLTNETVAMLKLFTEALADSFTM PEIVQRLADMLDYNLDAMVGPKSSSLRVDNLQEYGFNPRALLSEIVDVYLNLMNKENF IVAVARDGRSYKPANFEKAAEILRKWSLKSPEELKRWEQLQRRVREAKEADEQAEEDL GEVPDEFLDPLMYTLMEDPVILPGSRVSIDRSTIRSHLLSDPHDPFNRAPLKMEDVTP DTELKGKIEAFKAERMAARRNPATQSAPETMDTSAD AOR_1_1472144 MPSATASGVDNGGSAKSREHSQGNQDRKYTPDQKAAVLRIRKCS STAYYEILSLEKTATDAEIKKAYRKLSLLTHPDKNGYEGADEAFKMVSRAFQVLSDSD KKARYDKFGGDPDSRFGPSSGPSGASPFSGFGGGFPRSANPGGGMYEEEISPEELFNR FFGGGFGGMGGGFNTFGGPQFVFNMGGGPGFRVHQFGGPRPRRRPREANSQPEPAPSF WAAIQQFLPLILLFVFPLLSSLFSGSSTPSGPSYRFDAAVPPHTMQRTTPKLHVNYFV NPGDVEDFSARKFRQLDQRVEVDYVSKLRYACESEIHARDRMIQDAQGWFFPDVEKMK AARSMELKSCRQLDSLKGRY AOR_1_1474144 MANSESAVEKTKQSLMQKAQAWGENPFTPTLLATFITAQHMRPF QALPMLFPPVLLFTSYANLQGFKTDSAGISAAWSGLYLLLAGRRRQPFMKKWGARGIV RGVTMGLCLANMVGGGLAYTLGKREEEDDD AOR_1_1476144 MTSPALQTLRAQYSSTLKRFIASSKNFEILTSIPASQSHPPAHS PDVLYVLDSSFNPPTLAHRRIASTALLENASKAPRLLLLLATQNADKPSKPALFEDRL VMMELFARDLLAYLQPHFSTSENGSLPAIDIGLTKKPYFVDKAAEIDTAGVYPESLEQ VHLTGYDTLIRIFNPKYYPPEHTLQPLGPFLTRHRLRVTMRPGSEWGDAEEQKQFLLN MAQGGMEKEGCKPEWAQRIQLVEGRRPEERPVSSTLAREAIRSNLQDLDGLVPDNVRE CILSQQPYSE AOR_1_1478144 MHFNNKISVALLAALAAGSEASHARNHKLFHARNVNSTVSTSSV FVYPTPILTPSSSVPAGSSPVASSSAAGSSSVVTSTSDASSSVITQAPPLGTGVPGSS DAGDDNSGSATDITITYTLGTGASKSVVTTTIHKTATNTETVYATPAAPSAGSEEQTT TLHSTATSTVTVVEVPSSSAASGNAGNGGSEACTGQATVTVTATVTETVTGAPSATAK PGDDYTKPHDQDHQKNPGNSGNPGKNNGNNDEDEDENDQGENDNNDGAESTKAPLPVP THTGRPPFGNGTLPIPTSGVAKPTGFLTSSKHAFPTNFRRSH AOR_1_1480144 MRYSPALLNPTTWLPTLKTAQLQKIAQATGIRSAGPKAALISRL ETELAQCEYPSPSGPSKTKTESKTRNLSILSIDMGIRNLAFAHLLVPSPQSAKGTSRI TPTLNAWRRLAVSDLLPGSGLSLPESGLIKGTESSEIDELNGLACQTVKDGKEMFHPS PYAKQAYILITTLLEKYQPTHVLIERQRFRSGGGSAVQEWTLRVGVFEGMLYAVLYAL QRERGVTAGSSCGSSAPMVLGVEPQRVVRYWGDGLGGDGVETKKGRSTAREGKKVKID LVGGWLDDALADGDRDLKVAVSGGEELQGWVEAYLAKWKGVKRRRGETGGVDIGKLDD LADCLLQGVTWLDWHLMRDRISREGVGALDID AOR_1_1482144 MDLQRTKEARRDAPGKQDDSTEGPLEPYGGNGHSKDSPKNDSLD HRDTNKNKDPTQVKQQHQGPPFACDHEIHQASSAFQQPACSNTPSPSSQAMRIPQELG LSAEEYHLLLTAKRYPPVTKGTLSELDLPCIMSNINLRMDANFDRDLHFKPDLDGEKG RRKRKEAADYWEAMATEITVYAFCAAHQPLVPCDNMWADQRSFEPRLPSMFDTLQDVL KTLVPERDHPSVMQNLEVPLLMQQIRKGVLNMVDVANWLAALLKTHCAPMRDEWADRM VEQISSGSRSQNALEIVGGLQTLFAILEAMKLDVANHQIRAFRVLLIEDTIPFLQEYF RSKIERDNFRVESSRLWYQELREQDLSGMEKPNSFRPLAILFGGLSDLLLQFHTPESF PETFIFDSDRLWQLRACLQSLITLDICWEIFQRCVNPLKRYHPAQAQTYATFRSRIES LIDESADYSVMARIENQLETHLLGESELFQHFQNLWRENLMAATMSFAQQYLSMSPLA ICESQRSHPHSPVSQQHYGIERIAMRLAHMGVLHWRVWAPILYVRESVSPTDVAMSNY DMA AOR_1_1484144 MESAHLPSSANYIVVGGGTAGLVVASRLSEIPTVQVLVLDAGLG KTSDPQLQNPVLWSSLCGTDLDWQFKTVSQPGLNDREQNLPAGKVLGGSSAINGAAFL PPSPAGIDTWSRLGNPRWSWKDLLPYLRRSFTLTTPRGILLSEVGLNAQVHTGSGKPS VIQARNKVFEENGYEFQPDLILERSTVGTRPYTATIDPESGLRSSADNQYRSLKKNRP NLQIVTGATVDRILLSNDAVSHEVLATGVQVRLADGKLTEIKATKEVILAAGAFQTPK LLELSGIGNKTILARHGITPIIDNPGVGENLQNHSMYVQPVGLKPQEGTLTAGLQALA FVRTGDEADLAAKHLSPTDPEKVTCDDILRNPEEASANFFVSTRPTNNVAILGVIQCH PLSRGSIHISSGTDDPDNRHISHPAALLRLFRGKGARGFGGS AOR_1_1486144 MNIAAIYSFIYSLWAVPTFLLPHTIRVSINRSQYEVHSFPNTSL PLSWAGRLPVPETPAGNSLFFWLFEAEDRTYDENLIRLTTGNGPVSFDGNSTRLIQNP YSWTKLGHVLYVDQPVGTGYSTASNPYPVPDNDRVTSDFYKWLRNFFTLFPHLRSKQV HMIGESWAGIYIPYFASAIVQGQDSFPINLRSLSIGDGTIGNAAAMSTITIGSFMRSQ KDILQVPNDILSVFAEAEKTCGFDHILQAADQYPPKGKIHIPGNPENRNYKRHQHLDV RNLVDETCNIEPTTPDMVRTSILNSTCYGPCATFATAFDYLGAMSASGAGKQCHDIYD THNDCDTIDPLNLLASYFSRADVQVALNLLPAAAGKDNQENSPATPSPMNFAPCNSTI LTTLLSSSSPVAPAYSILPDLVTTHKIPLHIYSGENDFLLNHFGTELSLQNMTWNGAQ GFSQKPNRPFFSDNAAPTHSCDKENTTETCGVEVGVWGSERGVTYHLFWGAGHSVFGK KPREMFAYVRDVVVAG AOR_1_1488144 MLSTMTRPEDSIRMPHSYRGDVDEEDASRRIPELNILSSSSSSS SLSSSNTEVLAKSEDETTLVNGSAGDRVSSVGKPHTGPRLLSPDETIELARCAVDNGI QETKRSLAGSEAVSDVVKPKLTIDLGHSNIVRIPEPVVDIIKDEVERLSLSGNQLFHI PYRFAECSHLRYLNIRANNFREFPKGVYKLPLLEILDLSRNKISQLPEEIKKLSSLRV LSVMQNRLDDLPLGVSDMNKLQILKVAGNPLRNPLRELLETSETDIAPSTMTDNEKEV AVTAELKRFLKNKQLSTTPENEKGNDASEAIWDTPKPVKRGISSRFPVIPSTGDISCD PKSPSLSRPPPIPLKSHYRIASGQGIAFNSILPRPGTFIPGVNERNRSNSEGIIQASI AARSKRMGVIRKNADLGRLDETQAYRNSHLRGLSHGSILRPRAPGAAGSNSSSPSSPR ERRRLKDSFVNRMSSLPEHKCERKARESIIECGKGVLFALFQVQSHVYALINVIKRDD TRRNSLEIVFYNASTHVDRLNDALEYAENAQLDDADLVRLSNEAVKRECETCIMAYTH VGTQLRNSLGKIVANADSRYVRSLMLMIYSSIIELRNACVSLGVPLHTCKRLSSTKPP IPEINREMVASDRLTASTVTPTRGRAPSLSVRRYRSDTTIQHPQILTSGPLQTASNFH SAISSPGFVSTPFSYAARSRSSSRSNHINTSIPSSLATPRSGESFPPMPSTVVPRINP LTGLDEIEEERTFERIFHQLTTAYSAALQALPQTRRQFVRCLELAEQTRESEGIQMLW HNLIRRCRVCLEVSEALGLRLTNMKIKEPGGGMRNQREFWQLCKAFMQSFVDLVTDMR EVKSMHLLPSEIVMFLRPVQRASREAGRLIEGSPWSYLADMTSGNAPANIYGPPLQSQ HSQHQISTSLSPQSVTLPATPLSAALGPAAQATVPSTPASAYSDKFFEGDVFQRADSL LSMPNQAPFFSRR AOR_1_1490144 MGKYNLTALRVRQTALRQKEAGKTHQIPKWIDVVRDIPPAQVLV RNQQQQHQLVRQRLKTLPGASKPQVVFEVQEKRVKPKKASRMFLPTEIKYEEDLLRKE FFRDHPWELARPRVVLESTGKDYENYDWSRLQQPGKRLDGESVVQRQLWLLNNVPDMT KSTAYDIARREFYRLRLQEDIERRVAAEEAEATGATFGPTRLEIGMELENQEYERWKV WAKSEAQVQEQRAAAFTGAPEIPSTEDSLGLEEGVEEKQPQQA AOR_1_1492144 MPHPNRFQSSPNLRLSPAQGLDTCLSVNCSSMSKPESFSYNASI RRLSSRRPRPSAASIADLFVSSLVMAGYCREHSPRGRGLSTMSAKTSRCEMARWRDRG MTSTGHLSKRRLDYLGSPAKCLGVVHRPRTQRMFNSGIAQKLEDDEGEDIRIPSRSIE RPSPRIHHNKAEADQRPHLDGGLPPSQHTLKELKCEPMTSTFTLEDSEHNTSYPVSGE NALDRQVDPPAVSTISHYHGKTSPAKELHEATSKLYRSVFGDSLDWKQAVDTVVDDGQ VESSSPRPVVLRKDNKEDAVELQSVAVFVEALWDEKKSNQYIFRLYRDLPYPGVSYLS KRSRGALLRRFANPPNRRWVDARRYLGLIEDMVAAKLPVSRSLWSSAIHLAGRASGKV CKRDLVRSIGLWQQMEHVAGVKSDGVVFNILFDIAIKAGQFTVADRLMEEMAKREIAF TRPGKVSKMYYYGMQQDADGIRQTFDAFVSSGEIVDTVVLNCLIVSFLRAGETKTAEQ LYHRMMQAQNTVELDLEQRLQHGPSLTSEFIVYRKKTRKLNRLLQVSASLKDQLPEHH RALQEAFPMIPDTRTFHILLAHHAYRSGNLHAFMSVISDMEKTFSIPPRGMIYLLLFD GFAYNGRKRKGWTAERLWNAWRAYLRALYESKNRFNDRFHFRTAKLVWENPLGNSAAL LAAQTPTLPRKPMGLYTPLPSGRSEAKTTSEEHQQEQVDESNEASKEDFEELSANDGT TEFDDDIDTDELFSFRGRDQHAEDGELEGLERQIENGVFLGRRMIIIILRAFGACCGP KEVMEVWLHIERLWQPKRRKALDVMAVKEELEKQINKGSRRH AOR_1_1494144 MINDNLKRRKVDLDFYLHRVFRKKSFRPLQREVVTAAMEGHDVF LQASTSFGKSLCFQLPAVISHGVTVVVCPLLALMTDQVNALQALGVAVATINSTTSLS ERREILADLLSGHPRTRLLYVTPELCQTETFRRNLQTIHSQGELNRVAIDEAHCISEW GHDFRPAYKELSWFKRALNNPPVPISACTATATPRVRQDIINLLGLDPGQLKIFNTPS ARPNIHYEIRYLPNPIDDSGDSGKAQVNDFVSWLKSIQSRRRARLGGNDANLPPISGI IYVSFRSSSENLAGILSTSWNGNIRAVAYHAGLSSQDRTQIQSQWTSPQSLSEPNDQT PAFYIIVATNAFGMGIDNPHVRFVVHWNPPRSFEGFVQESGRAGRDGRAAASIVYFNT QERDKVLDRLRRDVENAYNRANKKPANGVSKDDPNSNLQNQYARLRSFQKVVRYCPEL RNENRLKRIAVRLCL AOR_1_1496144 MADDERRVKRSRFDQTEPEPRRASRFDRRSRSPSSRQSEATRTR SPLSREPRSPSADPAKKSGGADPAAAAAAAAAKINAQLQAKKGIQHVDVPPIRSATSP APAAASPSAGDASTKLNTDIYVADGDYIKDIEINDLRNRYTLTKGSTQKMIKEETGAD VTTRGNYYPDKSMATAANPPLYLHVTSTNKEGLEKAVDLINELMKKELPNLVDERRFR RREPEQVERDEYGRRKWPEERIPVDLEPIPGFNLRAQVVGQGGAYVKHIQQRTRCKVQ IKGRGSGFMEPSTGRESEEPMFLHVAGPDPNDVKSAKELCEDLLANVREQYQRFKENP PQHNYGGYGQRGDRYQGGGYGGGYGGGNGGGSGSGSGGYGNHSHQNSPSTSGSPAAQG ATGSSGGQNLADYSAQYAQYYGSDPYAAYGGYQNYVAYYQYYQQYAQQQQQQSQPQSQ SQSPAPPPPPPTSEAPPPPPPGSGSPPPPPPGGSYSAVPPPPGL AOR_1_1498144 MAFRRPLMLSKTASAPFSSLAGRTARVAATLPRFTTARASSSST SALAYKALHRRSPLPLPVSDSSPQWDAPTAVSSILYETPVAPTNPPKRHILNCLVQNE PGVLSRVSGILAARGFNIDSLVVCNTEVEDLSRMTIVLQGQDGVVEQARRQLDDLVPV WAVLDYTDSALVQRELLLAKVSILGPEFFEELLQHHREITTPGETLDGQKDKAEAQIT EFHPRNLPPSQALRHKHEHLDAITRLTHQFGGKVLDISNNNCIVEVSAKPSRIDSFMK LIAPFGVLESTRTGLMALPRSPLHEQVEEIEKEAADVVDASTLPPG AOR_1_1500144 MAEEASRPLLNKGSRPSSRPSSPIDERQSSDSSPAPPFELSSES TPLLLSREEDLATYGGVATRRSSAASEDFLVTNDSKKPRGRVRWPIFCVLLSLIAIIT ILIFAFVAPALVKQYAVDATVFNPTNVSVESATADGIQARIQGDLVLDAGRIKKAPVR NLGRFVTWIGREVETGQSEVEVYLPEYGNVLVGTASLPSIKVNIQNGHVNHVNFLADL VAGDIPGIRAVAVDWLEGRLDRLRVQGKATVPLKSGILSLGEQTITDSITFHEGDFPT LPKVNVTKFNVHDTDTPGHNGAMEVDASVAALVDSPFGLSIPPLGFEVLVPNCSPGDP YISVADVTTKGFPIHPGQPTSIDVAGIVQSLSDDLTKACPGKKKSPLDLLVKSYMQGL QTTVYVRGADFPSLGTPEWVVDILKTVTVPLAFTGHALDNLVKNFTMTDVHFDMPNPL AEPDTPESQPRVSALVNVLIGLPEQLNLLHLDVPHVRANSDIYYHGKKLGVLNLQEWQ PANSTLLENVDGAPALLVNFSMKHVPLQVTDGDVLSDILQALLFEGEPVRLTVAASVD AEVSTGLGTYAVRGIPAEGAVNVKPPYGDSLEGLSPRVESIALGPTTESSLVMKAKIN LTNPSPYSASVPFVDFILVYNETKLAHITARDLVIVPGVNSGIHANLQWNPLELGGSA GIAAGQEMLSRYVSGYNTSVTIRSHEGTFPAQPELGQALSRLGLEVPIPGVPVPGNPG DEDGKPKFIQDTTLHLWSSTAEFTLSSPFPNTTIEVTSVEANAFYQKHEEVGSINYYI PFSVPPGLSTTPRLPVELNLNGIGYDALRKALGGTLKLDAVAKVGVLIRRYRTTITYY GQGITARVKI AOR_1_1502144 MTCGLKLAAARYGNHTLRQKIPLNAVRRYTSHTATSTTPPTSPF APRHFLSIADLTSTEFATLVRNASSHKRTIKSGSIPQNLLGSMTGQTVAMLFSKRSTR TRISTEGAVVRLGGHPMFLGKDDIQLGVNESLYDSAVVISSMVSCIVARVGKHAEVAD LAKHSTVPVINALCDSFHPLQAIADFQTIYETFTPKAHRSDSLGLEGLKIAWVGDANN VLFDMAIAATKMGIDIAVATPKGYEIPAPMLELIKQASNGVSKPGKIIETNVPEEAVK GADILVTDTWVSMGQEAESIKRVKDFEGFQITSELAKRGGANEGWKFMHCLPRHPEEV SDEVFYSPRSLVFPEAENRLWAAISAMEGFVVNKGRIE AOR_1_1504144 MELSQSGGGFRSRRSYPSLNHASLAPLTSRFPIDDDVEHQDYFT PRAEDSESYYSAHDIPAKTSYLSSYSVPGTPGLLSHSRSGSRARHHQRSKSSTRAHLS DTNLQGQDDAQPPHHQAPKMKRHSSRHHPSDSASRRDAEWMLRAGIALASSTREEKGQ SWLAKRESSTSLVDEGNYDVESPGHFNKVTRKSRSGRSTPAASRSRVVSRRGSRPDLI MTGLEMTSARQGDSGSLESPALDVRHFVPDFVDERIRAEMAIIQQEEYTSDSDEYSDS EDDIDEQEMQRLTRERGFGLGSWFDRMVEWTVFGVDDWPLSYSSGPVDQVPKNVEWAE PGVADEDDDQVSISGRTDRTDGASTISDFEDPAVTEKPGDQGGWEDAWWLFGVMKRAL L AOR_1_1504144 MTPQQLSQSGGGFRSRRSYPSLNHASLAPLTSRFPIDDDVEHQD YFTPRAEDSESYYSAHDIPAKTSYLSSYSVPGTPGLLSHSRSGSRARHHQRSKSSTRA HLSDTNLQGQDDAQPPHHQAPKMKRHSSRHHPSDSASRRDAEWMLRAGIALASSTREE KGQSWLAKRESSTSLVDEGNYDVESPGHFNKVTRKSRSGRSTPAASRSRVVSRRGSRP DLIMTGLEMTSARQGDSGSLESPALDVRHFVPDFVDERIRAEMAIIQQEEYTSDSDEY SDSEDDIDEQEMQRLTRERGFGLGSWFDRMVEWTVFGVDDWPLSYSSGPVDQVPKNVE WAEPGVADEDDDQVSISGRTDRTDGASTISDFEDPAVTEKPGDQGGWEDAWWLFGVMK RALL AOR_1_1506144 MNSLVATPPVPPHFYEYSRLSSSRPMSTPTYTPNSRKRKADDDG NDHDGRMSASPTSSPAFTPRSLPSRNMKRARPNVSGRPLSLPRLLETLDTDALRGVLR SMCERHPGLVDEVVHTAPRPSVSSALQVLRNYQSTLQSSFPLGGNPASDYAYNRVRQP LSNLLDALSDFTPHFLPPNEIQPSLSLNYLDGATEIIHALPRWHTPQNNIERDSAYDE ICKAWILVIREAAKRGGGIQLQYGGWDQKLAKHNQNSGGKLQAAVNELGASLGWMHGP ETQSHASPGGNDFGSIREQLLSGTYGLGTPVKVGPW AOR_1_1508144 MDELFDVFEDQPQAVKPSDGAPKRPKKDKSKKRQVNGDVKESGA TVEAKEPVAVVDTPVEETSEPEEGDAPTTDNNEQPDAKRPRLEKEPEPVVADLFETAQ EREVAGSAGLQAANDSASVVLSHQIRHQVAIPPNYPYVPISEHKPPENPARVWPFTLD PFQQVSIASIQREESVLVSAHTSAGKTVVAEYAIAQSLKNNQRVIYTSPIKALSNQKY REFAAEFGDVGLMTGDVTINPTATCLVMTTEILRSMLYRGSEIMREVAWVVFDEIHYM RDATRGVVWEETIILLPDKVRYVFLSATIPNAMQFAEWIVKMHNQPCHVVYTDYRPTP LQHYFFPAGADGIHLVVDEKGVFREENFQKAMSTIADKKGDDPADAMAKRKGKGKDKK LNKGKNKGPSDIYKIVKMIMIKNYNPVIVFSFSKRECESGALQMSNLAFNDDSEKEMV SKVFNSAIEMLSEEDRNLPQIQNILPLLRRGIGVHHSGLLPILKETIEILFQEGLIKV LFATETFSIGLNMPAKTVVFTSVRKFDGFSQRWVTPSEFIQMSGRAGRRGLDDRGIVI MMVGEEMDPAVAKEIVRGEQDRLNSAFHLGYNMILNLMRVEGISPEFMLERCFYQFQN TAGVATLEKELAELEEKRANMTISDEGTIREYYDLRKQIRQFTDDMQAVISHPNYCLP FIQPGRLISIKHKDVDFGWGVVVNYKQRKAPKNSTEEPTPYQKYVVDVLLRIADGPSV GTKTFEDLPSGVRPPKEGENSRMEVVPVVLSCLQSISHIRIFLPKDLHSADSRNGVKK ALDEVQKRFPDGIAVLDPIENMNIKDDNFKKLLRKIEVLESRLLSNPLHNSPRLPELY EQYSDKVETGSKIKATKKKISEAMSIMQLDELKCRKRVLRRFGFINEAEVVQLKARVA CEISTGDELMLSELLFNGFFNNLTPEQVASVLSVFVFEEKSKETPALTRDELAKPLKE IQAQARIVAKVSQESKLAVNEEEYVQSFHWELMEVIYEWANGKSFVDICKMTDVYEGS LIRVFRRLEECLRQMAQASKVMGSEELESKFETALTKVRRDIVAAQSLYL AOR_1_1510144 MNAATALRARMATSFVARRGFSTTRAQLGSPYHYAEGPRSNIPF NPLTKFFFFRYWAFMITGFGAPFAIAVWQTYKTR AOR_1_1512144 MRNLKNVRLAEVQLQSELPLTATAWDTASDAVICTFGPTESNPV IELRRKRQDAYFSEPVGADVFECIASWDAPCPLPDLPCDRVLSLHYFADNLSACLVLE GGDIVIVREEPLPGEDKIEILGSVDVGITAAAWSPDEELLALTTRANTFLYMTREFEN VAEITFTPEDLKASQHVSVGWGKKETQFQGKRAKALRDPTVPERVDEGKLSSNDDRRT TITWRGDGAYVAVNSIEEGTRRAIRVYSREGTLDSVSEPVDGLEGALSWRPYGNLIAG IQRRDDRVDVVFFERNGLRHGEFTLRLTEEEMSSWASDIHLTWNVDSTVLAVQFKDRV QLWTMGNYHYYLKQEFPVAVNSSCPNPFAFKWHQEKTLRFVAGASESILDAEFVFDVS HGSTIVPNDVGAVAVIDGKNLKLTPLRLAGVPPPMAHNELILDSNVIDVAFSKSGTRI AVLTKDCFSIFMWSLKTRPVAAPILESSYPLSDALDSRPRQLAFINENEVYILKSRGP NNANIERTTLETRTTKIAYQAGESEQLVSIFPSLNHEALWISHISQYGQPIAYSTISM PSTEEFVAAPYTQGPSVDTYWANAVQLSEDEHLLISMTKTGALYANKTLLAKNCTSFL VTQSHVLFTTSQHLLKFVHLTRAEDMEVPPDTPETDERCRSIERGSRLVSVIPSVFAV VLQAPRGNIETTYPRALVLAGIRSFIDRKNYRSAFLTCRSQMVDMNIIHDYAPEQFME SVPLFIDQVKRVDFIDEFLSRLSEEDVSETLYKDTLKTPKADDNLVPATKAPAKGKVN RICDAFLAALDKKIDTNLHNLVTAHVVKSPPDLEAGLQLVARLRDQSSEQAEDAVEHM CFLSDAHRLYDTALGLYDLELTLLVAQQAQRDPREYLPFLRKLQQLPELRRQFEIDNY LGRWAKALGHLHVLNAHDELRAYAIKHVLYKDAIDLYKYQQEQLRDMTNLYADYLYDQ SKYKEAAIAYESLSLYTDAYQCYQRVHLWRESLYCAIMVPLSEEKLKAHALELATTLI EENKDYVSAAHIHAEHLHDVPLAARLLCRGSRFADATRLLALHGKQNLVPEIVDTGLA DAMGSMTDLLADFRSQLNAQVPRIVELRVRRATDPLAYFGGDPTMGGDMGVDIPDNVS LAPTDASTLAGRSMFTRYTGKTGKTGKTNMTRQTSKTRRKEERKRASGKKGTVYEEEY LVNSVRRLIERVNSTVSEVETLVSALLRRGMRERAAAIEKAMQEVLKLCTDSRVEVFG AVASPGGEQTDGAEPETNVNTAEEVSPRGGQRAFADSIAATLGVREAPAVKELKQSAL LN AOR_1_1514144 MAAPSDSTVFRATTTAPVNIAVIKYWGKRDATLNLPTNSSLSVT LSQRSLRTLTTASCSAKYPTADELILNGKPQDIQSSKRTLACLSNLRSLRQELEAADS SLPRLSTLPLRIVSENNFPTAAGLASSAAGFAALVRAVADLYQLPQSPRDLSRIARQG SGSACRSLMGGYVAWRAGNLADGSDSLAEEVAPESHWPEMRALILVVSAEKKDVPSTE GMQTTVATSNLFATRAESVVPERMAAIETAIQNRDFPAFAEITMRDSNGFHATCLDSW PPIFYMNDVSRAAVRLVHDINRAVGRTVCAYTFDAGPNAVIYYLEKDSELVAGTVKAI LGASSEGWDGPFYEPLKSFTAPGVALDKVDSRAVDVLKDGVSRVILTGVGEGPVSVND HLVSETGDILSN AOR_1_1516144 MGAGASTQSTPAAESAPAATCPVDHKTREIWLQQHKASGGPPHP LPTEDGESKAKLQRPLSSDREVSSIPRAFDQDLKQPSPNAAAEPPSPYTTSAVSHGTP SNAEAETGHDEKSGNWIYPSERQFFEALMRKGNTPASSTSPTELATSVASIIPIHNAV NERAWQQILEWEKQAPRSDPGSKKCGGPKLYSFRGLGVDPQFLSPRARINNLMGYQLP FDRHDWVVERCDGERVEYVIDFYQGKSSGANGGPAGLAANAGPGKLSFYLDVRPKLNT FEGCRMRFSRFTGL AOR_1_1518144 MSFDRLSSLESQPTTLRRSDDPQYRDDPEFYQLTESLSNQLFSL TSNITRLSDQIALLGTRRDTERVRERVHNLLEQTRSGFKGVGEGIKKVQAWEDVNPSQ KWTQQKLSSEFKATLDEFQTVQRRALEKQRASAVAARTAVEEGEQPAVEGATQEQQQL LQEQPRLANQDEVDFQESLIIEREAEIRNIEQSVGELNELFRDVAHIVHEQGGQLDII SENVENVTNDTRGANVELRSASRHQKNARNKACCLLVILAVILTIIVLAATIG AOR_1_1520144 MAAIRPRPYRRILTSALHRRFVHASALALLVCYLVAFLIGDKSS CTLKYLIPLDVVQTFGCGAHLEWVNRGRPHERASLNERPIYLYTCHLLLAIVQSVVHL YYDFDRVPIPVAKRAAGNADQRTHPVEPVSKRLQVALPGLIKDGFTRSAVVAAVCPVV YTFFLRRPAWSFTMYWAKLFWDFPRSAAAPPGLIGPIGPGLLLRTMCSGGLLVLCWQT ANLFFSAFLSKEPLKRGQPLTAEAKDPNGSLLTGLTAKKETVKSFAFWELCFISQRFA DRRKAIFNDIDRDGGPAWSQILQSATEVIKGIATRIDEQKNPSSGSKPAQAEQTEPVL RTLPRLTDPLKADNVFAPSPKANSRQEKIGEVFSSTAKSYGQSADWTPAARAKARDVF DRASTAILSPERKQKLLASSQEFKMLTGTSTCKPENLNPFIAQLLRSPVGRLFRQTYD RRLSGIVLGAPHANLCPIVDAIESLTRLLIASLQEDQYGKVQADVPDVVRLFTNTITT LEPFIHGGLDAHWTDVNFPPSSNPEAQAEARRVPDVDLVLDTLKSSLKDLLSAFNLYL KDIGLVGKDLRLAKEAAGLIEEGL AOR_1_1522144 MDLPRISPPSINVHDFTSGSYHSATERPSYAMPGQSYSLPGPMP IPASSMNTFAPPPLPPPPRIRDLEDGYDAGWVHANSKGPSAKLAPINPSSSLFGGHRR LEAVPQSDRMALDDLDGRHSGLPLSRSPEAHIRIEPPPPADDGFRNSIPFNTSPILKG EQDFSRRSVKDSSDAYDQHLLSKIGKPLSPRQSLSLGTDNRGPISTLPIPSRNLGSLP SPGGSDGSTLDVRWSISSHSGGISPGTKVGWRDYIGCRSPSVESSAPSSAVDYDNPNY VRHRGGGATPQNEDSLSLPSRSNRGSYDQGIFSDIEGDFSTDESLPPRLFNVREATPP YLDTSKSGMKRRASSPPREPISDDRHTLHVTTSNGDLSQRRTSGHPFTNTLSVNSAYA QSHGSISAASTLSLRTSGSYSSAALSVGGSSVTSASVYERSPGGLSPNSDLESFHDKF HLNPTSPGGVSNQPTMRGAPGTNTLEPPNPNVARKMSLQTSLNVPNQAGSKMGGLFIC DCCPKKPKKFDSPEELRAHEMEKQYSCLFCNNRFKNKNEAERHQNSLHLRRHSWSCAA LPGYQAAFHPSSSPSSQTNAGPSHDTCGYCGEEFSNFPQPDWDRRFEHLTTVHKFGEC NNAKKFYRADHFRQHLKHSHAGTSGKWTNILENACMKEEAPPEPRNATSNGGPGPAMG TTATLTSNNINEVLSGC AOR_1_1524144 MAMNRIPGQNIYIGGIFSLKNRAALERANITHVLSVLRLQPQEE TFAGFQHHRIDVDDVEDENLLEHFPSAIKFIQSGLDAGGGVLVHCAMGKSRSATICIA YLLHQQPSALTPQSALAIIKESRPLCEPNDGFMKQLSIYHQMGCPDDVISHPLYNRWL YRREVEESVACGRAPEMSSVLFEDEQPHKSQDNTDRTTEIKCRKCRRNLATTPFIIPH GPQNGAKGPTDCAHIFLHPLTWMRPCLFPNGEDDGAPPGDAPLSGRLTCPNTSCGSNI GKFAWQGMQCSCGDWVVPAIGLAKARIDMSQRVNVGRLPPAALGIRMPPSMRPNPADD STNGRGNL AOR_1_1526144 MSGPFQNIYHLRRVADTAAKACYVCHKPSSSVMITPDNKDFFYV CPIHLKDRHFCSPIVDTEAEEKKKKEEALAKEIEKVKKEYEERQKKKKDKSKEKKPDE ESKKEEKSSNTDKQEGNDEKERDDKIESLKKSAQSTSTSDDGPRIFALHKNFYQMRID RLRNLEAAKRNRQRLQDPSFFPSVPSGGL AOR_1_1528144 MLGLTTRRSAATRSKCLTSATNSILRTRAAERPSQLCLASKDFH TSQSNAASRPTWMPMRVKTPWIEALTKSREDAKSGKGASAPVAKPDLTPKKMSDSHYS AILPLAQDKWLLDTYLNASGHIRLGSLLMDLDALAGIIAYRHTGGSVTTVTAACDRIT IEHPLMEICDLELSGQVTYATGRSSMEISLQVAKAPPEGQKAKPEDVLITCAFTMVSL DPATKKPVNVAPLLLETDEERLLFKKGEENYQAKKGLRKRSLLQKAPDDEESNLIHSM WTKEMSYLSPESPDQRPSNMVFMSDTNLKSAMIMQPQDRNRHNFMIFGGFLLKQTFEL AFCCVASFSHARPNFISLDPSTFENPVPVGSVLYLRATVAYTEPVETESGSKYTKVQV RVDTKVRDVEHGTKKSTGQFNYTFLVEKDIQVMPKSYGEFMLWTDARRRSQNAAALAP AGSREFSALRGLKDSVTE AOR_1_1530144 MSDPTNPNIQKRTTPQWALYQRENFWKLNEGQTPPFNTDPIKLE QLAHEKLSQGGWYYASSNAGMSNTHLANRQAFFRHRIIPRQLVDTNLRDTTTEIFGHH VSAPIGFAPIGINKIYHPSAEAAVAKVAGELNLPYCLSTAGSTPIEKVAEANGQGPRF YQLYMPHDDELTLSLLNRAWKSGFDALILTTDTWQLGWRHDDVANSNYAFYRGTGADL GLTDPVFQKRCREEGIDPEKDIVAASAKWIDSVWHGRAWSWEKIPWLIEQWKKISGGR PFAIKGIQSVADAKKCVEYGVDGIVVSNHAGRQVDGAIASLDALENIANAVGDQIYIM YDSGVRGASDVAKALALGARFVFVGRLWIWGLSIMGEEGVRHVMKSLLADFDIFMCVA GFNSVKELDRSILESYPKGYTLIPDKVL AOR_1_2020144 MRFLRCLLATSSLYASVTAFVPYHIELGDPVTDAASDKLLRRFF PYELPSEDVKDEPESATGDDILTLDIKRAAFRRDNNFKIMLSDDPTSPNTAALNQGGN DYTYFAAVKVGSQGQKMWMMLDSGGVNTWLFGSDCTTNSCKLHNTFGEHASTSLLLTN KEWGVGYGTGQVSGVLGNDTFSIAGMDVRMLFGLASNASDQFQNYPMDGIIGLGRAEE GSYGPSFMEAVIEQKSLKSNIVSFSLSRAADGGKDGAVTFGGVDKTKFTGNISYTDAL SGNNRWTIPLDDASVDGNACNFVNKTAIIDTGTSYALIPPKDAAALHKLIPGSSASGD ENFVIPCNSTAKVELTFSGKSYTISPKDYVGSKYGSGCVSTIIGHQMFGDNEWLVGDV FLKNVYTVFDFDQDRVGFAVRGNSSAKASTTTTTATGTDKADSATAAAASSSATEATS AGVKTGVHYLPALMVVLCTLWLA AOR_1_1532144 MEQIKQVFSYAKQQNRAVLGAYVTAGYPIVEETVDILLGLANGG ADMIELGVPFTDPIADGPIIQEANAKALTNGVTISSVLNIVREARHRGLQIPVLLMGY YNPILRYGEERMLEDCKEAGVNGFVIVDLPLEEAIRFRRLCASNGLSYVPLIAPSTSD SRMKLLCSIADSFIYVVSRMGVTGATKKLNLNLPELLSRVHTWSGDVPSVIGFGISTR EHFLSVHNIAEGCVIGSQIITTLREAPTGQAAKHVEQYLSSITGRNHGRDSQEMLTQP LSPVPQPKAILAENVTPNSLSLIDPLDTIHSAAQSSRFGEFGGQYVPEALMGCLAELE RGFEEVRQDPSFWEEYRSYYPYIGRPSPLCFAKRLTEHVGGANIWIKREDLNHTGSHK INNALGQILLARRLTKTRIIAETGAGQHGVATATVCAKFGMKCTVYMGAEDVRRQALN VFRMKLLGAEVVAVTSKTGSCTLRDAVNEALRAWVTNLEDTHYILGSVVGPHPFPTIV RTFQSVIGEETKQQMKESVGKLPNAVVACVGGGSNASGMFYPFLHESGVQLLGVEAGG DGLDTSHHSATLSAGSKGVLHGVYTYLLQDEHGQVSGTHSISAGMDYPAVGPELSSWK DSGRARFIAATDAQALVGFRALAEHEGIIPALESSHAVFGAMELAKTMKKGETDIVLN LSGRGDKDVQSVAAALPRLGPVIGWDLRF AOR_1_1534144 MGQVIFITGANRGIGKGLAAHYLAREDTTVIAAIRDVSAENTEE LRALQKGPGSQLILVSLSLDIPSSATEAISEIQTQHNIEHIDIVLSNAGICNHWGPVV DMTDADVLSHFDVNALGPLRLFRATAPLLQNASQPKFVYTSTLMASFGEMERLPSLAT AYGMSKVAGNYLVRKIDAEHKHLIALSVDPGLVQTDMGSRSAQSIGLEKAPLTVQESV QGIIKQINEAQKSTTSGKFVNYLGDRVPW AOR_1_1536144 MPSAPAGSATEIIPNHGRVKLDEHMEPLNRPAYVFVKIDAFFAD SRTTSRTSTHGAMADLKTAYPGPFPDLPNIAALADRQPPCNEHLTEDQQMYFLRMFWE AYHPLLQVSDEAEFQSLLDLDRRQDSEVGRLTKALVNCMTALGIQYSHGAGLTSRILT LRRCAVNISSVGYGYFRRCRDYIALLTEPTLLSMQCYALMSLYLMNVSNFREAYSLLG TAIRDSHSVNLHEEPSERLQPKERIAQKRIWWLLFMLDIQCSQQLGKPVAVQTTTITC ALPSADEQTTRACWKNLHISTYFVHAVKLAVSLAEIQRLIFTSKLYEDASNVTALERR ANLLATSLVCLEKWSNELPDDLLSPRKNTGHTESMSTAESPIVLELGAPSWLHHQRVL LEVYYHNAYIMLQRPFICFPQPSNSSPVHQPQTDHHARCSLQHAITMTIIVHGLCSSS DVFFGSPAILHPLWNATVTILGYVVANPFSPRSRRAIQWIFKALAVFEAFAATEPFAA RAENLTRALVAKLNDILTNLDENSEQTNNCGRITLGLTLQQSPDTISSTTPSSANPVD APAGSLAGGGLEHTFDNTFFTDDSLCSSIGAVEINTWAAYQDHLDLWSSSHSDGALDA IDALGIGNTP AOR_1_1538144 MHQIPVFAGLGSDALFSERTLGTAAEDARTSEGQIILRACHDIF VKEITSVIHSQRLPSDIKLEDFVEPESLIRPQACYQRNSIIQHVSLYTIQLLRYLRYS TEKPGVILGVAGFCAGLLPGAALATSRNTIELLSRGQDFFYVALHVGIRIESYKQVMM GKETCPPHLPCSLVVDGITAQHARELLEEHNRRSPASYIYLSAINSDTCVTLSGRGDH LQQFSQSSVPSQCKIRPTNIFSLYHDRHQLEGVRRDILQDLRNNILLFSTPLHLIAPL FSNIDGKPIDSGQLATLEELCEKLLEMMILEPVNWVAVEDNVLAAIKQPATAVDASFE ILNFGPGYGISGARYTLPDNVNIVAASIVEPRPSLQDTTGMLSSNDIAIVGMGVDLPG ASNTDALWQNLAEGVNSCVEIPSSRFHVEDFYQKKDGRTLRTKYGNFLENPFMFDNEM FGISRREALSMDPQQRVMLQTAYRALEDAGYVPDSTPSFSRRTFGCFIGNATLDYTDN LRDHIDVYYSPGTLRAFQSGRISYVFKWSGPSITLDTACSSSMVAIHQAARALQAGDC RSALVGGVNVISSPDMYLGLDRAHFLSPTGQCKPFDDSADGYCRSEGCAAFVIKKLND AILEGDRILGVIRGIEINQSGNAHSITHPHSPTQEYLFQTLLKKSQVHPHQITVVETH GTGTQAGDPNELLSIRGAFCNGRDPGNLLHFTSIKANIGHCEAASGGAALAKLLLMMR HGKIPPQISLKTLNPKIKDLGTDGSAIDRDGATWPRSSRHPRLALLNNFGAAGSNGAL ILQEYSSLKATPQNEEQCEAHSYMLGFSARSHTSLLAYKDALISYLEAPSLPSSLRDA AYTSTARRQIYDYRISVTGSTIQEIVDNLRNADIYNIRESANPQPRAVFAFSGQGSQV KLSITYLGMGRELLTSQPEFKKVVLDCDRWLLSNGYPGCLNVIACKDDQERQSHSSSL LQQSLQTAVFVLEVALARLLISLGIMPTIVLGHSLGEYAALVIAGVIDLQSSLKLVAH RAKLMMELCELEKTSMLAVNLSAETVRRHIGNSPEFHDLAISCDNTFDERATLTTLPV MPFSEQEFGIYYPRESTQRASGKCDQNTDSQTGYTFLSKIVQRPSETNREAIFETPIA VFKEYILGHRVCEQALCPASVYHEIVLAASKWIQRDPGEEATRALSNVLYPAPLLYSE ESSAIVRVYIKPGGDHKTNYSFTVASYNAGSDPQQQVIHCQGQLKTRPAAHAQKYAKL VPLMERQKERFLRVDQSSTQVFLRKALYEKVFTRVVTYSELYQMVQSVRIDQDEALAV CRFPNSQGEPSRANTVMMDVLLHVAGFVANLNIENDEVCICKEVKSATMTRNIPFSDT TFEVYCSNLEIAATNVIIADAYAVDSRGVIAVFKGMAFQRVKLTRMAQALRLAAARSG HSHQSVPIERAKLVAPKPSAPNSKPPDMPVDKQPAIREIIARTCNLEASNLVADTSLH AIGFDSLMMIELSSNLSSKLHTSIDISALEECKTVEDIEQLCSDEGQSGPTPISEAET VDSVTMPTTPATPADKLLIASITAETCGAHITSVKSDVELEALGIDSLMMIELEARLQ ILEAEKKEVPASMKLRIAAILELQEQPEIVHLAEDKAPQNAPLFLIHDGSGICVQYHR LRPFNRTVYAIHDPKFLDPDSWSGIPAMAQSYARLIARTTSGPYILGGWSFGGVVAFE AARVLMAGGYAVTGVVLIDSPPPINHKPLSANIINAVTKGDRNRGGLVGETIRNLVRE SFKACAGMLGAFQPEAVTRTSRSIPRTFLLRSRDGFHLNTRNDSPGLENDWLQDRSDP RTSIEGWEMLTKAKMPYLDIPGDHFQVFDVANVQAVSKAIAHACSELTNISSS AOR_1_1540144 MESLIAKIQQEAASANYVERKKLLDTLRDLQYSIETPEDAMQRV IHMNLHFAAIRTALDLNLFNDILDNAEPSTVHHLAAKHSADPLLLGRVLRYLASLGVL KEAGRDTFTSTRYTSNLARPEIQAGLYVYFDMCNPTYQEMPRYLAETGYQNPTSFTDG IFQRAHKTDLHTFAFVHGDPVRSAHFNHFMKAQRGSQPKCFDLYPFDEESKGWPSDKP LFVDVGGGAGYQTVSFLERFPNLPGRVVLQDLPEPIEDAKSVVPKNVERMAHNFFEPQ PVIGAKYYYLRMILHDHTDENSIKILSNLVPALGEDSLILLDEMVLPSQRVDEASTQH DLTMMTFHSSMERSEEQWAKLVGAVGLKIKKVVPYAPGYNLGVVVCGL AOR_1_1542144 MGFLTPFVPYHTSAGSSTIRKFGGLLTVEFLEPPPGRSFMMRQT YRLDVEGPVSPALRKLIDSPQRPDGPAMHFHRYQSEFFHVEHGICVAEVDGVSRNLTP EDGEVSLPANRIHRFHIHPDSGEYMTVLLSGSDAGIDNQLDRVFFENWYGYWHDALLY DGGLDFIQKLQMLDAGGHYTPAPAWMPFRLFFGYWASVVIGRWLGGLLGYKPFFKEYT TDWEFAVNKMKSSFWTRRSVDGFWAAKERWDREADLSAGPNPTNAELQYLLEDVTAAT RAAKSKAAEKGLNTNGSVQISELSRADEETVIGISSGLKSEIAQVRKR AOR_1_1544144 MVRRKSAALDARVLKTVEIVRLHIIIVGAGLAGISAAISCALAG HSVTVLEAAKELAEVGAGLQITPNGSRLLKAWDLPQTMWDQAAEPTQLTVHRYSGAVL AREVDFDKKIRRKYGVPFVDLHRGDLQQALYERAQQLGVKFHLNERVQNVDPAVPLLT TISGHEYHADLIVGADGLWSRTRECFLGTADPPKPTGDLAYRIVLSLDQIKEPALRDW VSHPEVNFWIGPGSHAVGYSLKSGKMYNLVLLVPDDLPPGITKQPGNVEEMKLLFEGW DPILTQLLGYVKRVDKWKLMHREELPSWINEANNFVLIGDSCHPMLPYLAQGANSSME DGAALGTILKSVTKKEQLPNALHKFEKLRKLRSEAIARETFKQRNDFHMQDGPDQEAR DEIFISQLGREEITGAFPSRWTCPVVQPWIYGYDAIVEAEKALKGRRFSNIEGESPKM AOR_1_1546144 MGSIQVDDTIQFSPGPLIVGAGPVGMLTALRLAQLGVPCTLCEM NTETTRWPKMDHNSCHTMEILRIMGLVEEYRQQPGAVPQHSDWDTIFFNTCGVNKKLV SRWHIPSNNEYRAKIHANNDGSQPAEPGQRCSQIVLEAFLKKKCLAEPLIATHFGYKF VSLGEDNEGVTATFRDMDNHEKMIRTPYLVGADGAQSKVRKSVGIQLKGRPLPAAFFM VHFRSKELTKLSPFGKWWHAFGLHGGFMIDQDDVDTYTCHEPCSADAAAIRELQEHPE EIPYRVLGSLGKPYKFKIDEIMLANAWRPNFGLADSYVSRDGHGRVFLCGDAAHRNPP HGGYGMNSGVEDAISLAWRLSALHKGIGGGNLVTSYTDERRPNMMLRLERCDAHIGKF TPMIVRTMTAPNTDIFLEKSEEGEKARAEVARHLDRVGPENIDRGVELDLRYLNSAII VSDGTREPRFDNMRYTPSTRPGHRAPHVFLRDNQTSIVDLYGIEWSLMDFSQVESDNQ TANGFVSLDNKATSPVATFKAVAKAMRIPLTHVELDAGEKHVKNVWENYDYVLVRPDG YVAWRGGKEGARDEHCELNEGRIRNILSIALGWKTDPGFMEWEKKELNLEINLTSIHG VEEELGVGEGQGDAFVGFFKEDKRKVVGNDLN AOR_1_1548144 MPDSTSTQQDEEKAIEPPVVSESPALDSDLPPEGGVRGWLCCAG GSLGLFATLGFLNAIGIFQTTYQETLLKDYSSSDISWIFTIQLALIWAPGSLFGRIVD AYGPRPVMLPCTFLCLFSLCMTSLSTEYYQIILAQGIGYGLGAGGIFTTSLVCVSQWF VKQRGLALGITVAGSSIGGVIFPFFLRLVMEDVGFNGMVRYTALFIGIALVGAFFLLS ARLPPKKWDPETTWIDFKLFKNRGFAFYALGSYFVMWGLWAPFDYLPSMAQLSGMSDS LALYLLAIVNAASLFGRIIPGHIGDKVGYFNIIVASAFFSCIAILCLWLPFDYHSSNA GLIVFAVVYGFFSGAFVSIMMPCCAKSGSLETLGRQIGTYQGVIAISTLTGLPIMGAI LGRQHNSTFMGLQVFAIATMFIGFVLLLISRNVLAAAHGTWKY AOR_1_2022144 MTAKWRATRLLHGVRAIVGGQGPPLILIPGWPQTAEAFSGIFEP LSKHYQFLALDPPGLGDSAPPLNGYDTANVSKVMAEAIHDHLKDRPYHLIGHDVGGWI AYPWAAQFQSRIKSLSILDASVPGFLPQLQFPLPHPTNMRLWQFSFNALPELPEILTR GRERELLTWFFKLKTVHADAFSKDHFERYIQAYSRPGAMSRGFEYYRAFGTSAKQNLE FAKTPLDIPVLALGGASSVGSDMIHLVRNFATNVSGGAIHDCGHFLPEEQPSAVARRL LEFLDANQSE AOR_1_1550144 MAAPRSTSLRALRVLSQQHAATPCLRRGLHITGVNSAQPVNVSD RTSLYATRSLADLQRECSQRKLGASGSQNELVERLANHDFLQSRAFSIAMRRINGSSA ADKSVSTRQFNTSRASKAVNDSSTVDFAYLPSMDEIDAPTRPADTRIPILSDVYTNYN SSQPSNPPMKPQVHTVSGGGADIAVSPMAEVVDNTSVDIDPFSLTEAVGKSRFGEEVW KSQNGSKEPGVVKELWTGFLEDILGPKQQSYQKQH AOR_1_1552144 MVDKKLLSVRQISEHNAVRDCWIVVDNQVWDVTEFLEEHPGGSS IILKYAGRDATKAYSEVHAPSVLSANLSQEKHMGVLDESTIDDEWVKQPPTENPKVVL DHEKPPLHTLINSHDFELVASKTASKKTWAFYSSAATDLITRDANKSCFDRIWFRPRV LKNVRSVDTKTKILGIDSSLPLFVSPAAMAKLIHPDGECAIARACGNHGIMQGISNNS SYTMEELRDTAPSASFFFQLYVNRDREKSAALLRQCSANPNVKAIFVTVDAAWPGKRE ADERVKADEGLSVPMAPSKAKNDNKGGGLGRVMAGFIDPGLTWEDLVWVRQHTHLPVC LKGVMSADDAMLAMEAGLDGILLSNHGGRNLDTSPPSIITLLELQKRCPEIFDKMEIY VDSGIRRGTDILKAICLGATAVGMGRSMLFATNYGQEGVEHLIDIMKDELETAMRNIG ITTLDEAGPHLVHTADIDHLVPESRQHPYARKVAKGRRSLHLSKL AOR_1_1554144 MGDTSKPLRYVDIGINFSDPVFRGEYHGKQVHESDLDDIIQRAR EVGCEKFMVTGSDVEESRRAIEIAQNYPGFCYATVGVHPCQAKLFDEFSGGPSKMLDE LRSLALESKKSGYAVAFGEIGLDYDRLFLSAKEPQLKYFEAQLDLAVEIQLPLFLHSR AASEDFERLLAPRLEKLPKKGLVHSFTGTMEEMKRMVALGLDVGVNGCSLKTEENLEV VKALPLERLQIETDGPWCEIRPSHASSKYLEGAPTLPKAVKKEKWQKGCMVKGRNEPI AIAHVAHVIAMVKGITVEEVCEAAWNNSVRMFGLGEGTS AOR_1_1556144 MANMAMVIPLAQKSSSSSLVGQNGFVTERAHLKGSLAESEPMYS ELPPNFGEVVEGIYRSAFPSPWNLPALENLGLKTIITLVEEPYSVSHMSFLRENGIAH FRIIVQANKDPEEKTPDHVINGILEILLNKANHPILIHCNKGKHRTGCVVACFRKVQG WNLRDVLDEYLSYSWPKSRALDERFIGAFDATKLDQVAKESGAKLYPNLLIITTYDNL LPEKEIYGIFTKINAFTRNKERKF AOR_1_1558144 MAYTVDLESNTFNSPKLDLYLTLRASVEILIPVGATGLRSVENL KNALNSGAIWVDQLPELRLNIHVSAESDLGLPLTILTSQMGDALCNSQVLGSSLEAVT IGPKKPLRLFVYRSDCAEGLEGP AOR_1_1560144 MPRDEAQDLLLADQNGIDRLESQSLLPESPSEERDALTPFDTTP HSPISPPTLSDETQHQRQSSFAQPVAEGQRRAPRTLNRVRFDLDDDTDDEHRSNGYPR HSGDSWLEGEDYARGDNTRSGRSATGQTVPLLTDIEAPSVTLATSDDFFPEEHLENAR PRSGMRMAFMNMANSIIGAGIIGQPYALRQAGMLMGLTLLVALTVAVDWTIRLIVINS KLSGADSYQATMQHCFGKSGLIAISIAQWAFAFGGMIAFCIIVGDTIPHVLGSLFPSL RDMSFLWLLTDRRAVIVLLVLGISYPLSLYRDIAKLAKASTLALLSMVVILVAVLTQG FRVPSESRGEVKSLMIVNSGFFQAVGVISFAFVCHHNSLLIYGSLKKPTLDRFARVTH YSTGVSLAMCLTMGISGFLFFGSQTQGNVLNNFPSDNIIVNVARFCLGLNMLTTLPLE AFVCREVMTTYYFSDEPFNMNRHIIFTSALVVSAMTMALITCDLGAVFELIGATSAAA LAYIFPPLCYIKLSNASRKAKIPAYLCIVFGITVMGVSLLQAIAKMIRNEGGVGTCSA AOR_1_1562144 MDQDKKERLSRLQMEDLGTARREHISTTDSRKVKKARLEDIEAT RLSNQPGTEAQRLAEQNRQQLTEWKNVFQKLGDTDDLENLDELLNGQSHRLQLRAALH GSGSYDSGRSLKATDDYPKPSEALLSASTRGSRSRGRGGGIAGTRGRGDIRAVTVRLP SGTSNRASASKPGHHVQQASGARKASLDPALEINNSDPYGKGRGKQAQNQPPYQFGKN RGSDERSQVSTVVKTRRPITVNLPRLLSPPECFLEEARKLLQRVSETAPATTQNESNL PSAPSTASPRENRMEQPITTQKALLSNTKAVASHDEPASVTTLTIAPHENPEPQLSAA QVTADRVIKDNLSENAESTIVISKTTRKDQSASESVLKTPEESLLDLSSTPPTKDSFM RDDNLIMSPSLQELEGLEFMQSLTNTPGSTSSPIVQRPECEEPLKSTAFEEKSSATTQ ADEDGACDKFQRDIEMLCKLMASTSLSDKHRESLEECKAELENLQLKVLLKQGALYLS QKVEIVLVY AOR_1_1564144 MPAVGDQHRGNPTCIMMPNTTDTTSANPFEERPRRMNEYTAREI ATLQARLDKKLGPEYISSRPGAAGQRVHYLAADKCINLANEVFGFNGWSSSIQQIQID FVDESPNTGKISLGLSVVVRVTLKDGTYHEDIGYGHIENCKGKAAAFEKAKKEGTTDA LKRTLRNFGNVLGNCIYDKDYVSKVTKVKTAPARWDVDDLHRHPDFAPIKKEPVQQKP MQEDDDLPPRPTDAGKNNSNSADTAFDADGEFGSDLFDEADFGVAATGNPDEIVIDPD TQRFQQPPTPLNRQNGPAPYRGPQQHNPLAAARPHSAIATPSKPERPPNQAAAARQIP PPALNGRPNPAAPAHNPQHNLPSGRIPPAQPRPNQDTAMPGASGQMPIKREQVPNPND PGTQDMLPPGSSPMPSASFFSARAVDLLRDNPQANAAPAFDPHAESPSIRKTAGVDHS KSVPISKPMLASVSPAANNTRDFVNPSQDMHRKIGAPSGIGSPMNRGQTTSSYRPLTR PNIDPKNAVNTTAANRGVGPQNLNGKRPPLSDVTNASTLGGSGPAPIGGAIDPKRPKI NDGPLPHQQQQHPQ AOR_1_1566144 MAPSDLDQLIEMGFDKERAELAVTKSGGLQGALEWLEANQDKSL EEIKAESKNDDEEEGPQLQPGEEARSLVCNECGKKFRSHAQAEFHASKSQHVDFSEST EELKPLTEEEKKAKLEDLRQKLAAKRSVQSVQDKIDQKRNEEIRRKSTKESQDAKEEL QRKQMMKEAEKKKQEKLADIEAKRRVKAKIEADKEERRRKAERERAERAGVAPSAEPA SAPSATSSGPSTSKPASAYTETRLRFQTPKGNILKTLPVTTTLFEVAAALKQEDGIDV QSFLQTFPRKVFDSEYYGESLKDLGLTPSASLVVQ AOR_1_1568144 MSIMLEPPELAFKRPFNREVCQILHLNNENQEPVVFKVKTTAPK HYCVRPNSGRIEPGKSVDVQVLLQAMKDEPAPDAKCKDKFLVQTVAVTRDMEFANVTS IFEKASKASIQERKIRVNWLSAEDSPAAEQGDTNGVNVPDDEPPAYTSPAANFQTPAV GAASKVANDTSPIPPPDFSDKRDIATPQTNESKISSAKAAIASAIPTSGEDLSAQLAE AKAQIQNLKDRLADQGLRQRKIGGETEKSAAPALQQQHAQSVEAGVPVQMVAGLCLLS FLIAYFFF AOR_1_1570144 MPSAVVTTDSPHLRVSAAKNKDLDAQNDQRSVRIPPSESSIVED QFFWTYTEEPHRSRRQAIIKAHPEVTKLCGYEPLTKYVVFGVVSLQICCAYLLRDTSM LSWRFLATAYLIGATANQNLFLAIHEISHNLAFRSPMGNRLLAIFANLPIGVPYSAAF RPYHLTHHKSLGVAGLDTDLPTAVEAFLLDSLLGKAFFCTFQILFYALRPMFIYSPPF TYIHTLNLAVQLSFDYALVKFCGGSLQPFFYLILSSFLAGSLHPCAGHFIAEHYFFSK VDHGTESITEQKAKSTEKKQPHPLDNLPPPETYSYYGPLNILTYNVGLHNEHHDFPAI PWTRLPALHRIASEFYEPLPSHRSWVWVIWTFILDKNVGMWCRVKRAQGGRLVGGGGK SGRSGETISAESAGPEENEDGWKESELQN AOR_1_1572144 MAAQASEDLQKLDLNGQGGAAKADAPTAGQAEAGEAEDDSDDDA DEGNAAPEGGANGAAKKKKKRKSKKKKKGGAKVQSEPPRVPLSQLFAGKQYPEGEIVE YKDDNLYRTTNEEKRYLDRMNNDFLQEYRQGAEVHRQVRQYAQKTIKPGQTLTEIAEG IEESVRALTGHQGLEEGDNLKGGMGFPCGLSINHCAAHYTPNAGNKMVLQQGDVMKVD FGAHINGRIVDSAFTVAFDPVYDPLLEAVKDATNTGIREAGIDVRMSDIGAAIQEAME SYEVELNGTMHPVKCIRNLNGHNIDQHVIHGGKSVPIVKGGDQTKMEEGEVFAIETFG STGKGYVREDMETSHYALVPNASPVPLRLSSAKNLLNVINKNFGTLPFCRRYLDRLGQ DKYLLGLNNLVSSGIVQDYPPLCDIKGSYTAQYEHTIVLRPNVKEVISRGDDY AOR_1_1574144 MLFPRVRTPGIRLRTTHLHTQHWNHTYPTLYTNTASFSTSPIRR ATSREPSHYEILEVPITASPAEIKKKFYALSLRHHPDRNRNDPKASSRFARISSAYET LSNHTKRAAYDREHGIIAHHSTHSTANPGQHPMGSYSSYSANLHTKGASYAGSRPASG LSKRRGQFRGPPPSFYAHGGYGNRKAPGGASSSSAAGGWSEHDPTAFIYRNPVNHFNA PGHYKTQSAEDARRKERRSKEMGAELNNQYIGSRGDFAVRFIIICGILVGAGSMTGLI GWPGERSPKAKGNKPARRKEE AOR_1_1576144 MSAPASFSDIAKAANDLLNKDFYHTSAASLEVKSKAPNGVTFNV KGKNAHEGPIAGSLEAKYVDKPTGLTLTQAWTTANALDTKLELDNNIANGLKAEILTQ YQPSKQSKGAKVNLHFKQPNLHARAFFDLLNGPSANFDAVLGHEGFLVGAEGGYDVQK AAITKYSAAIGYSVPQYSAAITASNNLSVFAASYYHRVNAQVEAGAKATWDSKTGNSV GLEVASKYRLDPSSFAKAKINDRGVAALAYNVLLRPGVTLGLGASFDTQNLNQAAHKV GASFTFEA AOR_1_1578144 MCGIFACYNHPDVQKFKPTALRMAKAVRHRGPDWSGNYIADKTI LAHERLCIVGVDSGAQPLVNDDGSLALAVNGEIYNHRIIRKNLKNQYDFKTHSDCEVV IPLYMEHGLDAPKHLDGMFSWVLYDRKQDRVVAARDPIGVTSFYIGWSSETPGAIYFA SELKSLHPVCDKIEAFPPGHIFDSKTGSMTRYFEPKWWDPTNVPTTPVDLKVLRHTLE KSVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETLRMQEAAKVAIQNQTGSSDLVGID DSNELSTVTTFQQLHSFSIGLPGAPDTEAALEVAKYLGTKHHAFTFTVEDGINALSDV IYHLETYDVTTIRASTPMYLLSRKIKAMGVKMVLSGEGSDEIFGGYLYFHAAPNKEEF HNETVRRVKALHLADCLRANKSTSAWGLEARVPFLDKNFLETAMGVDPQDKMITKERI EKYILRKAFDTTDEPDVEPYLPEKILWRQKEQFSDGVGYSWIDGLKDHAELHVTDEMM KNPKPEWGNDIPDTKEAYWYRMMFDEHFPPSCASTVERWVPTWSKQTDPSGRAIATHN AKYDHVE AOR_1_1580144 MSLCLNRLTEERKQWRRDHPFGFYAKPHRTPQGVLDLKRWECGV PGKAQTLWDGGLFKLDVTFPDEYPTKPPKCKFVPPLFHPNVYPSGTVCLSILNEEEAW KPAITIKQILLGIQDLLDDPNPESPAQAEAYNLFKKDRPAYEKRVRQVVKENPTL AOR_1_1582144 MASENTPSKPGGMLSLYANLLDPSADNSPGTISRAPVVFKQASE GESQPDESAAKKQQLNTASLRFQPTKRPQLSAQKPKPKPALPKAAPVPASAAAAVPKT TLADWANTEEDDVNDFYAGPKRQRGGRKKRKKNKDTREFAQDWDDIYDPSRPNSYEEY KHSDEQISEVREWKDRLYAHRIVRSLSRDSYSDEDYGRPMNRQFAPPSSFAPPPNLND MPPAPPAHSPAPPADIPDAASGEEAFARPDTAMADYTPPPPAEASSAPVPDDPTGQDA YLRRLQMSAGPQPVAEPAPPPQPRPLEALQPASATISRAPVRYTLPPPPADIPASEAE LEEVFAKEQPVEGEGEGEAEGQRSLRPGQKGFAQRLLEKYGWTKGSGLGATGTGIVNP LQVKVEKQKKRPDSEGGGFATPAGRGKIIGGARKKEDEGKFGQMSEVVILKGMLDGMD VEAELEGDQDGGLMQEIGDECSEKYGNVERVFIARGSAPPVPVFVKFTNQLSALRAVN ALEGRIFNGNPITARFFDTQKFEQGIYE AOR_1_1584144 MTTLAQSFSSRSADIAVVVPKRQTPLSPNLSITYQQLHAHVAEF QAKLAKLGVGHGGAVSLALANSYEFIVGFLGASWQRAIAAPLNPAYKQEEFEFYIDDL SSTLVLIPKGSYAQNGPAVRAGRKYNAAIAECYWNGTEVVLDVKEQGKLAGSAGVTVG QAQPDDVALVLHTSGTTGRPKAVPLTHKNLTTTMRNIRDTYKLTPKDRTYLVMPLFHV HGLLAAFLAPLYSGGSVIVPPKFSAHEFWSDFVAYNANWYTAVPTIHQILLKTPLPNP IPNIRFIRSCSSPLSPKTFQDLEKTFNAPVLEAYAMTEAAHQMTSNPLPPGKRQPGSV GLGQGVEIKILDQDGNEVPQGKEAEICVRGENVTKGYLNNPSANKSSFTKDGFFRTGD QGKKDPDGNVIITGRIKELINKGGEKISPIELDNTLLHHPKVAEAVCFAIPDEGHYGE DIGAAVVLKGNNTATEDELKSFMAEKLAKFKTPKRVWIVPQIPKTATGKIQRRKVAEA MLTPKAKL AOR_1_1586144 MSTKKEFICLVPDKPGSLQKRLEVRNQHLEGVKPLVQNGSIVCG GGTLDSHPAPGETPPFNGSALIVVAENEAEVKALISNDIYTRSGVWDVEKAQIIPFMC AVRVGDKALP AOR_1_1588144 MLLPKGGVTWKSAKARLPPWRAILVLVTRTRFLVSLALTGLLIL LWRGISKSASEMQNFYCYGPPKSPMDMSLNEMAEWNAHMQTPVVYNHHDPYEVNSSTI HNIDLNPIGSSAQAVANAERVLILTPLRDAGPYLQKYFELLYKLSYPHHLIDLAFLVG DSKDDTESLLVSELNRIQEQGDKVAFRSASIIKKDFGADVNMNVEDRHSFAAQGPRRK AIGRARNYLLYSALKPDHSWVYWRDVDIVDSPETILQDFMAHDRDILVPNIWFHRYKD GVDVEGRFDYNSWIESDKGRRLRQTLDPDTVLAEGYKEYDTGRQYLVSMGDWRNNKDE EVELDGIGGVNILVKADVHRTGINFPAYAFENQAETEGFARMAKRAGYQVYGLPNYVV WHIDTDEKPGNLGDRKAY AOR_1_1590144 MCKPVGVDEFRERELPKGRKALATGGWPIKVQTSHVPSQVFLLP QSGSPITGHIMEYRLVQTLVYRRIYEELAKPPGRSDNFGGIVKVQSVVFEDMSSMTDD ISRRYSLPSVRSIANAPNATEMTDDRRLPPLPRVDPLPRGPFNPLASKIFAPSPPSSQ ESFPVKSPFPASEHIVTPPSPANSADSSWPESLPSQKMFEWPQDLSSADLVNLIAPKH INRKPPLQQLCGRKRKGSMVTGECDDQREKHRIAEGNRRKNLSQLHRELDSRIHDFFL ERAGWNPSKSLPESKEHIVQGAIFLIDFMLLIIVHLIRQENEMPRQLSEKLQPQIRCM QLQQLIANLQQQNQSAQQQIKSLKQENQLLEERNQALELQLKSYEHMFRSPKTEQPSP QPLTQFSDSRPRNMLPGLRVFCDEIAVNGTEASRFDPPHTGSSQSFSQSFLSHSPPMT GPSSPVFTQSTFSVPASRRQSIIPSP AOR_1_1592144 MFIKYALPALAAAQAVFAASDKCGSGDTIKIENQSDADGYSSCS TLKGDVEISGTYSGDLQLNGVKQISGGLSCDGASNMTGLSASSLNSIGDTFKLTGLTT LTTLSFAALTKVGSIEFTALPQLQSLDFTKGVTEAGSVVITNTGLSSLNGISLETVGG FDITENTNLKTVNVNNLKNATALINFAGNMDGLEIEFPNLGTGQNMTFRNVSSVSVPS LEKLKGQLGFWGNKFQSFSAPNLTETSDLIFNDNSKLSNISMPVLKTVNGGFQIARSD KLNVIDFPKLETVTGAIDFSGEFNEAHLDSLKLVRGDFNMQSTGNISCTTFDNMAKNR EVIKGTETCKTTSNPETRDGKSGSTTSTGKASATSTGAASALDVSSMPAMGLAAVFGA LVQYAL AOR_1_1594144 MALRRSARLNAVTNSVQPTIQAKKTNRAQQTSGVTKARKTPAKG KKTVGLTLERTLENPTPSKTAKADTAKQEKTNNIFDPLPTDRSISRLRSTPPPLDRPV EPHRTNATLLTPHGSSLVAYPPGTENASPSKTGRPRPTATTGTLLEKAVAHLIATDSR LEPVIKQHPCPLFSPEGLAEEVDPFRSLVVSIIGQQVSGAAAKSIKNKFVALFNSGDS GDNAPEASRFPKPEEIIKCDIATLRTAGLSQRKAEYIQGLSQKFASGELSARMLLNAS DEELLEKLTAVRGLGKWSVEMFACFALKRIDVFSTGDLGVQRGCAAFMGKDVSKLKAK GGGKFKYMAEKDMLELAAKFAPYRSLFMWYMWRVEDVDIAVLTT AOR_1_1596144 MSAPSLSSYIVKRPWLKRWMMPIANWYTDAAGYRRLGLKADDLI PEESEVVQTAIKRLPPKEAYDRVFRIRRAFQCSVSHTLLPANEQTKPADDVEYLSPII REIEKEQKERADLDSLVVKRR AOR_1_1598144 MFSEEHGTSATANGSQEQQITHSDGPLTPSFNASSTTGPQSSAL ITRLELLSTRVPDFYIAPFCGASAGVASGIVTCPLDVIKTKLQAQGGFVRRGGQVVEA KALYRGMLGTGRMIWREDGIRGLYQGLGPMILGYLPTWAVYLAVYDRSREYYHEVTDS WWLARGYASLTAGACSTIVTNPIWVIKTRLMSQSLRSDSEGFRAPWRYSGTWDAARKM YKTEGIRSFYAGLTPALLGLTHVAIQFPLYEYLKMAFTGYGIGEHPDNGTSHWIGISL ATFLSKICASTVTYPHEVLRTRLQTQQRTSPVSSPEEIAFRGGVDHPESRGRPPTAAS SDGMPNRPRYTGIVRTCQTILKEEGWRAFYSGIGTNLFRAVPAAMTTMLTYEYLRKTI SHLQHEGALKQQMAEEAEDSAGI AOR_1_1600144 MAGKIFGRSSGSVNAPIAAFSMALILTSYCVSSIRTARREAQAP STTTRQKPAGEKTEQSSWVQQALDESREAERKVSK AOR_1_1602144 MFRRLVTVVPRVGTVVSPRITPALSGIQNHYNNPRNVGSFNKND ADVATGLVGAPACGDVMKLQIRVDKDTNVISDVRFKTFGCGSAIASSSYLTELVRGMT LEEAGKIKNTEIARELCLPPVKLHCSMLAEDAIKSAISNYYTKNPNARTTNLGGTGAS IPNVKVEIEKSEGAAATA AOR_1_1604144 MRAALRAPLRASDFAPAPVLRYTSSAPWIRPFQRASGPSSVHRS VSNSAQAFSKARQLRPRVPLVALRPHTSYSTKTSNLFGAAEKANGNGRKSSGSEWSRR KVITYTVVGGTIVIGVVAFSDNAQHLYRAAARTGRVVGTLAVCINDYRVTLNRETSSP EERNEELRACHRRCAERTLRVLERNGSIFIKLGQHLSSMGYLLPLEWTTTFIPLQDKC PISSIESIEEMFIADTGCRIDELFSSFDPEPIGAASLAQVHIGILKETGQKVAVKVQH PALAEWVPLDLALTRFTFSTLKRFFPEYDLEWLSKEMDLSLPQELDFRMEADNARRAS EYFKEHSDAPLVIPEVMWAQKRILVMEFLSGRRPDDLEFLDSNNIDRDEVSAALAHIF NEMIFGDNAPLHCDPHGGNIAIRKNTNRRGQNFDIILYDHGLYRDIPRDLRRNYAKLW LAVIEADEAHMREYSRKVAGITDEQFPLFASAITGRDYTKLTKKNIATTRTAAEKESM SGALGEGMLQQLVELLGQVPRIILLILKTNDLTRSLDENLHTRQGPVRTFLILARYAT RTVFEEQMDLIHETGGLLRPFNFLRFLAAWTAFLRVELKLSVYETLLSLKSRFGLL AOR_1_1606144 MVSRCSGGIEGAPPSSKPQNAPAKARVARLGSSPSKRDDRPKDD RVAKTTAKDVAELKDYQLGDCLGKGAFGSVYRALNWNTGETVAVKQIKLADLPKSELR VIMLEIDLLKNLDHSNIVKYHGFVKSAETLNIILEYCENGSLHSIAKNFGRFPENLVG LYMSQVLHGLLYLHEQGVIHRDIKGANILTTKQGLVKLADFGVASRTTGLNESSVVGT PYWMAPEVIELSGATTASDIWSLGCTVIELLEGKPPYYNLQPMPALFRIVNDDHPPLP QGASPAVKDFLMQCFQKDPNLRVSAKKLLKHPWIVNARRSDSVVPKKSTEYEEAVKSV QEWNEALRSPEAGTLRRPFRHDYQSPAPLTSSRNTPTKASPTSRNVADRFRSPDSIEE DNWDDDFATAISPSALQLPHLRPQDNFGGMLSSEKLKAFASLDGTVLRSEENFDDFDD SFRTSLQPGDSDPLETIRPFPTKQTGIEDTQLQNQPRYPINNNAIAIHNVPILAQNPV PPMRQPRPASYYKENSVEDYSDLIQANEDVLDRKLGLFQETDDDTDGLVFSPTNEVVR YQPSLEDDNDPQPQLRKRISVKRHRSAIEIQRFAENERDEDFSDILGADQVTLDKSES EGSSDQGTLMLNSKLSNDSWLGDQDDEDDPFAQLEEGLDEVDLEANIARDKHARLRGQ VEGLVSSLKTSQDEDVLGEISEQLLNVFYDLPETKNIIISAHGMLPILEILDMCRRRD IILCLLRIVNAIIYNDYEIQENLCFVGGIPIINEFASKKYPREIRLEAATFVQQMYQT STLTLQMFVSAGGLNVLVEFLEDDYEDERDLVLIGVTGIWSVFELQGSTPKNDFCRIL SRNSVLDPLSLVLSRVLDEDGELAETVEGRIANIFFIFSQAENHVKEMVAERTVLHRV LKELKRMTPAHQITMLKFIKNLSMLSTTLDALQNSNAIDVLTDLLRSTIKRPHFREVS NQILNTIYNMCRLNKPRQEDAALNGIVPLLQKIVKTERPLKEFALPILCDMAHSGKVG RRELWRNKGLAFYISLLSDPYWQVTALDAIFTWLQEETAKVEEHLLDKRPDKPSFTDS IVRCLTISKANAFENLLEPLQKLLRLSPPIASTLARPDLFSRIGQKLHHNKAAVRLNL LRIISSICDASEEQGGLLAKYGLLDAIRELENDPAILVRDMAGKLIQSNERSEAYSLG KRKPGVRRRSTSTTPPILLTNQSAPSTPQINRGSQSKGFFEGRESQRHPRNALSGSAL VIRPGSRDGVSPGLAAGLNGNPGVSRNRVPRVSNRMSHVDLLAEEDGRRPSSASRRPS ILPRRRHTTQTDAEWTP AOR_1_1608144 MIELGLSRISRLLQQTPLTWKAIHIAGTNGKGSISAYLSHLLTS SGVRCGRFTSPHLIDRWDCITIGESVVQESLFRQFEEKIKLRDQTLGIGASEFELLTA TAFEIFNHERVDIGVVEVGMGGRLDATNVLNNVLVSVIAKIGLDHQSLLGDTLEDITR EKAGILKRGVPCVVDGTNLPAALSTIEARIKELDIDAIYTRPDAPDGHSSTLSRLFQQ LDVQPHQRANMCCAISALKLALRRVRPDIKVDSLVSQLSRVEWPGRLQEIVLNPMVSR TDSVLLDGAHNSQSAEVLGQYVDHKLRSQGSPVTWVIAASRGKDIASLFHPIIKAGDN VATTAFGPVDGMPWVKANDPQELASSVQSISAIGDVKSFDNDILAAINWACSKANGGP MVIAGSLYLVSDVLRHLREAQKQSQKTTES AOR_1_1610144 MGIPMYREPSSTEAAKNNIVKDPCAAARSAIRRQTTIRRPSRHS SSALRSATLRSPFPRPLANEIEREANGLPRHVRSPIPNSGSGEDPFDLTNGLSDSSAR EAGQRLLNDVLRHSRPGQRLRIPRNTVLDDIYLRAAAGNHGGAQQEQDHPPPSFTPRF APAIAYHRTSSPQAPPDVRLSPFPRSEAFGGDVSIGSTVPLLRRVGQRSINQPSRSNS QTVVDGLGDRQRSVSPDGDNANDAWETLLTTITPDANLPSADSSFTSASAGTNASING TARSSATSFGTLPNSMDSTAATVQMVLDPYPEFLNPCDYSTSTDSDSDSEAEATQNSL FHYHYRRIREINARRDARRRAHPDLQHMQAILDRLARREDVPDDLWTAAGLSRTIGQG VSASDGTNNTDAVDGPSRQQQ AOR_1_1612144 MDAQVDRLVDKIWDKTESTPDDSRLMIAVSGIPGSGKTALASLM ANRINQLYTAQHPNSPPIATAIPMDGYHLTRAQLAQMPDPVYAAARRGAAFTFDGEKF LRLVQALREQLTPETQSLYAPSFDHAVKDPVDDDIAIPATCRVIFFEGNYLSLNKEPW NKAAQLMDELWFVDVEFETARKRLVRRHVKAGIAKDEAEADKRATENDLVNGREIVDY RLPVQEIITSRYDPNWDR AOR_1_1614144 MLFAKSALFLSFLALGNIAAAAGPKACLLEALGTEPSPGDLKAV CVDKVQTKIESLCSDDDKQDALKQFADTCTAAGHKVVVNTSTSSSASSTGTSTAGSKS SSSGFVTATATSTSSSGSSTSGSDSVTNPSSTSSSGVPLHTANAGSSDRHIPAAAFAA VVFVGFAATL AOR_1_1616144 MFVYKRDGRKERVQFDKITARVSRLCYGLDPEHVDAAAITQKVI SGVYQGVTTVELDNLAAETAAYMTTTHPDYAILAARIAVSNLHKQTKKQFSLVISDLY HYINPKNKKPAPMISKETYEIVMKHADELNSAIVYDRDFNYNFFGFKTLERSYLLRID GKVAERPQHLLMRVSVGIHGNDIEKAIETYHLMSQKYFTHASPTLFNAGTPQPQLASC FLVDMKEDSIEGIYDTLKTCALISKTAGGIGLNVHRIRATGSYIGGTNGSSNGIVPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHADVFEFLDLRKNHGKEEVRARDLFYALWT PDLFMKRVEANGDWTLFCPNEAPGLADVYGDEFEALYERYEKEGRGRKTIKAQKLWYA ILEAQTETGNPFMLYKDACNRKSNQKNLGTIRSSNLCTEIIEYTAPDEVAVCNLASLA LPTFVDAARGEYDFGKLHEVVQVLVRNLNKIIDINYYPVPEAKNSNMRHRPIALGVNG LADAFLALRLPFDSPEAKQLNTQIFETIYHGALTASSDLAKDFGTYESYEGSPVSQGI LQYDMWDRTPTDLWDWDALKAKIAQTGVRNSLLVAPMPTASTSQILGFNECFEPYTSN IYSRRVLAGEFQVVNPWLLKDLVDLGLWSDNMKNRIIAEGGSVQNIPNIPADIKALYK TVWEISQRSILQMAADRGAYIDQSQSLNIHLKEPTMGKITSMHFAGWKMGLKTGMYYL RTMAASAPIQFTVDQEALKVADTNVARANASFRKRAVGAASNTYSAVPRSPTSETNGQ ANGSVEPKPRAEEADAGETQSEDDQKTSEERENDIYSQKVLQCSIENKEACLMCQG AOR_1_1618144 MAPLAKTLALAGALFAALASAAPVQKRQDVVVNTRTTVEWTTVT VTTTITTDRPVQTQAQPTVSVPASSTPVVTPEPSQPAEVPGEFHESEAPEPQQSATIQ PVWTPTPAESTTSATPTPEPTEQPEPTEQPEPTTTSNPPVVVPTSSSTTSAAPQPTAS SPSGSSSGYTGTCSKDSPCKGQTTFYDTATSSLAPSSCGYTNDGSTEDVLALPVGMMK DSDCGRMVTMRYNGKVASGKVVDKCMGCDSTSIDVSRHMFGLVASEDAGRLFDVEWFI E AOR_1_1620144 MRPAFFLAALASLASTHANPEADLAGSIWDDFKGAVTCAGCEGL LGALKLVAGLGQSALEHVVTDACKLAGIEDDDVCEGAIKEEGAAVYYALKNLKVGSHT SKTFCSSIAGLCDYPDVRPYNLTFPVAKSSVTRPPPSGQSPIRVAHISDTHVDLQYTP GANAQCTKPICCRSFTPEDAPGNASSPCGLWGDHHCDPPLRLEDSMMDAIAALNPTFS IYTGDVPPHDIWLVNQSSVLQSFNSTYSNLGKLGVVYAALGNHDAAPVNLFPSDKVPP SHNPQWAYDALASDWSNLVEGSPSSTTKHGSYSIIHPNSNLRIISYNSVFYYKYNFYA FQEPMEYDPDNQLHWLISELQAAETAGQRVWMIAHIPTGNTDTLHDYSHYLDQIINRY SASIAALFFGHTHTDLFQISYTNYTARTADSATAIGYVTPSMTPDSGAPAFRIYDIDP VTFAVLDYTVYTADINSTDSPNTPPKWVKYYSAKEAYGSLLTPPVTDPNVEMTPSFWH KVTAQMEKDDSVFQAWWSRTTRGYNVTECTGECAKNKICSLRGGDAQFNCEGPGTPFS ITKRSDGVNEVHVERPFCEDAVLARIVGGLARKGVDAEKFVREKAKLYEKA AOR_1_1622144 MEDRRPEVLVVSIVFFVIASVFVALRFVSRVFIVKKVGLHDYLM LLAWVIDFGFSFSLFYATRKGLGLHDNDIALSDRYSLNRANYAFTVLYNPALMAVKTS ILVFYLTLTQGERVFRCANYVTLFVVNAAGLALTLVNVFQCRPVGAAFSYPLAPNAHC TDILTLYLSSSPVNIITDLAILFLPNPILTQMRLPRKQKIILVITFSFGFFVAVVDVI RIAYLQNAATSRQILLREIHLQDASGDDLNWYASLSFMWSVVEVNVSVMCACVPSLKP LVARIVPKMIRDTDDSTTVPDAPTVGPLDIPDIPDIAEPAPLPTVPGPNMRRRSHDPP QDAEANTTITTTSYLPSITFFDFVNMKKPANMLKLSNKESIAPISLTTILFFLWGFAY GLLDILNAQFQDIVRLDSWRSLGLNAVYFGGYFIGPPLVGRTVLKHWGFKSTFITGLC IYACGTLIFWPSAVLTSYSAFIVSNFIVGFGLAVLETAANPFIALCGPLENSEIRLNI SQGVQAVGSVVSPLLAKKVLFKKVTDVSSLVDVQWTYLGIALFDVLLAVAFYYLPIPE ASDEDLQELANRRRSDNMTQVAGIPVVWLTLGLGVFSQFFYVAGQEIISQSFTMFVED VYPSSRLSSFDYLTIAHSIFAVGRFLAAFLQWFLKPRWILLISYIGMIVFSILCMKTT GVAAVAMGLMVYLFESGAFSIIFAISLRGAGQHTKTAATLLTTAISGGACFPFAQYAA SLAGGMSFSYSVLVAVFCAGAIFPIYLNLVPAAKKQVDPVPNEHLRRPRRHRPKPNIV QREKENPSIGGVYSRRRSVLSDLLPAVTLSDQSSSQPDLANNGHPRSAAHGGLQHDLA PWPES AOR_1_1624144 MRATNERIIHFAGWKIGNIAPQIGVPNLLPEGLRWIESRTGTVI PLPNLCHAPWEKPPLSYVSPDLDDVHEVASTPSGLPARTVLKRYLDVYTSSAIHTIFP VINSSLFSQTIRAAYMLPGHNKKTHCPSSRACIFAFLALVSSLDHLATRCTAPKPPPI PRDEYVMKAQALLSALLQEPLNLDALQTALLLSILGILTGELQTATNYNSIASRFIIA LGAHTMRDPCAVPEPAVGGSRDKETRKHLRGLFWLCYALDKDFSLRTGQSHCLRDEDC DLQLPPGYTEKLHSGMRYSSMGNARGLLFPIDLRLSMIKSQIYTALYSHRGLQKNDAE VIRSIRELDEELELWRMSMPSNLRPKLSFAKENSEDQRVDTMYLVLTHLNYYFCVNII HLAGSRCEAWRLSSTPAGMMDGLRLSLTLSVEASRSLLLFLNYSESLVSVGSFWTLLF YPMSAMLTIFCNLLENPRAESAASDTQLLAVAEHTTERVFLRQISRADKAAHLQAITG FIFSLRDLAQQAVHQATKESGPS AOR_1_1626144 MPIKVVVVGAGLAGLGAAISLSRAGHEVQVIEQSGFLNEVGAAI HVAPNATRILKAWGCDLESLHPVHCNKLQVWDASGNLVWTPVVTKERQMALNTTDEWL LTHRVDLHNALRTAATKEVNGRKINLRLSSRVLSVDAEAGEVVLEDGTKYLADLVVGA DGIHSRTVQAIIGENKGRQSTGQNCFRFLVPMEKIQANPLTAALMAKTGIDGVHAFAA HDRRIVVYPCRSGQLLNVAGIHPAGKETNARDSSWLDGGSLSQLMETYQDFSEELQEM CRLAEDVKLWSLASRSPAPTFVRGKLALIGDAAHPMLPHQGQGAAQAFEDAVALGGVM TEDMTIEQIPQRLELYNKIRYKHAVTVMLMSKTHDERRAEMLEELRSYVADAEVPKDM FAFTWPSDPIGEAHRLVQEARDRQNGPRFYEN AOR_1_1628144 MQLPKPFLGALGGAFITLQLLFLANMCYLYGTAYHDSLRYSTMK LLFVDYDQDVIGQSVMTAYDQMKGPSFPTVQRHPITEYPTEQDVRNAVCKGHYWGAIY SKANASARLATALSSPEAARVYNNSQALTYIWNGAKYSAYAQSVYSMLVQLVQGTGGV YDQMNGTTILSTANISDPYIAKTVLDPISSSSIDLQPMAQGVRFYYNTVSMVMPILQQ FFFLMALNGISAQFKIFSSLSLKQNMVLRLIISICYTFIASLCMSGYIWAFREDWNAT SDQFGLTWMAIWLVMHLNFLIIDAATAFIPMQFMSFFMLTWIILNVSSSIGPFELSPG FYRLGYVFPAHELYEILLQIWTDGCNPHLYRALPILWSEWIVALGLSLLGMRKRTKPV TPVQVTSQAVKEDMA AOR_1_1630144 MSNLPIEPEFEQAYKELASTLENSTLFQKNPEYRKALAVVSVPE RVIQFRVVWEDDNHQVQVNRGFRVQFNSALGPYKGGLRFHPSVNLSILKFLGFEQIFK NALTGLNMGGGKGGSDFDPKGKSDNEIRRFCVAFMTELCKHIGADTDVPAGDIGVTGR EVGFLFGQYRKIRNQWEGVLTGKGGSWGGSLIRPEATGYGVVYYVEHMIKHATDGKES FAGKRVAISGSGNVAQYAALKVIELGGSVVSLSDSKGALIVNGEGSFTPEEINTIAQI KVDRKQISEIASTEAFASKFKYIPGARPWTHVGKVDIALPSATQNEVSGEEAQALIDA GCKFIAEGSNMGSTQDAIDIFEAHREANKGASAIWYAPGKAANAGGVAVSGLEMAQNS ARINWTSEEVDARLKGIMEDCFKNGLETAIEYATPAEGVLPSLVTGSNIAGFTKVAAA MKDQGDWW AOR_1_1632144 MSSTQSRYAKAHESLGGPGDARPTALQIVQDENRVNDLTDKVIM ITGCSSGLGIETAKALFHTGATLYLTARDLGKAKTALGDLVDSPRVHLLHLDLNSLAS VRACAEEFKSKESTLNILIEDAGVMACPEGRTADGFETQFGTNHLAHFLLFYLLKPQF LSSSTFSFQSRLVVVASSAHRVSSVHFDNITLEGEYEPWKAYGQSKTANIWTANEIER RYGSQGLHAFSLHPGAIATELLRHVSDEQKSVWDADDWLKKYWKSPEQGAATSVWGAV ARDLEGTGGKYLDNCQIASPADPTKRHGPGYATWAYNPDGEAKLWAKTLELLELKDE AOR_1_1634144 MSSPTQVLFPSFHLKICGDLYAPADGSPDRKGAAVVVSHPMTGV KEQTSTDYAKALAKAGFYALTFDAGYQGESTGEPRGLEDPHQRVEDIKAAVSYLTTLK DQVDASRIGVLGICASGGYASYAAQSDARIRALATVSAACVGRMTRNGGVHEHNKENA QAITGALQFAGHWRTNVASGAPSEAPAMFNAADVPDDADPFFKDAAAYYGTDRGKHER STQKVPPVSYDLMIPYDSFNFQHLIAPRPLLMIAGSEAQTLHYSKTAVEAAKEPKELF VVKGKNHFDLYDDLNESGPKLVDFFGKNLQ AOR_1_1636144 MSMNEPRPGRGLVFSIKNLFQSSPSPSPSRRRVSVLLRRGRSVF SNKNPENQSSRSSGTQMNSSPQFDPTAMFGLTGTSPGANGDGFDIFKWYPHYQNCQRY FLDHAQHSNTVQALSAFLNIRLPYQRQPHPVFNSSTDHTPTASTTTASISNSNSPPSV SLIPYIRRLVATGMDFPGVLHGFFGDDWGSGVGFLHEQERRNYLFAAKSGGWACVKKD YDISPLETIPFLRPLQGPLDSEIEAAERSWSEWLAMEDWMVGPRAPDILRDSSSHMSR PRSSRG AOR_1_1638144 MTSSEQWRAFLHQCLMRRIDAAEFKNLSKILFRRCPTAEGTLLD VLLEIRLATGIKWDPLLPLYIDCLCKMGKVQTSTVLTSLLKYSSIHDKPQSPSSETVQ SKMALKCYTLMTDIRVIQDAMLSVSTGSTPKSLAEAVGIFSAIIDWIQAVVAWHNNHI DPSQQTGGLMSSPDAVSLFESLGILLTALSGTGKGIEVLSSDSHEALKVKLGQALSAY LPLCMEVSLPLRNRLDSLQKGFNLYGEPPNKSLQSMMDNVNVNALQFEASVMDGPVIN SRAGLYIYINAMLVGRPLVDDSMLLNYLTNRYGGHYDVLVEEVITATFDVLSNALYRN ESSRTMFLFRSFLVNKLPSFFAAMLAASMVSLPMEMCISHALSRLDPNTFPSFSQMFA MQGSTVLSEVRPEFLFACASHKLIPESSIERLLGENPMQTPPVGYNKDDLVSQINTNQ ERAEQLVSELESTEGNAGAIVAAITEVMHNLCNQKETMTLKSICNSLSRRPQALDVIL LFRSAKQVLQPLCALLDSWHWDEDQGESQPVYDEFGSILLLVLTFKYRYDLRPYDLGI TSNDSFVLKLLDCGSSSQNLDDLSEKQNRNLGAWITALFIAEGISEETMSSCSPQEFY LLVTTLFNQSLTACEAGKLEFDTLKGGFEYLLEPFLLPSLVVALTWLGNHIWETESDP TIPLKTLQSLVNPSSISGDAREIHKTVLNITARSLDEQLKDIRSRHPNRADIKPILDV LEPCLSFQRTGSCHRSELDSWTTHSPGGLLGSIRSTFQGLVLWSTSPGVSMAPHSYTH RQLVAGIRMLGSARVLTAIVDELKMQTETGNADLALDIAVTMICAPLAESFAIEQSNY HPVDPNKEPLPRCPVLTLRDALNLQHENVPKLSEKDPLRAEVIVRLYRRVNALMTPTS QMPNLDMSNIIQDMQLGVEDHGQMDLEPAGAGHGVGDDDAANLNRMLDNAAAAAAAGL DSGMGQGMGGGLDTSIDDVLNAADMAVGNPEFLDLDMEGMF AOR_1_1640144 MKLTAAIVLSLSTLALTAPAGVPSKNAHKDPGHNFYQEASKSLL GNVGKALKLGPKTNA AOR_1_1642144 MEPMKPHIAPLKIEKGNSNFYSNRFYCTQHHQMTPPLTPSNTKK DDSMEETETPRAVFHNYLRAFYPFHPSGDVSPATITLPLDQGDIILVHSVHTNGWADG TLLDTGARGWLPTNYCEAYDQLPMRPLLKALTDFWDIIQGGCGFPLREFCNQDTVKGL IAGVRFLLEKSECLTRDSVLVRSHDGLRRNRKALLADLSSLVRTTKRCQEMPSSTNDT EHEVCMMDEMLLKAFRIVTRGVRFLDIWTEEVGLSRTIAELGQATHFDILPTPMSETF PSEADTERGESRLLNRSRLDMSRASNRTDTIESQSHRPVSVSTKRISHRVSCSAPAAA SRNPNLASERLNTTYDAFLGVLGSFIGLHLQSRPSTELVVTTEQAVRSCRGLLTVVEA VCEHDPQGCGLLEQARDTMYDRLSELVYAARDAFRPAHSADDELIFMPDEGKRLVDAA TDCVRGAGNCLAKARWMLEQSGDFELEALTQDSSTVHDIQTTPIPQRTGSLAGDQKEV SLRLPPPPLEIPVKKNLRDSTSTPGLTEAATPSSFNSRALVTPTTDNTDQPTAMLSTS LDKMSTSLMQDAHVADSIQCRDSHPTSEVSESFGRGITSTGSSFTYNSHLRDSEMSGV SQTSTRATSPDICNSYQAPSLHGSISHSTLAEENEETEANILEKTFAHELIFKDGQVM GGSLRALVEKLTAHGSTPDAMFVSAFYLTFRLFATPMEFAETLVDRFIYIGDTPHAAG PVRLRVYNVFKGWLESHWRHDCDNVALDFIMNFANTTLMQNLPSAGKRLAELAEKVSA VHGPVVPRLVSSMGKTNTATAQYVHPDAPLPPPILGKKENNLLRQWKHGEGSLSILDF DPMELARQFTIKESRIFCSILPEELLATEWMKKSASLAVNVRAMSTLSTDLAHLVADS ILQLEEPKKRAATIKHWVKIANKCLELDNYDTLMAIICSLNSSMISRLKRTWEIVSQK TKTTLESLRGIVDVSRNYAVLRQRLQNRIPPCLPFVGTYLTDLTFVDHGNQPLRSLPT EDGEMAVINFDKHMKTAKIISELQRFQIPYRLTEVPELQAWMQNELIRVRSSGEKSMQ TFYRRSLVLEPRDPPQTPRSIPPQPESSASSILENAKDKFDFLSWTHPSKAKSVATNG AOR_1_1644144 MSGNSRRIEPLPPEVVAKLKSSTSITHLNGVIVELVKNALDANA HTVSVMVDFQRGGCKVDDNGDGIQPTEFKPDGGLGKAHHTSKYHTDTGVYGQKGLFLA SLAALSLLTITSHHVRHSNANTIMLHHSTPVARLIPAPVQQELGLGNHGTSVTVNDLF GNMPVRVKNRALALQRHDELDRQWDELRQLLVSLMIANDNLTKLVIIEASKDKRIIIR SRTQNRRTDGELDLQRIVSIFAQAGLIEFQNVHSWDAVSANVPGFSVHAAISLVPHPT KKIQFISLGMDPVFPKSSVSLFYAEVNRLFSLSDFGTTSITPSISARGTSSAEHPDRY GSSNMKPMTKTVNKWPMFYIRIDTNESHKINNEGHDLPESDKSVQRIMDVLAAMIQEF LKQHNLRPRTGKRRQKTEKQTVGAPTSRSDRAPNRPGQVFNSEEALCDQLKLPNFQRS APNVSQSFGEWSRIKSAQEFDNARSARLKVKSTSPEEAQGIERGSAQGNLPYRPERDN GRAPEESEVLSTYTGSMAPGIENGSGSDIAISWTDPYTGTSHLVNSRTGQSVGARSPM DAVQRPRSTGSLQTMRAYDSITRPRSAILSRTRNLWVEKMMDEWDNPVFSRSEKSLDV IGTRYGTKARMVGDVSTDVCGSESLGLPNVRGKLRRQDLKTAEIIAQVDHKFILAKIR STAASDYSNGPDSILVLIDQHAADERCRVERLFEEYFTPPVEGSRQVQTVTLEPIIFE IPVTEAYVFGRYKQFFEFWGVEYTVEQGPADKSAYIFVHTLPMLIAERCRLEPELVTN LIRGEIWRREENGRGPENRVSVLEQDRWAEQLDICPQGIIELLNSRACRTAIMFNDEL TIGECQSLVENLARCVFPFQCAHGRPSMIPLLDMTDTLTMVHPGAEYTSGEEEQSDFA EVFKTWRDKIASPLT AOR_1_1646144 MATAEGPARAHDRNGRRRPFSSWMKRLANLKSSTDSGSTRWSNK RHAVPKHKRSLKNNPYPLSGTVNIHEYNSDNNPSDFSDSNEQRSCSQSEPSLAYSGCD NQVPATSAKSTAPTISTNGDTAISDAAYSKAGTMATVGGGISSHGGGEGSTFSSPAPS VRSLTTTLTTVQSAAPSGHLYNTQNTHHGIHNTSSTHNTTTQQVQFSHQFPPSPATAV PPHLAPHGQSVTYSTATANNLLTDNASILTLASSSKRRRRNSLDTNASIRALAPSSVF GGSRESLPLSVLSGNVGEPSNTSAFNAPGVLNRPSIVGLASAERISIYSASGATPING GGERGSLYANKPSPGVGDGASFISVGQSHSRHDSNAASMSGVAGAMANTISTGRISRR GSGWGEITGDESDEEKPRDRKEDEELDIKSEVPGEAKKG AOR_1_1648144 MAAPEPKKTVAIIGSGMAGLVSAYLIQQDRKRRYEVEVFEMQDQ LSLDSASYTITSQDHHTYRVDVPMRAFDDGFHNNLKLMYDHLGVKYTSPKFIYPLSTI STTDGKKLPPHFIHSSSNHQVPPIRPEGCGYVEWILRILYLAVCYFWFTACCFLVEPN PATPSDEGESLRQYLGRIRLPQYFVNTYFLPLLSSVTTCSHSELLDFPAIDAVDYARR TYRQPHYTVVGGVQNVQTKISNAQSVRLGAIVTQVENVGSKVQVTWTDLKSKEVHSKQ FDHVVMAVTPNVVGAIYEPLRKLMSSIPVNQGEAVVHRDRSSIPDCSQSLGRFAAAAR QSVDPTQILHICSNSSATEAIHEHPCSVLVTSFPIAPIDPAKVIHRARLTRVLRTPQS RKIVNRIFGGNPQHAYQEKEKSWSNGTGNVWLAGAWCWDGMVLLEGCVVSAMRVAASL DVEVPWLISQ AOR_1_1650144 MVLGVIERIIQYIIGVDDSKLYSLDHAILSMEVPPRSMWMNMGY WKNTSSFTKACEALLEQVLIAAKLLNEDGTPIKAYHQDIKLVDVGIGCGDQSLYLTRR LCRVCTDSVTTAPSSGIKAAIDNNSGAHNSKMRKRGGSSTRESRPLFDSYVGVTIEQP QADFAQERLSRDTTGDSEISAEWTPDVKIFAADAADPSSWGNELRQATLGSSGHAESK SEATSTDTGSEKTQRWLLALDTMYHYKPSRDPLLNHACRDMRASIMAFDLLISESASL WEKLVLRLMCLISGMPYSNFITEEEYVNMLVRAGYERDMIEMRDISEHVFAGIANYIR KQDAELKRYGMTVGKFKSAAKAFNWWARTGVLRGFVVVARAQE AOR_1_1652144 MTEYNGRRAPNFSQYLDDLNAIPSPYDQAVQQQQGSYNLDADLS LFTNAEFFDFDNFGDLNLPGFDSVESDRMKKENNQATGQNPDMEFLDLFGGFSNMPDY SATGFNSVNAQSQPTSLQNAQFSTVPQMPNGPANAVSSPNESISTSSSSPAAQPQAPA PAASTPSSAAAPKRKNTQKSAAMSVEEAARVAAEEDKRRRNTAASARFRVKKKMREQA LEKTVKETTEKNTALEARVTALELENQWLKNLITEKNGQSSEEGKKSENDIADMFKKF LASQKAEGQRSSAESRIGVGTA AOR_1_1654144 MARPKTVRAPTTASLPSNLRIPSTTPSLVKSFGKLTRQALLDLV FYWLDDRNVQSFAPFLERDEAEDADDEELGPYPAERTIDDVRNAYQELQVRKGGKREV IDRILEGDWRHGITLRQLAMVDLRYMDDHPSSLRWTALELTRIDADGVQSPETDFSSY IPRIHASTLLNNVQQQISPLVKAHYYLARSNTLPLTFLRIFVTNSPYQHPRQPPETLT DSSRVIYVAFPDSCPFVYTSIASSTGSKASSANAVATDARSLQRIVRDAVPKALSLPQ RRYALKATSLTAKSLQALLALRGPSRTNGANGAFSIFADAVVEGSPLDPRPANTVSPE ELLNQTKPEKNKNQPTEDQKENEIHQPGRKPRNSGEDTHIPKKRKLAIHSRFGTSGSL SSAPLDRLDVRLLDRPDGDEDDEDSDADHTQPSLSLTFAGSDVISGIRKLAELGVVDP ERMPSWMTGEEAVSVAVVHRGRRVIKDSG AOR_1_1656144 MGTTRTTSSRQQNTTTSSPADHTRTPQTGRTSTSNRKRTVDEKT SVISTSAKRTRRNEGDYTAVPTDPRNVIDLTGDSPVASPQKKSRSAKQSSGEGIPERR ARVFRRKAPQTFLQRLNRATTQRMFVLGHTVTGADDVPEMSFDIAGTTGNIYKIVIGK EPTCTCPDARKGNQCKHICYVLVNVLKAPQHLQYQLAFLSMELREIYEGSSLSREQTK IDDDNGGKRKAVEGDCPICFMEFEPDREEIVWCRAACGNNIHKTCFQQWAVTQHSQGV RCVYCRSPWQADTSDVNLEQLRVQGQTTAEGYINVASQLGLSGQRDYSTYHPFWVSRQ LYPYGSRSRRRYNADRDEVEWY AOR_1_1658144 MARPRAHSGAEASKEPHSVSLKVLRLSRPSLSYQYPFPEANTKI SNKASLSYPSDSVDNQFILAPNLTLPPAFGSAYVGETFACTLSANNELAEDETSRVVT SVRIVAEMQTPSQVASLELEPADDAPARDGLQKGQSLQKIVRFDLKEEGNHILAVSVS YTETLIGSDSQAASGRVRTFRKLYQFVAQPCLSVRTKSSELSPLEVENKSLGPYGKTR LLRFALEAQLENVGDEAVVVKQTKLNPKPPFKATSLNWDLARPDQSDSQPPTLNPRDV LQVAFLVEQEEGQQEGLDALQKDLKHDGRAVLGQLSIEWRGTMGDKGFLTTGNLLTRR RT AOR_1_1660144 MTMPLSFSHLIRGYKLHRMPPPLVTSVEIPNHLGFFQEPEERTT RQHRGKPCIHYTIEWKVTLNNRTVSKDTEQDLAVAPSSHWAKITQDAENVMRRKIRHN QRVRSDDTTVRVSVNERGQSDLNKRFDGTNIDWKPIEKQLLMWGNLFHIGKKLKLFIS INYIEDSGPPLSRNTDKRGKSSVTRRMLTERDAQIDAEQASGQRPYWREVYQTMRCPG PPCRHEGQYCWLDPVGKKHYKLRTHHLRRLVKYVEGGGILDTHDDIPDDVREQLYAEE ALRLEKQKNPKHSASGSICPPININVLPAQSSQSLTDRSVTAEQSSSSPNCIDSVDIP GFLDDAVEEYANWHLSRVGREIYRDHIKKARDVALENGLDLQQVSKEDPDFFVKQGVI IGVARRFVSDIRDWANQYRHD AOR_1_1662144 MPSHPLHRHQSSLEKVLNFSKPFSLPPHQSQSAANLLQVLIQCY GPERSARKGYKPAALIKATYEHVVAKDTFLTFFFSSIYENLCSQPADLIDSDITIVLT FFDNFTSWSPDEKNKAKSAIEEFADYIIENFLLPLRASSVKTPQPTPASLSAIQTSTP SGTPYRVSILRKSCLVRDRYRCVISRKFDKSEARKRFEQYGEDCKDDEGIELKNESSD RFQFLEVAHILPHCLTTVSSGDADLSDSKKNVLRILDMFDPGVIHLIDGPKIDSPINA LTLTLDYHRMFGEFQIYFEPTGVPYQYKIDSTERSPFLRDPLFPVTRTLTLSPTRVID TPSRRLLDVHRAIALIMKLSGAGEYIENILRDIEEVDVKADGSTNLGHIMSLRLGGWL NTLCVF AOR_1_1664144 MSPTSVGHDYYEILGISHDAQPATVKLAYKRLALARHPDRRKNE PNATADFQLLSEAYGELSDIHKRQEYDKLYRSAILPGKIKSQKIAELEERLRQFALKR EGSKTLLYNTKKDLIRLRAEKDSVKGEKERLLKERATEETWWSYISSLMIGNTVEFNQ RRQRREREITDSIGKQRTKEWNIDLKLAEVQYLERMLDSISSAEIEIKVEITKIEERW RERLSLQEMERVLAKWKNQR AOR_1_1666144 MFFYRVTDKDSVAAFEPGQGFIAGNTSSRVTLDPLIQDDAIELV EYIQRHLNWKNRYPTPFISAYLDFDTAKTEALRRVNDGKKSVVLWKICLDEDDDLEWA TIYDLKRELGFWIQDNAFHNAKYEALFVRKIPRDYVVGGERYTNRQGKWTGGKWFHIN HPFH AOR_1_1670144 MSSVTAYLSNEDRGILLHQMQVSQLRQQILANAKIIWPEERCFE IMTGRKTVEEKEGYATVKRTICYAYLISLRKTESRPFNLIAKSKPEKRPGEPLFDLLD LLERRAFTIMESESTE AOR_1_1668144 MMVAWWSLFLYGLQVAAPALAATPADWRSQSIYFLLTDRFARTD GSTTATCNTADQKYCGGTWQGIIDKLDYIQGMGFTAIWITPVTAQLPQTTAYGDAYHG YWQQDIYSLNENYGTADDLKALSSALHERGMYLMVDVVANHMGYDGAGSSVDYSVFKP FSSQDYFHPFCFIQNYEDQTQVEDCWLGDNTVSLPDLDTTKDVVKNEWYDWVGSLVSN YSIDGLRIDTVKHVQKDFWPGYNKAAGVYCIGEVLDGDPAYTCPYQNVMDGVLNYPIY YPLLNAFKSTSGSMDDLYNMINTVKSDCPDSTLLGTFVENHDNPRFASYTNDIALAKN VAAFIILNDGIPIIYAGQEQHYAGGNDPANREATWLSGYPTDSELYKLIASANAIRNY AISKDTGFVTYKNWPIYKDDTTIAMRKGTDGSQIVTILSNKGASGDSYTLSLSGAGYT AGQQLTEVIGCTTVTVGSDGNVPVPMAGGLPRVLYPTEKLAGSKICSSS AOR_1_1672144 MPFSSSSSSSTPEVSTTPLADYFWIAGVDGAEILETFQRLGDEY RANSATAPGPALADTIEEDADAEEAHDPRLDSLSRPNSMAGGRNSFQRFSMRSGDSSE SSGNGTSSNRSSLTIKGNQSPRGSSFLEDFDFDKALFKFANERESFLSDLSLSAGAIT PTSRPRSRLRTQKIVSEESPSQPSSLLRSGIGSVRRHMAFRDMNSMKRQPSVARQASI RTSRRLSNYNSVIPAPQPLEISPTMHPLKRRFEPVLLDRYPTKGMSDELKQRGNFPDY VPMFAFPNDIHIVSSDQRPRSTWHGFVMTTDNGSRLHAICVIIWIPLNARAAEELEKR CEEWRKDNMTDEERELAASLGERLASERAKLSRLLAQLPTVPSGSEQREQLEDEISAV EEKIGLMTDLLRPVRHGAASKIEGLTDGDTGFWIPRAYGILGREESMTSFWKEWLKAV IVPMTEGSIQRIPPSSPRMGMWQPLERYVINLCTEAFSPNSSKTQVELSVRELRLFAR KEATNELPGSRNTDLYALFRTLSLPNIIILFEYALTESRIIFLSSHTSMLYLATRALV DLLFPFQWTGVLIPVLPARLIQALEAPCPYIVGIERRYEKVELPSDDFVLVDLDADLI ESTVRPTPLPRHQRRKLHSLLQLAAPHHSRCGVPTGPPAYAVETFPFDAFMSENSSIY HSKAQSTQLAKYVSLNSSAFGQASMQGAYAPLVFNAYLHARYEQVPSRGYSSKGSDRP GTGSSKTGSPPSPRDSSPTSGHFPGSSRTDSGMALQASLREKRSGHFDAASRRSSSFG MELRGGVPRRPSAPFLGHTSNLSVTTLNTDYNSGSTYAPSVYAQSTVAASTIVPQPSS QPIHNSEGTCWVEGHCLQVLPCDDKSICAICDERADEAMYKCNACKTLVHNRCALQIC LVCPAAFHPEQIRAAFVRCFASLLYTYKKFLQPASGDKKKAGLTYSFNMEAFLKSLPG EHAEYAAVLQQTQSFNEFISERERVNPKSKDPRMALFDEIVLSKRNRGRTSFFSSRMQ TDFLSDTSNHLWVTASASSFGPGSRGQQGLSGDYTRVVTRAPAKLDNSLMKEPRMIHG APRVSKTANNARRKPLPKLMNGLAISPP AOR_1_1674144 MMQTAIHKPVAMTLLTETSSPVTSIKGPSSFAITSPVQNLPPEP SRAVAIVYGPNQDTIVQVVAEILGKPWTTESSLSTLGRGSNAVVTGILADDLGRALEG CEKPAGILINTHCVDDGSFPDESLTDRCDYEFLYSLRSPFFRRDLTRFLSLILGQTRP HEDLKTKNRTNFISTTFPDVHAALPNLDILSVGSDAVEIRVDLLVEPSPVGISNRVPS LRYVGQQLMLLRQHTELPIIFTTRCTKENGKFPMEDPGLFYRYLRRAIQWGVEYIDVE LWLPEDIRRQLAEVKGNSIIMSAFHDFSGTWKWTSPEAPRVFAESAKYADIVKMIAMV NTVEANYELEYFRSTIKRAHGSYPLLSAVNMGQMGQLSRALNTVFSPITHPLLPMIAA PGQLTAAEINEALHIMGQLPKRDLYAIGSFRSTPQSMFMEKCFNELSLPHTLTSIDRG PMGSIERVITQPSFGGASVHPPISSSTTCIPAVSDAARAIGLVDTIVAANSAAPNAQL VGENATWKGIRATLTRDYVPSAYRGRAAIILAGSESEASAAIFALRSLGVGAIYTVGF RASGPLADGLEPFTSIQSVKLVEQPFVIVSALPPEKSLLVQPLLRHYRTSGQTSPPST RGKVYLDLTRGERTGDPVGVAVRAGWTAYGIEDVNAWTTVETLRLLVGQNVPFDFVRM ASGRVF AOR_1_1676144 MSRPQVSIDRLTPRRVNAEPRESMNCKSCRKRKIKCNRLRPSCE ACKVFQCPCIYDAVPKKRGPKTDVLEALLKRVDGLEKRLQDDNHPLSPTSSASPTKSM EQLAAQVNFHPPPPSHTFPPPPTPQQSSQSHRLPDSMLDVYFARLHGKPYFILDESAT RQLHQRGHLPVCLSMAIHALTIRYTVLNPSPQGLDYARQARRLVDIDDPSIEGLQTLL LLSQTFFAYGYGRKAYMALSNAVAMVLALDLYRELPAQSPSRSAEREMRRRLFWTAYT MDRFLTCGSKRPCLLADHSIVLRLPSAGPEAGEWFNPVGPNIQFTSDRRKGPGATALL VDITRILGVTHRYLAAGGVKGDSHFPWHALSNLSKIRQELDIWAAGTQDLFASIEALF GHPESTLLLLSKLIYHLVHCLLYRPFLPIDLAELRGTGQHQSWQIEATTLCFSHANAI AELVELARHAPRIEWPDLIAYCVCTAGTVHIHGVHYNGREGEVFASSADFLTREMNQL IWLRHSCSGVQHQREMLQAISACHADLVRTLAARPVRFAPVFHLEDFFDRYPGLAVEG AHVRLVDIDDPARFDRVDAHDGNRAIYPAIPPATPTLPSQAGRSNSISFNPPQPSPSP WLGPDLSLPDGSLGFSPSGVNTSPAAFLSEPFNAPTPPSQPQYATFPFEASVPGTALT PDAQSQGSASGAGAGPGDQGSSEKDPFLSLLEQLAENEHSQGGPSELDFFLGESLEAP RDGCQDEVVNA AOR_1_1678144 MTSDTHGSQSGAMFSIGTHSLFLSIGGVARRTGEPLVIFLAGAG DVASSYVTVERLVGTFAPVVLYDRSGLGRSQDGPMKPTATTAATELHQLLHSADLTPP LLLVAHSYGGIVAREYLHLYPEEVAGMVLADASTERQAELLDDPDLDINAVLGDLKFS QVTGLRDSAQLSRDEWRARAADIARGLPTSQAESAAAIEVCKTLGSKEQYRNQALGVR PLSVIRCRGSQDYQRIYEKGVEAGNGTEAQRAAFRRLLARWDQYDQRAQAEQLRLSSN SRLTYLPDCGLHVHLIRPDVVAFEIRWVRDQILEKRSAQRL AOR_1_1680144 MIIDLSISALTFFLVTYLHISTASSLPVVDLGYELHQAFSLNET TKLYNFSNIRYAAPPLGNLRFRAPLPPKVNRTKVQTGTVGRICPQATPIWSRYIMPQF LTSYFTNATFSASLDVSSYPVPLIQDPRISEDCLFLDVVVPQKVFDRAQGETPVPKES LAPVIVYFYGGGYVLGDKGGVDPSGLIQRSQQQGKDGVIYVALNYRLGAFGWLAGHTV SRKGTPNAALHDQRLGLTWVAQNIHLFGGDADRVTVMGVSAGSGSILHQLTAYKGLQG PSLFQQAILQSPSWEPNYDTDKQERTFRQYLKLLNVSTIEEARQLPSEKLIAANTHQV SSSPYGTFTYGPVVDGVFVAGLPGKLLLRGEFDHSVRILNGHTLNEALMYTPPSSFQE RGLLALMDEHFFDLSEDMKETIVNVLYPPILDGKYGYLGWVERVSSALSDICFQCNSY HLNHAYSNSTYTYVFSIPPAMHWMDHPYSFYIKGGKPLVENPLFQVTNETVAFILQDY VTSFVQTGKPTSSLAPALEICGPESRVVSIGSNNITRMRDPACNARCVYWQTAFAYSR DDL AOR_1_1682144 MESNKIPNYNPQEHLTTDIRTEIPAETVTTITSQAPFVTIPGLF NIRDLSSGNLRPGYAYRSGVLANISDEGKTSLRNLGISTIFDLRRPDERTKSPSPVIE GVETVWEPYIREPGPINPLDFKEEDQGVSGFLSMFMCIMEISTPIFRKVFLHIRDCPQ RPFLFHCSAGKDRTGVLAALILLLADTPSDAIVHDFALSRVGIEPARKMLMAAFPTLS GAVTPENAGWLELMSARAPAMVAFLETVEQSFGGVMGYLTGVLGFSDEDVEIMRANLK GNLELTNVLLPVGV AOR_1_1684144 MNTLDTLATDHGWVHGAVTKPFTAIVTLYMGQQLALELAVQEIV SVINEPHTPPDVPTALQIRLAALLLTLKRQPDPAPMPTTHLQSPVNARRDLSWRQLPL FEETVYEALKDEPGRRAKFTKIETEGWVNFMAFLALITKERIVGLEDIGVVVLREALE ERHDSLPSTDGADDSKIDEATRLNVFVAAAAIWAVIMGEELWERMGQEDECPVGLSLG PAPKQLIGTIPKRRWEMWIARLQFLSLREDLKICTRELAAEAAAVMMRVL AOR_1_2024144 MTSNILQIPFRRSHTVSLSDAITQYISTKYDQRPDMFADDLLII DRLRNEAIHVQEPHVSGISRLVTYAAQLKWLGGKFPVDIGVEFPWYPAFGFNTSRPIS QNNIRFELANILFNLVALYSQLAFSVNRTTPDGLKQACNYLCQAAGVLAHLRADILPD LRASPPEDMDDMTLQSLEQLLLAQGQECFWQKAVKDGLKDASIARLAAKVSDFYAEGG DYAVQSNAISPEWIHHMTAKHHHFAAAAQYRQSLDCLEKRKYGEEVARLRDSEVCVNE ALKESRWINRTVLGDLQGLKNRVTEDLKRAEKDNDVIYLNPVPPKSELKIIDRACMVA AKAPSQVTDAISMLGDNGPLGQPLFSKLVPYAVHIAASIYSDRRDRLVNETIIGELET MTDKLRDLLSSLNLPGSLQALEKPLGLPPTLVSHAEEMRQQDGLNRLRRSLEDTARVK ANDKAAYNEGVELLAAEKAEDDSSRRKYGTDRWAREPSEAAASKLYTTAREIDGYFSS AQSSDNLVEQKLRDSEAVFRVLTGTNRDLEMYVPSSRRAAIPPEVERESIRLRGCLSE VSRLENRRKRRAQALKDKARTDDISKALLKEAARLEREFPMQAIQASQFEDLFEEQLH LYDTDLEMVTQEQHEQDQISAQVREANRAFTRAHTGDASTKEREKALQELENGYLKYK EIISNIEVGRKFYNDLAKIVGRFRDDCKAFVHQRRMEASQIEGDITSVAAMASLNLSQ PHLRQYSQQPTQPAQPVQPAQPVQPPNQLPPQPQPVQHQPPRDEPLTAPQPTRANTRP SMAPGVWSPEMGIRFGAQGTWDPSKGVKFS AOR_1_1688144 MTLDEHSEHAPFSPSPSQPSPAMMPAGSTWIMDEATRNKFLKNY KTQVASATSTICATLAVTPLENVKTRMQTHNFKNVFQCVRYLWRTEGPRGYVAGALPP LASVTAVRVVNFSTYNAAKHRISDFFERMTGESPLVMYNQPGSTPTVSTFVTFTTAGL IAGLITSPLACPFELAKNVVQTSVLVSNRAQASPDAVNDPSLRNKPRLGTIEAIRQIV QRYGIRGLYTGFHLHALRDTLGSGLYFSVYETVKQVASKELGPDKSPFGGPMIAGAIC STVPWFCTYPLDTRKTRAQSVLLGKSSEVGEASAAVAKSSMYKGLSIILIRTGVNNMI LLSIFEYIKMKINQLD AOR_1_1690144 MTNTTRFMKTSVEPNCLIKQLTIIVSISSTVRKLWIDGVYRWPY HGYVMAPTILVEEWCRNKAQTAAPTLVLFGTSPMFTGQNVRASST AOR_1_1692144 MGKKAASPAYVLGVGMTKFIKPRGKVDYHELGFEAGVKAMLDAH INYDDVEQGIACYVYGDSTCGQRVFYQFGLTQIPIYNVNNNCSTGSTGLAMARTMVSH GAADCVLVVGFEKMSPGSLQSVFNDRENPTGLFGMMMAETRGITNAPGAAQMFGNAGR EYMEKYGAKNEDFAEIGRINHEHSKRNPYSQFQTEYTLEQVLKAPMIHEPLTKLQCCP TSDGAAAAVIVSQEFLDARPHLKDQAILIAGQQLATDNSTLYNRSSIDLMGFGMARNA CRAAAKEAGVNVKDIKVCELHDCFSANEMITIDALELCEPGKAHEMVRRGDITYGGKM VINPSGGLISKGHPLGATGIAQCAELVWHLRGWANNRLIDGTDAALQHNLGLGGAVVV TVYKRADGKVATLVPSDAVGKINGLGYNPAVEAKGFTAEQAKTVLSKNHSSEWALSDT QDRVLARF AOR_1_1694144 MARTTLSFYAVCFILALTGSALPAKRADPSSSASAVAPSGMTNF AYDAVKSATHMAQDINKEFYDDDETAKPTPIDIPPVHPTPSSSGPATKSLKDVYKEQA AQKVHDLEEPSPSPTPKTDTKAEEPQTEPSTEERQQSEPAPTPTRTEQQPASSSSVAP AASSSPVEKPDPIGDLPIIGSLLKGVL AOR_1_1696144 MGKNKNATKALTQEEIWDDSALVQSWDEAVEEYKLYHSIHAKGE NVEDVLRDAQGAENEQIIQEDGQEVDHMEADVDEPAIDSVAASAEAQHIPQTDVSQKA GSPEVSVQGTNTTDGPNLLGAAMPQAMLSQVQDEGLKNLMMSWYFAGYYTGLYEGQQR ANQNKSS AOR_1_1698144 MAPDKKDKKRKAAAATAAADSPAKKTKKVEAKPTESTNASPKPI LKKNKENDAEKPAAKLKVNGEPTRQVKPRKRAADFLSDNEDSESEDAPKTKIETEKKL SNKKTKKADGTAAPAPKENTTKAKASTKAKKPEPVAEESDDGEMDDEESAASGASASE DEEEDDRTAALIRGFESSGDEDESGDEGFNPDQPVPKIPDSKKAKRKILKKQKENKQE AEEPGTVYVGRIPHGFYEHQMKAYFSQFGEISRLRLSRNRITGRSKHYAFIEFTSTSV AKIVAGTMDNYLMYGHILKCKYVPQEQLHPELWKGANRRFKRTPWNRIEKKRLDKAKT REQWSERIDREQKRRLAKAEKLKALGYELELPQLKSVDEVPIQQEENKTIEASETVFD EPVKAIEAPKEEKKVADDTPKKAKKDKKKGAQSTDQETPKEQPKKEIPAATASPATKA GAKAKKAKKTKAKA AOR_1_1700144 MLSLIWTIFFLHVAIYVVNTAGASTIDSLLWLLYLKLPTSTSKN AREQSRLKREALELKRDMNNTSSQDEFAKWAKLRRRHDKTMDEYEQLNKTLTAQKSSF DWSVKIARWLSTNGLKIFLQFWYSKTPVFALPEAWIPYYVQWILSFPRAPMGSVSVHV WNSVCATAVSVTAEMVTSMFLQTARPTPVATAQKTQ AOR_1_1702144 MSASDNAFQELARIFSTRNNRILEIEILPPALGPLLQDECSVGI TKKYLVQSFVVARRIFFEKSRSNFECGSPRAILRNENESVTHGASDAILEDLLVSTEI ILLFDCEHITACNWRRGRLDALNRHHDFSSDDPGPLIQALENELTLMTTYLCSPLHRH TKSPTLWQHRLWVLARLLEIREVGARRANFVSPFEVTNQQQKSNVDWLKSELAVVHRA GELHPRNYYAFNYMRQVLGVLSDTTKARQGPSALAESIIDLALPWCLAHPSDVSGWMF MLYLLEVVPKGDLHPNVVKKVVGYALDVGWEGESLWTFIDLSVQSLGLEETVRNTLQM IPGTSYTTISTVQDESTRNTALPARSWKSWLTMARKYWDSCNQVA AOR_1_1704144 MTRGNQRDQDRIKNQKKAAKKKTANSLSGTQYQQKKESDAEIMR RKQANADAAKAGSDKKK AOR_1_1706144 MDLAGVGKSHVAIEFAYRFTTEHPEESVFWVHASSIDRFKQGYC DLLDACGVFPEVDNRDSDRPMRVRECLEKQHHEWLLITDNADEASLLTSEGSIKGGKL GTATQAHKHQSILDYLPDCPHGSIIITTRDRVTGVRFTKSCAQNLIEVVSMTEAESAS LIKSTATEQCPEDSEVDELAELLEHLPLAIVQAMSFIQENALTVGEYIELYNDSDETR MDLLCEPFETLGRDTEVSNALATTLMVSINHIKERDTKVIGVLSLPAFLDHSHIPKSL LQKQLKKALDLVKTLGTLKTLSLITPSRDKDSFSLHRLVQLVLRKWLIIKDDFEEKAI QAMDILLERSLVPISRIGGRCNEAEELDILILEEKKQYFGRDHPETLESMASLASTYQ NQGRWSEAEALDMYIVETRKKLLGPRHNLTLTSMANLASAYEYLGRLQEAETLRVEVL EERKSNFGEEHDSTIGAMASLGALYLDLGKMESAEVLIRRSWSWRKNYGSGHQVTWLS AGTMAQLYRAQGEFQKAEDLSIETIRTIESALGPNHSLCLQSKSNLAERAGKQDSVPY DMLARKDRLATIYWNKGLVRESEILERELFKESIQKLGQRHSLTLKCKYSVAVILNRH GREAEAIQLLVETTNNEEVLGPFHENTLTSIGTLSEWCGADKAISMLLEAQEARDKYS HVTVAWESIVNLLQQMELG AOR_1_1708144 MASLKESLAGPGFVILNAIRVLNIIALLDIIAACAVMLVKISLL SSFFFFQAASHVMTAGVSLILIISELPFFRGYFDHNWPLLGQDSGFITLALAMLILGV CILGDLNTEATSQESLGTSFWQIVLSAGILAMVMSAINLVASFVFADSSSGVTARHVR VYGAVAPQKVVKRTDSQRSFQLCMKREDTLPKYSTESTPRRQSAMPRFPLKINGLVNT DDAASSKYSRDSSGVAIPDLAHHPAMQSGHV AOR_1_1710144 MVELGISFGTIKSLLIFFAPIILPRIVNFYRSTRVALASRPAPR ALPQDASRALNVLFFAIIFFLLLSLPFNPHAPSPNIFTLTRSRLNTPTDVVFNRLARY RPENTLTDADTLLRSKFTSLGARKVYLRFGPETLTGCQFCSLDNINTYLLYYLPFHTL LPHLFHMVIVGLVTSAPFAGREAAGWRNKFTMAGIALATLDAYIVFTYDPVQSASAAV RAGISPPSSLYHQITLLRPLAFAIFDGICSFLIYVTATHRFFFTPPSQAEQVDQLVGS SLSALSGATAKLHAVNVARNAVVRDKVLKDRDDAYWRAVVAMNADTTKADGGGLSTNI WEEEEVVRAMSRAMAGEGGVDLAKLGVSAAEYVNGVTADLDNDAEPHEKS AOR_1_1712144 MTKEAPSQSVTELNACVSTGHRPAVKETTFREWVVDNQIGISLT ILSMLLAVHHLYPSLRPYTAPFFQLSYYQASQGVYVQGWDDIYFVASSAIAFTAIRAI VIDWVLRPIAMHTGLKRKASVRFAEQGWMWLYYAFFWTFGMYIWSNSNHWMNFAAIWD EWPARGVSGSLKWYLLAQLSFWIQQIFVINIEERRKDHYQMFTHHIITSTLLTSAYIY GFYNVSNVVLCLMDIVDLLLPTAKILKYFGYELACNVAFGVFMLTWLITRHIMYPLLC WSIFKEVPARMSYGCYSGTTAEMISNDGYPDQLAHLFYPFLNIDGPICMNRTIKWIFL SLLLFLQVLSIIWFAMVIRVAVGVLRTGNAEDSRSDDEEEEEMEMRISSKDGPNGSAA GSDGTTADWRRLNGSSTVRPRARGRVRLGEQSDRKALLGRIGCDKPT AOR_1_1714144 MGEEGAKDFGPGIKPDVNFQDSNGSSSAGQYALRPASNHASNGA GPSKNNQSPRTGPQASQSRNFEFVLVTDNESRRQVRRHAMRQYMHQRRLDSIARLGAS RIPVSGWTTRPPSDSHVSDTSSRVEEVQEETYAKVKAESPTMTEDQNNTEHTRRPSRL LIPKLHKVKREEETSPPIAEYTTSDPRASPGEGGRRDPFSCYPISVNHVDHELIQHFV VTYPSMMYKFAESIANNPLMEIFRQFALHDELPFQAMLAIASKHRAGVEGKAESVQSL THKMRALRLMNERIQADSMGQHDGTIYAVATMAVIEKWSKDASIERMHFRGLASMIRN RGGMQGMRASSPFLEKVLYWVDFSCAPKAIYGTSLPWSGTLPDSPPAGLDFVDTNLHF AIPHHFATEEGAESLCDQLRASEDFLRFFRRLHELEYTALESPSIMVSSNTGHRNKRF GPGTQLYSILTMLPDYDHGIRDVRFIDEFTCMSCLFFLAVALYDCYSTSSNFDRYLDW LDLEIKKLNPHTNPSITSVLWLFLNNGGYPNDQACDAGERCWIVSRMIRISKRLEWKR HGTIWDRLRQVLIDFILTQQECALGTDQVNEEALAARQQKRRRSAEYFWNEDEMREDI LDFDLRSFTPYSETNVPILT AOR_1_1716144 MVNEYGESTGVDSPSNVEGSATPRSEASASRRTPAGLVPSKIQQ PKPQALPSRSTPSAILVSTRQKGNPILNHIKLLPWEYADIPADYVVGATTCALFLSLK YHRLHPEYIYSRIRLLAGKYLLRILLIMVDIPNHEDSLKELSKTSIINNLTLTLCWSA PEAAHYLELFKSSENSQPTAIRTQQAQSYKESLVEFVTAPRSINKSDAASLISTFGSL QNAINAQPEQISAVPGWGEKKVRQWCNAVREDFRVEASKKIAAPAKDLNSQKNNEPTS RNTEMSTSMNAHDEDEEAILAAESEVAHVVSQGSLVERVHPDKAAQQEGMSDGILAAL AKLRESSG AOR_1_2026144 MAVRDETSHKTLPEGRGNSEEVEAAMDTAKNDTVQEESVSDKPR RTLFVRSLPASVTTEKLVEYFSQSYVIKHALVVNDSETKQSKGYGFVTFADVDDAKAA LDEFNGSVFDGKKIKVDYAQPRHRTVDENAGKSVPSSAALEAKKQREQERAATQPPKL IVRNLPWSIKEPDDLAVHFRSFGKIKYVNLPKKGNKLAGFGFVVLRGKKNAEKALEAV NGKEVDGRTLAVDWAVDKAVWENIQQDSQEQGNGEEEESSDAEMADDAEAESGVDDDG DLPEDEDMDEIAQSDEDEDEEEEEEEEEEKEDERTAATVFIRNLPFTCTDETLYEHFT QFGPLRYARIVVDPETERPRGTGFVCFWKVEDAAACVRDAPKQQDTIAPEKEKAKKGS IAFKHSVLQNENADPTGRYTLDGRVLQVSRAVSKSRATQLAEEGVSRRLVRDTDKRRL YLLSEGTIPSDSPLYKKLSPSEIKMREDSFKQRQSFIKKNPTLHLSLTRLSVRNVPRH VTSKDLKQLARQAVVGFAQDVSAGLRQPLSRDELQRASEEMKEAEQLRKKKGLGVVRQ AKIVFEGRDGSKVEENSGAGRSRGYGFVEYFTHRHALMGLRWLNCHAVEAPATGSEDA KDKKKRLIVEFALENANVVKRRQEQQEKMRNFKKGGQKNEDSSKNGGSQNKTPPKGNK RKRSESNGDQGQGGADTEEQNKIAKRNRIIAKKRMQRRTRKGKA AOR_1_1718144 MSERFAPKVPVQLEDPKDDPITVEELSKCDGTDPNRPTWVAIKG IVFDVSKNPAYGPNGSYRVFAGKDSSRALACSSLKPEDCRPKWDDLEDKEKTVLDEWF TFFSKRYNIVGKVKDATNY AOR_1_1720144 MVNSNSDPERAQGPVATSLHYGELSSLSADKLTRRMGVSMDIPE KNPAMNRSEESLDAGFNTLTKDGTHRFLKSRHIQLIGIGGTIGTALYVQIGQSLLNGG PASLFLAFTIWCSVILAITVCLAEMVVYLPVSSPFIHFAGRYVDEAFGVAAGWNFFVF EAVQVPFEITACSMIIHYWSDVVPTVAIIVIVLVLYAFLNVFAVNYYGEAEFWLALGK VLLSIGLIIFTFVAMLGGNPQKDRFGFRYWQEPGSFAEYYKTGDLGRWLGFLACLIKA SFTIAGPDYVSMAAGETENPRKVLPKAYNGVFYRLTSFFVLGALCVGILVPYNDPTMV NAFEKDLPGAAASPYVIAMDRLGIPVLPHIVNAMVLGAAFSAGNSYVYCASRCLYGLA LDNKAPRIFRKCTKNGVPIYCVGLVLLIALLAFLQVSNSASVVLNWFINLVTASQLIN FSVVTFSYTRFRKALITQNVPRSSLPYQSLGQPYVAYAALVCTVVMAFVGGYEVFLPG KWDIPTFFFSYTMIGVFPIIFFGWKLWHKTQIRKPEEIDLKTGLDEIEEYERNHVPLP ASNIFSRFADWIFG AOR_1_1722144 MHKSILTRTTLLNLILNPLLTIPTRASTTIAPTAILSQRLARTP IAYPQCPSNLYQEKSYSTMSSSTEQTPQQQHQQPENVATDSTTEKKPEQYLALPDASS ADQTQQLDVSGDGSTVKLDHLGPLVVNQDGTLSRIANWAQMTEIEKKNTLRVLGKRNK QRMEALKAAQGAQEDSN AOR_1_1724144 MSFQRRHAQSSTQNISSVATPCRYHPLKILDDASIENFRKAHFI PELPIVLPRRHFRDLPAFGRWFQSSPSEPNVSRLNTAYLEQHGTDALVPLELTQPSTE PDKDDISFRQFHAPLSLFLEWMRTAEEQPQSIRLYLAQCQLSDLPRTLRGDFATPELV SQAGNGDVYDTNVWIGYPPTYTPLHRDPNPNLFVQLAGRKVVRLIAPDDGQVLFASVR SQLGRSGNREAAVFRGSEMMQGRERTLLEKEVWDDGLDTASSERKYVGYEAQLEAGDG MFIPKGWWHSIKGVGHGVTASVNWWFR AOR_1_1726144 MASETTPVDTTTSKAASGDQDAPVEGDSAKRKAEQTNGTHTRTK RNRYISIACNECKRRKIKCNGQVPCQRCGHLNLECRYAPNCCNNNFKDSDEFRSMKDQ ITTLQDQVNSLFSSLNELRSQRSSIESPSFDNFSRDGSQPVFTPMHAGLAKPRLRHPR FHGPTSSTFNFNVARSSLQNMGIAPTEEVITDDLTTAHATPAGSPPHVTPFAPPIHPT KDPIWAIKREDAIRLCQIYEEEIGIMYPLFEIEKVTQQINLLYTFMEAATRTGFAQRA LPGSDGLQDDNTNLIKMILATTLVVEGGGQSELGQRLYLSVKPVIESKIWEPLDIRTI QLFGIVATYHFHTDDDAMAYRLIGLSARMCLELGLHRRDALAKSFPNEDQWPEIIKIF WAIYSLDRRWSLGTGLPFVIQDEDIDPNLPEPDASLPYLKCMISYNRISSKIWYSGLG SEGTTDIRRDEIGYLDYQILQWYKHIPEALKFYPVQSPKHGEPVNRGLRRLRVLLYLR MNQLRILIYRPVLHSAASISEDKGHAQTVVDVAKDTVRVLTRLNQTSDIYRTQQITFN YFLVAALAVLFLAVCHAPTEFNRQVRDEFYMALDLVNGFSTKSYVSKRLWKAIKGLRK IGERLGVLVRPFGSDSNDPHSTAAVAMAGLAGHPIEDLSVYGPMNGMNELGNSPLNGL QMSHELTTLFEAVGGFGNFIASSTAQDGMGGFVGPDGEIQNTGEGLSGVLGDDGELSR AIRDLF AOR_1_1728144 MSSSEGAPESWISSFCSLMGHEFFAEVSEDFIEDDFNLTGLQSQ VPMYKEALEMILDVEPEEDEDEDEEEEEEEDEDELLESSAELLYGLIHQRYITSRPGI QQMLEKYEMQHFGVCPRVYCNGCKVLPVGRSDTPGQETVKLFCPSCQDLYTPPNSRFH SVDGAFFGTTFGCLFFMTFPDLDIGPRLDSSLVSPARSSTVNNQVSPDVPPAHQPVEI NGVRTANFCPGLGLGKIYESRIYGFRVSERSRTGPRMKWLRMKPTDVEELNEMARYEA ARREADNDGDTEMGAAPAGGAQNSAIAKRKKAPMRRRRYNPDQMSINGAEAG AOR_1_1730144 MHRSSSHTYQTTSSRGSSSHASSSAFSPNANPNEDWTKISDLAE RRRIQNRIAQRNYRKKLKRRLEDLEKRAASASESPERSNERTEAPKSSHPAKPRARNS RASKSNGDATRRATAERTPAYDGYAAQDDRGAMFSHQCTRQLSASPPPVYSYPSYSHL EPYGQSPYGHPHPYHSIPSTYSDMYNGEYGGSVPSILPVTMTTTGPVKRPHVYADEDI VSPFSMSYASMAGIDLCPSSQHLSESNIPMPPLSHVCSDDHSSPSTPAEPPNLACPLT PESDPCSPHSYPLF AOR_1_1732144 MSSVTAYLSNEDRGILLHQMQVSQLRQQILANAKIIWPEERCFE IMTGRKTVEEKEGYATVKRTICYAYLISLRKTESRPFNLIAKSKPEKRPGEPLFDLLD LLERRAFTIMESESTE AOR_1_1734144 MFFYRVTDKDSVAAFEPGQGFIAGNTSSRVTLDPLIQDDAIELV EYIQRHLNWKNRYPTPFISAYLDFDTAKTEALRRVNDGKKSVVLWKICLDEDDDLEWA TIYDLKRELGFWIQDNAFHNAKYEALFVRKIPRDYVVGGERYTNRQGKWTGGKWFHIN HPFH AOR_1_1736144 MSPTSVGHDYYEILGISHDAQPATVKLAYKRLALARHPDRRKNE PNATADFQLLSEAYGELSDIHKRQEYDKLYRSAILPGKIKSQKIAELEERLRQFALKR EGSKTLLYNTKKDLIRLRAEKDSVKGEKERLLKERATEETWWSYISSLMIGNTVEFNQ RRQRREREITDSIGKQRTKEWNIDLKLAEVQYLERMLDSISSAEIEIKVEITKIEERW RERLSLQEMERVLAKWKNQR AOR_1_1738144 MPSHPLHRHQSSLEKVLNFSKPFSLPPHQSQSAANLLQVLIQCY GPERSARKGYKPAALIKATYEHVVAKDTFLTFFFSSIYENLCSQPADLIDSDITIVLT FFDNFTSWSPDEKNKAKSAIEEFADYIIENFLLPLRASSVKTPQPTPASLSAIQTSTP SGTPYRVSILRKSCLVRDRYRCVISRKFDKSEARKRFEQYGEDCKDDEGIELKNESSD RFQFLEVAHILPHCLTTVSSGDADLSDSKKNVLRILDMFDPGVIHLIDGPKIDSPINA LTLTLDYHRMFGEFQIYFEPTGVPYQYKIDSTERSPFLRDPLFPVTRTLTLSPTRVID TPSRRLLDVHRAIALIMKLSGAGEYIENILRDIEEVDVKADGSTNLGHIMSLRLGGWL NTLCVF AOR_1_1740144 MTMPLSFSHLIRGYKLHRMPPPLVTSVEIPNHLGFFQEPEERTT RQHRGKPCIHYTIEWKVTLNNRTVSKDTEQDLAVAPSSHWAKITQDAENVMRRKIRHN QRVRSDDTTVRVSVNERGQSDLNKRFDGTNIDWKPIEKQLLMWGNLFHIGKKLKLFIS INYIEDSGPPLSRNTDKRGKSSVTRRMLTERDAQIDAEQASGQRPYWREVYQTMRCPG PPCRHEGQYCWLDPVGKKHYKLRTHHLRRLVKYVEGGGILDTHDDIPDDVREQLYAEE ALRLEKQKNPKHSASGSICPPININVLPAQSSQSLTDRSVTAEQSSSSPNCIDSVDIP GFLDDAVEEYANWHLSRVGREIYRDHIKKARDVALENGLDLQQVSKEDPDFFVKQGVI IGVARRFVSDIRDWANQYRHD AOR_1_1742144 MNSLRQFTRRKALQSLAVPPSQGFSTIRLWQRHFNSTPAVASRL AGIDPSKLTVTKTSSPKELTPAKDLVFGKTFTDHMLAIEWSASNGWDAPRIVPYQNLS LDPSACVFHYAFECFEGMKAYKDNNGQIRLFRPDKNMERLNKSSSRIALPTVDGEALT QLVGELVKLDSRFIPSARGYSLYLRPTMIGTQSTLGVGPPGSALLFVIASPVGPYYPT GFKAISLEATDYAVRAWPGGVGDKKLGANYAPCIVPQLSAASRGFQQNLWLFGEEEYV TEVGTMNLFIALKNKETGQKELVTAPLDGTILEGVTRDSVLGLARERLAPNGWTVSER KIRMSEVAEAADEGRLIEVFGSGTAAIVSPVRNISYKGKMVDCGLKEDEEAGEIASQM KNWIEGIQYGDEDHKWSYVL AOR_1_1744144 MFSSALKSFSSNISANYQISPQPAVISGPWKVHDGKKKSTGTSA SIFIFDKKSLESRPSGLGGRSSSSSAKKLHEDVVERLKREASSLTRLRHPSVLQVLEP VEETRGGGLMFVTEQITTSLARLLQEKDAQESNSRLGSRSSRYMVEEPDGTRRRRDLE IDELEIQKGLLQVAKGLEFLHESAGLVHGNLNPEAIFINAKSDWKISGLGFAGPPNSS DSRSSLPPLALSEVLYQDPRLPPSVQLNLDYTSPDFALDSNVTTSADLFSLGIIIVAL YNSPHISPLQAHSNLSSYRKLLSSPSTTPSQGNNFLCSGTIPRDLVTHVLPRLITRRP AQRLNAREFQQSQYFDNILVSTIRFLESLPAKNQYEKSQFMRGLQRVISEFPVSVLER KVLGILLDELKDRELLPLILQNIFAILQRIPNARRTFPEKVIPRIKELFPSEKAAVHE RDAKKDAGLMVVLEHMKIVAQNCSGKELKDDVLPLIRLGLDSPTHSLVDGAIKCLPVI LPILDFSTVKNEVFPPIASTFSRTNSLAIKVRCLEAFTALCGGSPSEGEPVEDDLSGL VQKGKPQPIKSSILDKYTIQEKLVPSLKAIKTKEPAVMMAALHVFQQIGTIADTEFLA LEVLPILWSFGLGPLLNLNQFGEFMNLIKNIASKIEREQRKKLQELSSGIDSSGFQNG AGSSSKIPDSSTQSNADSTRDNFERLVLGRGPDVSNSKDTDPWSSMLLEEASSQKKPP TAFSWSTNMVESASRGNATSGTSGLSMRSITPDYNLHSFPSLEPTARQPSSPAPAFPT LQAKSPATWNTPSSPNSQNSLSGPSLGALANMKASGASMSGPSVQPTPGFAAFSIPPP PSSHIALGTFPGSGSLAAANKSPLGINVAHDASSNNSVSQPQGNAKQGLDKYESLL AOR_1_1746144 MDEKVKQHYLADSPPTVVRLEIKHHFDNLKDAKLRRYAHYISRA AFEGTRVTLRQVSPESEPIYDLIIALYHACDGNWTDLAKRTNVSDEHLRYFLEYSAQF LGNCGNYKGFGDSKFIPRLPVEAFQALASSTSETKATFEKANTTGGGIYETKEQALMH LGYTDNGHMTTYYPDSPSITKDEITAIGDLMEKKGLPLENTRLKKLSSGDFELLIASG VASPPVRDRDLGEVDALDLDGNLTGKKLRLVFGDHIEEMAKIAHSIKQAGLNAANDNQ KRMLDAYALSFGAGSIEAFKESQRIWVKDQKPSLETNIGFVETYRDPHGVRGEWEGFV ALVNLERTRAFGKLVDSAESMIPKLPWGEDFEKDKFLSPDFTSLEVLSFQSSGIPAGI NLPNYDDIRQNLGFKNVSLGNVLSAKAPNEPVPFIAKKDLDVYRRCRDPAFEVQVGIH ELLGHGTGKLLQETAPGEYNFDISNPPVSPVTGKPVSTWYKPGQTWSSVFGAIASSYE ECRAECVAMVLSCDFSILKIFGFGNGQEDLTNEAGDVLFAAYLQMARAGLVALEFWDP KTQKWGQAHMQARYSILRTFLDAGDDFVKLFYTKEDLSDLEIHLDRSKILTHGRPAVE KYLQKLHVYKSTADYEAGKKLYDDITSVDEWWGSKVREVVLQNKVPRKVFVQGNTILD EDQVTLKEYEPTLEGMIQSFVERNV AOR_1_2028144 MFSSHNSQQAYKYKHCPCDLETNLDNLPWQSGSPGSPTTARPHH LPDSTVEEEDDDFYTAPPPHSPSSQASSSSVVSAHPPPFLSLVFHPRADSNRAKVTVP EPGPAFVSPFTHPSSEEEQLEPDSSSVTAETKETFSRDHKDYSPGRSAEEGEPPPPYT EGSSPINSFTYVMATAGGASSIITQVQQAGGPPINTLGDIGGDEHITLDLRGTRFTLS RDELLTLPEFVLLSLFPNGLLPDGHMGTFHEGDIYPVDYMLDFFRSVAQSIPSSPTAS ASQDLDISPDSMQGSTRDMLQDRAGIIVLREDLDFYAIPPRPDIDHAEMIEVKRAAAR ALLKQDGIFSGLRKSDEAGSTEQHLIEMLTAGCADQLQIQAQLPLTLIPIRIDLEVPA HQPLEPFQLPRTVLDPGINPALPGYRRPEPLPAYRIKDTFLWNLHEALATPEEFATGF VRDLDLPNPQAMTMAISNQIRQQLEEYAGVALHPLFQSTLPKPPSASQAGVSRDVSAT PVPTHAATPDSRSNTVTVTKEPFVNDSFLNPDDAYRCMINLNINLQNKLYTDKFEWSL LHPPGMAEEFAKITCADLGLSGEWVGAIAHGIYEAVLKLKKEVCESGGLVSGIGGYGN EIDNQAANGTEAGWRYDPEGLGDEWEPKVETLSKEEIEKREGDRERQIRRLRRETARF SSTAGITPDVSRQGSGGYFDVDSETPLGRGERSKRKRRFRSLSPLGRGGTPGGRGTPD TSSGAGYGGGGGTLSDWERQNWRCSNCMVWGTAVWAVRDGPAGPRTLCHNCGLLYERD KVAPEWSRDLHRHDIPIGRA AOR_1_1750144 MLPPSDSLLHQLQKLAEARATAQYQTRASPPPPYSRRNAPDLAI TENDLIDSDELKDADDGDECMSWGSGPSNGSRHHAAPVSIHIDASINVRGNGNTLIIP SVAGPQQGNGPTTPSSLPSSTMQQQQSAQRHRQTKLTDMATSIITALGRASLLPSTED GSSPVEININSGIKIEGSRNVICTGNSLPSGRFPAKRQYVQIGGVGSLFERDRKRRAD SEPPEMPHSKRF AOR_1_1752144 MSDALCGPSNALQNFQKHASIDRTLQQDRLVSRQSHSQGFRSQN PNEGTLDPEFAAFESNIAGASLPDSQHPAHFATPPHFAVHNQVEKHNWAADFQRLQIS GSLPLAHQQAGPASSPASNLAQHGWRNDFLAQQRQPRPAQQHQPFAQGFQPFLTPSYP IHEAAGNTLSPAQDLTTTQLPSTEAFDESAFEAAFEQAKADLASQTADHAQELTNETT NPDATVTSQVEAIRIGSDTIPQTEKDDARAHYNDPDELARTAGQLLESVSHDQSQKFR ESNFLALMRRIRDREVHIEGDEFREVSTSP AOR_1_1754144 MVRFPLSAAAQIISNSGHDDMIHDAGLDYYGRRLATCSSDKTIK IFEIEGETHRLVETLKGHEGAVWCIAWAHPKFGTILASSSYDGKVLIWREQHQNTTSP VAVNTWTKVFDFSLHTASVNMVSWAPHESGCLLACASSDGHVSVLEFQDNSWTHQIFH AHGMGVNSISWAPAASPGSLISANPGPGQQRRFVTGGSDNLLKIWDYNSETKSYNLSQ TLEGHSDWVRDVAWSPSILSKSYIASASQDKTVRIWTSDVSNPGQWASQQLEFDTVLW RVSWSPSGNILAVSGGDNKVSLWKENLKGQWEKVKDIEE AOR_1_1754144 MAAAQIISNSGHDDMIHDAGLDYYGRRLATCSSDKTIKIFEIEG ETHRLVETLKGHEGAVWCIAWAHPKFGTILASSSYDGKVLIWREQHQNTTSPVAVNTW TKVFDFSLHTASVNMVSWAPHESGCLLACASSDGHVSVLEFQDNSWTHQIFHAHGMGV NSISWAPAASPGSLISANPGPGQQRRFVTGGSDNLLKIWDYNSETKSYNLSQTLEGHS DWVRDVAWSPSILSKSYIASASQDKTVRIWTSDVSNPGQWASQQLEFDTVLWRVSWSP SGNILAVSGGDNKVSLWKENLKGQWEKVKDIEE AOR_1_1756144 MGTRHENDTLDPNAPLLILDGHTTHDPQNNEEDRHIDSESNYSG TQFIWALTFSAGLSGLLFGYDTGVISSTLVSIGTDLSDRDLTTLDKSLITSCTSLFAL VASPLAGVLADKFGRRKVIFVADALFALGAFVQAISNEVWGMIAGRSIVGLAVGGASL VTPLYISELAPSNMRGRLVTILSLFITGGQVVAYIVGWLFSLIDGGWRWIVGLGILPA LFQLVILTALPETPRWLAQAGFETNAIAVLGKVYQGHPDSDCITKRILREIQQEVAEE RLGHPGGSSGAGQQWIHYVLQRTTELFYVGGNRRALIIAMMLQGSQQLSGFNCLMYFS GIIFSSLSFSSPTLTSLSVATTNFLFTLLAFTYIDRIGRRRILLYSIPIMTISLIVCA LTFSLVSSDLSSFPLTRAGSSDTVPDDSMLPITILLCLTVYTASYAFGLGNVPWQQSE LFPLSVRSLGSAFATATNWASNFVVGLTFLPMMELLSPGWTFGTYAVVCAVSWFAVWA IYPEMSGLGLEEVKELLADGWGVKESVTRVYGSKRENCLYEFPQNLLPGESSVWRYRF MKLYDTPPGRSSSELKIEYQTRSIVLSQKISFRFGQKEEQLLWLTVMQGILLEALTVT EIDGVVYSKTFERIREALQLSAPDILNRPLSGYGNTKPDRPSDLFCGLQLCLTGLALD PKMSVRCLRTDYDIADVYSYQTEITRPFIDIKKLELPTILHIRNFWVRHLLSPNEATY CTSYAKLPVDQRPRTMLTLVNSQFFQIRSDLNTLNWPPEFNRIIPMVGPESHRLYFRG LQKLGDDLYPVRGFTEPIRGSQGGFPGWQRICFAIYAADREQLPLLLEIGESEPSDVE AACLLSELWPPGELETDFLWIQGYEGVILPGGKIMLGQWVDMIDTTERGPFIFWNL AOR_1_1758144 MEAELQSQIPGLDHVISEYSVGYLTHASRAYVEDANAPSPLAEA ADMVTELLVSASGDFSDNNEKAIRNLVEKFISSLSSADGVDAERRQMPFTAKKLDQAI NVGSQRNMSSTLGLAGGNVDLESANSRKVESRVDRKKLEKAERKIRAKQEKKQMKMVQ YESSRLLDQPDSTMSYEEFFMAVNPLQLGSDSAAKSKDIKIDSIDTSVGGHRILTDAS LTLAYGRRYGLVGQNGIGKSTLLRALSRREVAIPSHISILHVEQEIMGDDTPALQAVL DADVWRKRLLADQDRITKQLAALEAERSSMADTSTDAARLDHEREGLDITLSDIHSKL SEMESDKAESRAASILAGLGFSPERQQFATKTFSGGWRMRLALARALFCEPDLLLLDE PSNMLDVPSITFLSNYLQGYPSTVLVVSHDRAFLNEVATDIIHQHSERLDYYKGANFD SFYATKEERKKNAKREYEKQMAERAHLQAFIDKFRYNAAKSSEAQSRIKKLERMPVLE APESDYVVHFKFPDVEKLSPPIVQMSEISFGYSKDKPLLKNVDLDVQLDSRIGIVGPN GAGKTTVLKLLTGQLEPTSGLLSQHARLRIGYFAQHHVDALDLTTSAVSFMAKTYPGK TDEEYRRHLGAFGITGMTGLQKMELLSGGQKSRVAFACLSLTNPHILVLDEPSNHLDI EGMDALSEALQRFEGGVVMVSHDVTMLQNVCTSLWVCDKGTVTKFDGTVNAYKKMISS QANEAGVAVAH AOR_1_1760144 MPKSYLSDVERTHKPYPFAPLQSAPSTQQTRQAASITIFKRSFS ICARAFAPFNNFIPLTNTPLLPPNRESSVVDISWQPYLTATYDGASDRLARRLSTATK PKEHGLRRILPSTGTIPALLLANSITNKESSTGISSPETAPTSPVQFRDPFKPSDTSR ELETSLAPEENHRQPSISVSNDSKSAIPASGNADAHKPLSTALARPKMRAISAPLPTF ANSEGAILLSPRSRARRNITDPNVFRRPPIASRADGFTAPGFMGSSCKRATSSSRLDI GYLRETGQRPLTSDGVALSVLQGPIRQRRKRHSIAASDPASTVIGSDDTRIFTSGEED ETDFLSDTAFDSIRTHITTSSNSGLHSPRVETIFDRDLPLSNAGEESLRVTQFASHYA FASRRFDDSHNHSDLKLTPISISLPDPQEKNMHEEASRISFPSDLTDDEDAHSLVAAL PGEIVKLDEQSKYPRISLNNYERNYAGRDILFAHGRSDIPLELTKSNSRSVTNEMFEF CPRMNIFDWSEQPRNDREASGPDGRPRTVHGKHGPELRGSRAPGRKAPNTLHLRSQSV PVSREYPATNETRQTSGKFGTWGLGSKGVSEDWDSDFDFEDADESIISENMRTNKNVA RRSMIVPQAIMERQASLHGQFGQVQELTLLVEELKRLRHQASFLGIVRGPSNELWKEA EGIVNLATLDDEEHSHSPPGSPSSLTFSFDDSEEESANTNDPFKRVSGESWRASFSEP LSPNQTTADSDHTEPPTKANSVLDLIYQQRISRDSSFMNRQSPKSKKLPFDTQSLRDL VIRAGVVTRALKEVIRRAEGVAPESNENMHHSVPPFSRIFNQSTNDDVSTFETHCIG AOR_1_1762144 MHYHHRHQTHQDIHMVVRSPPRRPDIVPRHRLPYLVPEPPTFVK RDSDPSQTCSAGDTSSKCEKPTSTTTTTTLPVVLGAVVPILCAVIVLIYLHRRNVRKL RSEDANDKHRSLDFGLDLEPTGGGNAMRQTEKSNGSYNHNKGISLDIGPSPYLLPPGL HGSRDSLHSLSRSIGGDDKYRHATSFLGDNASVRSQSRGAQDDAPSFTGSARKAALGD DMKQGLLGNAQRMSRSSPPLYISPGEDGAHVQVDPIAQPDHGFQFELPRSPSPVLIPG APSTKESITPTNNVDKDAGDFGNSDDRPGGRLNLALQDPITTPRISLPLSDAASDYDN GNPEPAIPAVNIHRIENSPRYGKGPDHPAIPDTPPDESSQAPGLTVDPRRDTRRLTLG LRPLPPEDPSDNPEQRANRIRSFYKEYFDENKNGRETYYGPESYHDVDYIYDSATGDY YDSVPAPFAEPIHRRAMTPPPRLPPRFQGGGRHMPSGSIGGFSDRINSPGPRAFSSAS GRLPGAPKIRKPAPPPAPLQLLPTPHMLKDDSIMGAIDYAPGKSYRDQREGRPETPLG GLQPFSPTMRAHTPLVSSFNELAVIPSPHALRKSGTYDNLDFVPPPRFKNLETASDSG SIRSNRTGISTTHLQNIRTGAYRVSRLPPETVGTKDDLVTNLRPTWDMRK AOR_1_1764144 MSASSAAAPPAPAATTAEAATPTAETPTTTPSETSTTSEPTTSS STTSSSSSSSSTSTSSTSLSTPSTTTATQPPTTSTTSIPSTSSETSTISSDTNTTPVV TSIITKSATDGTTGPQTVVVTSTDTSLPTHSTGNSANSISATATGSSATTSATSGSAS GGSGLSAGGTIAVAVVVPVASVAIIILAALYFWRKWKAKKAAEEERRKEVEEYGFNPN NDPTLPPIMGGGAFEPKDDTSGYRGWGTTSAGRKASTNLSSGAGVGLAMSEAGSAPGY HHVTTPSDGTIQYSEGPAAGETEPIGVLGAAPIATTNNRTTDIHRGPSNASSAYSAAN RSEASDESHMSATHPTGPFYDDNPYYNEMQPQYGAKFGKQIQRRQLDLPEYAASFVNY KALKKLIKQLSATPTIPAQSSGGAAQNVPEAQAALRANKEVFFFRLEREIEKVNTFYL QKEAEFSLRLKTLVDKKRVIQSRTVPSSKAPANFVALFEGFQQFDGDLNKLQQFVEIN ETAMSKILKKWDKTSKSRMKELYLHRAVEVQPCFNRDVLRDLSDRATTARLELEAWAE GENIHFDAARPVDRAVTVQPFGADEEDLDLQILQSATAGNLQTLREWTAKLQSSPDAR ERATRTFLAAINEFSDDVLAVLLESGLVDIYAEDDINERNCLHEAAISGRGFVFKSGL VAGVDISRSDVYGRLPLHYACIHGRVEMVKDLLAAGPHTVDAMDHDNFTPLIHSIVKG QLACAEQLLHNNARIDPASESDHIPLNLACQHGSLPIVEMLLERRAQLLPDAEGLYPQ HMVARASQSPQLLMMLKQHGADLNQKDKLYQWTPLFHAASEGCVDCLRALLELGVDAD VVDEKGLAAMYYAAWEGHLECMLLLWSHRNNSHPPQKPFDILNGLRLQEAGHLSGSHM EDATTTLESTEIVDGIPDLSLPPPIIPLRRYGHNFLDKKVFIQILFDTGNSGSIIFDQ AGRHPAARLTISSKLSDLIPRTIMLPIQEDSRLISFQVDNLETFAVDFEIFPTFGSKV IAKTVALPTVFRAEISSTGSCCLPLFDPRLRSIGQLRFGFQVIKPYHGDPLEITHFAT YWKATSAIDSEHNGLVTGSSLSGDHVQLFVQLTRDRIPVVYPCFTILHHGIELPICHL TYSQFQVIGVEKGVNRLDLFQHLQTRAVDDLAQAHRMLASSFLSLKEVLQHLPIGVNV NLSILYPSAAEEQALNMVSLADVNSFADAILTVVFDHARVSRDQNPEFMRSVVFTSYN PNICVALNWKQPNYPVLLCNDLGQIRDLTRGVGSLPHIDSSGRASMSIKESARIAQSN NFMGLICRSSLLNVVPALVETIKELGLVLVADTSDEVEPSGYKEALGAANAMGVAEWA YRMPDGVNGAMKANGILRFNDMIDM AOR_1_1766144 MSLRHLTISRWLSQASSLESHVLPSRITRTNVHFVQSPASYNTF RRAFTLSADKNSFLSSQIRPRSCNIRSFSRAQEASVFRRLFSSSRSKSSPQSEQSSSL SQRLKALSREYGWSALGVYLLLSAMDFPFCFAAVRFLGAEKVGHYEHVVIEAFKGAVG TVLPSVQEEQFKDETNSTTDSSKDNVDGSTVEKKLDEGASLWTQVALAYAIHKSLIFI RVPLTAAITPKVVKVLRQWGWDIVKGKPKGM AOR_1_1768144 MAPRLPGWLLQSPLFLRASHSIIRSNVTTRPFGIRSLNPPNTSR FNVGSDLPVLKSTPTAALERKANTLPPRTGAIAIKKGMTALYDTETGKRIACTVLQLD RVEVISHKTRQQHGYFAVQVGSGWKHPNNLTKSLLGHFSVNGISPKRHIFEFRVRDEN GLLPVGQAINADWFQEGQYVDARSNTKGKGFAGVMKRHGFGGQDRSHGVSLTHRSLGS AGPSQGGGSRVYPGKKMAGNMGNEQNTVQNLKILKVDSQNGIVVVNGAVSGPKGCIVR IQDAIKKPWPEIVFPSEPVP AOR_1_1770144 MALNIAQRRISVVRIPFSPGRTFLRRNLIPAPHANSGPLLERRA DRELPSVNKDRRWMRTLPIFAIAVGAAMLGIFNYQKSSSSVVSSTLYALRTSPRAREI LGDEIYFAQKIPWISGEMNQLHGRIDISFRVKGTKSQGTMRFRSIRPDRMSYFRTEEW SLETEDGTVVQLLDNATDPFRQHD AOR_1_1772144 MAAARLRKAFRYTDNLGDGEHEREELDEEEQELVIEHLRAQNDK RDAEYTVTFAAIPLLSVSIFIPSVFQQDSGLQERFLSFLGILSLISTAYIMKHFRSDP KGKGSMRSSGILTHIRKFLLPINTAICGLLLVVWLFSLVEPPSDRQPKAYIVPGGMLA IIMLARKVMLSVDLKHLEDLRYGYKGV AOR_1_1774144 MPAQRPRAAFEPISPDLDIAHLVDSTPNFEYVARIHCDAIDANG LENFEKLVWLHVVLGGKPLVVEGFNQRLDSSIFSEKWLRSHYSMKTEFARNLTTQTDL PLTIGHYLKNMHILTNQWTPHNYKEPNRQRIYLKDIDCPQQWHDHLKQLIPPPLFYLN KSPEMFEGPGAKTSSSNDELLARSASGRSIAKAGDLMSCLPPFMRAENLMCYIGHEGT YTPAHQEMCASLGQNIMVEASDGSVEYGQATKPGSSIWFMTESKDRHVVAEYWMSTLG HDIDIEDHFAQINAWKAAPFKTYVVEQRPGDFLLVPPLAAHQVWNRGTRTMKVAWNRT IAKTLELALFEALPHARMVCRDEQYKNKAIVFYSLDHYSDLLCEVGDSGAWGLRVQLL LEDFELLYELYTEILLSESFSHDPPKEKDFEYVPFDSNITCSYCRGNIFNRFLTCPWC IGEGDDTYDICMECYTMGRSCACISKLKWVEQFRWNELTGKHEKWRQQLLRFAEAKSD KYLCLPAKRAQLGKKTLAEICHEQMKRRPWVDVTNPVCQRIEEKNSGSENATPTRKRR KTHKSDACTKGGRCHICKCAEPMWKLASCSYCNLSYCYGSLFRAFNIQPQDTMEMYHW MCPRCQKICSCAACRRDPTMNPYEPRYTLLGHDTRKVADPRSVESLVDFRQSNLRWLK KAGDDEVGRLKKHQKEADEKRNKALVDSRIELEFPQVVDEYSESVQLTSPAAGYFKDY RDIPVDPALEKLDGSFLTPPESISQ AOR_1_1776144 MYWKPTSVTRYSPPKTGLVASLPQSWIPYAELIRLDKPTGTYYL FFPCAFSTLLAAPMTSSTPFQVLGTMGLFLAGALVMRGAGCTINDLWDRNLDPHVERT KFRPIARRAVSPRKAVVFTGMQLLAGLGILLQFPTLCLWYGIPSLLLVTTYPLAKRIT YYPQAVLGLTFSWGAMMGFPALGIDLLSNHSALESAAALYSSCVAWTILYDMIYAHMD IKDDVAAGIKSIALRHEHNTKTVLSGLAVVQVALLAAAGVSAGAGPVFFIGSCGSAVL SLGIMIWKVQLKNVQNCWWWFKNGCLLTGGGITLGMFFDYIARATGLDGKNTRSPQVI TDVTSESNGELN AOR_1_1778144 MFSRVAFSSAFRPLSRPQSLPLRPYGFQLPSALYARLLSSETKS AIDKAIASAPVVLFMKGTPETPQCGFSRASIQILGLQGVDPKKFVAFNVLEDAELRQG IKEYSDWPTIPQLYLDKEFVGGCDILMSMHQNGELAKLLEDKNVLVAAD AOR_1_1780144 MSSSNEDPVPLPGQWPVDPQYDVPIDEDRIWVDGCFDFSHHGHA GAMLQARRLGKELYVGVHSDKAILKNKGPTVMTLTERVSAVEACRWVTCCVPHAPYVT QLPWVSHYGCKYVVHGDDITSDSNGDDCYRFVKAAGRFRVVKRTPGISTTDLVGRMLL CTKGHFVKNVKGTLAGEEGSGNQEERQLAAANLMQRIRDYATDETGLQPGSPVWIWTG SSSAKLDNTMEEPGLFETISGGKPSRPGQRIVYVDGGFDLFSSGHIEFLRQVLAHEEM EGRQRGWYDPEVRERRLREYGEDYGPAYVVAGIHDDGVINHWKGFNYPIMNIFERGLC VLQCRYIHAVIFSAPFSPSEPYLRAMPLGVPDAVYHGPTTFIPLTYDPYTAPKRMSIF RETGSHDFQHVNAGEIVGRILKSREAYEERQRAKLQKGVIEELTKAKEDSIN AOR_1_1782144 MSNMISSTFAPTLTRRDTGKSSIGHETTVGSLPPPLTSGGGSFG PQSAAAIYQHIHDMATKRISTLDYLRKAHEGRIYWFNTVHFSRADIGRIPYFEARKLS RRAINYLLLGLSLPPILDVSSTPVEYLRALNALLIEFEAFQQVHPPDGSSSSTLARAR IPQMLKRAAHAGTKTRRASSATEIGLPMQSSDPSELKVMTGNLTSSASAAAAAISFPH TEASELLPGEEYSYLLTPSLPFEPDYFETFVTLCDVLIDCYTRLISLVPTPSVCTVAL GEMFSKADAKLRKIMVAGVVREFEDASRMNAKNEVSGVSRVVLGGLLG AOR_1_1784144 MKVFSSTCTFDYSWEEVSTANWRKYCPWNDKSTHVVAVDTLSRT IDSETGILRTERLITCDQSVPQWVLSLFGGSATSHVYEVSYVDPKSKKVTMCSTNLTW SNVLNVQETVTYQPSSAKPACTTNFNQEAKITALCGGWQKIKNKVEEASVERFSQNAK RGREGFEAVLEMSRRVFGEQRERENDRLQS AOR_1_1786144 MVELRKRKAPTQLPVAEKRTRKGQRSTTVPEGVPQENESSTVSG GFLEVGNKIILDGFGGEIETNDGIKTTLYKLVNESKSGVVLFTYPRASTPGCTKQACM FRDNYDYLTSTGFSIYGLSADSPRANTTFKAKQSLPYPLLCDTASSLIAALGFKKAPK GTTRGIFAVDKEGTVLLLQPGGPDATVEAMRQLIARKSSSSSSESGI AOR_1_1788144 MAREILKAAKSASNVIAVHKKYTLQSTGIWERVRRFLSIDPNRS TGVPLNAQYRLPTPGALPPLSYDDPVTVPAGDIADNPYWKRDIRRSYPKLSTVSQADS VGLLTVGSQAAPKDDILQIGEAGEKQLISIKQQGEERGLAGLFEKDKKGIQGVLKANG LPPKPCNMNPSGSKYQLDHDHGYPNAYPCRTFV AOR_1_1790144 MTTLHYLPPVKPSAIALGTFFTHTASLGILAPVFGDTYHRAQAA NTKEEFIKSKEAAGAAAAWGSSLVGSAMQTYGVAALINATGTLSYKGAAYLGSLIFMA SSAPSFISQIFTEKRPLDTVAVGAVSRVFETVGLSLFLTWWGTRTNPFD AOR_1_14 MKTPIAVVGTACRFPGDISSPSQLWELLSNPKDVLRDLNPKRLN LTGFNHHNAEHHGATNVPNKSYILDDDVYRFDAAFFNISAAEAEAMDPQQRLLLETTY EALESAGYTLKQMRGSSTSVFIGAMTSDYHDIQARDLDTISRWHATGTSPSILSNRIS YFFDLKGPSMTVNTACSSSLVALHQAVQSLRNGDCTAAIVGGVNLLLDPEVYISHSNL HMLSPTSRCRMWDRDADGYARGEGCTSIMIKTLDQALKDGDDVECIIRETAVNSDGRS AGITMPSPEAQATLIRETYERSGLDPVRDRCQYFECHGTGTQAGDPVEAQAIQQTFYP KNAVFSPDDKLYVGSIKTLIGHLEGCAGLAGVMKAIMCLKNRTITPNMFFDNLNPNIS PFYDHLRIPTNTVPWPPVAHGCPLRASVNSFGFGGTNAHAIIESYVPSQPKRQASYCK ESNRQKYTNSGPFVFSAHTQESLYSNIERTARYVRSNEALDLGHLAWTLAKRTVLPFK VAITALSREELLGNIDKAIVEYKASKASAQGPSPWKHPPEPHRIMGIFTGQGAQWAGM GRELLLASTVFRKSIERCEHALATLHDGPSWSLQEELLADKPSSRLSNPAISQPVTTA IEIAAYDLLCTSGVNVDVVVGHSSGEIVAAYALSIISAEDAMKIAYYRGLHTKPARSG RMLAVSLSFHDARELCSWPSFSGRVVVAASNGPASTTLSGDYDAILEVKALLDRKKTF ARTLQVDVAYHSHHMVPCSAAYLESLRACNIQVKSPRSGCTWISSVTGRNAILDGDIQ SFSATYWVDNMVKPVLFSQALDKSLCGTQDLGVCIEFGPHPALRGPVLDTLKSKGTSS VHYTSLLRRGQNDLNAASSAVGYLWERMADRVDLASFLQGFRSQALQLIKGLPGYSWD HGRRYWRESRISRRYRLEGTQLHPLLGRRSADEFPNEFCWKNMLHLKEMPWAQGYKEE GRVVLSAAFYLCSLLSAASSAAVCQRLVVLELNNFVVMEPITLEEYGNGVEYITTIRF DNEDFRTISSTILHAEASCHACKSDESVLTKVCTARLTLHLGDARGPDCDCLPPRGQR NDLLAPVDVADLYDSFEQAGMSYTGPFRSITSIQRSLGEATASVAWAVDTTMPESVLN PAMVEASFQAIMCAFASPLTEELRTPFHAKEIRRVLVTPRLALGGVSCDIDAFVTGVD CGGVEGDVSLYKPDGNAMIQIEGLVMKSVPQPDTSSDRNLFSHVVWESDPFGYSLISY PTPNEDMGWKRAADIVALYHLRRTVEEIDPLESAGFTPHHQLLYREISHIAAAGRGSE YYITHPDCAQTSEEIILAMIDKYAGIVDLQSLHSFGKALPAILRGELDLHNTPNEPDT LEGFTHDAAMFSQLSKDICSIVRRI AOR_1_1516014 MEISKKAATLLPKPFYVLSQALNLSNKDHTKWWYSTAPMFATMM AGAGYDVHAQYKFLCIHREVIIPALGPYPEKGQPMHWKSHLTRFGLPFELSFNYSKSL LRFAFEPLGSLTGTKDDPFNTQAIRPVLQDLKAMVPGLDLEWFDHFTKALVVSEEEAR TLLDRDIEIPVFKTQNKLAADLEPSGDIVLKTYIYPRIKSIATGTPKERLMFDAIKAA DKFGKVATPLAILEEFIAERAPTLLGHFLSCDLVKPSESRIKVYCMERQLDLASIEGI WTLNGRRNDPETLDGLDALRELWQLLPVTEGLCPLPNCFYEPGTSPQEQLPFIINFTL SPKSALPEPQIYFPAFGQNDKTIAEGLATFFESRGWGGLAKSYPADLASYYPDVDLQT ANHLQAWISFSYKGKKPYMSVYLHTFEAFSAAAQEVAMCHDGHNP AOR_1_4014 MAVRIARFLGLSTVAYLALANGIDARDTISRDVIILGGGSSGTY AAIRLRDQGKTVAVVERNNYLGGHGETYYTEDNTPLNFGVEGFFNTTVTRNYLERLQV PYGRRDPAPAHEDYVNLNTGQRTEYTPGQLQDREAFAKWVDAISQFGFLDDGVYRIPE PVPEDLISPFADFVKKYHLEDAVYALFSHTSGDVLEMITLYVIQYIGVPHAAALNEGY VRPIEGIAALYKSAGKELGSDVLLETTPEAVQRFEDGVEVIVRSADGTKTLLKGKQLL VTIPPLLENLHGFPLSDQESRLFSKWQYHQYWAALVNDTGLPDDVNIVNVDTERLYGV PEEPFIWRLDNHWAPGYHNIKLVGGSEFGEDEAKAYMYERLDLLHAEGTYATHKPEIV KFASHTPVTMFVSAEEIRGGFYRQLYELQGLNSTFWTGATWASDYSTLLWGYTDEVLD QMASS AOR_1_6014 MSQFAREIVRNAIYNTSSPDADSVSLRKATTTILLIGVTYCILV GIYRVTLHPLAKYPGPKLAAVTRLWHSYHLCTGDIVSVLSRAHEAYGPVLRIAPDEVL FISSRAWDDIYGARPGKPEMDKDTPLYKGPTAPHSIVTVDGELHRFYRRLLAKGFSDA ALREQEPVIQRNINLLVEKLHKEVAAGKTPEMTAWFNYATFDLIGELAFGETYGCLEN SHYHPWVEMILEVMKLRAMTHAVGYYPWIFHILMWFVPKSLREKFVTHRRYTHDKVQR RMDQKIHYKDLTTNLVDPQNGLERYEIDGNCSTLIIAGSETTATALSATLYFLTQNEN AKRKVIGEIRTTFNNAGDINSISVNQLKYLSACMNEALRIFPPGPAVFPRRVPQGGDF IDGHWIPGGTQVGIAHYCINRSRRNFVDPDKFIPERWLGDPTYQTDDRHAVQPFSYGP RNCIAHNLARLEMRLVLARLIWEFDWELAPGSERWEEEALVFNVWSTKPLMIKFTPVA R AOR_1_8014 MKIGLLVLRGDPVEGPSVVDLSSYIPPSRHQFETRYISKSEAEA GIDRICKDEFDMCLNYMTVESCDDVSTVAAITRYLEAKDITLLNSPCLTHITDAGEET RRFRIPIKAHELNLEDLRGKKWLTLAMDMGREAMSFSPGQFTSSMCLDQEDLHSTSTD FTWVTEDPLKSMLRSMALDVLKASSGGFVCVEASLQAQADSMYLEGLLCTPRAFYREK HSTYEDVVIEQEFPGGHLAFLDMLITSKQIRSGQDHARNQHLAGVYDSFAPRYHAARA NTGLSRMQEDMSRDYDFSGTVLDLACGNGEFGATLHENGVSAKVTGIDVSEGMTRSSY IQDHYERPLLIGPMDELIMGMPEFDHVVCFAAFQFLDPVHLTACLARMFMVASR AOR_1_10014 MGHQEEPPRICKTPSGHEQGEGPAEKTSKPSTEEVGWDGPTDPA RPVNWSRKKKWWNMGIISYLTFLTPLTSSIVAPAQGLVMKDFHSTNRTLASFVVSIYL VGFAVGPLFLAPLSEIYGRLRVYQVGTFIFTIWNIAGAVAPNVGALLVFRLFAGISGS GPVTLGAGSVADMFARQERGVAMSLYGLGPLLGPVIGPIAGGYLSQAQGWRWVFWLLA IVSGVAVILVLFVLSESYEPVLLRQKAKRIRRENSSVEVNAGQALKLDSRKVFIQAIT RPTKLLFLTPNVALFSLYTGVVFGYLYLLFTTVTEVYETTYHFSQGATGLVYIGIGVG ALIGISCFGALSDKIQNILIARNNGQAEPEFRLPPLIPGSFLIPIGLFWYGWSTQMHI HWIMPIIGLGWVGCGMIATLLPIQAYLVDAFGEYAASAIAANTVVRSIVGAFLPLAGP SMYATLGLGWGNSLLGFVALGLLPVPVVFYFYGKKIRMNSRYQVSV AOR_1_12014 MAPTEHDYQPQEFILTRTGPLRINMIIAPKRDEHNSRYFVHING LFHDNTDITLHASDSKNGPILGSCRFNKFYTTRMHLTLGDQQSYLMFSPQYGCYSWSL MVIRHTEGKVQQRREFLWKRTEASRVSGLLGLELCGADTSDIYAEYFGAASGSLKAGK LRLRYDLGDIWRTMALLTLSALIEKERQRRARQGHTSIAMLSS AOR_1_14014 MGGSAGGSGIKAPGSGARLKIPRPAPDNWKSNAIIAWPYDSQVS PLILEGCCGNPDHLHTYGDNDAFRFCDSASNPSSVKQCLENQGIKGVEELHKNGGSTL LSSRSVSMPALGIVGMLIAGVLAEVIGFGFMGI AOR_1_16014 MGDQKTLLQAMATADIPEEEKTATRLQVETLNIIAGGTETTARA LAVGVFHLAHKPSLLLQLRDELRTVMPFPDSLASCTQLEQLPYSYLAGVVNESLRLAF GFIIRSARVYPNDPLTPISQSAYFVCMDPSIFPQPDDFNPDRWVQAARDGNKLHRYLI VFNKGSRHCLGINFALAEIYLAIATVARRFDLVPYQTTVEQLQMKRDLGFTAPEKGPF TVRAKVTGLAD AOR_1_18014 MQSNKETALDPERNPTGEGEVRTSNDHDMLIDEAADASSHISGM KLYLIVLSLLLAVFCVALDNTILSVAIPRITDEFHRLNDIGWYASAYLLTTCAFQLLY GKLYALFSTKWVFLVALGIFEVGSLICGVAPSSVVLIVGRAIAGVGSSGIFTGALVTI AHIVPLAKRPVYMGLLGGMYGIASVAGPLLGGAFTNEVTWRWCFYINLPVGGVTAVVI LFLLRIPKSADLRTHGAWEMLKGLDPLGTIVFTPSIICVLLALQWGGVDYAWSNGRII ALFVLFGVLLITFIIIQVLMKDNATVPIKVASQRSVACASVFVFFIGASMFVMIYYVP IWFQAIRNQSPVQAGIDSIALILANTAGAIISGAVTNKTGHYAHWFIVSSVIMSIGAG CLTLFTVDIAQSKWIGFLFLYGIGVGFGFQQGAVAVQAVLPMAQVPIGTALIWFVQML GGALFTSVAQNIFSTHLAENLANLQLPGLDPEAIFVHISSRSGSSETRSHLPSCKGAR GPRACPEKERCLFLFNSCQTASALPRSTAGPLF AOR_1_20014 MAQSRQLFLFGDQTADFVPKLRSLLSVQDSPILAAFLDQSHYVV RAQMLQSMNTVDHKLARTADLRQMVQKYVDGKLTPAFRTALVCLCQLGCFIREYEESG NMYPQPSDSYVLGFCMGSLAAVAVSCSRSLSELLPIAVQTVLIAFRLGLCALEMRDRV DGCSDDRGDPWSTIVWGLDPQQARDQIEVFCQTTNVPQTRRPWISCISKNAITLSGSP STLRAFCAMPQMAQHRTAPIPICLPAHNGALFTQADITTILDTTPTTPWEQLPGQIPY ISHVTGNVVQTTNYRDLIEVALSETLLEQVRLDLVETGLPRLLQSRQVKSVTIVPFLT RMNETMSNILPVSFVSTETRTDTGRAIPASGRPGAGKCKLAIVSMSGRFPESPTTESF WDLLYKGLDVCKEVPRRRWDINTHVDPSGKARNKGATKWGCWLDFSGEFDPRFFGISP KEAPQMDPAQRMALMSTYEAMERAGLVPDTTPSTQRDRIGVFHGVTSNDWMETNTAQN IDTYFITGGNRGFIPGRINFCFEFAGPSYTNDTACSSSLAAIHLACNSLWRGDCDTAV AGGTNMIYTPDGHTGLDKGFFLSRTGNCKPYDDKADGYCRAEGVGTVFIKRLEDALAD NDPILGVILDAKTNHSAMSESMTRPHVGAQIDNMTAALNTTGLHPNDFSYIEMHGTGT QVGDAVEMESVLSVFAPSETARKADQPLFVGSAKANVGHGEGVSGVTSLIKVLMMMQH DTIPPHCGIKPGSKINRNFPDLGARNVHIAFEPKPWPRTHTPRRVLINNFSAAGGNTA LIVEDAPERHWPTEKDPRSSHIVALSAHVGASMKTNLERLHQYLLKNPHTDLVQLSYT TTARRWHYLHRVSVTGASVEEVTRKLEMAIQNGDGVSRPKSKPKILFAFTGQGSQYAT MGKQVYDAYPSFREDLEKFDRLAQSHGFPSFLHVCTSPKGDVEEMAPVVVQLAITCLQ MALTNLMTYFGIRADVTVGHSLGEFAALYAAGVLSASDVVYLVGQRAELLQQRCQRGT HAMLAVKATPEALSQWIRDHDCEVACINGPEDTVLSGTTKNVAEVQRAMTDNGIKCTL LKLPFAFHSAQVQPILDDFEALAQGATFAKPQLPILSPLLRTEIHEQGVVTPSYVAQH CRHTVDMAQALRSAREKGLIDDKTLVIELGPKPLISGMVKMTLGDKISTLPTLAPNKA IWPSLQKILTSVYTGGWDINWKNYHAPFASSQKVVDLPSYGWDLKDYYIPYQGDWCLH RHQQDCKCAAPGHEIKTADYQVPPESTPHRPSKLDPSKEAFPEIKTTTTLHRVVEETT KPLGATLVVETDISRKDVNGLARGHLVDGIPLCTPSFYADIAMQVGQYSMQRLRAGHP GAGAIDGLVDVSDMVVDKALVPHGKGPQLLRTTLTMEWPPKAAATTRSAKVKFATYFA DGKLDTEHASCTVRFTSDAQLKSLRRSVSEYKTHIRQLHDGHAKGQFMRYNRKTGYKL MSSMARFNPDYMLLDYLVLNEAENEAASGVDFSLGSSEGTFAAHPAHVDAITQVAGFA MNANDNVDIEKQVYVNHGWDSFQIYQPLDNSKSYQVYTKMCQAKENDLVHGDVVVLDG EQIVAFFRGLTLRSVPRGALRVVLQTTVKKADRQLGFQTMPSPPPPTTTMPISPYKPA NTQVSSQAIPAEATHSHTPPQPKHSPVPETAGSAPAAKGVGVSNEKLDAVMRVVSEES GIALEELTDDSNFADMGIDSLSSMVIGSRFREDLGLDLGPEFSLFIDCTTVRALKDFM LGSGDAGSGSNVEDPPPSATPGINPEIDWSSSASDSIFASEDHDHSSESGADTGSPPA LDLKPYCRPSTSVVLQGLPMVARKTLFMLPDGGGSAFSYASLPRLKSDTAVVGLNCPY ARDPENMNCTHGAMIESFCNEIRRRQPRGPYHLGGWSSGGAFAYVVAEALVNQGEEVH SLIIIDAPIPQAMEQLPRAFYEHCNSIGLFATQPGASPDGSTEPPSYLILHFIAVVDV MLDYKLAPLHARRMPKVGIVWAADTVMDERDAPKMKGMHFMIQKRTEFGPDGWDTIMP GASFDIVRADGANHFTLMQKEHVSIISDLIDRVMA AOR_1_22014 MGTEYVAVLTGSFLTGAMMNLHLLTIPILIETTRQPAQLVHQWS RIFYSGHRKGPGIALVTGALYGYAAWAKYSVGEPWHHWMVAGVTTVSMVPYTWMFMNA TNTALFHAEDQFEKGGVEISLQESVRLVGKWDWLNTVRALFPLAGSVMGMLGVCGVVR Y AOR_1_24014 MNGSLSQHGQERLSTPCRDRPPEETVYLVTGASRGIGRGLIEAF LQRPKSTVVACVRNVATATPALSPLTVAEGSRMIIVQLNCDSETDAQAAVQTLREEHG VTHLDVVVANAAMATNFGPASTMPLEHLQAHMMVNMYAPVLLFQATRLMLQQSKQQAK FVLIGAPISTITNMHDYARAPLTAYGVSKLAANYMVRKFHFENKWLTAFIIDPGHVQT DMGDQGARLMGRPQAPTTVADSVAGICARIDEATKETTSGHFVIHTDGSQLPW AOR_1_26014 MGPEAMDDQYIAHQLLVELLAHQLAFPVRWVDTQEHLIGEQNAV QRYVELGPSSILTDMAQKAVRGIVIQGKRLAASSIQLLASSLDAKKLCYEYDERQAPG VTQITEEAPTELPPLSSPPSLPQAPNVSPISASKIVIEDVALSRVQIVQALVARKLKT AIAQLPTSKSIKDLSGGRSSLQNELVGDIHNEFSSIPDAPEQILLRDFGEANPTVQLG KTSSAAVAKLISSKMPSDFNANAIRAHLANKWGLGPLRQTAVLLYAIASEPPSRLTSS SAAEEYWDNVSSMYAESCGITLRPRQDNMNEDAMASSAVDPAVVAEFSKAHRRLGVQQ FQALAEYLQIDLSGSQASQSDALVAELQQKVDLWTAEMTPEFLAGISPMLDVKKSRRY GSWWNMARQDVLAFYRRPSYSEFVDDALAFKVFLNRLCNRADEALLNMVRSLSCDAYF KQGSLPGYHAASRLLEQAITSTVADCPKARLILPAVGPHTTITKDGKIEYAEAPRQGM SGPTAYIQSLRQGASFIGLNSADVDTQSNLTDALLDAMCLALHDGISFVGKTFLVTGA GQGSIGAGVVRLMLEGGARVLVTTSREPATTSRYFQQMYDNHGAKFSELRVVPCNLAS AQDCEGLIRHVYDPRGLNWDLDAILPFAAASDYSTEMHDIRGQSELGHRLMLVNVFRL LGHIVHCKRDAGVDCHPTQVLLPLSPNHGIFGGDGMYPESKLALESLFHRIRSESWSD QLSICGVRIGWTRSTGLMTAHDIIAETVEEHGIRTFSVAEMALNIAMLLTPDFVAHCE DGPLDADFTGSLGTLGSIPGFLAQLHQKVQLAAEVIRAVQAEDEHERFLSPGTKPTLQ APVTPVHPRSSLRVGYPRLPNYEQEIRPLSPRLERLQDPANAVVVVGYSELGPWGSAR LRWEIESQGQWTSAGYVELAWLMNLIRHVDDESYVGWVDTQTGKPVRDGEIQALYGDH IDNHTGIRPIQSTSYDPERMEVLQEVAVEEDLPEFEVSQLTANAMRLRHGANVSIRPS GNPDACRVKLKRGAVILVPKTIPFVWGSCAGELPKGWTPAKYGIPENLIHQVDPVTLY TICCVAEAFYSAGITHPLEVFRHIHLSELGNFIGSSMGGPTKTRQLYRDVYFDHEIPS DVLQDTYLNTPAAWVNMLLLGCTGPIKTPVGACATGVESIDSGYESIMAGKTKMCLVG GYDDLQEEASYGFAQLKATVNVEEEIACGRQPSEMSRPMAESRAGFVEAHGCGVQLLC RGDIALQMGLPIYAVIASSAMAADKIGSSVPAPGQGILSFSRERARSSMISVTSRPSS RSSTSSEVSDKSSLTSITSISNPAPRAQRARSTIDMAPLRAALATWGLTIDDLDVASL HGTSTRGNDLNEPEVIETQMRHLGRTPGRPLWAICQKSVTGHPKAPAAAWMLNGCLQV LDSGLVPGNRNLDTLDEALRSASHLCFPTRTVQLREVKAFLLTSFGFGQKGGQVVGVA PKYFFATLPRSEVEDYYRKVRVRTEAGDRAYAAAVMSQTVVKIQTQNPYDEPDAPRIF LDPLARISQDPSTGQYRFRPDATPALDDDALPPPGEPTELVKGISSAWIEEKVRPHMS PGGTVGVDLVPLASFDAYKNAIFVERNYTVRERDWAEKSADVRAAYASRWCAKEAVFK CLQTHSQGAGAAMKEIEIEHGGNGAPKVKLWGAAQTAARQRGLEGVQLSISYGDDAVI AVALGLMSGAS AOR_1_28014 MGSVGREHESIPIQAAQRGAARICAAFGGQGSNNLDVLKGLQEL YKRYGPDLDELLDVASNTLSQLASSPAAIDVHEPWGFDLRQWLTTPEVAPSKEVLALS PRSFPLNTLLSLALYCATCRELELDPGQFRSLLHSSTGHSQGILAAVAITQAESWPTF YDACRTVLQISFWIGLEAYLSTPSSAVSDAMIQDCIEHGEGLLSSMLSVSGLSRSQVE RVIEHVNKGLGECNRWVHLALVNSHEKFVLAGPPQSLWAVCLHVRRIRADHDLDQSRI LFRNRKPMVDILFLPISAPFHTPYLDGVQGRVIEALSSASLALHSIKIPLYHTGTGSN LQELQPHQLIPTLIRAITVDQLDWPLVCRGLNATHVLDFGPGQTCSLIQELTQGTGVS VIQLTTQSGPKSVGGHLAAVNWEAEFGLQLHANVHGAAKLHNRMTTLLGKPPVMVAGM TPTTVRWDFVAAVAQAGYHVELAGGGYHAERQFEAEIRRLATAIPADHGITCNLLYAK PTTFSWQISVIKDLVRQGVPVEGITIGAGIPSPEVVQECVQSIGLKHISFKPGSFEAI HQVIQIARTHPSFLIGLQWTAGRGGGHHSWEDFHGPILATYAQIRSCPNILLIVGSGF GGGPDTFPYLTGQWAQAFGYPCMPFDGVLLGSRMMVAREAHTSAQAKRLIIDAQGVGD ADWHKSFDEPTGGVVTVNSEFGQPIHVLATRGVMLWKELDNRVFSIKDTSKRLEYLRN HRQEIVSRLNADFARPWFAVDGHGQNVELEDMTYLEVLRRLCHLTYVSHQKRWVDPSY RILLLDFVHLLRERFQCAIDNPGEYPLDIIARVEKSLKDKAYRTLYPEDVSLLMHLFS RRDIKPVPFIPRLDERFETWFKKDSLWQSEDVEAVIGQDVQRIFIIQGPMAVQYSISD DESVKDILHNICNHYVEALQADSRETSIGDVHSITQKPLSAFPGLKVTTNRVQGLYKF EKVGAVPEMDVLFEHIVGLSKSWARTCLMSKSVFRDGSRLHNPIRAALQLQRGDTIEV LLTADSEIRKIRLISPTGDGKSTSKVVLEVVSNDGQRAFATLAPNIPLSPEPTVVFCF KVDQKPNEWTLEEDSSGRAERIKALYMSLWNLGFPNKASVLGLNSQFTGEELMITTDK IRDFERVLRQTSPLQLQSWNPQGCVPIDYCVVIAWSALTKPLMVSALKCDLLDLLHSA ISFHYAPSVKPLRVGDIVKTSSRILAVSVRPRGTMLTVSADIQRQGQHVVTVKSDFFL GGPVLACETPFELTEEPEMVVHVDSEVRRAILHSRKWLMREDRAIDLLGRQLLFRLKS EKLFRPDGQLALLQVTGSVFTYSPDGSTTAFGRVYFESESCTGNVVMDFLYRYGAPRA QLLELQHPGWTGTSTVAVRGPRRSQSYARVSLDHNPIHVCPAFARYAGLSGPIVHGME TSAMMRRIAEWAIGDADRSRFRSWHITLQAPVHPNDPLRVELQHKAMEDGKMVLKVQA FNERTEERVAEADAHVEQETTAYVFCGQGSQRQGMGMDLYVNCPEAKALWARADKHLW EKYGFSILHIVQNNPPALTVHFGSQRGRRIRANYLRMMGQPPIDGRHPPILKGLTRNS TSYTFSYSQGLLMSTQFAQPALALMEMAQFEWLKAQGVVQKGARFAGHSLGEYAALGA CASFLSFEDLISLIFYRGLKMQNAVPRDANGHTDYGMLAADPSRIGKGFEEASLKCLV HIIQQETGWFVEVVNYNINSQQYVCAGHFRALWMLGKICDDLSCHPQPETVEGQELRA MVWKHVPTVEQVSRENRMERGRATIPLPGIDIPYHSTMLRGEIEPYREYLSEHIKVGD VKPCELVGRWIPNVVGQPFSVDKSYVQLVHGITGSPRLHSLLQQMA AOR_1_30014 MVDHISPRASPGPIRSSQTRRARKLRDSCTSCASSKVRCTKEKP ACARCIERGLACQYMVSKRMGRNPRAPSPLDSTRRPSESLPSARSEQGLPAHNTYSTP HAHTQAHTHAHSHPQPHPQSHPQSNQPPHALPTPNGSSSVSAIFSHQSPPPPVETQGL GGDLAGQEQSTLSSLTVDSEFGGSLQSMEHGNHADFLAESTGSLFDAFLEVGTPMIDP FLESAPLPPFQARYCCFSLALQTLTHLFPHAPLGCQLRLTDGEDSSYNLMTTDMVISG NKRATDAVRKILGCSCAQDGYLLSMVVLIVLKVLAWYAAAAGTQCTSTAASGETNSGS CSNSPATVSSGCLTEERVLHLPSMVGEDCVDEEDQPRVAAQLVLSELHRVQSLVNLLA KRLQEGGDDAAGIPAHHPASPFSLLGFSGLEANLRHRLRAVSSDIIDYLHRE AOR_1_32014 MTLTDLEICAEEIATAARTLASDGHSGGYSAGLPDHLRPVQRTL IANASQVLALASQPADLVRQLALYNQLLACLRWLGEFQVLACIPLDESVPFEDVADIA GVPECRLRRLVRPLFTIGFLCEPSPGHVAHSVLSKQFVTQPALLDAILFMSETLAPSA SAMGTQTRRFGASEQAEDSAWNMAVGSDSPFAACLQQRPKVKRQLGAYLSYVSSSIDA GVEDTLTRMNWQNLGMATVVHVGAQSPSLVVALAPQFPSLRFLVQTEAKTESGGHQPC LDNHGISALKLASIPLHLRARITWGTRLSTATQPVLDAAVYLISIPFPSPQSPAMEIT MRVAQALKAHVEVLRNNSDERLILTLPMSSATRSMDAAARAAVSLSDLSLLQLTNGGS LNMGEIRDLLRSRSDGLVVMREVRSPTNAVIAFEIQYRVDNDDNRY AOR_1_34014 MEVLNTTVDLGTLRGKSALITGGASGIGLATARAWAAAETGQNI LADLAGGHVHYVCCDVTSWESQIKAFKEAIQFTPSKALDIVAAFAGVSFAGGNQVDHV LAAGDPRLDVNPSPPDIRNIQVNLIGVYYTSWLGLYYLRLPPTNKAANPSPDKSLILM GSIGSYMDSPKASTYPASKFGVRGLFRSTRARTRELGVRCNLLAPWFIDTPLIAPMKK AMAARGIDMAQRLTFASVDACVEAATTCAANPQLHGRALAIQPEGIFDLKDDLEYGWG GDQLRPIMQRRREAGFDA AOR_1_36014 MPVYHIALFKLKADADPIKVEKWKQQAHAMVGQVPGLLDLRADS PTEFTAPLAKGFDMAVVVLLDYLESLATFFTHPSHHQVNILYQEVCDHESTIAYDIEF AOR_1_38014 METPFAAPWHQFVEDLGQTPCLPGKDLDSILAGWGQLAGTLATR YGFPPPDESVTTEDVQLDGLWLRCYTPPKATGQEPVGLYFHGGGWVMGGVNEEDGFCR VISRQCQMRLVSVEYRKAPETRYPGALNDGVIAALWALSRYENQPLILMGTSAGGNLA FGTALRLIDQDMADKVSGVVALAPITVHPDAVPEHLKEQYTAYEENAELTVNSRAAMQ VFFDCYKAPVDDVYTSCLLHPRLLALPKVYIAELGLDTLRDDARLMKGALDTAKVPVM YDAYPGFPHCSFMFPFKSLGEHQRTFFGGVAKAVRWMS AOR_1_1520014 MVLPTAPEPPTLLGYHRILSPSAGVRVSPLCLGTMSFGNGWKGV MGECDQATSFNMLDTFYESGGNFIDVANFYQGGDSERWVGEWMAQRQNRDEIVLSTKY TMGYTMFGPQKIKSNYQGNHTKSLRLSVKASLQKLQTDYIDLLYVHMWDFTTSVEEVM RSLNHLVANGKVLYLGVSDTPAWLVVKCNAFARANGLTPFSVYQGHWSCAFRDFERDI LPMCESEGMGLAPWGVLGRGQFRSAEEFSREGRKMGPQDEKHRRLGEKLDQMAQQKNI KATSIAQAYVMHKAPYVEHLKENIKALGLVLSEEEIREIDDAEPFDVGFPMNFLFETP TQSYRTNMTSKDIWQLSCNTRLETVPKQQPIEPF AOR_1_40014 MSDNHRLDGKVALVTGAGRGIGAAIAVALGERGAKVVVNYAHSR EAAEKVVEQIKANGTDAIAIQADVGDPEATAKLMAETVRHFGYLDIVSSNAGIVSFGH LKDVTPEEFDRVFRVNTRGQFFVAREAYRHMREGGRIILTSSNTACVKGVPKHAVYSG SKGAIDTFVRCMAIDCGDKKITVNAVAPGVIKTDMFLAVSREYIPNGETFTDEQVDEC AAWLSPLNRVGLPVDVARVVSFLASDAAEWVSGKIIGVDGGAFR AOR_1_42014 MSTDGFTIAVFVTRKPDLSPDAFQDYWENHHVPLLRRLGGSRFP RSHIRHYLKRDSQAPDFPAAVLVGEPSDFTYDGFAIINFESEAAFQQFVPVMSTTEVA EDEDRFTDRSKMRAVVLGEVRKTTQ AOR_1_1522014 MYLSLLIALSVVSFIRFLTAFYRARQEPMPEFQLLAGHFGTLKK TIQGMPSDATLHSIMLKISQQFPSGIFYINMWPFSGTWMIVSTPSAASQIQKLNLSKP AILRRPLEMVTGGPSMMSMHGETWKKWRALFNPGFNPAYIIGLAPNISDEVAIFCAQL RKIAQQGEVFPLESLTTRLTVDSICSVVLDTQLHHQIKDHPLATALQRQIDWTSFGTT FNPFKRYLTIRPLVLWYNNKFMDRIIDGEVDRAYCTPPGHPSKSVISLALREYLQEQA SNNSTRSLAEFKRLVAPQLRVFLFAGRNTTSSTLIYTYYLLAQHPEALAKIRAEHGDV LGADPAEAQGRIKEDVQLLNKLPYTTAVIKETLRLFPPSASMREGRPDAEIIGEDGQR YPTVGCNVWTLTVALHHNSDYWDQVENFIPERWLVGPEDPLYPVKGAWRAFEFGPRSC IGQTLAMLELRIALAMTIRQFDITPAYDEWDSIHPATTAREVNGHRAYQAERGAGGAH PADGFPCRVKERC AOR_1_46014 MPLSENTALRLAGNAFGTIILGFGINALIRPDHALTFFEWTPPT VTADRQLVNSLMYVYGVRDIYMGLSVYVASIFGTPKSLGWTLLAFSAVAFADGAICWS WGHGEWGHWSYAPIIALIGTALSGLLD AOR_1_48014 MGGDGWPSDGHILLLIVLTVRDCLSFMAIELTKAGPRWWAIWRG PYILSNIRGNLVRDLQRLHQQFGHVVRIAPNELSFIVPEAASPIYTSNPEFPKDPMHL PPFHNGTPGILAADHAHHRRYRRLLAFSFSDKGLRQQRSLIERSVNLLITRLHENCGQ GPLDLTLWFNWATFDIIGDLAFGNSFGCLDNVQTHPWISSIQGNVKLIPILNAFRRYR LDGLLQLLGSRKLLEQRRRNAQFTTDQVDRRLKNSSTPRGDIWDAVLAQKPDGEPPMS REEMISNTSAIVLAGSETSATLLSGCTWLLLKNPGHLHQLTSRIRSQFTHASEIDSQS VSRVEGLQAILEESLRLYPPVPMQSNRIVPQSGAYIAGGWVPGGTSVGLQQFVACRSS SNFHRPEEFLPERWQGQGEFAHDRREVSQPFSIGPRNCIGRQLAYVETRLILVKLLWH FDLRLDTTRMKDTDWLAEQGIWILWDKNPLWVNLEPRNE AOR_1_50014 MSECLPFAVRGMTSILYFNPSRLELALSWPQTTTAMYFLSLPAL AIIVPVGYVLLHLGYNLFFHPLRGYPGPLLWRASSLPWKIALLRGTMHHDLMRFHQKY GDTVRVKPDEISYANAQAWRDIHAHVPGRPEFLKDPVRLPLAPNGVMSILVSDTKNHA RFRSLFGHAFSDKGLRTQESTIVQYADLLVEVLREMANTGRSAEMVYYFNMAIFDSIG ALSFGESFDSLKSRQLHPWVDAIHKNLKSVAISHVLRSMGIEFLTPYVLPKELRGKRQ ENYSYAVEKLNKRMKMEGDLGDFWDKVLVKSADDNQRGDGMSAGEMLNNAAVMVVAGS ETTASALSGAMYLLCLSGKIEKATAEIRKSFASPEDIDLISVSHLPYLAAVIDETLRM YPAVPGQPPRVVPAGGATVCGRFVPEETRVGVSHLGAYFADYNFTHADKFIPERHLQK TEEPYKYDNYGAYQPWSVGLRNCIGRNLAYAEVRLTLAKLLWHFDFTLDVGKTGNFLD QKIWSIWAKRELYMFIKTRGTSSSSPQ AOR_1_1524014 MTTTAIQATAVVMGSFMSGAMMSVYGLAMPAFLQTVTQSGQLVG YQRRLYQIGTTKGRVLGLTTTLLYASVSVHQYLARKPWLVSAAAGLTTISLVPFTEIV MASINNALARLETETNKGVVISREETEQLVRKWD AOR_1_52014 MVTYALLGATGATGSSILRHLLHDPPDSLRIQILVRSKIKLLQA FPDLQTRRNPQVHVIQGTSTDADALSECLRNATIAFMCVAQNGSPIGTTLCQDSARAI ISALQQQQQSEGASYQPCTIVQLRSASLNPALAVQVPVFVHPIVSFCLFANYADIKQA CQYYSEARKQGTLEYIFVDPPTLHDANGTQSTGYRLISTESQATALSYADLGAAMCEI AQRRSEFHGRAVGVTATGSVHQTWGVLLRHLLEGGSARLREKIAKNTVVVGIVCSFLV ALAYLM AOR_1_54014 MTGLGMETIFAKIKEEYARTDDVGKRKIQGHIRELQVGFYSDWD VVMRLSSGPLQVALAKVGIDLGIFRSLKESDTPITLAEFVKKTGASPRLLGRILRTQA AFGLIKETGPQEYTSSAFTDVFANPAAAGAVVQLFDISGPCTQILPDFLAERHYQDIT SNKDCVFQKAFDSDLTMFEWMPQHPKHMESLGHLMALERPVSWVDHFPVLEELGDFPA PDKVLMVDIGGGFGQQSKALRAKFPNIPGRVIVQDIPQTLANAQPAAGVEFMEHNFFE PQPIRNAKFYYLRHVFHDWPDEQCVLILKQIIPVMGPESEILIDEMVIPSTGVPWQAA FTDLLMMNSLGGVERTRAEWDYLMKQAGLEIIQSKVYDSKEQTILVAVPRRT AOR_1_56014 MALPNKAALVGLAHTLSEQVKHYLVTADETKSPEDHKLCIEREI TPSSTEHAQAWEIVRTCDRIGSLVHGPVPWLLSNALSHLDSACLAAATQLNLQDIIVD GPSPTSLDTIVAATGVSEDLLRRILRGCAQRFIFEEVAPDQYAHTDASKMLRVTGIHA LVGFSCDEVMRSGAYFSDFLQQTKGKPPSWNVPSPFSLAFDPTKGLFDYYSTVDEVRG RRFDLGMGGTEATKPLVEEMFDFSSLPEGSTVVDVGGGRGHLSRRVSQKHPHLRFIVQ DLPAVIHGVEDTDKVTMMEHDIRRPNPVRGADVYLLRSILHDYPDAACVEILSNIVTA MDPSKSRILLDEMIMPDLLAQDSQRFMNQIDMTVVLTLNGKERSPKEWNSLITMVDGR LETEKIWWRKGEEGSHWGVQQLRLRK AOR_1_58014 MIYSIIICAGALLGLWILEKLLAPKDTRPPLPPGPWRKPIIGNL TDFPPKGTPEWLFWAKHQERYGPMSSLEVMGQTIIMINDAQLGIEIMHKKSALSQMIP DAPFAHMAGWGMSLATERNRQAWKTIRANMKQEIGTRRAISTFHSKMEIGIRRFLLRT LDNPDDLRFHIRKEANAFMMDVAYGYTIAPHGKDELYDLTQQSVRQFSHIFSPGEWSV NFFPILRYVPSWFPGASFQIKAAEYKWTIERMTMVPYLWIKDQVARGCSRPSILLRLL QKGHYESGSHQEQVLVWTNAEFVMGGSDTTVSAVSSLFVAMALYPEVQRKAREELDRV VGPTTLATFEHRSQLPFIDALVKEVFRWHPASPLGAPHITQEDQIWDGYLLPKGALLL PNIWTFTHDPSVYHDPMVFKPERFLEGKDSPPETDPMKFVFGFGRRICPGRFVTDEKL FLIACHAVSCFFISPKDPGAPEPDWLPGVISQPGTFDLNVVPRSPAHEELIRSIETDH PWKNADATDISRFMARNQMI AOR_1_60014 MGRNWFQVTAMAVVPVVGIMAAVNPTILSSAASSLPSLGAMFTS DDFASQMDGRIQAQGLLSSHFGMYGWPGQSFDYVIVGGGTAGLAMARRLSQDGTASVA VIEAGGFYETDAGNATEVPMYLFNYFFDNGKVKNPLFDWYQYTTPQPGLAQREMFYMQ GKTLGGSTARGAMLYHRGSKGAYDMWADHVGDDSYRWDKWLPYFQKSVHFSGPETNPR PANATALNDNTAFTASGGPVHVGYPFQVNAISSWVDKALAKMGFPEAQGFSNGNLLGR SYITHTINPYTRRRETASSSYLREALMESNNLNIFTRTLVKRVLFDDQNRATGVTVST DGFEWQIGAKKEVILSAGVMRSPQLLMVSGIGPKEHLDQLGIPVRSDLSGVGQNMQDT IILGPTVPVKVESHSQLMGNKETLPRAIREYNEQRKGLLTNPGQDYFAFEKHQPGMLK ESTAADIDAAFPDDWPTFSYIALDDTFVPQYDGKNYFSMSAALMTPFSRGTVTINSND TANPPIVDPQWLADPRDQEMAVAAFRRCREIVASDVMREVVAGPEILPGPQYQTDEEI LNYIAETSDAYYAGVGTCAMGKADDSKAVVDSKARVLGVKGLRVVDASIFPFAIDGQP MGTVYALAEKIAAEMMAEQ AOR_1_62014 MTNTASRELIRAIEHVPLTWWFLAVGGAWIVSKIVKILQTAYFS PLRKVPGPWYARLTSARLAWASFANNRIYYVQSLHEKYGPIVLIGPEEVDIADPVAAK QIHRMGSGFVKAPFYKLLSPGPVDNIFNFRDAKLHSTRRKLYAKGFTLNSLRQQWEPT IRNIVALTVERIRHDAQQGEAEILGWWTLMANETVCKLTFNGGHDTVRNGTKDPFVLM LERRMGDLAHLLQHFAPPLYYLGRLLGRAEPRLHDVFFSQETMFEAGKHVVAIARSAR DAEGDRNLFVKALAAGDLESKIGCLNDTEIITDAGALLLAGSDPTALSLTYLIWCVLN RPKLQADLESEVAGLQGDITDAACADLPTLNAVICESLRLYGPAPGSMPRSPPPDGAT LCGYYIPPSAVVVTQNWSLHGNPKVWKDPHTFDHTRWLPGSSLSEEAKMSFNPFGQGA RQCLGIHLGWMQLRLATALFFRRCPGAKLAPSTTPESMVMIDSFIAGMPKARRCAIQL AOR_1_64014 MDPANRPLRVVTIGTGISGILMAYQIQKQCPNVEHVLYEKNADV GGTWLENRYPMAGCDVPSHAYTYPFAPNPDWPRYFSYASDIWNYLDRVCKFFDLRRYM VFHTEVVGCYWNEDRGEWTVRLRQHASGSEPREFEDHCHVLVHASGVFNNPQWPQIPG LHDRFQGRVLHTARWPDDYQESQWKSDRVAVIGSGASSIQTVPGMQPTVKHLDVFVRT GVWFGVLAGNTGSQTKEYSPTERDEFRRNPAALVAHAKAIEDQVNGMWGAFYTGSKGQ AMGSAFFRQRTANLIKDERLREGLDPPFAFGCRRITPGDPYMESIQKENVHVHFTPVV SCTEKGVVGGDGVERQVDTVVCATGFDASYRPRFPIVGRDGVDLREKWKECPNSYLGL AVPEMPNFFTFIGPTWPIQNGSVIGPLQAVSKYVVQWIKKAQNENLRSFVPRQDRTDQ FNDHVQEWVKHTVWKDNCRSWYKNNETGRVNAIWPGSSLHYQQVIDQPRYEDFDIRSF HENPWACLGMGWTIQDRKGPKEADVSPHLGLQEIDPKWYEEVGGNPRILQEQVEGYGS PIPLYGRKESKSWSAIAAKWIPVVLAGWALVRFT AOR_1_1526014 MGSHAPAVAGKPDPKKGPYQATPWNIQLSATDTPGFTHVEKLEQ RSADRASDLVMNNHSKFHTFHDEIVGFHNHISHHVLTLWALGATPDEMQAAYDFNKPF QLLTYYNNPSVNIKLQDPEFFRQGLGNFELYGDYVRFFQAQVAAKGVHTVLNEYLFKG DSLAEDLLARLFSGFLHPLINLGFALEFQQPFLAAECLASTCMHPPYPAEFLTATEQH VECNGRPRSLPILSTVEMMRLDPVVATAVGPKDGNNRIADALLKRALKELIPHLSHFQ VERTEEDLARKTAEILQASAYICGAAQHPRKVEALDFVMLHSLTAAVFFPTIIRQEWI SIETRARLLEWKGRSDLITYAALGCPQLYPDRITGYRPKEVATGWPDVVQHARVYQDD GHACKVIRALMCAEKVCQPFEGEEGFPLKKADFLTLADMTMDSVERMLDPNWVRQTEK VKQMSAQGRGQHSQVSAIMLRWRFAVLYFYAYEYSESEIQNLPPRAELNHGLKSPNKN MTGRLEQPIRLSSSSTPSEEYTIVMRRYAILGATGNTGQALLNVLLQSPDNQIHAYCR SASKLNRLHPEITQHRQVKVWEGSLEDVSLLSECIRGTRAVFMVVAIPDNMPYCTIAQ DCTNAVLNALKRLQAEGCQSLPKLIVLSSASLEDSLCADVPPLIHRILNIAAGNLYSD LAEAEKTLRAEKHWVSTTFVKPGGLVHDVQRGHTLSTTTAKTPVSFLDVAAGMVEIAN VDDKRYDMMNVSVNAIGDGTAFPWKGVYYMMTGLLFHFFPWTYKYFGDCPMARPKKDI AOR_1_70014 MVAGGGVVSSSGMDAYRALPNNTNSNWFKDKGLRRLNFGLMLMF ASAAANGYDGALMNGLLTLPMFAKNVGENISSNMEGLIISGISLGGMFTFIPASYFAD YFGRKMSVALGSAIMIVASVIQAATVGRWAFFGTRIAMGIGLGFAQTAAPPLTTEIAH PRHRGVVTAIFQATWYWGAILAAAVCLGTFYVEGSTWSWRIPCLLQCFFPAVQLVGLL IVPESPRWLVSKDRRDEALQILARYHGNCDTSDPLVQFEFSEICSAIELENSVAHKSG WSAFIATKGARHRLAICLLVGVMIQWAGNGVISYYLAPILRSVGITNPTQQSAINLGL QAWNAVCAAGGAVAAEKYGRRPLWMLSTVLMLLFFTLATVLSAVFDESAIKAAGSAVV AFLYLFYGAYDIAYTPLSIAYPVEIMPFYLRTKGLSLSLTAQFGAGFFNQFVNPIALG AIKWKFYFVYLGLLVIFLGIIYFVFPETKGHTLEEIAVIFDGPGAETEVQRDLAAIIA VEREVKAPMKEELEHAP AOR_1_72014 MATQHKTQSSPVNAAWWKEASVYQIYPASFKDSNGDGIGDIPGI ISELDYLKALGIDLVWLSPILQSPQVDMGYDVSDYYRIHPPYGTVEDVDALIQGLHQR GMRYVMDLVVNHTSDQHEWFKQSRSSSDNEYRDWYIWKKPKYDANGVRQPPNNWGAYF GGSAWQYDETTDEYYLHLFAPEQPDLNWENPKVRSAVHQIMRYWLDKGVSGFRMDVIN MISKDQSFPDAPITDPKAQWQHGAMHYCCGPRLHEYLQELGRVLKEYDAFSVGEMPNV YDPVEIGKAVGFDRGELAMAFQFEIMDIDHGPAGKFSPHKYRMSDLKHIVSKWQDFMY ENEGWNALYLENHDQGRTISRFTSAGPEYRATAGKMLATFLGLQGGTPFVYQGQEIGL VNVPETWGIEQFRDIETLNYWNEITAAYPNDTELHKVTSQQFRVKSRDNGRTPMQWTS GKFAGFTAAHNGPWIDVHDDYEDWNAASQVGNPNSVFQHWAKVLALRKAHKRLFVYGS YKLIDEANNDLFTYLRVYGSQSALVLANFTGKEVSWVVPAETISILKNGAVLLESYQR HRPVRPDGTIAVMPFESFVMFLDSPNARVGAGGGKL AOR_1_74014 MVRRRACDGCSLRKTRCSGGQPCQPCVQSGFECSYLKPAAKPGP KGPRAETYMRINRRLQSIRDRAPRGATETASPANVRAGLAEAADSSRAIPAFEVAGDE QMPFLTEWPSQLPLADVLGHLEAYEHRMYPVWPVVDVARLRNSLMLDVNNDELRSLAF AVCAATCAQLQCHPDNQRTQMFRVGKCSLADHFAKESEYCRSMYDYRESGTFEAVLGP LFLHFYFGATNKMSTASLLLRESVTLCQLQGLDREDTYQDMAVEEETYRRRAFWLLYV TERGHAIQHGINTCLKGSIRLPTRDCANECHLVEAFDSLVGLFISVEGVLLEPGGSPR GPNTIMCSKDMLCRLQSQLRQRLQWPTAYHALQRTDIAITQQWLRVLLWQLSLKNIFL SSASADDCMRLTYPVHVARDAIVLISNVPQDTFMAHGPGMAIKLFEITSTLLDVIHCV PSLVHRNPAGTYDILHHLCYLLSSLSHRPFGLELLQKKLDESGIPYRRIVSLSPAERD DSPVIEPLNDEELDSW AOR_1_76014 MTPAVDAHKIFPITTFISDLPSNLTPQLFSSSAENEPRSAGRRL VIVGDVHGMKKSLDALLEKVCFDKGKGDHLIFVGDLVNKGPDSPGVIDRAVELGASAV RGNHDNAVLDAAVEIKARGDNLMHAGGITSGSAKLPEDSGAELPSETVACDGPETSAS PNASPLTRHSATTYSTARALSTRHLDWLAGLPLILRIKLPYHLTSSLDDTLVVVHAGL TPGIPLEKQDPHAVMHMRSLTHAPGDERTLIPAEASGEEGWVAQWDQWQDQLTTRTTV IFGHDAKRRLQLGRHTIGLDSACLYGHHLSALVIESTDRGIEHRIVQVECADTPVVPK A AOR_1_78014 MAGLQLNVDWKSNRRAIAFCLVAAIGALCYGYDTIYYTGVQGMA WFAKDYGEEGSDGSFALGTTFLSLSASIIYVGELVGAIAAAPINDLFGRRAVFLSASI CIIVGAVVQACSFGSHPVFYVSRVLIGLGVGQFTATCLIYIGEVAPSAIRGPALMCFQ FMQSISQLVGACVNQGTQSIQSSQSYRIPMCLLVVLPGIMLLCLPFTPESPVWYMYKG KREQAIKSLRKINHSNRDYDPSADIQAIDEAVQQEREMAKDATWASLITDPVERRKLF YACGVMFVQQINGIQFWYTYGVVFAQSIGVADPFTINTIIYVLQIITVGVSVVFGNRM KRRTNLLVCSCGMFVSLLTVGGLGTTKAADGTLSRGIGIGIVVLAYVNIIFYNFSIGT LSYSIASEMSVGRNRNKITSCAMGVFFVTVWLMVFTSPYMYYTANLGPMIGFVYGGTS LFLLAYSWFCVGETAGRSNADIERLFQDRVPVREWATYVIPSDDGEDLKKKGTNDEHI EMA AOR_1_1530014 MQEKELDAVFALIDYIAAVLARLLCQMAGKKYWWNNVVAFLAQR VTKLKVLMLDMHANTIISCQAGEAALDRTDLSSRLSSGILDEEECEEVLRMIDADAKQ GLRTTANTDVARYCVEQNRFRSGINLTFRYLLMALRFQNRLGLQGTSFEICGMVYATG FEDFKALLFQDLDLEYSASSDQDTLNTAYPAFEILNQTLANVKQVKSNPLRADDYLPS DLVQCKSNYAIMATMDDIITVMIPLLLTSPLAVANLTKFRTMVLLTCNAEGIVQVYKD IDYNAFFEMHTSTFKEDSKDWNAMRLSEAVDGRLFSRRTLISDGKYFEKPEWFAQRMV SLPHEMSKWVIDERSIMVPCGAQVCYRVLVAFLIAGGGFCIMAVGERIAGVDPSNLSS YLWLVAGFYLLVCKSRYVEEWPWKDFLHFRVRCRSVSELHAITGINKQFIMAKLLHDD SGGSMLKTRGPYNKVFLQRDANDGFSIDCPLEVRTLLLSGLIMLKVATSRGHALVCLD ARRRTELKVVEHRGNQEQEHLVCEDINRLYKLRTQSGSQGNTRLQLVRSKELKWKRVQ GVYRQTYADFI AOR_1_1532014 MASMGKVKQAVKELVSRGKINYVRASQGKKPLTTSPNYVFLGPP GTGKTTAATLFGQILADLRYVESRKVVLRKPTDFLSKSIGGPEKKTKEILNETEGKVL IINEAHSFYHGTEYGTDDSDSYRKGIIDTIVANVDKEPGGGNRCIILMGYPDRMKEFY RNTNPGFQRRFPLEDAFVFENYDDGVLSQIMDIMLAHDQATATNGAKAVGMGLLRRQR DLPSFGNGGAIRNLLSSAYIRYNKRIEAEEGQGTDKLTPPSSNDDRAQIMLQPQDFDP QYDRGLRNSQDLRSLFHNLVGFETIIGTFEGYQTMTANMNTPGLNPRKEVPFSFIFKG PPGSGKTTTARALGKMYYSMGFLCTTEIMDCSVTDLIGTYVGQTGPKVKNLLEEALGK VLFIDEAYRLGILRDAFTREAVGELVDCMTKERYMNKLVIVLAGYERSMDQLLSTNEG LRSRFTEIVFPRLRPKDCLRLLQAKLLDKKINILRPRAVHVQQRVLVLFKKLGETASW ADARDVGAIAKEITLQLFMNPLPAGQPMEITLEEIARILKRFYRDRRSKKEEEEGGDS SES AOR_1_80014 MRLLALLAVVTPLVLALPADKRESGCVALNNCPAKRSILEGISE KEAGCIVLNNCPEKREFLVGLSEREAGCIILGTCPEKRESGCVALNNCPGKREEVAGL SERETGCIILGTCPEKRELFNGLSEREAGCIILNTCPEKRAALVTRESGCVALNNCPL KN AOR_1_82014 MKITAQSADGLADQEYQVLEAGFAEGPDGSGLAVIFQRDLLAEG PWNGDRETDDYFNNSYCVTLGSGETVYGGLEQVAFSGKRATFDFAESMAGILGIEPQF IVDFEVSDQELQLFQETLKKIVTWGVPSQVPQLNGFS AOR_1_1534014 MPSETDTVETSPTYVHQGKEFGLKTRDDQSPERGNAGFGSVEGF NKVLYHTGLTGRLLLVTIIISLGLTMFVYAMDEGVTQQFTMIAASSFHMHAQLGAVNT ASTVINGISKPVIGKLADVLSRPTSYIISLLFYVVGYAVAASCTNFVAYTVGVALTAV GKAGLNILCQIIVGDLTTLQWRGFWTSMIIAPYLVTTFTNGFVVDAFVPDEWRWGLGM FAIMVPVLLTPAIIALYGTQQRARRMGIMGSSSAEKGEQTTLYTAWQCLVAIDIPGLV LLGFSFSLILLPLSLAESAENGWNNRSMIAMEATGFAILVLFVVFEIYLAPKPMMTKR IIANKVFLAALGANLFDQMTTTLGSNYFSSYIYIIKGWNNYTWTVFTGARNLAITIFS LVGGFLQVRYHRYKTQMIIGAVLKVVGYATCFTSNQRSTQSTAALAISQVLLGTSALT ALGSRIGAMASVPHEDMASIIAAYFLWTYLGSAAGYAIASAIWTDKMLGFMRDELPDT PDSTLKKIYGSVDILRTQYDLDDPIREGAIRAYTRTNGIIFIVAAAISTLSILCSFLM PGNSLFLFPLFTTGFMLTFLSS AOR_1_86014 MGNDKISAYYAPTGGLPPQTQLLTDRAMFTEAYAVIPKGTFSDI VTSFLPFWEQTRLWVIARPLSGFAETFSQYIMEVQPGGGSDRAELDEGAEGVIFVVEG EVSITLGGETHRLTEGGYAYLPPKSGWTLRNTGVATARFHWIRKAYEAVAGLDAPDPL FLNERDIVPTAMPNTNNAWATTRFVDPTDLRHDMHVTIVTFEPGGVIPFAETHVMEHG LYVLEGKAVYRLNQDWVEVEAGDFMWLRAFCPQACYAGGPGKFRYLLYKDVNRHMKLS R AOR_1_88014 MAIQTNTKGNPRDSMLSTWRDGDRSKWTPSHWLLDLLSTRLTSN DRNVPVYSKEEKIPFVREWSVHLWILSHALIPHLLHQAYMAYTGRTLHPIAVFWLYTT TFYGTGIHLMQTIRRLGWTYGFLNGDKHQRDDIPDVGVRRVAAELLSVPTLRLAMSVY LSYRPQELPLSLSWGWLALKIGLYSITVDFWFYWYHRLMHSVPWLWKFHRTHHLTKHP HPLLGAYADHEQEFMDILGIPLLAYGTMKLMGFPMSFYEWYICYQYVVFSEIIGHSGL RMHGGTPSTINWLLQMFDAELVIEDHDLHHRYGWRKSHNYGKQTRVWDRVFGTCRERI EGHKDNIDYVNRVTFPLF AOR_1_90014 MKTSTVIGFLAMLSSQALALELEGTYTVASAGTELYLEDASGQI IFEEGDPQAWFFIEAETDRYAIVNGVTNQYIHCGSTEGAICEASDVAQLFQIDNISDN VYTFLEPESQLLLHRTTDNQLDLSLPTPTNDESFELTQASS AOR_1_92014 MPSMNYQVLITGEQDTKIAQKRRNIFLRPFLLFWLNSLFAEIIF LAVGVFIMTGTRDLLYKVLWTLVFCPLGMGGAMGGLINCFIVDHYYGKRAAHFTGILS LLILSSCNYLCYNLDRHFGWFGATEHPLWFHWRYPMIWLVGYVNGLLLFTDRGQGRLA KLGL AOR_1_1536014 MNTPTTIPHFLTLQRKDFFPPPESPRGTISLSAGPRTTSYRAPE YPDCITLLELGSAALDGHYGILHGGIAGATLDETLGLTASLHLAPRSQQGLLGFTATL NVTYRTPVFTPSTVAVRSWVEAREGRKWVCKGQIVDADGVVLTEAEVLMLTKVQKSTL AOR_1_94014 MVSPPGLLGLNVPAPNVSYFTPKHRQSPGAPVNIDASTPTLFTP LKIRDVTLRNRITVAPMCQFSTAPEGPSIGALTDYHIATLGHFALKGAALVFVEATGV QPNGRISPYCPGLWDDAQIPALKRVFDFVKSQGALAGIQLAHAGRKSSTAAPWVAGST ELRKASLRAGEDQYGWPDDVVGPSGGIEQTWDGLGLREEGGYWPPRALTGDEIKELVG DWAKAAKRAVQAGADVIEIHAAHGYLIHQFLSPVSNHRTDSYGGSFENRTRLLLEIIE SVRKEMPAGMPLFLRVSSTEWLESTEIGTKYGTWTVEDTIRLAKLLPEAGVDLLDVSS GGNHPMQTVNSFLTKDYQTKIAARIRKELKQSGVNLLIGAVGMITEAEQARDLVEADK LLSQEAKDAADVTDAKQGSEPSADVLLIGRQFLREPEWVLRVAWKLGVDVAWPSQYLR VRFPRL AOR_1_96014 MPQLPPYQYTGPVDCTIPPDPSKLQGKSVIVTGGANGMGETTVR QFAAAGAFVTIADVNIERGEQVAQELAPNAQFVKCNITSWEEQVSVFEAAIANSPSKS CDIVIANAGISRASGDSLWPLDDINDAPVKPDLKIVDVNLTGTLYTWKLAVHYFRKQP DTEERDRCFIITGSMVAWIDSPANWQYTCSKYALRGLMRTARRNSWEQGIRINYVAPC YIKSAIRSPTYEAELISKGVEFAPQEDVAKCFMRIATDRSINGHSLMITPASVAKEGF MDIDMDDYTEGYFKRTQDVQLRIIEDQWVEGWEKGRTAEGGKKP AOR_1_98014 MTKIAIPTTPPSRSLQGKTAIVTGAGCLGDGIGNGRAIAILLAS DGCNVLCVDRNLEWAERTVNMIKAQAEDALVEGKSVYGNATAMQADVTNPGDCESIVS TALTTFNRLDILVNNVGISGAAGTAVDVDMEEWAKSLEVNVSSMVFVSKYAIPAMMQN ERDEYSGMRGSIVNMGSVAGLRGGTPHLLYPTSKGAVVQLTRAMAAHHAPDGIRVNCM LFTPMMYGGGMSEEAREARRKRSLLQTEGNGWDCATAVVFLAGPHARWMTGVILPVDA GTTAAVGIGMPKSASVNG AOR_1_100014 MSISATMHAWRKHKGNPVPVWEEVPVPSVPPTGLLVKLLASGVC HSDQALLDVEDRPHFNDVYILGHEGCGEIIAIGSEVTDNRFEIGGKVALLAVPGCGLD TCSECSRDLSQLCPSGMHHGIGQDGFYAEYVGIDGRGAVPLPDGVPPEVGAIATDAVT TAYHGIIRRAQVQSHESVFLFGLGGLGFNALQIVYKHIGARVIVSDLRPEKLAAAKSL GIPDSDIVPPGTSVPVYVAERGVKIDTVLDFVGKHQTFADAQKIVRPGGKVLCIGTLD RVNELDMKNGIRKRLSFVFSYGGQYRDLVDVLNLISQGVINPRVKTGRLEEFPRVLRE LCQGEVEDRIALVP AOR_1_102014 MAISTEQLAANGHTNGHTNGTATPLDSSSTSEKPVHPRSVPLNR EYGYTPRKLRIITIGAGFSGLLMAHKIQHRFKELEEYVTHTIFEMRKDIGGTWLVNDY PGVQCDVPAHIYAFPFDPNPNWTKFYASGPEIQAYIKNTVAKWNLDRDVQLNTRVVGA RWNENDGVWKVTVERDGVQRDEFAEILISGQGVLCHPSWPTIPGLRQFKGKVVHSAEW DHGFDYSHKRIAVIGNGSSGIQITPQMANLPGTEVVNFVRGGGWIYYRIPPSRHLGRT TDEVNPTYTEEEKTRFQDPEYHHQYRKGIIDRTNKAYKLFLKGKNNEEAVRFGTEQMA AKLNHDPELCRILIPKWEVGCRRVTPGPGYLEAFSKPNCNLTDSPITHISENAVHTTD GNVFECDVVICATGFDVSHRPRFPLIGQNGANLAEKWADEPESYLSVATAGFPNYFIF SGPNSLGGHGSLVEALNWTGDYFVKWIKKIATEDIKSVVPKKSAEEAFVRYGDEVHKT IVWTGSCKSWYKRNKANGRVTALFGGSALLFNRLISELRPEDFEIEYHSANNFRFLGN GFLEYEMDPEQDLSWYVELPEPLKQ AOR_1_104014 MVTSTPWLQFTGHQPKRKRAELACIICHSKKIRCDLQVRSRQGL RTCTNCETASKECRTRPSKRRTRRTRDPLSPLNSGSIEQAQPVVEDSAKDTTDENVTL PEPQWAWSFDNPSPLPEDSTQLTHAHLNPPVDHPGGNLSCSPATCRTNDTDTRRDFSS RYYELEIQADARNQEQRLLAQRQPDVPHLPSPDLQQSFIETYLEYCSPWCPVLDRDQL EIDELSQSPLLVNALAIVGSHIQPPVLPHDGPAAYYERARNLFYNDAEPDVVRSLQAV SLFYWWSPRPPTILHRHSSWWWTSCVVRHCQQLGVHHQPSLGPGPASSQHNQPANHRS HLIRRRIWWTAFARERLTSICQGRPSIIDEADCDITEPTLDDFPDALIDHRARVRAEI FIHWVRLCAVIGRIAKYLTRPASAINTRFPTHLAQELIAWVQSLPPHLQLPINADRTT TFNRDVHQMHLPYLAVIIMLHLKLPYQPHNSAAYPPAILAASCVARILRDTLARGGTR FLMAITGSYCGMAFIALLQACRVDGLAAAANEDLDILTLAVDQLRSMWPTAQIFHDGF QRLRPSAAGAEALLSLSNSGPSAPVGLASTVPNVELAITEVPVGPGTGNGLANNLLEG LNWMDYFPFATAQTSGVAERLLVPRTGEMTFEEFPESMVQFQDLFADCNFPDINLFT AOR_1_106014 MGSIGSTPYTHNNGHLDYDVLIIGAGLSGIYTLHQMNTFGLRAK VLEAASGPGGTWFWNRYPGARFDSESYSYGFSWSQEVLDEWSWSEHFAAQPETLRYCE FLVDKFNLRPGMQFNTRVKAAHYQEDTKSWLLTDERGQQYSSRWLVTCMGILNEYTLP NIPGVHDFAGQAIHTARWPHSPVSFEGKRVGIIGTGATGIQTIQEVAKTAGHLTVFQR TPNWSAPLNNGPITTEEMEEIRKQYPEIFKKCKESYSCFIHKSNPASVFSVSEEERER FWNELYETRGFQKWLSNYYDIGTDKRANALYSEFIANKIRERVKDPKTAELLIPKCHG FGTKRVPLESGYFESFNRPNVSLVDVKSDPIERITASGIKTRDNAYDLDILIYATGFD AVTGAFAAIDFQGVGGVKLSKRWSEGPRTFLGLFVESFPNMLMVMGPHQMFGNFPRSI EYASHWVAEFIRWASEQGVSSAECTREKVEEWTEHVHACAEGLLANEVDSWMTGVNKN LAHKQKRIIARYNGPAPGYRARADDVAARGFEDLVIT AOR_1_108014 MAQDRLIEIPSGASITVKLINPVNFGPSHLTRFMAPQVPGLDTF ARNPAFSFLIEHSSGRKLVFDLGIRKDWENYAPKIAEYIPTTGYKIEVTQHVADILEE HGVKAKDVEAVIWSHWHWDHIGDPSTFPPSTDLIVGPGFRDAMLPGYPANPDSPIRET DYANRTLREIPFTGPTTLHIGQFPAYDYFGDGSFYLLDSPGHAIGHLCGLARTTTAPD TFILMGGDIAHYTGIFRPSKHLPLPDSIQPHPIFPSCEAAFCPGSAWEELQSSRGRKV TDSLFEPTFGHDIPLAIETISKLQEIDCDEDVLVITAHDFAVRDGVDHFPAALNDWKE KGWGRKLRWAFLRELEAYWKAKGLVE AOR_1_110014 MDSPPQDSLSEGKPVADHIEASPQKTEKIDTTRTDEAMKVLAHY TGEQSWEPSEEKRLVRKIDWRLLPVLCMTYGLQYYDKAMLSQAAIFGLRQDLGLIVGN RYSMSAAIFYLGFIVGAYPTMFLAQRYPIHHVASGIVTLWGICLILTSVCHNYQSLYA QRFFLGLLESGISPMFMMIVGGWYKKNEQALRMGIWYSCTGYVSIFSPLINYGLGHIK GSLSSWKYMYLFAGALTIFWGVCLEFLLPSDPVSARGFNERERYISVARMRTNNSGVR NTHFKMGQVVELALDIKFWLIFFTAFLAMIANAPVSTFTPIIINSFGFSTLESLLLVI PAGFYGGTMMLILPWLGYKYTSKGIRSWLVIGGQIVTTVACLLLLLLPLNETGGLLFA CYILPTMGAGYAVLMGLQIGNIAGYTKRSLSSSGLYIGYCLGNFVGPLCFREQDSPRY VPGFIVTVVTSVIAAVLVFVYRFVCLYDNRRRDATGVLEGFENAYQDDLTDKTVRHPT GGLLEAPSRSDAAEFGAPSTSPATALHWMGWAYRNASTLMSQVLWLMGNFQKAPGGLK IVKAFGICTTVLPFTIDTQARFGDELEERFGSWSRHLFSLVSAFNCFFLTMLAILLLL VGTIQVHAGWVLILHYIIFSVVVTVISFGARLPRDQPTGVNTVKDIMKGIAMGCYMST ALVIPALIVFLQEPDSPGSDVITYIRCEPLALWRNFIAIFP AOR_1_1538014 MLESSASTYVVLKVYVTGQARAHVRELRIYKQMNEVETNYPGRN FIRKLLDHFDIEGPHGRHVCLVHEPHGTSADFLVKMFPGHAMTLDDMKPGIRQLLIAL DFLHSGCHIIHTDLQLKNLLLPGPETSYLSRFEEAEVADPSPRKALKDRTIYKSLGFP PKGGLPILADFGEARLGDQEHNEDIMPNVYRAPEVILRSNWGYKVDIWSVAMVAWDIV SSRTLINGRNPDGIFDDRVHMAELIALLGPPPPEFRKQRHLSSAFWDESGNWKEVAPI PDVTLKSLAERVKGEDKEGFLRWLRMALQWSPEDRPTALELLYDEWLMKGLGE AOR_1_112014 MAGNFYESAEAVRLDGPVLFARLRNVDGGWEDAEIDLNEFIGNV DGQFVWDEPNFFETAAEVEFNFEGDDNVPILRAQLRDREGQWVPADINLGERLINING HFEFQY AOR_1_1540014 MGSTREKPIARLPLKQDNCKEPDWRWVLRITVTSETGSLRRIAR RADSQPYYDDSNVFMRPFRYVGILSALARIAVADEQTECNPLNATCPADPALGTEHTW WFNSTLDEKLWNMTTGVPTYTSEGAEFSIKTENGSTLLQSNFYIFFGVMEAHVKMAKG AGIISSVILQSDDLDEIDWEWVGYNTSQVQSDFFGKGNTTTSDRGGYHAVANADTEFH NYTSYWDKDRLEWWIDNELVRTVNYSEPLTVYGKNYPQTPCRVKVSNWPVGIASQSIG NIEWGGGLVNWTNLPFTMTVQRIRVQDFHSGKEYTYSGNSGSYDSINVVSGNSTAKTE INKKPAKTLAQKWDDLGKAAHIGVYCGAAAAGVLIVAGIALWCVRQRRKGRLERGLAE GPPSTAKPIEMEDYKNRWRQSDWGHRGYQAVDQ AOR_1_116014 MDEKTSLGATAHNETLTQATKSGLADPADRRESFALNLVENPLT RSSPEQAVLDARAFAESHQMAEHADLFGRAALVARDPQRFEMITELSEDERAALIYER DHKWHGPFMLWYSIALCAVGAATQGWDQTGSNGANLSFPQEFGLVGTARAEWIVGVIN AIIFLTAGLIGAFIVDPLNHYLGRRGEIFVTACCLTATPIGSAFAKSWQGLFAARFVM GIGIGAKNATVPIYSAEMAPARIRGALVMFWQLWVVAGIFLGFCANVIVKDTGDISWR LQLGSAFIPSFILGAGIYFCPESPRWLMKHGKYASGFKSMLRLRAHPIIAARDFYYSW IIYEEELKEARGAGYFARMWDCFAVPRIRRANYGASTVMLAQQMCGINIISFYSSTIF EDVGYTSEQALYASLGYGAIQVVSTIPTLFLIDTKGRRTLTLATFPLMCIFLLAGGLS LLKDDGSRGEQIGPVVLFVYLFTICYSLGEGPVAFQYSAEVFPTIQREQGMAWAVCIN NTFAGVLSLTFPRMRTVMTPTGAFGFYAGLNLIAWFMIFCFVRETKQLTLEELDQVFS VPTKDFIHHELTVWLPYFIKRHIFRRNIEKPPPIIAAADGPVGSRAA AOR_1_118014 MRIITTLALLAIAINWAVALDSTELFHFSTSVDIENSALRPNGS LLLTTFDQGRLYTLDPSVPNAEAELVAALPGATALCGIAAIDTDKFAVIGGIRGNYSY TNETIYTVDFNANPTNPTVEVVSQIPNAIMLNGMAALPAHPHVVLAGDARLGAVFRID TDTGNVGIAFKDPLLTAPTNASTPIGVNGLKIAGDYMYFTNTAREIFARVPIDGFGQK TGDIEVIVALNDAESYNWDDFVVLEDLNVAYLAQPDNAIAQVSLDGEQKIIVGGGDDG TTLVGTTSLAITQDGKTLYATTRGGTVDGSVYGGQVVRVQL AOR_1_120014 MSSDLYKSLPLEPNATRMVRLLPDKETNAEIECELFTYDLTETE GGKHLYEALSYVWSGDEEGSAEKHKEIILHDHTIPITANLHAALVNLRDHQLERVLWV DAICINQDDMDEKNQQIPLMRTIYAQADRVIIWLGEAFEDGDKALEIIRTLAEKKFMS GNDSATKLLESSSELCLKLLRRKWFRRIWTAKGPLAANTAAGDVPTNDSNIWESVVPS NTNNKT AOR_1_1542014 MAFIHHSNASRFSIQAQTEAAVCMKNLSNWTPAVAEIRTWPEYT PQPLRTLPGLAQKLGVNQVFVKDESKRFGAYFGSFKAIGAPYAVYKILADESYTKTGV RPSPVELRTFKYRDITKSVTVCVASDGDQGRGLAYGAQLFGCRCAVYIHSHVSEGRAD ITKELGAVVIRVYGEYKASVSRAKEDARMNNWFFVSSTSWPDFDNDIPQHVMNA AOR_1_1544014 MEHVTDSGIHGLFVTFYFFFTHYLFSSLTILAMSSIQEGQDNQS GCDSFEEASRLLAEFKDAGNCVAQEYCHHVELIEAALAAHTKRTMLSDRLDVPTNAAL PTC AOR_1_124014 MSSACTHSFICYTSSPHAKTAGFKRPDTGERLAAAEPTEALLPE EEYTFDNPAMFPPPLVFPGDDLAEDPEYPPQNYRQWFRDEDRNPVTGKRRTVYVVPAP LVGDEVSFMKSWSIPRGVGETPRAHTPKLLDIRDYLAAFYRGLPVKALTQSPLEFMSW EELKKSKKSQRDPQYVGLRIGDECVGIRTRACPDGIYSRQLNLDDLLDAAISMLPKDA YALLLLTEHDLYEDDEDTFVCGRAYGGSRVAVVSNARYNPDLDALQSVDRLHAWPLSH CAEYMSTCCSTSEPKTKRQKKSQSAKANANEEPSGQNEPIPLPLDMAFSVARSLTSLD WTQESLAALWLGRMCRTASHELGHCFGIDHCVYYACCMQGTGSIQEDARQPPYICPVD QAKLLRATGTTEAQRDSALLSFCDRSELRETQFFAPFAAWLRAKSN AOR_1_126014 MKLLLLTLSAALASALSQDIPWSPPGPGDVRSPCPVLNALANHN ILQHDGKDITQQDTISAMDALHVDEELSNTLFAAALKTNLTPNATTFSLDDLDHHNII EHDGSLSRGDFYFGDNHSFNQTLFDQVKSYWTEPLIDLHLGAKARLAGVNRSKATNPT FDLSGFRLRFSYAQTATYILVFGDKVSGTVNKTWIEYLFEKERLPVELGWEKQETPIS TSDLDSMIERVMQATKEIENSQEM AOR_1_128014 MGLIFSARTIRNKTEFDRVRTPSFGALERGQRRPPPLRRPERSH SFPQNHDRFARFNSNTSGPPSRTGPSGLYTMSTKTSEMQFRLSAVLAVLVRGVQLHHI STFAIQTPRSSFLPATPNTALPLSLTDAPAPGEVSQPVNLAPGPASTTSPLTSFTTTA TTSSRIHYNERLVNYYFSNFHPAHPILLPHSLYVTQGYPDYLQAVVQFVGSHYASTPV DWQTREETVQAIMSSNSPQTPEMVQARLICALAIHARYELMDSFTIFQAATDLAIQLG MDCATFAVQHGNQNHMLEESLRRTWWELVFSEGILSGLYRHQRFTRATYFSDVLLPCE ETDYENLTIPDPSNLVSLTQFDKRIFNPNSVEFSSYSYRIEAVRIFVNVLTLTEPDSN MRPSQVHAVDHAIAGWIHHLPDGKGDILQPDGKGDEMLFQAYMIVQYASMFLHFPRSD LISMLPNPDIACAQNEPHVSPTTSQRLHGLKAIVASKWIFNLAALRLPVVKHTPLFIC ALLSAAIVQLSALSKKSQDENIDVTECYDSMYLAIGVLKSFSKHWPLGQSALQELQKV ASEVLKVQTTTHTLPEDDGWTCNIDFEGVQGLLHINRDMGS AOR_1_130014 MGRFSGAASRLHRTLQLSGDTDQWRNRDLIPLPPDRTTWSSWDF LYLWSTVFFTTFGWQITSSLLGLGLNVWQSILCNIITKFLQTAVVFCVAWPGGVWHIG FTVNSRSVFGMWGSYVPVILRIFLCIIWYGVQAFTGGQLVAIILSTIFSGYHHMENTL PESAHMTTKQFVGYVIFNIISLGLLWVPPDKLKKPFKLIAAINLLVILGLAIGLIAGA RGGSLGTLQTSQRTDNLGWTFIHGFAVVFSGNAVGMASHSDFSRFARRPGAQVKGQLF SFLISGNVVPILGIFGTAAAAKMYGDVNELGLWNPPNILQMWLDNQYHNKAMRAAAFF VAFGLTSSIMAMNSIENGVSGGMDIAGLYPRYFNIRRGSYLLAAISVVINPWQIIANG AIFTNTLNSFGVILFPLMGTMVADYYVVRKQKLKLSDLYRADASSIYWFEGGFNWRAF TAWLVGFAPSVPGLAALNPHNTGIPIGLTYTFYLWPIAGFFASFVLHAGLCYLSPPAG IGKVDEQEFHDPMYSERSDEMQSQTITAMEKGQHR AOR_1_132014 MIAEIHSLAEYEAELAKPGLAVLDFYAPHCGPCHVIAPLYSKIS EDQSSVRFYKVNGVDEEGAEVQKKAEVTWWPTLVVYKDGKEIWRDRVPNPPSRKPLES LEYYLKTVVA AOR_1_134014 MQSVRIGVDVGGTNTDAVLIDLGQRETPSRGILSSHKAPTSPDV TTGIQEAINTVLRDSQVAPDNVASVTIGTTAFLNSVLEQDPRRLSKVAIIRLSKSFLR DVKPFSEWPAGLASLINGYVGYIDGGLHIDGSQEAPVVESQVVRECENIKALGLTTVV VAGVYSPIDEVFRQEETVREIITREIPGVDVVCSKEIANIGFLERENAAILNGAILRY ARQTIRRFHGAMKSLHLQCPLFLTQNDGTIIDATTAAKTPIRTFNSGATNSMRGAAYL AGEDLANKSTIVVDIGGTTTDVGVLLPSGLPRQASAYVKAAGIKVNYSMPHLYFVGLG GGSIVRDGQSGAKKGAVTVGPDSVGHRLLTEGLVFGGDVLTATDIAVAGGQCVVGNAE SVKHLASKLVSASQTRIKQILERSIDLMKTSPEPLPVLLVGGGAVLAPAELEGASKLI NPPFFDVANAVGAACAKVGGTVDKITSIANQSIKDAVEEAKKAAIERAIQAGAVEDSV FIAEVESMPIPYISNQLRTVVKAIGNLDTERSMGMFVDNDDGPVEEDTIDENPRTTEH LQVPEVIPVDHLTYRPNVVFNEKAGWHEWLLTETDLNYIADGAYVLGCGGGGSPDAGR IQLQEMLRQGYKIRCIDHSVLPDDALVYWGGRMGSPATTVERLQAHETVDAIGQLMHY MGHKSFDAVMGLEIGGSNGLEAFQWGSDRFYDRPVIDADFMGRANPMIWQTTMAVYRP GELTPCAIDSGDGRSVIMPRAGDDEMVDRVLRAALTEMGSLVGLSARPTNGAAVREFA ILNTVSLSWRIGRAIAQAAQYSTLLTVPEAIIAEAGGPQSAKVLFRGKISGIEQTVYK GHSYGELIITEVPAEDDDQATNQNCSPAVAQGGHIRIPFKNENIYAEHHAADGSKKII ASVPDLICILDKESGKPIGVPEYRYGYQVVVLGLACSPHWSKTERGLEIGGPKGYGYD FAYEPLGEYFEPRSVIDEFKEVN AOR_1_136014 MSFPEAIVEWVTWPFRTLEFRVILLGDYDCGKTTLLYQMKLGEL VNTVPTIGFNVETVEYPRKYRWTIWDMSNIVLFIHNCDPQQPEPIYDFHYFVDFVHKH GCKHMWILLNKQDTLPAESAPEIVDGLRKKYEKEMAKYDQLSWKILDHKLSAKTGEGV QEILHQLHSSANLLLRTRPKPQPQPRTEPEQDPEPNPAPEIAEDTVSSPRLSEPINRK ASQDSVNAQAFWNSFVTGDIPVWDHHAHLKVTYILVLDQPEKFGNREHR AOR_1_138014 MTVFWILQIQIAIRDYRMKKKLCSNPLWTDFYNVLFHTPSVMDP RLWSSYYNTKHLFSPRAWDSWVPPDRQPLPVLTSALDMPASLSMLQGDPARLIRFAFV FIRQCKHISESCDEDAVRQALATLQSTTIRLRASNKGIPPYSETQARFWLHMVRTCLQ SLELAQTRDKEILSSQLSFDDFVALFDLKPTSWKRYYSQRAWDSLTARVQFVPPDRRA LPNVINISTHRHEVDAIIKGIEKELLPPEMGSLEDVLLATCAESVEPPGKNEQRVDVD ACLSCCDDSLNYDKGEF AOR_1_1546014 MSTLRRRPEALLQQRGIRAKQRPCASIITEALVQKVLFNETTAT GVKVITGGGAHTTEAKKEVILSCGALNTPKILELSGIGNKEILDRYRISVVVDNSNVG ENLQDQLMTGGVQSTAFMPCLDENGARYEKHIKDLMDRFLSDTDDREPAIRGILEQPD SPTWAQFMFICQANLHETGYSLSRDSVHISSANVEDKPAVDTRYFLHPLDLDIMAYNL LDVEKLHKVEPLSQCPKLNGRSSPTSSLLTSTYGGVVDEKLRVHGTTNLRVCEASILP LITVGNIMSTVYAVAERAAEIIKADA AOR_1_140014 MSIHNHNKPWLRGAEEFRGQRLLPHVIDYHAHNEPNRVFNSMPR TQNIADGFRDVDMKTMATAVNFMAWWLDGHFKDVPKEKKVLAYVGVPDIRYPVLLFAA IKAGWTTFWVSPRNPPDHNLYLLLEAKVNLVLYADLMEPVVKGIQQLNSTAQIPYTVV PSVDEVLNGQSPPYPFDVLFADVKDERCLAMHTSGSTGLPKIVCYTHAAFACTDSDRN IPVPEGRRPQNAKQFDFSPPGRFYCCFPPFHLGGTMAFMVIPTFSTTATTVWGPSTMP PSGQLVSSITNQTTVRALYLPPSTIEQWWTCDPDARKKAEGLDFVLFGGGPLAPSVGQ KLSELTDLCQMYGSIESGQIQMLVPQPGEWQYLEPNPAEECDMQRVEEGSGLYELVLH QDEKFRGRRTLSHTFPDVKEWRTKDLFTPHPTKAGLWQFHSRTDDLIALGTSAKVFPV PMETALQGDPNIAGALVVGNARPAVVLIIEPVQSVSHENREEFVDKIWPSVVEANGAA PTQGKISRSRILLTDPEVGFARTPKGTIARKTSEAIYTAAIDALFRGGIVEDNGLTGA F AOR_1_1548014 MDKGNIQVDEARLEAALGHKQELVRGFGLFSLTSLGIIIANSWA ATGGTIVTALYNGGPMAVLYGLILVTIFYAFISASLSELASAIPSAGGVYHWSSVVAG KYGRAAGFFTGYLNACAWLLSAASMSSVLGNEAVAMYLLRHPGVEWHSWQPFIVFLVV LWMCCAIVCLGNRYLPLINRISLVLSMGGWFITIVVLAVMPRGRHASNAQVWRIYYNE TGGWSDGICFLSGLLNAAFAVGTPDCISHLSEEVPQPERKVPQGIMLQLLTAFLTAFV YLIALFYGINDIDAVFNTNVNYFPVAEIYLQATGSTAGAVGLIALLFLATFPTLVGTL TTGGRMWWSLARDNATPFPSFFGQVHPTLDCPVNATVAMAVMALISSFIVLSTLSYAG AIVPHILSRRRSVVLGPFAMPHKIGYVVNILAVLYIAVTVLFFCFPFTLPVTVQNMNY TSVITVGLMTLVGIWWLFQGMRTYKGPTYSREAAERLAMGKQESSAEMSAMDGM AOR_1_144014 MGDVSPAPHQLLVEAVVFWSIGVVIWVGRIVARSISNGGIRNLQ VDDYIMSVTFGIYTTLLVLINISSHYNTNLFLPEQLPQILADHKDVANRIYGSKIVIG LEQCMLLSTWGVKTCLVAMYWKLTKMLSWHLFVKVLAGYVVLGFVVIEITYFAVYCRP FSEYWAVPPENQQCATYQHYSITQAVFNLSSDACMLAIPIPLLKGAQMPLRKKILLVS VLGLGVFVMIAAILNKYFNFHSPYTTIYQIWYIREASTAIYVANLMCWWPLLRKIFGK ALTQYHSRYATKNGHPSHPSGVLSRETGSTKPSADRKYLALSSSKRWWPHKGLGESSS HVLTTSTSQEAINLPVRDPQSEGNLEIWHRVDYNIEESYDAKK AOR_1_146014 MRFTLACLAALASSAAAYMVNAPMTGDQVPIQAGTIITWSAVDT DQPTFDLWLVNMRHFEPYARQIGQGINRDAQTYRVQGVSGVPPNTGYQFNFVRHGADA NEAKELDGALKDYVSPESYA AOR_1_148014 MGKTWDVIIAGAGPVGLFLACELAIAGVSVLVLEREMQPESPWK EGLFGRRGLYTPAVEAFYRRGILKRIFGDDERPTHLKKTEGFQYGGHFAGLVLNANNI EFSRWPYRLPGPSFLPGPTSLGRLEAVLSERAETLGVQILRGMEVSRLADEGESVKVW AGDQWFTAQWLVGCDGGRSTVRKTAGFEFVGTEAEFTGYIAVCDLDRPDLLKSGFKHT NSGMYIVSGPGQLHVIDFDRSFDRSQTITREHFQEVLRRVSGTNIVVEALHLASSFTD RSKQATEYRRGRILLAGDSAHTHSPLGAQGLSTGIGDAMNLGWKLAATVKGFASPGLL DTYHQERHPEAARVLEWTRAQVAALRPDPYGQAIASLMRDMINTQDGATYLADRIWGL SVRYGPGDAHPLVGSSAPDFEFDDGMRLGAKLETGSFLVIDFGSNNQVAEHVQSLQSL QFMIQYCACSAKEEFGLKGLLLRPDGVVAWVSTEEINIIRLHVALSRWISLPSFEA AOR_1_150014 MPEEQDLADGRQDRNNDLNTIQENRNEPSTANEQQNNTRQDRQR PPLHYHNTGSQRPARYSQLRRRQTNQTSRTNQTTHTNQTIPSLAGPREPDPNWTYVHP EYHDMNPDYGKSNEEPVWGLAKPLPRVVRPGQKGESEPVPELEATPDQGDEHGKEGQD VSSPGPGAHGDTMVHQEMSNADALDRVSRPVEDEVTKDASDPYGGEAEHFNKWSRVRH RLREPFAEWLGTTVAMLIGLCATLAISTGKGDAGNKLTLYWAWGLAITVGIYIAGGIS GGHLNPAISISLWIYRGFPGRRCIYYVIAQILGALTAGGLAYCIYRDSIFHSGSNSGT GITMGATGLGFYTEPLAYVRNVTAFFNEFVAAAILICTIFAMGDDSNAPPGAGMHSFI IGLLIFVLAIGFGYNTGGCFNPARDLGPRLVALMAGYGGSTFTERGGWWFWGAWLATI SGALVGGAMYDIFIFIGGESPINYPRTRRQRSKLKKEAKWRRRLNLGRQRLPSIEEGI KELDE AOR_1_152014 MSSLPNASNNSKPRFEIPPNISNQPRWLLDLDDWVVRAYSRIRF HQDPKNREYGYGIISYTWGKYWNRTDTVPEKDAPDGIDWKIPRLAKDAISLDEAKKVI TSMGKRYVWWDWMCVPQGGSHKDIAEQEIGKQMAIYKNAKASIIWLHDTNWAQSSDVG KFLRNHYPERPLRQWLQNFSTGLQRIREREPWLTSIWTLQEGVLLNHSRLVDRHGARL PDVPKDKRFHSDEATVVDLAIVPAKLARDIAMALFTGEGNPDPLFRDFTSVRENRVYA QQILCEIIRSGLFGYYDNPVPLTILAGKGSRRYDKATNPDQYWALIGALDLKVAPNYN LTIQKARENFFKGLLEKYQWNLLLAPSLPLDISRRGWPEVIADGHILPLDDLFFISEL VDRLPPLSWTGTETGGPIIIGGAGGTQFKAFRLKKTGHFRRYIQARNKQGQDLVDVLG PATEAPIEDATYLHIAKLQPKSGLPGKRCIEMRGYQRGAGQFNGVVDLWVAEDDVALE SISKITLHLPQKSL AOR_1_1552014 MYFGPALDCSASGLFATYNLSTLSRQSQSPVLDSYSVIPSAITQ DAPFLPWTTSNHSLVPIRIDGPESERTFYTALTRGIGVNLICESLPSSDSGIDGNSTN PYWRYTTFNSSTESACSVEAPWAASDYPENFIHFMAPTGSSASTECETSTLLVIARLD KITGKSLHRDSTFALHCQPTIHIQDFLVQFDHSGQVEDYEAVPGSSITDGPLYQNASD LLGQFNMAFTQSIQALPAHPNSSFYQYDWPGVLTARTIEKLNPKSDSVDTALLIDAVQ LTYRTVFSTYLTLWRDLYLQRLPESHSITIDGTVTQGVWGILPSTPSILIVIILVSLD VVLMVGVFVTYRGRFNGPRIPKSIGSLIPWIAHSQMMRDFKGTHAWSEVQQRKHLEEL DRTYSLGTFPCPDGMSRIAVDYKDDEQAYELHDLATSGAVPSESTAQEPQHGYQGVSR TPQGGPVDTICADRR AOR_1_154014 MSRLAKDNWKPTVNVVTWFLMTTAILCVLTRLGTKYWIFRRWTT DDYLSIVSVVLCAAQSLAMSMATAYGYGEHYDRLSGAAVDSMLKSQYAAAILFIMTMC FSKLSLIHFIWSVTPATSDRRIAIGLEIFTVLWAVTSVIASIFQCTPPRTWDYLSGNC FNISAWWNYLAATNILSEAGIIIQALLIIVGIQANWGKKGTLASIFSLRIFVAPTDTE RFQLGYWEPSQPSRNSNTTSAFTGSGCGSPTLYGVVIEGDVLKNNSIKPSKAAAFACM ATYHYAEAEVFIATNTSIVAAQIQQDTVSSLSPAEFSIDQFEDQFRVGPRAAGIRIIN TTSSSSLFDTGSTSKFIALEEYQKKLRNSWNHRFLVTVNKMFDPTRPTKTHAEQQSVS VILQMLHDPAIHAEIILCVASLLLSFLAFVYPRRPNFLRSDPGSIAAQCAIVANLFSP TIALARPDTDFDRATTRQLLQWAKGLWCQWSGVSKERRLEIVSLDGNPVPLATAGLVC FHALRPDHSCIYDQRTSSISASPPQRPGAVDSCFGNAHAEALASS AOR_1_156014 MGHYELKQAPPAALHEIDGSSQENLDDINLARIGKRAVLRRNFG LMSILGFSCTILITWEGITALFIQGFQNGGPAGSVYAFLFVWAGVTATFVVLSELVSM APTAGGQYHWCSMLAPRSAMKLSSYITGWLTVIGWQATYATAIYLNGTYIAAMISLTH SDYVPQAWHMTLYSYATALIGLAINCVGGKLLPRFEGTILILHILGFFAILIPLTYMA DHKSAKEVFTHFINEGQWPTQGLSFFIGLIGPVFAFAGGDAAVHMAEEISNAPTYVPW SLMLTVLINGTLGFAMLLALLFCIGDIDTALNDPTGLPFVGIFLQATESIPGTVVMAS IIIVLCFCTSVGMLASASRQFWSFSRDRGIPGWQLWSQVTTRTAIPTYTVVFTTTISL LLNLINIGSDVAFNSLVSMSTSGLYLSYMVVAGLLLYRRCTGGIMERNNGTRGSEIKI NTAGAQLVWGPFHVRGVLGIVLNVFSLVYMTIATFFSFWPPNNHADVQSMNYSVVGTV GTIILSLVYYFVRARRIYSGPVVEI AOR_1_158014 MASESIKAPAAAVTSSFNDRPTLPTSDNPKSWSKGKKWTFTVVA SLMTFSATFASSVFSTAEKQTAAEFHVSHEVTILGLSLFMLGYCFGPLLCGPLSESHG RSLPLMLGVIVFCIFQVPVAVAQNIPTTVICRFIGGLFACSPLSIVGAILANIWDPVE RGIAACIYSGATFSGPVLGPIVGGFVVDSYLGWRWTAWLTLIREVYFWVLGMVFVPGT HAPTLLRWFQARECSSDARDELGRANANLPVSHMNWREFTTKYLARPLVMLASEPILL LTTLYMSLVYGTLYLFFEAYPISFQDQRGWNAGVGALPFLSITIRVVFGNLTIAATTA FHLKRKYNEGGGKVAPEERLIPMMVGAVVLPPGLFWFAWTSSTHITWVPQVLAGIPIG MGIQVIYTMGLNYILDVYTPYAASAVSEYTFVRSMAAAGFPLFATPMYDRLGISWATS LLGFVSVLMMPVPLLFYVYGERLRKLGRYSVK AOR_1_160014 MTSIQRQCYFPSGAKAPNNVPCKSDTNTHCCGRSDICLDNGLCL NVGHQPYVLSRGACTNKNWNGCSPICKNATPSIGASITNVGFSSGKAATYCCGSPRTN GSSVVCLTSESDSNSNVPFTIQDGSPILGAAMLQNVTTLDTTDSSSSDSNSSTATPTT CLPSHDVAIGAGVGVPLGAIAILLLIWALLERRKASRALQSQPAALGGGYSASGHATA AAAYMNITEMNAHPPVELEYTQPVSELMAKDAQR AOR_1_162014 MEANSFKTILYEKSPDGKIAYITLNRPDRFNAIDGHLPRDLRDA VKLANADPTVHCIILKGNGPGFCGGYDLDIYSQNAVRGETAGSQDLSKGYDPLIDYTM MKENTDCFSELFHSHKPTIAQVHGAAVAGGSDIALCCDLVIMATDARIGYPPSRVWGC PTTAMWAFRIGVEKAKRMLFTGDLISGAEAADMGLVLKAVPEEELEETVLLLANRIAS VPQNQLWMQKQVINGLIEGPLLRSQKLSTIFDGITRNSPEGVAFQELSKEKGFKAAIH ERDSPARSERYGKVWKSVL AOR_1_164014 MPAKKVLIILSDADSFPLKKTSGQDAGKTVDQPSGFFLMELAKP LQKLLDAGYEVTFASPKGQEPTPDPNSESLLAFAGNFYERRRENELIDRMKRENGFSH PRTFSSISDDELESFAGVFIPGGHAPLRDLGADKDLGRILRYFHAKSRPTAAICHGPF AFLSTKFAGDGEFAYKGYKITSWSDAEERMMEMMMGGEIEKVESVLRNEGAVMIEGAK EKIGSITVDRELVTGANPTAANALGDQFLQMLSVH AOR_1_166014 MPQQPMSDDGVPGDFEHPNQDLRRSVIITLYFAFILSTTAVALR LLARKLNGTRLYLDDYLIIIALLFKYGCSTGVAILLFNGLGSHITMIPEKNLTIYLKI GWSNSLVYSSCIAFVKFSVLALYKRLFSTPRMIFAANIVAGFVILWWLSVCVVGILLC LPVNKFWDPTVPGSCLDSAQYYYGQQIPNILTDAVLLVMPLKFVWALPISKTQRLLLS GVFVTGGLTLIFDIVRLVAMINLTRSGPDVTYNQTPVVVYTCVEATVGIIAACLPNLR PLLKLSRGSFWSQIRSGTGQSKQPLNPAQDLSMEESNYDPYFTQTNIYARHSVSIQYS KP AOR_1_168014 MRPLSHLSFFNGLLLGLSALSAATSVVHERREATSSNWVKRARV NPSDKHVVRIGLTQSSLEEAHDLLMDVSNPSSPNYARFYSADEVAAKFAPSTETVNEV QNWLTEKGINASRVAQTQNHGWLVFHATSKEIENLFDTTYYEYHNRKTGKKAIACEQY HVPASVQKHIDYVHPGVNLNPSSGKPSSIRRRAAASKKTKLPARGPRPIQQHDVKGLN VTNCDQLITPECIRALYKIPSARAAPHPNNSLGIFEEGDYYAQEDLDLFFKTFAKDIP QGTHPIPAFIDGAEAPVPVTKAGGESDLDFELAYPIVHPQSITLYQTDDANWASNTTG FLNTFLDALDGSYCTYCAYGECGNDPSLDPVYPDDAGYDGQLMCGVFKPTNVISVSYG EQENDLPANYQQRQCMEFLKLGLQGVSVLFASGDNGVAGPPGDGNSVNGCLNNGTVFS PAFPNSCPYITNVGATKVYPGYTVSQPESAVYDPDGLYSYASGGGFSNIYPIPDYQAE AVATYFKDHNPPYPYYEGAENLGKNGGLYNRLGRGYPDVAANGDNIAVFNGGEFGSSG GTSASTPIFASIINRIIDERLAVGKGPVGFINPVLYKNPSVLNDITNGTNPGCGTDGF STAPGWDPATGLGTPNYPKMLKLWLDLP AOR_1_170014 MATRLPERSSGSADPDTELPSKATHIRSCISRLTMIPHQYYPTT IQLPHFAANETSVVSLIAQFGFLWAAVLGTAFFVIRRVRPTASRADKLAFVWMCLTGF IHFFFEAYFVIHHETLAGSQELFGQLWKEYSLSDSRYLTSDAFLVTMEAVTAFCWGPL AFFIAYCIAVQHPARHALQLLLSVGQVYGDVLYYATSLFDLYFHGETFCRPEGYYFWF YYFFFNFIWMFIGSYYVKQSIGEIYRAFKTVQELGSSRKLN AOR_1_1554014 MIEQSANQILQRNITDGQSRTVDKNWVYRFIKRLPEEFKLIQQK PKDKKRLDAEDIGVLQHWYDCLEAFIKNIPPKNIYNFDETGFQLGQGKTQKVVTTMPL RAARGNPSKEVGELISAIECIAADGFTLPPYFIFKGTYHLERWYDADIPEEYRISLSP KGYTTDKISFDWIQHFHRHTKHRISTKKEVRLLFFDGHESHLTYEFLQFCGLHYIIPY CFPPHTTHLVQPLDGQPFQVYKHFYRKRNNELAQRGAEMDDKSDFLKEIHSIRTMTFK QRTIRDAFEKRGLYPLDSEKVMKSLREALETAPELEIITTPSPPPSSSSPPSTIRGLR RSISKAQSFINNSPELDQSFVRRLDRVFQSSLETTELAAQLKDDLQQHLRYRKPQDRR KSQKRVKYHGPLTVYDAKRRIADRTEVERLQGLRQIRKTGALEYDKPPQPTNTGDLPS TEAGQVDREGPRLPYWIDTQGDVV AOR_1_172014 MPVENYGVWKAKPVRFTYETDADDHVSPHLSLFFTTSDNPRGEG RAAINIKSGDKSDSRLVFWQARKFENFQNEQLRELKPGFHRLEGTMEQAPNGLALDYI RGNLFHRETGRLLPHDIPGPDNDILDELIPLLDGAVDNDSVIYIYGSHFSHGNGIHNI HMNQGSPRKWKSDNGIYQDGGILLDFGDHWKGVFIGFASQAVHTDAEGQPTPPHGYLT WNELLNPEIPGDQRKRRDVHDRTVSISEALIRHHGADPTAKPDMITLTNRADAPVVLN GWSIRNHKGDNEYLPDGTVLRRRRRQSFQLHNCALSDEGGTITLLNEQGLKVDGVRYT ATQSSPGHVVSF AOR_1_1556014 MPLTETDSLPHFRAGERSREAGQETKLLHFIYGQPHLDEIRGHS QKVLDLMNRFEEGYYMMTVGAPKGRIVTDLIDEIKPKTMIELGCYMGYSAILFGDAVR RNGAGLRDFVRVIVGRSDVSLDRLYRRGEVSKIELMFLDHYKPTYLTDLKLCEHHGMI VPGSVLAADNVLYPGNPPYLEYVRSSVEQKREAAKGGPMKGYNVERTSQRQVNSHMPE GDTPAFEVIGNPNLVYQSVLQQPEGEWDAIEVTRCVGWEEQV AOR_1_174014 MAEIIGLVASIGSLVQIAGQITKLSYSYVSDIKSAPKTQKHYLQ EVSALTEVLFRLEEAIQEAASTGLDLPSRPPSLNDDALQECHRHLTALHLDLDKRIRR FAWPFQEKEIKKHIGLLHMYRTLFTDFLSTNIM AOR_1_176014 MEVYSQIHDLELYISSRFQDSDFPDTEDFTEDIMRKSSNVFLHV KLILDELMDLTTIRQMRKALKKESKGLEQAYTSIVQRIDIQPKAKRALAHRFIGWIAF AKRRFKLSELIHAFAVEKDEEEIYEDNTVSPDLLLQSCIGMVVLFDDNTVGLCHATAY DFFRSTVLVSHDMNTDISETCLRYMCLKSFKQGPCANSAELSCRFHEMVFLDYASRHW GEHLQDLEDLEGDTESLVQFLILDGNLRDAAIQALHFRNGFETDLSNALFEAMPAKQT ALHVAAYWNLTRNLKTLIKSALDTSPKDTQGWTPLHYACANGHFASAELLIENGADID TPDDQGWTPLFWASFTGSLDIVRLLLSNHAKYTRRSKSGSTALHWAISRGETEIVQAL LQHHQTQLSLTLKADIRTLSVDDVRRLSTPVGVSPIQVAAEAKHAPIFDLLVVYLQAP GSRVGDDVFDKLWSRESFKVPVAENSWRMLLKSSKGGKSSKKTKALLYSAETISGQTY LAEDPRKYFKNEWVETSPSVWKAIVLGSTIRDGYLNAARLLIETGVDVNFKSKEGSLL HIAACQKDTSFARLLLDKGAKPDQQFGAGELALHVAIRHGNLETVKVILASPHDVNIR GHHGTPLHVAAGQEDPRFAQLLLENGANPESYDSHGRNALHLAVMNGFIETARAIIDG GADINQFSLQLDRILDMGPIPRSETCLMLAVLLANKASEKQQALATEMARMLLSKGAD PTLQNSEGETVLHIAAMCGILSFIDPLMATGSRVDTVDNMGRTAIHTMMQFAEYMRLT GQVLRDGSASMLDISPTFALWHNTSINEEDELDTPLTLALRRRAWGISCVLISFGAKI PATPYLQSILAAATRDLDYEIMDVLLGHGVSPNEDAALVLVSEMVGHFHDQSPGHEGS SSSHRKSLKEIAQAFPRILVSLKSAGVNVNFQSPFTGMTPLLAARSIPMAEITLALLE AGADAFHACGETSDSVLTAAVFNNPEPLKHLTAHVSISPKPDHWTRHLCSPTPSDIKD IFCRICNALAQFGRLDSQNSMSQTLLHLAAMRGNTDLVVSLLDHGAHANIPDKDGWFP IHHAGFSRDYCKLSDRLKRRHHHDTLWHLLPVNIVQRTSNHHPYRSRETGLRLGDQVI CQEIAEKRNNYGNTMLEEALITGDEIMFSHLLRLVADVNSCISFCRKHHSILHAAVSR ANFGNVVSLLLSRGADIEAAGIDGWRPLHVAAYWGNVAIVERLITAGASIHVPTRRLD MCHGRLPNPDEKEWNGQPLHLAAMGGHVAVVELLLKHGADVNATTNHFERPTGWYGPT ALHIALDRVRLQREKGSTNRLKVANILVENGACVEGVVDQLQVDDIPCFENFEQLWDR LRGYTK AOR_1_178014 MSFPEVTAANVAEVLHNDRMVIAGVDVDGQLRGKLMKKSKFLSI ATGGFGFCSIIFGWDQQDTGYPKELAICNEENGYRDLIAVPDLSSFRRIRWENKVPFF LLSFLDPDTQEPVCACPRGLLKNATAKVEAAGPPADMFAAEYEFGHFRIPGDSFSPER AASGITSFIQNNSVDSLPSLTEGMFGYSMTRSLHSENYHDGILDACEQFRCNIEEWRA KSGPGAFEATLQCSEAKDMADKASLCKYVVKAYGIKHGITPCFMAKPRHELPGNGGHM NISLITADGKSAFTRDTPDPSPPYPDVAHLSDLGRQFLTGLLVGLPDIMPLFAPTINS YKRLVEDLWAPNTVSWGLEHRAAFIRLITPPTANANATRFEIRVPGADANPHFVFAAI IALGWRGVEKKLEIPVPPLPKGEDMSSSSDKSMPLAKALKEAVATFTRLDSVAREVFG DSFVEHFGGTREYKIQLWEQAVTD AOR_1_1558014 MAETETISTNPNLPRPPSISSDNTIHVASVPAGPGSEDDTPPLH AVNVALRWNGSKTMIWRVLATFWCALVMGSNDAAYGAIIPYLEVSYDKSYTIISLVFL SPFLGYTVSAVVSNLIHQRFGRRGVAFIGPACHLLAFAVISSNPPFPVLVIMYIFVGL GSGIQNAGWNVWISSLANSHEVLGCFHGFYGVGATVSPLIATTLITKAGWHWNSCYYL LMGAAALELVNATSAFWTETGSKYRQDNPSSPGSNGSRSPNQTRLSLTYRVTWICAIF LFLYGGCEVAIGGWIVVFMTSIRHGTPFASGMAETGFWLGITLGRFILGFVSPRIGER LSIIVYIVLAIVLELIFWLVPKFIVSAVAVALVGFFLGTIFPGVVVVATRMLPKHLHV AAIGFAAAFSMGGGAVFPFMIGAIAQAKGVAVLQPILLAMLAVALMIWGTLLRAPLHQ SQHQV AOR_1_1560014 MADTVEVSREDPSRCTHCIKRDERCEFKQARRRFKPQPQRAPQL GEPSLSSLAHGSREYNDGQATPGLAPLFVDQLLDSRPPKGVLHDEVHVLRSQENEVCS SNLAFFSEKKIQSLTQKLENSRLKELVDSIEALIEDRVTARGATSISPVTFKKPPGST RISLELTRAYVDVYFKRIHPMYPFLDRQSFEETAFSATLAQTLEGTPAFSALYHAVLA LGCQYHDGGSFDPGKGKAWKFFQMSLGLMVDILVPRESLLSLQALTAMSIFAMNTCCL QIDEILIMEAARMAHALRYHRAICSREQQVWCLRTFWVIYGMEKQLAFQNRENSLIAD YNVGCPIPETPEASFGSYNWFLSSIRFARITSQAYELLFSITATQSSTETYYTRIDHV HERLEKWRLTVPDGFRPGESCSPQTFTEPVSKMVALQTHYSYHSMVIALARLTLQIGS DDGVRQEDSRRSLMASARRIIELTQYIDKAPHTPLFILAIMPLVALFLLFDFVIHNPT HPETKTSLAMLDIVSGHFALVEHASNGSLPCSLASEFAHIARQHVRDVNGGRGLGEIM DATLTPAQRPFRGHIKTGSGVVSNAQLPVALEHNAPDNQQPHVELSGELEPYKHTESV GESADSLYYPAMDASFDFGSDPLLPGIDLRTLFGSVMPSGFDSPEDASNFGGIMPTPP T AOR_1_182014 MAVSLSFLTYLFLIVAGVYLARKISRAVQIRQFKKRHGCLPPPR DHHKDPVLGLDEVRSMLRVFREDYLMEYTLEKYRRHGNTFATSVLGDDDIFTAEPENI KTILAVKFKQFDLGETRRRTFHPLLGDGIFAADGPQWEHSRTLLRPSFTRTQIAATDL HERHIQRLISRIPRDGSTVDLQELFFNLTLDTATEFLFGESVESLRLGSSAGSSSFAH HFNVAQDEIAFSMVIAPFDQLIFRPRFRESVREARGYVGNFVKKAIEYRHSLDAEKHA GDTTDSQSRYVFLEELAKETDNPSDITDQILNILLAGRDTTASLLSMVFYNLARRPDI WDLLRSEVATLDGKCPSFEELKQLKYLSWVINETLRLYPVVPSNSRTANEDTFLPVGG GPDGKSPVFVAKGQRVAYDVYVMHRRHDIFGPDAEEFRPERWETIRPGWGYLPFNGGP RICLGQQFALTEASYTTVRIVQSFKEITSRDPEPYRERLALTLASRHGTKVAMVPA AOR_1_184014 MKYPTTGQLQQVHLGIGPKGYEPVASYQGDKQLYTQEHEILQAS ILGFCPEHLWHHGSNKASCPRPILVTAKHQEQLEQLHNALVTAIVDIVKRWWTDLDAR FPERMPLTRDEEDLLRWLEHQHSHNGVPYEARLGSWRPDFLVGDYSGGPSTETYRLTE INARFCFNGFMHQAYGQEGLSDLGAGRNGLIHATDSSKILDGLLSLFNPDRPLHLLKG EEPGIDIHMFIDFVYRHIGIKPRLITPADLRLIPDPQRKNGSKLCCLVKDQQNASLIN ESPLLVTSKGEVVEEVHQVGLELHQHELFGLSREMLREISLRCFNDMRTILLVHDKRI LGIIKQEIPTLVARKVLTHDQGEALERGISDSFIPGSSELNELIQTLADSPELRKEYL LKPIRGGKGAGIIFGDEVGPDEWLSTLERLRNPHFVSGNTMYVVQRRIWPRLYEVILN SSGDRGHGILKISLSFPDPKSQYLESLIVSLSKNHGHGLPITHSASRGWFWDVRPSET SFQTENHQARSETMQEFPWHTDCSYEHAPPRFFALQVLQHDRYGGGTLSVMKIDRLSQ FLSPTTKAALLEPEFQITIPPEFIKHPDQRHIVGSLFAIDTEDHCLMMRYRDEIVTPL SARAAAALKELKGALQDMEALSQSTLHLTAADLPERSIILLDNYRWLHARNGIKDPAR HLRRVRWNAIPFANGVVSHSAEVSST AOR_1_186014 MGSVGISADEVVDNLIRKHIEELSNDPYVSQSDHPFFVADGSRI VEQHLRWKSSLPDIQPFYAVKCNSDVNFLRYLDRLGVNFDCASQGEIELILSLGVDPS RIIFAHPCKSISALHFAAKQGIRWATFDNIDELEKVKQHSPQIGLLLRIFAEDDGAKV CLGDKFGAPWNTTVALLERARELHLQIVGVSFHIGSGASDPEAFTTAIQQARHVFDQG ERLGFNMTVLDVGGGFQHTNFEFMASSLRPALAREFGDRPVRVIAEPGRFFATPCYTL VCKVIARRTHIGAAPSNPADMLYQNDGLYGCFSCGWSEGEEYMPVLVKQNEGRDDHRE SGEHRYSLWGPTCDSIDQIAKEVVMDGEVKVGDWLVYKDMGAYTMSASSQFNGFPNSY TVIYQDF AOR_1_188014 MTMKSFSLSEVLAVANRHPFYNPEIQYPLDETALQAVRDWAVKN QTGVDLRSQPLLHKNDIYKTVERLTHDASPENVYRESSYMSITGGGSGGVPMMFAVDV HENRQQRAQMGKLLRICGVIRRKDWVLSVHISGGFYRSLDLTTETMENAGATVLSAGN YMEPEEVVQALAHYHVNVLTGDASQIVQLACYISTLPLERQRQIQINKIIYTSEPLTG AQRAFLHATLGDVKICSVMGSSEAGPWALSNPDLVGEENLNSSSMDFVFDTRDMIIEI LSPAGLDDGKPPSDIDPLPLGETGIIVQTSLRRLRNPLVRYITGDLGSLHPLPEMASA VVPESERQYLRVLRMQGRDRRFSFKWYGAYFEFEKMKALLQAEECGILQWQVILDQLE SSGLPTLQVRLLRAPSRADVLSEEQLVKRVRTFFLVLPENEDVFSIVFVKNLDGFERS STAGKVISFVDRLH AOR_1_190014 MGQQASVPQPDTQLQVIGAGLSRTGTASFSAALEILLDGPIYHG GTQTTMGPPVEIKSWNQILRTWLAGDRATTLSLLRRRTTGYAAITDAPASQLVPELLA LYPDSKVIVTVRDPDAWVKSMQQISSLVQLWFLGAVLLPLPGMRHFVTYISLLRAQWD KIYDGSRDNAYVYQRHIEWLKEVVPADRLVFFNVKEGWGPLCKALGEDVPKDIPFPRI NDSKAIDRVAEYHIKRGLARWAVVFTVVGVLSAWWFMRV AOR_1_192014 MNPERNAEDVPDDEASIEAAPLIHQAENETVVPPGQGQPNRRLG LVSTTFLITGSAGASLVVWVAGYFLAFCGFFIYLELGSLLPHNGGEKIYLEAAYPRPP LFATVIFATHIIFLGFTGIGTIAIAENILLATQATADDRTKRCMAIAFVASVAAMHIC AKTWNVKLMNILASLKLFVLALMVLTGLGLVIFGSPNIPYPGASYKHPFAGSSTDVAD YTVALFKVLATFQGWSNAMYVLDEVKDPRRTLKVAGFLGVGSVGVLYVLLNAAFFVAA TPKELSETGITVVALFVGKVFGQHMQRFTAILAALSSLGNIMTASFSMSRVIRSFAQE GLLPFSRFFASRSRSGSPAGAFALVFFSSCVMIIAVPFGEAYNFVLDVGQWAVALIQF FVVCGLFIIRKRVTYPPRAFKVWTSVACLFLATQVFLIVSPFVAPVTGRTSIPVWLTP LTGTLLFCLGGMYWYIWWILLPRLGQFSWEKSALIGPDGEHAVAWRRVSKN AOR_1_194014 MKLSLALATLVATAFSQELCAQYDSASSPPYSVNNNLWGQDSGT GSQCVYVDNLSSSGAAWHTTWTWNGGEGSVKSYSNSAVTFDKKLVSDVQSIPTDVEWS QDNTNVNADVAYDLFTAADQNHVTYSGDYELMIWLARYGTIQPIGTQIDTATVEGHTW ELWYGTTIQAGAEQKTYSFVSATPINTFGGDIKKFFDYITSKHSFPASAQYLINMQFG TEPFTGGPVTFTVPNWTASVN AOR_1_196014 MYRPTTKNEYWFCGILLVQTLLITTPEVYILVQWLGWVNDNVTQ VTTSYIVPIGMGLVTFACLYETLLSLDAIHHKNNILLAAICISNACIVVYSVLQYMRM RVTTHTLQYNQDSTGRPLADPTRDIWALMQPAELLIPIILGLTSLTIIPAAYRLHKDY AWAIYKCIHGSADLRLRYLAYEIYLVLVRFDFFFLIGFIIQYNLIDVHFDEPEYSLTM AIIPAALVIMVFGIYCVKSELRPGMIIVIVSLLGLMAYILSRIIVLCGNTRRAFTPGK EMMLLFAGVTLALIVPTLVCAVQCMRNFDSGLKSVTHQETQWPGSSYMFKRLSSRGNS PTNARYDTRYNPRLSLD AOR_1_198014 MVSATRLLLLLPFLGALASPTDPTPNEPVGRGEKSYDLLQEIGE SIFNATSEDKLQGRSTDLRTSKDGVNSAGYYYSLYNDNHAGADYTEFPDSGRFQLKWN TNKEFLGGKGYRGGSTRKLTWDGHFKADGDYTLAVYGWTTDPVTEWYVVEQHGTGTPG NGHILGQVNVDGGVYDVYMIPYRNVPKIYGVTNFNQLWSVRRNPRTTGSVDVTAHFKR WKELGLQPGNPVFQMVTAEGFKGSGNLDFQLH AOR_1_1562014 MVDKQTKPNSKSRRTSSKRSRTGCRTCRARHLKCDESPGACRNC TSTGRTCDGYDLYRLPIATTKVARAQITPALVDGLRWVMTSDERRCFSHFQHHTIPTL LALYDSLLWQKLVMQMSYSEPAVYHATNALSAIHQDIEANGIPLPGQGVDSPWNRFAL EQSNRAISFLTIRHATSDPHLQTVVLLCCLLFVMQELLLGHYDSAYKHLQSGVRILKD LKADIQLINGSETKLPFDKCLIETFLHLDSQLMYGGVGGPLSSLDRELEYTPGESQDI YGEYNSLQEVRHAFSCLMRKRFRFLSRTQELSAEDIKSNYEALHLKQQQILSQLYRVA WKLEAFSARSYTQLTEKDQRGLDMIDLALKSITLGARRCFIRSNSLVKLYTPEYDALM LEIEDVMCKLPDRPSMVLDVGVIIPLYYVALGCSDYGVRRRAIKLLRSWPHFEGAFDS NMVALVAEELIKAEQMMEEEYEPGSSKGGLLQDRTLIPWTIA AOR_1_200014 MLALGCTLPERLAHDADPRRGDATGLLLNADYARGFVKPVTMKD G AOR_1_202014 MPKVETVAIAGASGTLGPYVFQALVNAGFRVSILTRSQKPGAYA SNIKVFEVDFNSVKSLTAALQGVDVVVSTVGVAAVDNQNVLIDAAIAAGVKRFIPSEF SSVTTNPKLETLPLYSSMFKIRNYLQEKTAAGELSWTVLACGAFLDSVLDSPILLDFQ NHTVTMLDEGDNRISSTSLPGVGRAIVAILQNFDATENRVIRVSEAILTQNQLIGFAK ELRPDIKWRTSKERTNVLLQESLEQFGAGDFSMAAFIKLIKGTALAGDTYGSAFDVTD NELLGIKELAPADLKKLIAEKLA AOR_1_1566014 MINNAGVSAEADNPRPIWDFSQEIWDKDIALNSTGVFLGCKYAS AQMIQQDHVPCGDRDWIVNIISVFGLTGAASIAGYVASKHATMGVTKVAAWDYTDTAF ISGISGEVRGFVQQLHPFRGLGKPEDVANAAIFLASEENTWVTGIGLPVKGGYTSL AOR_1_204014 MKPTVVFVPGAWLVPEFYRPFLEAVQAAGFPTYTAELPSLDPVD PTATDCATDAASIRRLVFSLVEDEGRDVVLVMHSYASMPGGAAAVGLSKSERVQQEKK GGVLGLVCMGAFLVPEGVSCAGTQGGNLPPWILLDQPSTGLNIPDQPEKTFAADVSEE QALAISSVIKPHASLAFFSAQPSPAWADPAYTGRLSYVVTTEDLAVPKVSQYGMMSGT GQNWHVREIESSHCAPFITKVAESVGILQDFIQTFERL AOR_1_206014 MTHKESSARRDPGDVQAWLIGGGIASLAAAVHLINDAKVPGEHI HLLDEHKHAGGGMQSFGDAENGYVLRTPCLPYFHDICVQDLLSYVPSPGDPNQSIMDV VRASESGEGEKPTASSRLVEQRSTGLEKVDDRQFHLGIKHRWDLIKLMVEGEKAVADK AIKDLFDESFFNSHFWTLWSTTFAMRPEHGAVEFQRHMRKYLEKIKDINNVSALDRTQ YTLHDSVILPIIAYLEKEGVDFRFNAKVTDLVMYPEGDPTTISEIKLVHDDNSPSLVI IDPMDIVIVTLGSVSSGSAVGSNNAPPKWEAWDALEDTIMEDWSLWNKVSGMATRKFG NPVPFRSHIKESKVVTFTITLKDSTFLEDYQRMTHNEPGSAALVSFVKSNWNLSLSVP SQPLFANQPANVHVVWGYGNDPEKPGNFVHKPMQDCSGKEILLEVLGHLGIDQERILP SANTIPYIIPLATASLLKRSHFDRPEVVPHNATNMAFVGQFVEIPHDTTFSMEYSVRS AQMAVYNLMGLSKRPPPVKTNVLLEVFGLLA AOR_1_208014 MLTTFWPHTEYAEDQPFPKLILTSHVLDRSFQAGSLLGSTTGLI RIGLLAYQPTSNNKFYTRYIIPPGSTPATLLMRSTGTGAVIGLGAMAAMLPYYLVKWD PIEWQDRSWRLLENPGQVEVDTWGFAGAVMGVTGLVVLARRNGRMFQLTGHEEVSSLV LLRALGWRNVFASAGMGSLSGVLGYLGWRYGVMGGKR AOR_1_210014 MEKYVFPTKPKANAGSIVSGPKYRFTVLTDRLLRFEWAEDGQFE DRASTFAINRDLPVPEFRIIDNDGLEIITEHFHLSYDKKRFSPNGMVAHLSAKTTKYG TEWRFGTPSTLNLGGTARTLDLCDGRCDMGDGVLSKAGFAVIDDSESMLFDGQGFVVS RPAGDRVDGYFFAYGRNYKAAIKAFYAVSGKQPVVPRYALGNWWSRYHPYRQEEYLEL MDKFHKMDIPLSVAVLDMDWHLVSDEQVPHAGWTGYTWNKKLLPDPAMFARELHERNL KITLNDHPHDGIHSHEDSYEEMAKFLGRDTSHKTPILFDSTDPKFMDAYLNILHRNLE AVGCDFWWIDWQQGPYSKIPGIDPMWMLNHFAYLDHGRDGKIPLILSRYAGPGSHRYP LGFSGDTVVTWASLEFQPEFTATASNIGYGWWSHDIGGHIHGGRDDELVTRWVQLGVF SPIMRLHSSSSRWMSKEPWLYSDECRSAMTQFLRFRHRLVPFLYTRNIICAKEDEPLV QPMYWEYPGREEAYSVPNQFIFGSELVVAPIVQPRDKRTGLASVKAWLPPVGQLVDIF TGTVYDGDRELTLYRPLYGYPVLAREGSIIPLDASPSNGCLNPGAFDVFVVVGKDGYT NVLEDSRDDRLQEDDNARDGKQRVSTIRYAQAEGNLTAEVTGRVWTFYFLGITSVPQN LRVLVNGIDRTEDAIVDVYSYPKGQNLNVRCPYESDERYVITIELGRSPQLSAMDHIP RIEAMIMDYQIEFQMKNKLWDAVQGFHDRPLNVTIGRLTALGYDEAIMGPILELILAD SRTVKV AOR_1_212014 MPFNDMKMSTKCETLKEVSGGITLAGSNAILVPIRYTPDHRGVI WFYEEGDREGDEHMDRALGHTGIPARWLGPEFKQSNLKFEELVGDMRALVYTGTDIPK LGAAYSLLNTVSK AOR_1_214014 MNTISKSQSTSPSSMRVNISSSDESTSPPWHAWELEAPASADEP WRQDQPDSGTPFSPLVDNSMSDAPEPEGAILNFAGTSELAQIYKEYNSHGYGQLAQFV LLVEALPSEQYVFQMYGLEGLNLLDKLITALQNPEFLYDLGVIRIVATEDSIEVLYQE EIPWVHSMMMWFCSAFRAPIPGAISLSAGTYDV AOR_1_216014 MYLANRRRKICLALVAAFFVLQIFHLRSLLSGRPASKVHNLKYF TWETPDFAYFNNQFILHLAAAVHNPLPSRKLLSPGITCPAIRGVQEATVIPVTDVFDE HGLQSLGYETDLQRWSDPAAKAAATKRVDYYTNIVEGPSQIIEAKARTESYWQWSAVR FVLEGFVLPWTPNRWRSAARRFQLTRPLQQCVDSVLPDLVPHAIAMHLRMWPSDLSFG QHDACYRGQVPVLKHIFSKCDWTGSYLYNNVLRVQKSDTQPVIIATDDKEHPAIVDLV RRLGHRAYFMEMTAACTAAIQDAHPSNETQWRSATYWPIVEAAVMVRTESFIGSFWST FSQLIAIRRHRIHRTFFVQNRLQEFVWDHRWIIILVSAGTLGSIIVRTSRRSR AOR_1_218014 MILFQLFARFLSLWLVLFCTPTAAIPLDVNDSESLKDAASTAAR GMLKYYHGNEPGQTPGTLDGTWWEAGAMFMTLVQYWRVSGDSSYNDLTTQGLQWQSGD DHDYLPANSSAYLGNDDQMFWGLAAMTCAESRYPDVSDGPSWLSLVQGVFNNQIPRWE MQTCHGGLRWQIHSWLPGYDLKNTISNGGLFQIAARLARYTGDQKYADWATKIWDWIA SSPLLDTKTWNVADTTSVTNDCTTNGNEQWTYNYGTLLSGAAYMYNLTNGDQKWLDAV DGLLNASLRLFFPPMYNNGTVLSEVSCETIETCDRNQMCFKGFLSIWMAYTATLVPST AERIIPRLQGSAEAAARQCSGGEDGTACGVRWYEDKWDGKNGLETQMSSLSIFTANLM LQSDEQPVTSTTGGESKSDPDAGTGGKSRKPEEPRKIKAGDRAGAWIMTLVVGVAWIV IIVWLVWEK AOR_1_220014 MSKKQLALALTCALSFSPACAIAFRDTMALPVSLQQCLNSTGVA VMYPSDMNYDALSRPQNANYQPHPKVIVVPTSSEEVAASVRCVAAEKGDVKLSTRGGG HSYAAYGFSGEVVVDSSQMKGMSFDDDKKEVTVQFGQTLGPLAVAMGRKGYALPHGTC PGVGIAGHALGGGWGFTSRKWGWLLDHIVSLELVDIGGNIKLLNSSSVGMDAELWWAL RGAGANNFGVVTSFTYAMEAAPTAVMNYGISFSSKSDCAQVLLAVQELGSISTDDPDG LPVELGGEVIISGADATNVCSFTGQYLGERAAFVPVLDRLLGKLADRGVRPVNSTSYI KEFDDWIDALTDLMGSLDEPSTPQPYYAQSLVDDGAPNYTSHGVEHIIDAIQNAKLVK DTENHVSFDLNGPGSRTNIPPTSGDTSFIHRDSLFLVQIFSYKFPGFNNTDGRDQGLK KVTNVADSIKQAKPSGQWHAYQNYIDPYLDDFGQAYYGVNLEHLKSLKAVADPDSVFD FPQGLGHA AOR_1_1570014 MAETDNYPLGRNIFHSVRLDAQHLLWRLHTDYILHPRIPVTDNM KIAELGTGTGVWLFEGAKYLPATTQLDGFNISDEQFPLKEQCPPNLRFDIMDSFVDPP ASLVGQYDVVHLRMWTSNFRNRDSGVIIHHVRELLKPGGFIQWEEVDLTHQVVVGEKA KQFEAGVNALFDRVGLNYSWVPNLFKRVKQLGFLLIEFERQQFQNNLMNLCTNTYLLA LREILQGVRQSCPSEAVMEHEIALQHLLSEERRNIVYNWSPTTLLAQSPPKDSVSMSE AOR_1_1572014 MAVLPTSIYLRPGPPDALQRVATVLSKLKSDDYYAYERTGVWYL GIGNRSSVTIDPEGRKATFSTNGKDEVRSIDGGVTDVVREYTSANEDCGTRIYGYIGF SYALLLRGIPHTAGEWPMLSLMVPRTEVVFYPDRITLTGVDKGETVELSALVDDTTCI EPSPGHPIDTNTRASEYVEQVEAALADIRAEKYTKVIPSRAVSLPWRVDMPGTLLCGR QHNNPARSFCLRHGNNQAIGFSPELVMSLRDVVDDLMTVRPRGSVQHLGSTVSGALLP GKDAWDAFNILFPSITATGIPKQAALEGIGRLEKHPRELYSGAILLIEDPETWDVALV LRTVFQGREKQRIQAGAGIVAQSSPGRELTETSEKLASIAPYVIAERNQKD AOR_1_1574014 MVKDPAVDIVVVTTAPDSHLELAKLALNAGKHVVVEKPFTPTYQ EAQKLIDLAKKQSRLLTVYQSRRWDADYLTLSKLIKDGSLGRIVDYETRFERHVPDIP GSRWRTESIPGGGAIYDLGAHLIDQTTQLFGLPSGSPRSSATKERESMAAMIRLLGTK GTFKKVSDSALIIYEQELLLGLSQFYFDMQEEQLKSGIRPRDDSYRIEPSERYGTLTS VQPNGAFKTEAVPTVDPPLYTEFYSKLAEALAGEGEVSVSPEESAAVIRLVEIAVQSS KTGRTYFCETMNPL AOR_1_228014 MSSSLKFLGVFLPALAVACTGPPVNQNGLNLIKSFESFQPSVYD DGFGNPTIGYGHLCGDATCSEVTYPKPLSEADASRLLADDLVSYQDALTNALADPVTL NDNQYAALVSWTFNIGNGNMQKSDLVARMNKGENVATVAHDELPQWNKANGQVVNGLT RRRKAELDLFDAPAIYGALPVPC AOR_1_230014 MQLGHAKLFLFFLSLFTPALSRRIGHKHTHVAEREAPACTPTAG GSPTVDDVPAIESAMAACPSGTIMIPAKSTYHINSELSFAKCSGCTLQVEGTLLVSDD TKAWSGKDAVLNLEDVNDVSIVSKTGKGVIDGNGQAAWDLLNKDKNYSRVKCLLYLTG KTSGVTISGLTMRNPPNVFSSVKQSVTNVTYSNLILTAVSKSDALPKNTDGFDLGGTG IRMDNIKVQNGDDCIAIQNGAEDITVMNIQCTGSHGLSIGSIGKTPGEVDTVKNIHFK NAKMTKCSKAAGIKIYSGGYGTAEVSNITWENVMVDGTSYAFQVQTCYGSDEKECASQ PSTAKLTDIVVKGFSGKTDKDEPVASINCPAKGTCGLSLTEMKVQSATGGEEYQCSNA GTIGVKCAPGASG AOR_1_232014 MAKRDSYTDLLIIGAGPAGLMAACWASQYAITTRLIDLKSERTA AGHADGINSRTMEILDSFGLADTVLRQAAGNMDAAYWGPNEKTGNIRRIKHQPSQTQE LSRFDQMLLNQGAIEQILIDYLVSKGRVHVERERKAEELELPCHSDKKSDEEYPVHVR VVSVNGDDGQPDNAEVIHARYVIACDGTRSWTSAQLKIDSDVWKTESTWGVMDIVPET DFPDIQRACAIQAGDGLSMMVVPRENNLVRFYLHLNGGEERSPNGPDKSEGSLEDLMD MAEKTLKPYKLSYKYCDWWSIYPIGRRLIKQYRSDRIFFAGDAAHTHSPKGGQGLNIS MQDTYNLVWKVAAVISGSVDPAILETYQSERRPEAEQLMEFDTRLVYAYEEGSTEDDS EDGVEAVRDKYAGFMAGVAVTYPPSILVDESESNAAVTRNVQLGKRLPSYLIVGQEDG SVVHLAKKLNSNGCWRLLIFPGDLHHPGVLDRLSVFAKDLSGRINNHLIPQSTNNSEF LETLLIHSSPRASVNQSQHLGAFHQFDTELGCDHTKVFVDDPSCDGDTGQAYEQYGID KQRGCLIVCRPDQHVGWIGAIEDAEGLEKYFSKFLFNKGRT AOR_1_234014 MRSFYAVVPLALALRAAALYEDWHFGNMFAVGPAANNAQITKAT YSLVPPAIPCGTVQEKQNDAPWLSIWVGISASMSDQAADLFQPLLNWSPDQKSQACPA TAQEWCVAASTYHLSGQVQQPYITVPNGSKLDFTITVDDKKITQQVSIGGKQVSEQSD DKSINPTFLYSSNECYLGTCGTVAGYSWDKLTIHLSQADPNFGNTLNLMNATSSGFAT SDQGKTWYAESIKINEDYFYSDGSRKECSV AOR_1_236014 MRIDCAVASLTALASGCQALSTRPYVPRGYSLSRRNDSTPIYKD ASYCIDERVDDLLARMTIEEKAGQLFHTRLMDGPLDDEGSGNNAHNSTSNMIGEKHMT HFNLASDITNATETAEFINRIQELALQTRLGIPVTVSTDPRHSFTENVGTGFKAGVFS QWPESIGLAALRDPYVVRKFAEVAKEEYIAVGIRAALHPQVDLSTEPRWARISNTWGE NSTLTSELLVEYIKGFQGDKLGPQSVKTVTKHFPGGGPVENGEDSHFAYGKNQTYPGN NLEEHLKPFKAAIAAGATEIMPYYSRPIGTEYEPVAFSFNKRIVTELLRNELGFDGIV LTDWGLITDGYIAGQYMPARAWGVENLTELQRAARILDAGCDQFGGEERPELIVQLVQ EGIISEDRIDVSVRRLLKEKFVLGLFDNPFVDAEAAGRVVGNDYFVRLGREAQRRSYT LLSNNEDIVPLKKIEKSTKFYIEGFNASFIESWNYTVVDSPEEAEYALLRYNAPYEPR PGGFEANMHAGSLAFNDTEKARQAKIYSAVPTIVDIVMDRPAVIPEIIEQAKAVFASY GSDSNAFLDVVFGVSAPEGKLPFDLPSSMEAVEAQMEDVPFDTRNPVFKFGHGLSYAN PCASSSSKCS AOR_1_238014 MSRCITIQGQEFRLLDYISLKQSEDLYDMCEVHNAQRVSDGRPV IVKLRYQLPSDPEEDPSDVPIIIEDGIRDFLMEYQSLQDNQMLGVPVYLGHQELIQRG EHARFVPGGYLNALVMGKVPGKPVTHLRLTATEADLIKTQLAQMFNNMRRKVYDVDDP NTEHVFFDRETQQTYFIGMSGVGRDEIMCGDTILKTSKKVTMFCVDSFVIRH AOR_1_240014 MMYSGALSLTLATTLANAAAVIPRATATSLTTPSAVAVLNDPIA RPCMAPGVVCLKKHAANLPYPFHRAAPDGRNIPTYGDTEVPADASWKHISTADFIVFD ESRATDVLGDSPSVDFVLSVDANHIHEGPVFVPTQNRIYFSELSTNLPQFAIDLNQDP PSLSHFTADPPIYIPNGGFYHNNTVYFSVAGSNTSIPGLGEQRPGIVTLDPATNKSTT LLNNYYGLTFTDCDDLIVDPATGFIWFTAPYYSWWLELADIPPQTKSGTYRFDPTTGS TVIVNDEMFSPNGIALSPDRRHMYISDSAASGLSAPISSDVPSPGGAGILYNVTGTRA IYKFDLVDDGRAIINKRSIYYDAIGSVPDGLKVARNGYVVTATGNGLSVMDEYGDMIV RVQTNFTVNNFVWTNANEYREVWMVGMGGVARLKWGLQGQEAV AOR_1_242014 MFQWLTDWYTGTTTPPSRANYKVKGDTIVKQTLTRPRSMSPWAI IEVYCDLHFLGYDWILMWSQQNQTDDDIIKEINVPIGVKTENRIEDKNALLAGLDLKD LKLGVGGEHKHFSEKETGAITSERRSVTVKAHSNTFYYQKRYNFLSRLWHFIYAEGRL WVASLPGTTKPSTADTAFSVMADEFLVVPDKLVGEGKVATVEATKVWRMGDYPLRPGP PSLEDERIMSEVKLLRATGYSVSPS AOR_1_1576014 MAIELQVTQEYLGQQDHLVYLSPLWKELLDFDLRVDHQPSLVRD IVSGQRFDRQLGGWAAVVNVGTNTTWLGSHLAMSNLYAYGRLAWSPTDDSQGILQDWI RLTFGRDQNVLDAITDMSMASWPAYENYTGNLGIQTLTDILYTHYGPNPASQDNNGWG QWTRADHDTIGMDRTVKNGTGNAGQYPAEIAQVYEDLDSTPDDLLLWFHHVPYTHRLH SGKTVIQHFYDAHYDGAETAHRFLSQWESLKGRIDQQRYNEVLSRLVYQAGHSLVWRD AINNFYWNMSGISDEKNRLGHHPWRVEAESMTLDGYEPYTVSPFETASNYKAVVTTSN STTGTAQTKLQFPSGTYDLGVNYYDMYGGKSEWTVYVNDRVVGQWEGNSENTLGHTPS IYIDGHSATRITFRGVEIENGDQLKIVGVPDGVEPAPLDYVVLLPPDVVD AOR_1_244014 MSTVARNSFRARACDNCRARKIKCDKATPCSSCGALGIPCRVAG ISTTSSSSTSVPERRNESSHYEQRLEALQEQLAVINQTLAQITQSAAPGTTGLVSVPE SSTHATLPFEGQSSFHHETLLAKDAALSAIATSHNSGLNDHVSAVLSSLKNSLAEEHL SNNGQPTETNLPSSSPDSKSLLPVDLVVAVVRAVKAKPPFFLVSHSWRDLLQVESLCQ SIYFPINPVPAGSLTLLYGLLYYIIRDYLHEGHPDLARYDLASSARICERRFYLGLNS YELMADPTIEKIQALLIGIIKAQEEFDIQRCWTYLSLAFNMCQTVGLHRSSTTKGDPS TLAETKRHVFWLLYTIDKNISLNLGFTSHFQDHDIDTDLFTPSDHHQYRSWDLMTLVT AEFATIQGRVYDELYSISASRASDEKRLNAIEKLSVDLIAVRDKLLAIDVSAGLYADS LHGMAACADFITYSVLTVIYRAETRPRNAMAISSRCYEAATLALHSHLKCFTYFRGRQ THKQIEYVHWILLYPSFAPFVIVFTHAITTASNADLSLLQETVKSLDLIKGLSRGSRH LYTICEAFARAAQVLVESQQTLMGLEQHQDGSLVIPSTIDGPANISLPNVPWPEDTFD STMSQEDISMFLNDFIGANRSVMDILNSNYMNDTLGEQPRT AOR_1_1580014 MSASSSVNNDQPVDMPRNRRANGSANSSEKPVSKSLSPTETHME FGGPAGVTALMLGFPLLMYYMYIGATLYDGHLPTPQNGETISDFLYHLFDLAYTHAFP HRRAWIIYWTFLILQGIGYIYLPGVYGKGKCLPHLNGKQLDYYCSAVSAWYITIAGSL ALHFTGVFRLDTLINEFGSIMSVAICSGFLVSIIAYVSALARGAQHRMTGSHIYDFFM GAELNPRLFKWLDMKMFFEVRIPWYILFLLSLATALKQWEELGYVSGEVCFVLMAHFL YANACAKGEELIITSWDMYYEKWGFMLIFWNLAGVPMSYCHCTLYLASHDPSTYRWNR VALAALFAMYLFAYWVWDTCNSQKNMFRAQERGFWVDRKTFPQLPWKYIENPDKIPTV TGDSILCSGWYGMARKVHYTCDWFFAFSWGLITGFNSPFPWFYSVFFTTMIIHRVIRD IQRCRERYGEAWAEYERRVPYLFIPYVI AOR_1_248014 MNTLLSVLYENVDTLIRRFLLRTLQKPEELIGNIKTGIGGVILK VVYGYTVEFHDRDPLVDLVGETAVAFGRINQPTGYLVDSIPALKYLPSWFPGAGFKKE AREYRRGFDTLLNWPFTFARRQMEEGNYEPSFVSRLIEQRGSLLSLEEEVKIKHAAAA VYQAGYDTTASTITSFFLAMALFPAAQHKAQEEIDRVVGARLPTPEDRGKLPYVNALI NEVLRWNPVAQIGIMHAATEDDIYEGYLIPKGAPIVPNIWAIAHDPDVYSDSMSFKPE RFLASDGHTPERDPHTLVFGFGRRICPGRPLTDFNNFLTIARSLAVFQVQKATKDGKE IDPIVDYQGGIIGHLSPFEVSIRPRSAEHEALIRSIEVEDSISRGDSAALESVRV AOR_1_250014 MRNTRRTGLNIAFVYDPKDYYESIGFSKSECADLADDVTINGVA SALESLGHRVVHVPGIKTLVKHLSAEHHKQWDLVFNYSEGVFGSARESQVPALLEAYQ IPFTFSDAATLATGIDKGKTKMLLEHYRIPTSPFVIVPRSGEAVDYAALEDQLPYPLF AKPIAASTSNGISPLNKILRKEDLQEVVEDLRAEFKDQEILLEKFLDGREFTVAVLGS GDRARVLGVSEVTWYNPEGRKSDDLSVDFATSFSKAGRGVGHDMGHVHADPADPLVKE IAEIGLSAYQALGCKDGGRVDIRMDGAVPCVIEVNPIFGLRPDHSLFTWIAKNNGMEY QDIIAEIVDNALLRQKPVTEANGLKN AOR_1_252014 MQSDDRSVREGSDSSQTFLMKMAQPTGELTHPSQQQQLQLQQQS FQSIFGGASDTTEEIDTETDSNHRRPHSFGAAATTPAKLANKNVAPFLVKHIPEQYGP LGSRRTDKLEDLSSPNSKFCYRHRPDLKCRRQADEPSMDKLQRELETLPPSDQQGIAH VWSLFSAAPAKHRKLILQGIMAQCCFPQLSFVSATVRDLIRIDFLTALPPEISFKILC YLDTTSLCKAAQVSSRWRALADDDVVWHRMCEQHIHRKCKKCGWGLPLLERKRLRESK REIELRATTWDVSGPAQNAGGAECSAPHADDVITQKRKADSSDDETAIVKRHCSSLDA RPEPDEDYYTTRYRPWKEVYKDRFKVGTNWKYGRCSTKVFKGHTNGVMCLQFEDNILA TGSYDATIKIWDTETGEELRTLRGHQSGIRCLQFDDTKLISGSMDRSLKVWNWRTGEC ISTYTGHRGGVIGLHFDATILASASVDKTVKIWNFEDKSTFLLRGHTDWVNAVRVDTT SRTVFSASDDCTVRLWDLDTKACLRTFHGHVGQVQQVVPLPREFEFEDHDAECDNDNM STTSGDTESNSLQATLGLESNATETSVFGPSFDNGRPAPPRYIVTSALDSTIRLWETT TGRCLRTFFGHLEGVWALGADTLRIVSGAEDRMVKIWDPRTGKCERTFTGHSGPVTCI GLGDSRFATGSEDCEVRMYSFRN AOR_1_254014 MSDSESSAISNNASDLNPSPGQENSMPQLETLISHLVAAKRSLS SINHVWRANEIVTAARSALEESVVVSARTGFLRRGLNNQLRLLYSVRTEVQEVSLRGR SEFATVLKSLDVADARLRKTLDLLRDTIVHASFRPEGEESKTLHDFVDERGVGELHTT LKRSIDRTNAAQADLESSNRAFDDELQSIKEALGNYRAATQLASSRTSASSSSPSTSN DSLPSLSSIPSMLHSLEMHAQEMANLLESLVRHFDLCVTAVKHTEGGGAAARSITGDV PATVHVNGRVGPNIEEGINANLNAPLDPLSNSEYQEMVSVLIKDAAEAEDVVMEIQDR IGEMETVLENVLAQRDSVLSVYNATTSVFKHLSTLASTRLSGYIAEAHSFTRVWHEEY EQIQSGLADLSDLNTLYDGFLEAYDGLILEVARRRQVRHRVEKVLRDAKQKLDQLYEE DVNARETFRVEQGDYLPSDIWPGIGREPMRIEFRRISGGNLKGIAAEPPDQDAAAAEP KQEARAVSSGDVGEDGEVIPELPKALVEEAIARFNARMRHLP AOR_1_256014 MASTDPSAQAPGLADGLTPSHTYVPNQGYLNEDGTTPTIAGQDP ALQEDEEDEEEEYYDDIFEEDDLEAENITSSNPADLTKAYNRQRKTNELAADPNAPKW TYPKSNTQKPSINTRASVDDQIKSLTRHAAKLKLDDQQSGLAARGDRGNDRADRATSE QVLDPRTRMLLLQMINRNIVSEIHGCLSTGKEANVYYSMLFPDEEDASPIHRAIKVYK TSILVFKDRDKYVTGEFRFRQGYSKSNNRAMVKLWAEKEMRNLRRIHAAGIPCPEPVY LRLHVLVMAFLGNSKGIASPRLKDVEFDIPNPETRWRELYIDLLGYMRVMYQTCRLVH ADLSEYNMLYHKKKLHIIDVSQSVEHDHPRSLEFLRMDIKNISDFFRRKSVDVLPERM VFEYIITAEGPATVDQSEEMQEAIEKLFVARAEMPDEELDTAVFRQQYIPQTLEQVYD IERDAEKVHAGEGEDLVYRDLLASGKSAKQPDDAESDAGSDVSGGVSVGGSGSDEDDD EEKDPFDKGVPRGKRFVDKDTKRDHKQKVKEEKREKRANKMPKHMKKRLVSTSSRKKK AOR_1_258014 MYVKQIIIQGFKSYKDQTVIEPFSPKHNVIVGRNGSGKSNFFAA IRFVLGDAYTHMGREERQALLHEGSGSAVMSAYVEIIFDNSDDRFPTGKPEVVLRRTI GMKKDEYTLDRKNATKNDVMNLLESAGFSRSNPYYIVPQGRVTALTNMKDSERLNLLK EVAGTQVYEARRAESLKIMHETNNKRTKIDELLDFINERLSELEEEKDELRNFQEKDK ERRCLEYTIYSREQQEISSYLDSLEEQRQTGVEDTDLNRDRFIQGEKEMTQIDAEIAE CKQQIEFLKVDKAQLEDERREASKALAQVELQAKSLTDNQAAAQAQKSRRDEELKSVQ AAIEEREAELQQLIPSFNSAKDQEDAVKAQLTEAETSRRRLYAKQSRNSRFRNKSERD KWLQAEIKDNYTSISTVQGVMAQTQEDIKELENEIALLEPETERLRKQIDGRGDTIQS VEQQVQAAKDERDRLMDQRKELWREEAKLDSILVNATNEVDRADRSLSQMMDHNTSRG IAAVRRIKRQYNLEGVYGTLAELFDVNDRYRTAVEVTAGQSLFHYVVDTDETATKVLE ILQQEKAGRVTFMPLNRLRSRPTNMPRASDTIPMIEKLQYDRAYEKAFVHVFGKTIIC PNLQVAAQYARSHGVNAITPEGDRSDKRGALTGGFHDSRQSRLDAVKNLTKWRDEVET KKSRGNEIRKELEHLDQLITRAVGELQKLEQQRHQVQNSSGPLRQELRSKRDLIQKKN DNLEAKRRALRNIETNLAAVTDQVNAFEAELKSPFQKALTNDEEARLESLSATAQDLR RQYQELSSQRSELEARKSVLEVELRENLNPRLDQLVGQDIDMADDGSQGNLKETQREM KRLSKALEKLGQRLQQVDESIEQANARMAELGQRNAETRRELDELAKSIEKHQRRMEK SMQKKAALTKQAAECAANIRDLGVLPDEAFTKYKNMDSNAVVKKLHKVNEGLKKYSHV NKKAFEQYNSFTKQRETLTNRREELDASQKSIDDLINVLDQRKDEAIERTFKQVSREF HNVFEKLVPAGRGRLIIQRKTDRAMRQADELDSEDEEARNSVENYVGVGISVSFNSKH DEQQRIQQLSGGQKSLCALALVFAIQACDPAPFYLFDEIDANLDAQYRTAVAQMLQSI SDATNGQFICTTFRPEMLHVAEKCYGVSFRQKASTIDVVSREEALKFVEEQKS AOR_1_1582014 MRGFGGNQRDFGAGDNGMNGFSGERQEGVDEMDVDEMDVDEMDV DEMDMDEMDMDEMDVDEMDVDESNAGRDRGAWEESEDIQRGVTEGGQMSTSHRDIQCR RMELIREREYHYHKLADIQNRLEGLENGARMEDPRIDCDGDTEMIDRKIDYDGDTEMT D AOR_1_262014 MTREEAPPAFNLTDIDRQVLAQTDEEFVLHDWEDLKAIIARNDL GILKRKPSDLKRYLAWTADIKAQYGTITNYICQRRLGWHLPDPDTTTTGGATTDSGAV FPFKNPTPFADPADYKILRNDWPYGVTPDINHLVVWLRTPVPVKPENGDVTDESRALI EDFVQRTFVARLAQEGKRFADPKEHVLWFKNWTALQSVRSLEHMHVLVRGVPEHILRE WTGEELLKN AOR_1_264014 MSAFASENLTSALLVVGTAIFAVLVGAKFLGGSGKPRKVLNPTE FQNFVLKEKNEISHNVAIYRFALPRPTDILGLPIGQHISLAATIEGQPKEVVRSYTPI SSDNEAGYFDLLVKAYPQGNISKYLTTLKIGDNMKVRGPKGAMVYTPNMCRHIGMIAG GTGITPMLQIIKAIIRNRPRNGGNDTTQVDLIFANVNPEDILLKEELEQLVKEDDGFR VYYVLNNPPEGWTGGVGFVTPDMIKERLPAPAQDIKIMLCGPPPMISAMKKATESLGY TKARPVSKLEDQVFCF AOR_1_266014 MGRRRQQALAKSIQFALFRDPKTFRKTLIGRIIAFFSIFYLKLL RYEPDVFRKLRNEIWEISEEQYRACFLSGKDKALPLLPMGDLGFSGSTFFSTSNSAFL VKSLPRHFEHSFFRNDLFQPYYEYITSHPDSILVWITDYIYAAYTSLGTLVKTTPAHH IIMENMLCGKEKDPAAEKWETYDLKPIDYFFPERDLVPDPLVSQETLSRLADEFPDKI RLTQSDYDDMKRMIEADTAFLASANAVDYSLFLVRFPASSNPDVIGKKSKWRIGLAST DGKWKYRAVLLDFFWAKHKLHAQAMTGVIQTFNVIGRQGPMTITTTADEYREKFLALM DSIMEVYEP AOR_1_268014 MASAADLTVAVEGLKLQSTTETSKFPNSFPSLNPVDIYREHIAE KLGAATGIDSEKIYTRLQWSSTLDKGDLLLPVPALGIKGKKPQELCEELAQKFPESDL VLPPTCVGIHLVFFFKPQPLTHTVVSRILKEKAAYGTNGNQGLRDPSDPSKGQKKIIV EFSSPNIAKPFHAGHLRSTIIGGFLANLYTVMGWDVIKMNYLGDWGKQYGLLANGYKQ FGSEEALNKDPINHLFDVYVKINNVVAQQEGPIKELKEQIKAKKEKNEDVSELEQELQ KLVDASEDESARRYFKSMEDGNEEALGLWRRFRDLSIQKYRQTYARLNIDFDVYSGES QIKNESMTAAYETMEKAGVSEKSEGAVIVDFTKHGAKKLGKAIIIRKDGTPLYLTRDI GAITEREEAYHFDKMIYVVAMQQDLHLAQLFKITELMGHKDLASRCQHVNFGMVRGMS TRKGTVKFLDDILGDVRDKMHEVMKKNEEKYQQVENPEETADILGITSVMVQDMSGKR INGYDFNLDAMTSFEGDTGPYLQYAHARLCSIIRKSELNVEELGSANLDLLTETHATD LARMLAQWPDVLLNTTRTLEPCTILQYLFKMTHTLSSSYEVLKVVGSEPELKKARMAL YESARQVLYNGMRVVGLSPVSRM AOR_1_270014 MAETPELEPHNAFDTILTLDFGSQYTHLITRRLRELNVYSEMLP CTQKLADLKFKPAGVILSGGPYSVYEEGAPHVDPAYFDLGVPILGICYGLQEIAYRLD STNVIAGTSREYGLAQLKAKKVGGHVDHLFDGLEDEFNVWMSHGDKLGKLPEGFHTIA TTPNSEYAGIAHETKPIYGLQLHPEVTHTQNGTKLLKNFAVNICGCKQNWTMARFVDQ EIARIRKLVGPTGQVLGAVSGGVDSTVAAKLMKEAIGDRFHAVLVDTGFMRLNECEQV KQTLAEHLGINLIVADASQVFMEGLKGISDPEQKRKFIGNKFIDVFEEEAKKIEDAAA HSETAGKIGFFLQGTLYPDVIESISFKGPSATIKTHHNVGGLPKRMTEGQGLKLIEPL RELFKDEVRDLGRQLGIAHEMVMRHPFPGPGIAIRILGEITPERVEMARKADHIFISM IREAGLYDKIGQAFAALDPSRAVGVMGDKRVYENIVLLRAVETTDFMTAIAYPFEHEF LTRVSTRIVNEVSGVCRVAYDYTSKPPGTIELE AOR_1_272014 MPTQTTTTTTTAAAAASIQTCNTPTQYEIPVQDAACAVPYKDQY TKLLSKCCNNAPVSAYDNDCAIYCLAVGQSVQDLTDCLYDAKVDWGDVWCFGNTSASA TGSPTGSGIGVKETGSATGKETGKGKATGSGTSTGGAVEETGKSMAGVVTGREVSRVS IWVVGWLVVSSVFGGFV AOR_1_274014 MPFSSEADFDSALASVRTSFARGKTKDKKWRKRQLQQAWWMIED NKERMQEALHKDLNKHPQETMPFEIAECHADILHKLEHLDEWTRDVKPERTNPLNFLG GATVRKEPKGVALIIGAWNFPYLLLLTPLFDAIAAGCAIIVKPSDVATACQDLLLEII PKYLDTDAIRCISAGAKEMGYILEHRFDHIFYTGSAAVAKFITAAAAKHLTPVTLELG GQGPAIVCPSADIELAAKRIAATKFMNAGQICLNVNHVFVHPSVRREFVDHLMHYFDI FLGGAPELLPKYYSHIINERNFDRLERLLQNTSGNVVYSGQRNRDDLSFSPTIVTDIE IGDSLLSEELFGPILPIIDADLDTAISVINSMDHPLAIYGFSKSQEDKDRILAETLSG GVTFNDCMLHVAAKGAPFGGVGNSGMGKYHGPYGFLEFTHLRTHIDPPTWMEKLMGAR YPPYTSDKLRKLYKPTKAPFDRQGNKLRWTRGWLYVLAFAVAGSVAARTGLFNALVNS GLLAR AOR_1_276014 MLEKVTPSTTEVPTATGPQDPTSEQQNGVRIAEAVTKSWSKNTL IQVYICMWLMYFVRALNGSLTSNLAPYITSDFSNHSLLPVIQIVVSIMSAACTMPIAK LLNLWDRTIALSLMLVVSIIGLIVMACCHNITIYCVAQAFITVGLTGLIFSLDVLTAD TSTAQDRALAFAFTSSPYIIMAFAGAPLSASFNEVNWRWAYGTIAILLPVVTVPLIII WELAKRKAQETETLAPVHEERSLAESIHYYIIEFDIIGILLLIAGFSLFLLAFALAGS QPQKWHSTHIICMIVIGGVTIIAFAAYERFFASKPLVPYNLLISRTVTFTCLLNLTYV IAADCWASYFTSFLQVVYHVSLTEAGYVVAISNLISPLWLVGVGFLVRWTGRFKWLLL CAIPVYLLAIGLMIYFRSPGHSIGYICLCEVLIGLGTGTIIALEQTAVTTASEHNDYA SMLALLGWVGSIGGAIGNSISGAIWTNTLPQKLREYLPDEMKSQWKEINDSLYVQLSY EVGSPTRVAIEKAYAVSQRNMLIAGIAFMALTIVWVVGIKDVRVKGRDDKGRVLF AOR_1_278014 MKGLLFVLSAIFGITLAAPATCTPERALVRKEWRELGYAERKDY IDALWCLRDRPSILPSEEFPGVRDRWDDFVATHINYTNNIHFNGLLLPWHRQFVYLWE VALREECGYKGSVPYWNWALDTENLAESPLFDGSSTSLSGNGAYVPNEPMPSQSYGSL PVNAWDYNPRCLYRSLNQALLAALNNQARIDQMQASTNIRDWLAIMSPSNPDLTSSHG GGHGAVGGAMADFFASPQDPSFMLHHAFIDKLWAEWQDQDPETRRYAVNGTTVIYDPP GAPVVTLDTMVEFGELCHPRKVEKIMHPLRNGYCYTYT AOR_1_280014 MIITRPREGDVVDVTKDWTVCWKEFTEASSFDIRLTHLTSPPAE NVFIQTVTDAPEEGCITIPGRHIDSIAGGPGYRVWATRVGTSEPPFAESQTFTVEN AOR_1_282014 MNAEYYGTPSQNGYGNSGPPPPQGPLQGYQQSYPQQYPEPQNQY PPSQYPQSYNEQLQQTAPGEAQGDERGLAGALAGGAIGGFAGHKANHGFLGAIGGAIA GSIAQDAYKKHQHEGQQNIPPQGGFYPQQFPQQPYPQTGPYQQYPPQGPYPPSQFYPL NQLHHNKKSVMKRQEEVEELQDKINRVAQHMQQGPSHHKMKDLRKDMEDLQKDLRKAQ EDYQKELNKALDDDAKKRRH AOR_1_284014 MITILYQVILCFLVTSESLIKLTSASDISEETFQDLQRAAKLSS AAYANCDTNAYDITITKHINDIATGTQGYIGYSTSRKTISLVFRGSTTVIDFVNDLDS TTVSPTIPDTHFPTGAKIMQGIHRPWLAVHNDVISEIRNLLGQYPEYSLEPMGHSLGG SLTYLAYIVLKQSIPHSNITGYALAAFPIGNQEFADLGTMQGGNMFRGNSKGDGTPNE YVNQPWNFKHYGVEYYSDGSREGTIQCQGNEDQACSAGNELNFPTVAHFHLFGVDFGL LGSKSKCN AOR_1_286014 MQLPMDEATPLENGHTETAASNNKSIAIVGIGCRFPGDISSPSE LWDFLAEERSAAGKVPKSRFNVDSFHGSKDEPSTTVALGGCFLQEDIRNFDNQFFGIH NREAADMDPQQRKLLEVVFESFESAGVTLDDVSGANVGCYVASFTPDFIAMQTKDVEN MTRFTHLGMGATLLGNRISHVFNMKGPSCVVDTACSSSFYALHMACSALENGECDAAV VAGVNLIQTPEVHVGTSLGGVLSPASKCQTFDSSADGYARADGVGALYIKRLDDAIRD KDAIRSIIRSTAVNSNGRTPGISQPSVDGQEAVIRKAYARAGLNPRETAYVEVHGTGT SVGDPIEVEGLSRVFRKDQRHRPTLIGGVKPNLGHGEASSGLLSIMKASLSLERRQIP ATISVKQINPKIKTEEWGVEIVTRMTDFPSECSPRRISINSFGFGGANAHGILEEPGR QTNKVSCRQAMPRRGDLTGTMNGQSDSRISNGINGFNGHNVSSSMPYLLPLSANKLSS LQGRVERLSKVDFSAVSIADLAYTLGQRRSHLGLRGYVIARQATLAQDFHVENFTLAD SDRNLTDNKFAFVFTGQGAQWKGMARELLHFPVFADTIRQLDHELSTLAYAPEWKICD VLMDDSEDCPLNLAAFAQPITTAVQIGLVNVLRSWSILPQGVIGHSSGEIAAGYAAGL LTAREAIIIAYYRGYSVTSSAPEGAMAAVGLHCDDAHEWITRFDFNANLKVACINSPQ SVTISGDSECIDTILASLRAEGVFARKLKTDGKAYHSHHMAVIGTMYEKLLKKALSFE KQASLDLEQQDIHMYSTVVCEEVQSKAVRTAAYWRANLESPVRFSEGLTFLSEMMGQC TFVELGPHAALKLPIMQTLGKSTSYLATLNRGQDSSVSLLNVVGQLFVQGFKVDFSKF NHTCTHDTPRFIYDLPTYPWHYEKPPWNESRISREWRNTTHPRHELLGREVPGGNKTT FGWRNLLQVENVPWLRDHKLGDTVVFPATGYLSMAVEALMQKALPVGADGAGKSVALR HVDLLKALPLPEQGSIELYTELRPLAISNIRDSKFWWEFQISSISDDGPTVRAKGSIR LEQIAACGTQVPSRECRLAPQSRKLWYESIANSGLAFGPTFQHMHDIHTPDPKGVLYA EARTRTLAPAIEGAQARPRYLIHPVLLDNLFQVALIACTGGFIHSMVGKVPTRLGSVR ISLPSSPTDEGAIRSTSKVTGQSTNKIDSALFDGQQKLVAHFKDVDVTAYIGTERMEV RHPITRVTWKPDIAQVHDSATFSSALDHVLCQSDLGSFGSKANMLAALDLIVHKNPDA HILCLSTDLSLITLSFLEVLDASSIYRRFNSFSIGRLSSDGGLEVAEVRNFIMPLGLR SLQYRMASSGDHFGLVILGADIAVVDRLGSHTDGETIFLAPDVDSNRKHDTFSVLSSR SETAKNVHILRPAPTTNGSSTPDFDNVVFICRTPKHPADDHLAGHLSDDLGVPIKPVA LTDLADSPIPPNSLVISTAELERSVLAGAVPQGEFDGFKQMIEHAAWIVWITGSGVHE ESNPTLSLFAGFARAVVIEQPSTKIFSLELDPKTDAAGISRHVTKIVQNGKNAINDCE YIDNGSHLLISRIVPDERMNREFRTRQNGLASPMPLGSVGNAALSVRKPGQLSTTQFV KRPYPSLSTLAADEVVVKVSCVGLNAKDVNALSGHVQTTDARCSLECTGHIVAMGSDV RDLNIGDKVAVMYPGYFGTYETVPAWSCVQLRDDEDLRTMASVMMVFSTAMYALYHRA NLQPGETILIHSAAGGVGIATIQLAKLIGAEIFATVGTEEKKKYLIEHFGLTPDHIFN SRDSSFASSIKSITNGRGVDVILNSLLGELLHESWDCLADFGRFVEIGKRDLLDQGRL NMEMFSRGTTFTAFDMSMLAESTSPAQHRVYKGLVTRVISLLRSGDIHPIEPLSVFNV SDIVQAFNHFNNAKRMGKIVISFEDQTQMIPVVHEKFSTQLDPHKSYLLVGCLGGLGR SVSKWMMSRGARKFIFLGRSGIQKPAAKRLIEELEERGAQCTVIKGDITNYTDVHEAV AAAPTPLGGVIQAAMGLNEAIFKHMPREYWLNGTEAKVQGTWNLHNALSALDKEKELD FFVLTSSISGKLGTATESNYCAANNFLDAFARYRRGLGLKAVSLGLGMVSEVGYLHEH PEIEDLLLRKGIRPLTEDELVQIFDFGLTHPPTSLHPNDLMPQSHILTGLEDTGLQGH RKQGYEGYWQFLSDARFDVLTCALRRNAGKSAQGNSTQASVIQEAITSNDREQLMDAV KVVLVKKLSNIILTPVDKIDVKQPLLDFGMDSMLAAELRQYIFGAMGVDVPFLDLMDK KTSICGLATVVADKLTITSSD AOR_1_288014 MSWTKVSERRWERPVTGMEGYFVYTGSVSAAHCDGRHQYTIFSK LKIDLGISPADVESALKRAWKRLRSEQPQIATTVDGTTMVYEVPDEAALQEWLASTFV VSSAADAEDLYRNAEPIKQVTLYYIPKSSELVLRAQHYTIDGTGTLLLWDRYLTALAT PAEEVTFGDEHTRLAPSIEDVLKVSEPTAEETEKATALLMSYATKAPGVGPVSKVGTV PAGRSQYAEVTFPTRTTEAIIKVCKEKGISVTSAVHAAYIQAIIKHANPNGTLSRYVS LGLFNLRPYLPKPYSTSEYAASVYYTPLPLDFDLPAPFWETAHLLDKYYRTTVKDDPE ILPLHTHMTRILCQASQMPEYQGLIPGDAQVSSLGIVERYVQHRYGNTVKVLDVKMGV DVVLGMSMFFIYTFYDQLRLVYSFNDGYEEPAHISMYLEEVQKILIEELLA AOR_1_290014 MALDVPDGTPDRGKGVVILSIILMILVILATITRIMSKVIAHQN WWWDDLFAILSVICELVVLSLVLVWRNIGLGYHMSVVASINPQYLITGSKYLFIAIFF FDASVCLPKISAVLFYARVFRSNNRAFRINLWIIGALVAGWLISAEISTIFQCNPIAK AWNTTLPGTCIKQYDWYLSTAILSTVIDFYILLLPIPMIWSLKMSLRRRIYLLICFFM TYSVIVVSLGRLVAVVNLIPVMPTDLTWEFPLYLYWSVLEGSVSIVSISVPSGIALVK ANIRPEGSAWGSSNGSSGKRGSYGNTTIIQHVRERPMRSYSDRDSDDHLVSDVETART ANSGESDASIPLGGIKIRTDIRVMNREK AOR_1_292014 MDLVRTAITSSLERLKRSSSLTVSPPIMETDPLLPPYSSSPGYE RERRPYRHRQGRGINTTPPIPPIQFLSALFFAGAVIASTYWAYKSYTAPERTPLEPAP LLPYFASLSLILSFCSWIGYLVSILYDRDDISLLQRKLVIWISVIGKVVLGFAHAVIW FEYKQFFPGTKQPNWIIMFLAMQAWWDFLLLIGYSMLRMI AOR_1_294014 MEVLAATNPLVMQSLVAVGLAGLSNIKKSTELMTKATGEYTQAL HLINCTLKDGTQCKSDATLAATMLLGMFEVLTCNTPSSLQSWAQHVRGAAALIEMRGA EQIKWIVGMRMFTHLRVQILLALAPFCSGIDRALVPPGYGREINGRRESR AOR_1_296014 MTFSPSFNDRTDFDNATRGFIHALKPCIIRNSSGRVVWNNDEYG FLQDAECPATAEPKLWRQGQLNSIQGLFQVTDGIYQIRGFDLSNMTVVEGHKGVIVID PLTSVECAAAALALYREHRGDRPVTGLIYSHSHVDHFGGAQGVLQQGTNTSIPIIAPE GFMAEATSENIYVGDAMRRRAGYMYGMRLPKGPDGHIGCGLGMMPSSGTMSLIPPNVS ICHTGEKRTVDGIRIEFQMVPETEAPAEMNFYFPEHKALCIAECATHCLHNIITLRGA LVRDAKAWARYLDETAVLYGQKSNVLFAGHNWPTWGQDEIVKFISEQRDLYTYLHDQT VRMMNIGLTGIEIAERFTLPPALQMRYIDCMGGVDEVVRKARKYASEGDSRFAVTLLG HVIAAHPEHKESRLALASVYTKLAYGCENATWRNIYLSGAQDMHSPPPPDRPEPPKRE YRAALSMEQLLTLLSVQLDGPKAATESFTIDLDLQEQKQSWRLILSNGALTYRIKTDH DRSIDTSGLRLTLTKKELVEILNGGGGIPENSSEGDVSLLFKLMRLVGASMPPASLL AOR_1_298014 MSDAETYLDEKIHSSGSVSGLDNVEGDLGRDEVYSPREQRKILH KIDRRLVTGLGLLMCVSLVDRTNLGNAMIAGMEEELRLYIGSRYSITLLTFFIPYVLF QFPLITVIREIGPKIFLAGITFSWGIVMMGFGFIHNWTVLVGLRIVLGFLEAGLFPGS VYLLSMWYTRYDLHKRYSSFYLISVIGGAFSGILSYGFVHMNGLGNLSAWRWIFVMEG ILTCLVGVVGFFLIVNFPNENNLSWKFLSKEETAFVVRRLNRDRRDSSEAAFNLKEFL KPALDPKIWGFALIFFSATVVSYAITFFLPLILRSELKFPQAASQVLTTPPYFFAGIF MYVQGWIGDKYHIRAPLIIYNCVQCIVGLAILGWVQIPGVQYFGIFLVTSASNATIPA TLTYQANNIRGQWKRAFCSASIVSFGGTGGVAGSLVFRSQDSPRYLPGLYACIACNLL SIVVVCILSLYFYISNRRAARGKLVIEGLPEFRYTL AOR_1_1584014 MPKTTQQFTHGDYTVGWICALPETDLVASAAMLDEEHPTLPAAD PQDANSYLLGHIGECSEDEEGSDDETKDTQDLRLWDVVVSLHSKPAEAIVQYDFGKSL QGKEVVHTGGKLNKPPGILLSAVGRLQAQHALKGHGISELLIKMRSDYPASTAKFQTV LCALRWRLLA AOR_1_302014 MNLPTLIALLTTLTLHLTTTTTATPLSTRNVPGILKEFALTGGN LILLDKAVKLYEPKKEDQGLERKQTNVEVSLIKSMNAVHATPPFKKQESSLVSNVACK MQPVWVEYLHDVVVKKHEFEKAKIADRIQEHIRDLKERCHNLAVSIEEKLKPEDRETI VDRERQLDREFDRAIAAFD AOR_1_304014 METDHTKASDALDLERNDHQFLMNNTVQSFTWDNLTVTVKDRRT KKPRNLIEGCSGTAHHGQLIALMGPSGCGKTTLLNVLARRTASAGAKNTGDCYINGAK LDNNTFNRITSYVEQEDALIGSLTVEETLKFAADLSLPGSVSRSQRVDRIQTLLSAFG IQNQASTLVGTPIRKGISGGQKRRVSVASQLITCPKILFLDEPTSGLDSTASYEVISY VKKLAVANNLIIIASIHQPSTTTFQLFDNLLLLSGGKTCYYGPVSDVPSYFENIGCPI PSNTNPAEYLLDAVSSDFTVHEDQVEKIQTSWTQSAEYAALSKQPQSPDEKDIRTMSI DELSRPGIPRITMSLLHRLFIKSYRDVVAYGIRIVMYLGLAIMMGTVWLRLHTSQEYI QPFINAIFFGSAFMSFMAVAYVPSFLEDRATFTKERANGLYGALPFVISNFIIGLPYL FLISMLFSIVSYWLSNFRPTGTAFFTWVMWLFLDLVAAESLVVFVTAIFPNFVISLAL VAFANGLWMSVGGFLVSPTILNPFWKYVFHYIDYQAYVFQGMMVNEFSERNYSCGSGC QCMYQTDLADQCMIRGTGVLKEYGYATGRTGKWVGILIGIIAVYRLFGYIALVLRRT AOR_1_1586014 MHGLGSSPTSPASFRLADGLGYGLQQNHRSVIRLNLQHFLWREV FGFHIHPSVHLPPSDNSTEPSDHPAIADVATGTALWLIDVSRDFPHSRLDGIDIDLTQ APHPGWLPSNITLQHWDVFTNVPASLECQYDLVHVRLLVLVLSSVDPMPVIRRLFQLV RPGGYIQWDELDCVNMKIKKVNPSVEAPALEAIRIASHANGRHDWVLDLPRLLNKAGF QDAKLDYYDEGPDLVRAFNDQHLLTMEEFASKLMQNGRAEAAASFVKLIQAGYRSV AOR_1_306014 MTSPKQQPPTFTATPESLIQNTKHLIQHARETHNQIKRNTQPKT ATFNNVILPLAHIDNNLASKTHILVFYRAVSTDPELRSASTEARSLLDSYKLETTMDD GLFALVDAVFHQNEDLDTESHRLLKKIYLGFVRHGLRLSAGYQRYRFREIQSRLGWIK GEFQKNLAEANITGIWFTAGELDGLPSEFLSTLMRSQAGDPKLRVTFNDSDLFQTLRY AKDSETRRRLYIANENKCEQNVSLFREAILLRNEAARLLGYPSHAALRIEDKMAKCPE TVTSFLGDLHARLIDNGKREVEKLKQLKKADLESQGETFHGQYFLWDHQYYHRLMLEK QYSVHHDKIAEYFPLQSTITGMLEICETSFGFSFTELQTPEMTKLASHGHTLVWHEDV QIFRVRNSDEAGDFIGYLYMDLFLREGKYANAANFNLIPGFTKENGTRQYPATALVCN FPKPSSKAPYLLKHDEVVTLFHELGHAIHDLVSKTIYSHFHGTETEVDFGEAPSQMLE NWCWTPSVLRSLSRHYSYFSSEYFDHWKRRVDGELQPQEQMPDAMIESLLRAKHVNGA LFHLRQVHFAMFDMVVHDTGDHRVIEELDISAKYNSLLAEILPMDGPEGDDWGHGQTR FQHLLGEYDAGYYSYLFSKVYSTDMFYTVFKADPMNSLQGRRYRYTVLEKGGSLDGLT ILTDFLGREPQTDAFYKELCQT AOR_1_308014 MTGKVPHAAFCEEYDEDAHVIIPDTRQVANLAAKRSKLDLRSAG EALVDIASDSGYSSRTAATVNSTQSGPSGRKDPVPLKIDTTPKRAELERVRSHRKDRP KERPTRPSWDEKMQVGTYPAVSHHHASMQRSPSRSRRRENSQMRHFPGTCWECDQGLY HGSTPVEPRAIEYPYYMSPSTTSSVHDYPPPSPQGPRYAPSAVQDVHVSHSNRPRSGR SYTYHTNNNRPVSFHGMIPGMGGGVMYPPSHMGRYEHGPPPSSSAYTNTPAYAPSPYG QPSPYFAAMSEYGPPDRQERSASRTRDQGRPRRGSTYGPPVVDYDPPSAYEDDESLDL GPPRAPRPRLPSHSSHDRDEDYYRMPPPPVKHKPAPHVIQKRPELHRKAVTAPSVTSD RHPSRSMDLSEMRDALPEYSYRRSSRETVIPARTRSIRDSRRSTSYHESSRPARVAVE NSRRRRPTVYEYDYVDDDDEDEEEDGDEIEEKQRSAEEYQASRSGKVVPTPLTEDALY KSKASRAESDSGSQKSRSNSSRGSDARTQNGSGSVAKPEEDNNIVMTMNGVTMSFTQE SVGGKKISLRTGDAGAVELNIEGKRPKKYLTSGSDYTGSVAQRQLEDPRRARPDRRSD RASRRSSRSTYSGR AOR_1_310014 MPPVIQAGDDFPSIPATDNNDMLSGIQPSPEVEALTDRSVIAPL AEKPHSLVARDNPKYIPGDGAVDPDKINMQGLLALFALIGAAFVLAAIWFFFWAKNGG FIWRKGDWEDYKSTVLRRKGPDGETLSNATKSTKLGGGSVVGKRYDEDGYTVSGYTDT ATEITEKDGPRRKRGLKEKLLGKKHERYENEADEDVRAYRKEKPARIGGINADADGTY YGSDYDTSNPPSYYQQSEMSQVRDYAYEPSRQKSKRRDFSFTPGTEEVLSQPPTENHR IREPSTRRHNRRRERRHHAPPTSSSRQSSPRKRDRRSVPGHYTEPLDFSSAPSRSDYQ YSNVDTEDTGTRSYHHPIPGLSKGYRRDGGRSRRRDSLSDSDGDETRYS AOR_1_312014 MNLIVSDGPDAALIAKILRANNRLLSLQNKVALKRSEVQELRTA LRFKREEEADIRAEFIRHVTVAQGTLRNVQPLLQNNEALLSATGIYSDMEAEYNRAES ELERDEYMLIKAMEEFARLSQDHHSLPSPDEPPFIDHDSLGDAISTISSAPEDPPDVV DYVSRVADVRMTQEHLIDLDREWLFIQEKKEERESMNIPMDDESIEFLRTYEEERREI CDELFHLQLDMNQLRTVCFEKGHLTHEYIQGRDFVYELYPAAPTNQLEDPLKVSTEED TSPFEPMEEKVSQTKFVNKWILHRLRQSTVEIGHLKSLPEIKSLCDQGYDDRKVSQLS LKQWFEDETTISPPPPPATSDMSVQEPGSVVRDTISGSHSV AOR_1_314014 MASERDPRREPNRRRQSGASAFPRGGRDLNDQVLATSRALPRSQ PILPSPEYADFSRYHGSHTLPFGATNEQPPLPTHPSDNYTLQQGQFMSQPQSAHARMS HTGHIPSALTSHAANATSNGQFIHQNIPPPVTSGPTANETLDDRYQVQTNPKRFFKVG RVFAVVWHEGMGNAAPLKGGTKSLATKSLASDRELMTVGKFGTKIYTDIRRMVVFKEQ AQCAWCFPVHTYGRLGVAKASVDPSKHAIIYMNGAQPKSGPNEPRMTKEPLEVTPEPY QKLHAMSRLNFGKIYTVEHNQKVLPVGKISEESMAKFHLYAKRETELGY AOR_1_316014 MKRKLDANDVPSPEAADKKEKKEEDDADFESLNLDPRLRQALIK EKFTKPTLVQAKAIPLALEGKDILARAKTGSGKTAAYVLPILQTILQKKATDPSFKAT TGLILVPTRELAEQVQNVVTTFAAFCGKDVRSVNLTQKVSDAVQRTMLADYPDLVVST PARVVTNLGSSALSLENLTHLVIDEADLVLSYGYEEDINALAKAIPRGVQTFLMSATL TDEVDTLKGLFCRSPVTLKLEDKDDQGAGVSQFVVRCAEDEKFLLTYVIFKLQLIKGK VIIFVDDVDRCYRVKLFLEQFGIKSCVLNSELPINSRIHVVQEFNKGVYDILIAADEQ EVIGARKSKKSKETEEAGSSDEDEGEPEDKSKRRKVSGKEKDYGISRGIDFQNVACVL NFDLPSTSKSYTHRIGRTGRAGKTGMALSFVIPKDQHGKHRPTSTATSKHDESVLAKI VKRQGKLGHEVKPYHFEMKQVEAFRYRMTDALRAVTRLAVQEARAREIRQELIKSEKL KRHFEENPEELRQLRHDDELRSARVQPHLKHIPEYLMPAKGKKGLSSGDVGFVSFRKQ NENRIRKAREKNRGKGNGRKFAGVKKKVDPLKTFNRGRK AOR_1_318014 MMSGDRDSGATGECPSLPWRAMSSATIFGVAALCRSFLYTLSRP EVNGLESFLELLDSRSDPSQRKRGLLTVSNHISVMDDPIMWGFLPLRYNFGFSNWNRR WGFGSHDICFQGRPLSLFFTMGQVLPTHRLAHSPYGGVAQPAVTQAIRLLSKGPFPVN AHNARPERQHWSLQNVCVDPFSDLPMAYTTNGEDSHLAPSAFSCNSYAWVHIFPEGKI HQAPNKTMRYFKWGVARLILETNECPDVVPMWIEGFDQVMHESREFPRFLPRPGQDVS VTFGQKVDTDAVFGDMRRRWRDLKAKAESKAPETRDLPVGVLSDELLNGKEAVELRKE VTKRVRDLVLDVRRSRGLPDEDPKEGLVETWIQEGPKREGKMDDESWLTGELIVSAKP STVQRTMKEPIPASWDDKLDEDDGPAIAGETIFPKAVTQGLRFENLRYLSLAHPIPSA TNWNSLINLLSRLSTITHLSLAHWPVPTVTPNAVNSRVRHPTQRSLTFAYGGTDTYSS FENNWAEAAGILRRLCRATYCLKWLDLEGCGDWVPALNWDGVGPDGEAYASGPEWNGS WRDVEFVRLGPGWLPHIDDSELLPLPPSSSSGRTATASSSLALSPPVPRSLAFSMHAP SPDESTDSDALPWDVEVERVKYRREKELERYQEAVQAAKAVQQRVQRARKAGKGKWVH FSFGLEGLEEGVLRRLLGKEYLSLLP AOR_1_320014 MFKATSPLFSGLLWKIPWRISQPQKARQRKRLRSVDNVVDTISA ALARNGLKARSVDRWYREMPREEEMLPKDKYTLFDKKEKTYRKGIHKLPKWTRVSQRV NPPGF AOR_1_322014 MYQNQVQIDKSQCPSTRLRVSIRSRGSDKEETPLTKKEANLGGT TSAQITIPLRHLSNWEIKLVYGLDRKLGWLSYQLMPGRKPFHFPLLPNHWLNIRTWIV YDPASRAPIDVKRRFGDPRFNTPSPTPQRPKRKYPRVTRKVANTPRIESWRVAVNQNR KASGLKDLIKRVELYDDSADDPPDGYIDPACWLIRKPPQGHQLSARQKATYYEGGAGW QERLDDWQNIRRGYRIRKAIHEGRANRTRVKQIAAGITRFYQTLWYRRQKQQT AOR_1_324014 MVQSAARASSGSSSREYGITFECAPPSAVRPGVPFTLPVIVAVR PVGAPRDSSVQQLVVNVSLRNESGTAACPGLTGTLTSSVRSRHGNTTTGFGRFNRLTI AQPGRYRLRVMLGAASANGVTTRDYIDSGVIEVHAGAPAAQRPTPSQVTKLQSLIPEN IDLSASDIAAWQQA AOR_1_1588014 MSRTLLITGATGKQGGSVFNNLLSQDADFEILAVTGDAQSNSAQ KLLKKSSKIKLVEGNLDHPEDIFRQAQKTPVPGLFKEDSEERQDKALVDAALNNNVKF FHHLINRSKGTDMQWVILRPVAFLNNFTPDFFGSVFTTSWKIVLRGKPLQLISVTDIG FFGAQAFLHPDEYKYRALSLTGDELSYDEMARIFKRVTGKDVPLTYGFLTRLLMWAFK ELGVMFRWFHDSGYKADVRALRKLHPGLKNFES AOR_1_326014 MPSPQHPRISRPRQRTVAERRITQRGTKSTTVVQEARRPFVPDE IRPKPDLLDSEWSTREIDIGTSSAQLHMVKDDVTGSIYNISAEYIRQRL AOR_1_328014 MLDPKIQLPVTDAYVHIQLLNGGSMTARSHLIHAGEEPTTEFRL YNWAFFIHHAEQGRRMLWDLGMSSVPEDFPPAIANGPLKEVQAQDPREPIEKQIERRN GVAADEIDTILLSHAHWDHCRPVSQTFKNATVLFGPGTSEYCAPGHLVNPSSPWDGRY FDPERATERWETLQGPWTRFGPFERAMDFFGDGSFWVIQAPGHMPGNMGACARLSTGE WVMLASDCCHSRALLDGTKEFGTFELNGNMACLHTDVTAAKDTLARIRLIEKELGVHV ALAHDPTWMQDESDPVLLSLLDEKFVKDMRAAFPQQQPF AOR_1_330014 MLRPWEVEEISCVAQFYRVLLEELSDRIEEDFVTMAKEKMDARA DVGGEKEEIGAMLDWFGLWWYDESSKGSERSEHIDYLISRGMLAVRKLTSAPLSIVRR MVVTSDSEAQGKKSILRGLHESGSHEEREGNEEEFIKRQAADDNSDDESLGHCNFGWL WAVGNDKTKWRWDIDLPVNYELRNRGYVFWDKARLLKCKKFQSPRDPVKDAFEFPVGY QEYSDQPGIQTKLKDFLISWDVVREMADEIRFRENGDDDSSVIDWRN AOR_1_1590014 MFDRGKHESRRRKIDYGSPMETTYKDYAEARRSRYPNTYRETPP DERTKKDYENYSALTAESKAKNKEYLGLYKQEARRFDNYGRSDPQSFAEEKSFYKEHR AGLFPEQHERLVEPSRQRHEAMMSMANARDRYLADHRYGYYDYGQRKTHEGHRDRAYN NTLTARIDFDEHYKYAGDPASSKHDKYGRRQPREY AOR_1_334014 MSFHQSAEDIEIKDNHILFARLRNEDGDLKDAEINLDEFLGNDD GHFQWGGENFSHTADDVHFAIEGDDEVPVLRAVLLNGEGEPVESNVNLSERINNDDGA FVFA AOR_1_336014 MPKDPIYKGLGDVLGGIPLDSIQPRDGITTKVDLATLTSYNLLP KQRKTIVVPGSPSIWRPPNTPRKVKADAGKYQMDPNRHVFPKCSLEPLFRALSLTQPN MKLNDIDLVTDRRNLRLLLGFVSAKKNTFRIDVEVVNNTVLFSCWTPKAVNYVKGFHG YGHEFEKVSTRQPKAVRNSLTHNRIIRYMFGDVKIIMRYEVDGCTGSDKDIRTAMPIS EVQRTPTGYTVLKCGQLVSPSRIIEIKTGAAGKNLVISKNTEQLWFSQTPFLCAGHYD EVGNFTSITKKNHLKLGTLQKWENSHQEQLKKLATLLRVIVELAKAAAWKKFALVSSE NTLKVFGLTNQNDKGLPVDLHSMWE AOR_1_338014 MKDQNTTAPQVDIQQPQRTSIEHSLSHTTTTSSSNSSSSLPERP TTSTQSQTKSNHLSLKAGRLFVSRSRSRSKSPAPSDIPTTTRTRRSDSESTYILKRDK MARISIPRRSESPTQMSPPASPSSIKETHSTKRRSDAYHSRKSSDDYRRYSGTVNHYG RHSNDWLFGGFSLRDTVRGGVGRLRQRDDKS AOR_1_340014 MATSPDSSAADILTLTKLAWDLYHNCYLITSDAPEAFKQLVNEL ASLQGVLRALRDDVNSNASFFDDLEEGRRNTLQRCLNACSNTLQNVKEVVAKYRNLGV GDALREEPFLDNRTESSGSELDNTAVTSAVATAMQQLQQVQIRESILRPLRYEPRDKL HRPDPQLMRSFDALVRDELRIKRLSTSDWLRVAVWWLLKARAALASSDRPSLVGPRGN VTPPTGSWAPGDQAYVDLLKASYILYDIVLADTSLHAILTHENRKLISDLSEGIKDEF AHFISLDVPEYSIIQSQNLDIWEPLQPEEAAEKGSDSIIGLNNVRWATVDLEDAGDEE EKVFYRTFVNAGIGSKRLRMRTKGAPYMLLLSAREGESEPKITICNQSGTLCLQRDFL PEDLAQMIRVWQATVSGYPGMKISEPIVIRFDTKSVSVSFQHASDLQYFINLPKAYFD AVWQREPVDSDQFSETVLFRSSVEKFEQLKAPTMRPMNPPVIHKSCEVRILERSYGEA WQSVRRMVISSWVAEQAPWCIELFMPMSRVQVCRGTDSGQILVKWSDTCQERSTKTDG NYHPLYSYVYDDNSPNIGLGLQFRSQKQVEDFEKAILSMCSQSSFSWDQPTSSGYVYD VVDPSGDQKQYKAILLIQSRLTWKYCSLYYVYRDTDYVYDHRTLRVRFPRLFYTDYIS SHVDRLYPADRPVSFSHCEKKVGNMTAEFDEEPLLRSFMSSLANGYELLYSRRAVSLV TKGKSLFGARKSNKGETEVQLWRKGASIQMSSRWDEHVTDKWLTVSVMPGALQPAKDS ILAVAPKDPNMARRGGQLAINFATSKDRHDFVAALECDSVPPAYDFLTPLASGDYIED DAASAGIVPPKATRPHYRIWPHSKTTVEHTIPSTGRSYGSGSGSLRRMIRPPLDKARS LFVLPTTTTAGQNVVISYWVPQKPVMQPAAPDRGRELSSLPKHLAVDRNHRRCHSEQP RSWRRPSASLWTLTEE AOR_1_342014 MSTVAPFTLDVATCLCIAFALSFMPAAYILGRSLIPSTHMRNRV LFFWHAYDALTHIFIEGSFLYECFFSYTNLPAGFNRPPYFLDQKDRVYGAAYGTRPSS RLWQEYAKADFRWAAADANVISLELLTVFLGGPAALYVCYLLWKASSSRTSAAAKGSA KAKLWLVAPALATAELYGGFMTFAPEWLTGSSQLETSNPVYLWFYLFFFNTLWVWVPL WVLWEATKELRTAFTKAESATEARKSK AOR_1_344014 MVSKIVLVTGANNGIGYETVKALLQSTKATYHIFLGSRSLEKGN KALEALKAEVPDTKSSVDLLQLDLTDDVSIETAYETVRKSYNHIDTLVNNAGASFDGE LLAGHVSLRECFTKAYDVNVAGTHVLTYTFIPLLLKSADPRLIFVAGLSHITQAAESY FPTPRLPAGWPKMVDFETIGYRCSKTALNILMLDWNHKLKADGVKVWGAGPGFLATGL GGLTEKVREMGGGHPSIGGDFIKDVVEGVRDSDVGKVVVRNGLAPW AOR_1_346014 MTQDKPAVVCVFCGATAGKDPVHLEAARALAYEFHKNNVQLVYG GGTSGLMGEIAKTLVSLSGPKAVHGIIPRALVKVSAKNSNGKASITAMGGKTAERVVS ESLDGDEIPESEYGVTTIVQDMHTRKRLMATKVMEGGPGSGFVTLAGGFGTIEEVMEM TTWNQLGIHRVGVVLLNINGYWDGLLAWVRNAVKEGFISAENGSILAEASDVKEVWPK LLEYRCSQDRFQLNWGEE AOR_1_348014 MSYNPPYPPQSQPPYSTHGAPQGFPQPPYPQQPGYGGPPPGQYN RPPPAHPGQYDRPPVAPPGQPGYPPHGHPPPGPGYHSSPPSHSPYGAPPQQFPPHGGA GYPPGPQPGPYGGGPVGGYPLQHPQQPFHSAPPAQPSPGYNPGQIAPGDFHREADGLR KAMKGFGTDEKSLIQILSKVDALQMAAIRQTYANYIRRDLYKDVKSETSGYFRDGLLA VIDGPLMHDVTSAREAVKGIGTKEWLLNDVLVSRSNADLKAIKAAYERTFSRSLEKDV QSDLSFETQNLFGMILRADRHEESFPIDPRTIEEETKSLHSATAARMRNNVQEVCGIF ARSSDNELRAINQAFSARYNASLEKHIDKEFSGHMKDALLQMLRGALDPAMRDAELLE DCVKGMGTKDVKLVSRVVRIHWNRAHKDQVKRAYRHRYGKDLIERVRGETSGDYQRLM VALLE AOR_1_350014 MSTESPTGGPGLGDLEKELVCSICTELLYQPLTLLDCLHTFCGS CLKEWFSVQASRHRSSSSARFTCPSCRAVVRETRPNATVTTLLDMVLTANPDRARPEA EKEEIAQRYKPGQPVFPTAASPGNSTSESDDEDRRLMEEARELSLRESGPRTREHRSA RSTRARRGESADGDRRREDGRSRQQREEERAARRHARSALPDTSDRTRQIEHQSSLRS LLSLSDTETMQEEILRQILEEGLLDDIDMDNLGPAQEEELSERIADAYRRRHRLRSRS QQRNGAQEEAHASNRPRSRSQSVQRSQPASGSRDSPAHPPVSRPYLLEPLVPRSSVSG HQRRLSDQGSRQRVSPIPVSSASSSEVNLRPAARSSSDMIADRPRGSQAARVRAADSA PRTRRATASEQSIPNIWVASSNDRELRRQRPARQSVDSPTSISSLVRSPRTASFALRS EQNLANSPTATSLAPEINSPARAEGRSRPSSSRSNGPQATTYYIEPSISCDRCGRSSI QYELHKKCRLCKDGDYHICLRCYRLGRGCLDWPGFGVSAKANLDRIHASSNGLAMPSQ ESQHILLSLKYNRPSDTARRATRDGREVTNENPARRLQAGLFCDICQSSADTCFWKCN QCNEGDWGFCNKCVNKGKCCTHALLPICRVTPDSPSTPATPAAPGDVANGSAAPLAGA ETLKVLSFSTNCDICANPIPASTLRFHCLQCNEGDYDTCANCYLKLVATAKIRKENGH NGWRRCLKGHRMVVVGFEDHEEGQKRVIVRDLVGGRALKDEHLQPSSPPASPSVPRTF VAGSGIVPSPELGTGDWSWKEGAERRKKASRIRAPWSSTLGDRTNSYSEPSTPTTPTP NASTSPRFPPDGGIGLVVHALWPWYPENGVKDELMFPRGAEITEAENINDDWYWGCYA GSTGLFPGTHVFVVGEIA AOR_1_1594014 MAYFLWEDEGMVEFIDHFEPQAHDYYSSLPLMVEKTDYFRITVA TCVGGIYGDLDTVPLKPPAQWITSQDVRPWTDLETRSVYNSMKPVRALFGIEADCLPT DHTCWRMGYPYSIQLTQWSFASARDHPLLHQYIENLAHQLQKIANHHGGLQTSAARTE LQALDPLTLTGPEAVTRAAQEWLNTSAGLRWNALTGLHDGGKAKLVDDVLILPITSFS PGRGKYGNMGSKPITDPTALVHHHGQGS AOR_1_352014 MSSPLARSGLLRTRPNGVSRPLSPINVALGSSNIFSRPHCLFQS PSPCAGRVLIETAIPFALETRAYFQWTWWSVVYAAKLGAAKPPTPNYYRSRTVGNPSD AOR_1_354014 MPYTAPLKSSPFAQHIENIEPESQSCPTSPTLVDPPAGRPRLSR SYSSTAYVRRHRRSPSTSKSFTLPAQENVRKSKQTIDSHATIRQSPPPRSDALIPPGA MISPPESAPNSSDEETNYGHKDGLRLQELELAVRSIEQRRVSSPERETQEKKPSSSVT QLTNPTKKQPHPPLSKEARKISHSRSITEHAIDLKQEEAVTSSPDESDGDDDPRAKQP MVRKKSGELVRPALRPPSARRRPSSMPGTPTYAKAVHFDAQLEHIRHFLQLDKPQAVS AGGSPVDDYESEAGFPFSKDQPEMTSYEWDIRLPNFPRDVSTRAHQRVRLERLFLSPD KNTLVGVVAVANLAFHKHVAARFTFDQWKTISEVIAEYSDDVRRKQIHDGYDRFCFSI KLDEQANLEKKTMFLCVRYYVNGQEFWDNNRAMNYQVTFLKVPTSTSDSHSLPVKPIA VSRAPLPRSKTFAGSSANNQGKSASFDDLSSLNDYLSFGRNTRGAKDRPPYGIRTHDD DAVAPVRRDKQSSQIFGSRYDFETSLSAAMRTKSAHDRTTLTARAKSEVSPPGGGKYS PVKGRGTIVDRPLGDSHSQSRIQPQAEQSKPSSLLSSKPHRESSVYKELVDRYCFFGS SNSSHNTRPIPSLDKSSPHNTQTSSSQSSPTASPKTDAATGGSSRNSSPTPHSYPYIE PMQSSFLKETQRPAVIQG AOR_1_356014 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKSFLESVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G AOR_1_358014 MPEYAVSYNPELDTYSLYQRYEEPRPNKDWKTAALRGPALPALG NAAAGAVGAAISNVATYPLSLIIARLQTQNQRNPKKTAKTKAKGEGEETEGQDKDTED SNEEEEEEYTGILDTARKIYAKEGLGNLYTGLAQDTIKTVADSFLFFLAYGFFRQRRI NARYGPARKGGKQVVLPILDELAVGVLAGAFAKLFTTPLANIVARKQTSKISASTRDI AARIRAEKGIKGFWSGYSASLILTLNPSITFFLNEFLKYSLFPRDKRGKPSAATTFLL AAISKSAASSITYPISMAKTRAQVTGSSTAPSTDNGGKDDSDEGLSLIPPIISNVVSI ARTEGIQALYAGLPAEVLKGFFSHGFTMLAKDAVYSIIVQSYYLLLIALRRYPTPDEL IERARQQAEEWAEAAREGARDLAEKVKDTDASVLDHNAGNVAVEMSSSGPVPPTLDLP EGNETAELVGDYVEDEATEWRSLYHWFWEKERYGKE AOR_1_360014 MLRTYQHGQVEREHIVTSHKRRPSVESSFTSERIPLSSVQSAST SVDSLPSRSSSQVPSRLSPELSRNSPRASTRETKARQKPQTPTSPPKSRRFGLFTKTS KPELEAHSSEGSRTARRGPSAGTGHEGYDMELDDFLLDRLEPVFINGGGVDGATLART QSEQSSSGYSTSSVTSLSQKAATPKHYGYSTETLTSSTDTIGKLEKLGQESKPEKNVR AAPASTHQSKACNTERLEKPISSSNVDSVKPKPRATGSGQSRPDVRSSPSSLTLKTNG ATSQSNKSPKKGLGLKWNFFQKSRDPKYAERETPLPPPHRVQATVSPAAVRRPIAHYA LVDADSDTLENIIRNVEDSPPTEEESIDTPVEIPAALNVRKPSESILLPSPPKLNGEF RASTKVYFNKDQVPPSSQNLAESPEEQRTTRLASVGRIPRVVSRRDRQHRPALQSFSR PFSMADSPSIAAPVTTKQFDFSPPGLPTLEAQTGTYHGNPSSFAFDFTQPFGDPMSRS VLDFITGPYSSDQFLTFYPRKDSTTTITSSSGSESLAAVTAVIPEPESALNEDEVWGE YDDLIDHVLSPETARSLCPGDPEADEKFELAAMASRALQAELNGLSDRQAFPTAVENP AVALTPASPTSSTGSFHLRRSKIAPTLHSSLVLSSQPSFSDIIACYHHDGSVENLHGE DQHNLSTPSLSIKQQSSFLTSPSLNPSPSFETCRQRNTILFDIAERDREGPTAQTNIR SGSLMTSRWLSFGRVLFSPAHNHIKNGEEERILVVDGLGNDDWSFYCALTYPNAEVYK LNDAPTPTASKHPDAWQPPSNHHTIHHASLEDRFPFPKRYFTVTVLRFPAACSEHVQD NIISECKRVLRPGGYMEMSLLDLDMVNMGIRTRKAVRNLKERTYLTDSSISLKPSSDS IQRLLGRHGFDNLRRCMVRIPVAGVIVRSSASSSSTSSSNPSTLAVTATSSTALSHPS NSSIGAQAKAHSKTSSNDTDLSLGDLLSDPFPSPSNDESIRKIVARVGRWWYTRCYEI PVLANGDAGLSIWSDKKVLRECQKRGTGFRLLIAYAQKPSEKRRTASV AOR_1_362014 MATDYSKKTNAELVEILKSRSLPHTGKKAEMVARLQEDDNNKAQ TAAPAAKADNAEDVIDWEDDDVPAADAAAAKPSTEAGAAAIAAGGQGAVSNPTEVPNQ QQDTNPATTDDLTVESNGDAAPTAQGGTEAAPAEAAETTEAAPAEEKPAPDYSIGLSV TDMEEELKKRKARAEKFGITEDSKTAIEEAERKLERAKRFGTAPEEPATTGVSKLDQA LPSEKTRKRGRGENDQGKRGGKRRDFGGRNRNQTQTQTQNQSQNRRRGQGNRNQGQNQ RSNEKPASSGLSEKDKLALEARKKRFGTAA AOR_1_364014 MTASDIENDANAKVRPPGVYRSKIPYFRMLIDQGAITQDVLHFD YPGSGTEEDPYVVSWIPNDSRNPQLFDFSRKLTITLIVAFSTMIVALTSSAYSGSVGS IVTSFDVSTEVATLGLSLFVLGFAVGPLLWAPISEVFGRQRPFFASFLAMAAFSAGCA GAQNIWSLVILRFFAGAFGSAPLTNAGGTISDMFTARQRGLALSLYAAAPFLGPALGP IIGGFLSMNAGWRWVEGLLAAAGGLVWIMMALAVPETYAPLLLRERAERLSHVTGKVY RSKVELDKGRVALSTLLRRSLSRPLVLLFTEPIVLLFALYIAIIYGTLYMFFAGFPIV YEQGRGWNPGVGGLAFLGIMIGMIIGIIFTLPANMHFIKIQDRLGGFAPPEARLLQCM VGAIAIPISQFWFAWTDYPSIHWIVSIIATAPFGFGVILIYLGVMNYLIDSYTIYAAS VLAANTILRSIFGAVFPLITGYIYDGVGIHWGPSIPAFLSLLCTPAPFLFYRYGADIR KRCKYSAESFHYMQKLQENATDRANTETKQPAVQANENSTSETK AOR_1_366014 MDPQQEKKHITAEDAESFTTKILTANGVPASNATIISKCLVQAD LRGVDTHGINRIPSYMERIRQGVLDAKAHPVLNQITPVVAQVDGQNGFGFVAAHMGMA RAIEMAREYGIGFVSVKHSNHFGMSAWIVQQALDAGMMSLVFTNSSPALPVWGGREKL MGVSPLACGAPAGKEKPFILDMAPSIAARGKIYKAARRGEKIPADWALDGEGRQTEDP NRALEGVMLPMGGPKGSALAVMMDVFSGVLSGSAFAGHVTGPYDPSKPADVGHFLVAI KPDLFMGLDDFKERMDYLYQRVVGSEKMAGVDRIYYPGEIEQITREERVKTGIPFVQA EIDSLNKEADKVGVEHLVVS AOR_1_368014 MLFINVLLVFLAILHAEASPSLPDLATTFSQISSYLDNAHVSNC SVAKTELPLHALLPGPNPNLRLKYVTVGRGTQNYTCQEDSNTTAPQAVGAVATLLDVS CLAAYNPALLHNFTPVVRAVDSETLPFLALLSSQLSSPATKFIVGKHEFNAAGQPVFD LRLAGGSDWMATKRNASSAAPDDNTVNVPWLKLTSVNGTGVTEVYRLYTVGGQPPTNC QKRKGTFQVEYSAEYWFYG AOR_1_370014 MDDATLVSLWVLTWCAVGLISIRLVMRKIRGKALALVHVIIIWG TNNMSREFRYSHHFTSEEIYRRETASKFTLVNRVFYNTYLWLQKFILLDTYRHLLRNL SWERITLGTYVFIFAATYVTVQIVTFTECDPFDHYWIVLPDPGLCSQAQLQLIVLGVL NIVTDLMLIVLPVPLLVKVKRPLLEKLQLAALFAVGFFIVVITVIRLPQNAQHSTAQV NRTTWASVELFAAAIVANAPVLYGFYRGEREASRSRTTEGTSRQQSSLGRGGTVSRDP ELEMQPIPGGHLRQASMLGSKRRSRPMHGYTELDEGSSGRLVKETKDDET AOR_1_372014 MRFGYALAGILISIAGANAVTHTPIVSNAEIAYHRNYLYVGGQY VQDNSGGHVFTDQMYVEKLTPTRGVTKEHPIVFIHGQAQTGTNWLNKPDGGSGWASYF LSQGYECYLIDQTFRGRSPWFPSNGTMKTYSAEILQQRFTAAKHYMLWPQAALHTQWN GTGMMGDPVFDTYYASTVEFFGSSTGQQYTMQEAGAALLDLIGRPVVLLSHSQGGLMP WIITDVRPDLVHAIVSLEPTGPPFQEAVFSNTSSRPYGLTDIPLTFEPAVSDPKKDLV RQVIPSNSTTRSPCVIQAEHPEPRKLVNLQKVPTMILTTESSYHAPYDWCTVKFLRQA GVSTEHLQLGEIGIHGNGHMVFMEKNSDQVAGVIQKWIERQ AOR_1_374014 MPPRRSHKKSRNGCDQCKERRVKCDEKHPICSNCTSRELTCTYL KIPIVSAPGRTAHAVTDRSPDPPHQGQSQLKNQNKQPMSSVVSAEPSFVLRDLELMHK FSTDTFRCLCGDQSDMDDWQVLIPQQASKHTFLLHGILALASLHIAATATETTHVLSY LDTALQYYNMSFVPFRQALGALTPVNCDAVFAQSAIITVIGIALPRLNAQHRGECFSM IENMVSVFELLQGSTKVSRISRPWLKASMFSKYDFWMIETGDLDSEELVAIEKLSRLT TCIDDAEHGSANREAIDLLRSCFAKFARSSHPVAILAWLVYTKKEFIDGLRMRQPVPL LILMHWGVLLNELGSHFWWAMGCGRDLVTELLAEIKSEDPVWEQALEWPRKMIGV AOR_1_1598014 MASSQPQATTDTSSRSFLQISIVMSCLCAGTFVAALDATIVTTS LPSIAGHFHSASGYTWIGSAYILANTASVPSWGKLSDIWGRKPLLLCGNAIFFAGSLI CSVANTMALFLFGRVMQGLGAAALMTLVNICVCDLFSLRDRGLYFGLLSVDWALASGI GPILGGVLTEKATWRWCFWINLPITGLAFLLLWLALELDNPHTPIWDGLKAVDWIGSL FVIGSSIMLLLALDFGGVTHPWDSATVICLIVFSIVVLSLFVLNEWKLAKYPVIPLIL FQHRSGIAAFVVCFCHGFIFMGEAYYLPLYFQAVLGATPIMSGVYLLAFVIACTFSGA FTGLFIQKTGQYIPPMWLGLGLLTLGAGLLINLEATANWGKIIGFQIISGLGIGMNFE GPLLALQAIVGVKNTATATATIGFVRTMSTAISVVIGGVVFQNQMVKEGPNLVQSLGS ELASRFGGANVTANIQLIGTLPADQREVVRQAVFGSLRTTWIMYVAFAGVSLVAGFFV GAHHLSEEHEVAVLGLHGQSGDSSEDTSDDAPATRTMEMQLIKHEAEGI AOR_1_378014 MTMVIENQNRQYGGMGFDSVYHHNTPQFTDPWTAAHTTSHSTPP VYATSMASNPILNHPKQEEVSRPSPLSMPYSSIPVSAPSMVTSSTYSTTPATSYPAPE VMGLHHDLPRTSFEQTPAYTTASSMSSFAPASYAPISYAPLHHQDSRRISHADPRASQ SQPSSAPTFGDALDASRGMVALSQDLTPRNIYAPRNGRGSGDSYGFPSAHSSGSSISS GGSYPYYSASVASVESSVTDYSSTTSESYENGHLSRTLPRPSNLLTGSAPPGPQSMMS QFSSKMPSNTQKKHKCKVCDKRFTRPSSLQTHMYSHTGEKPFACDVEGCGRHFSVVSN LRRHKKVHKGEKEGASGDEE AOR_1_1600014 MALPSHCDVLVIGGGNAGFCAAISAVQSGAQKVIIIDKCPENWA GGNSYFTAGAFRTTHGGLEDLLPIVNNVDTATAHKIDMSPYTAADFTNDMERVTGKRT DRELSRVLVNDSNETVKWLAQNGVRFQLSFNRQAYEVNGRIKFWGGLALKTQDGGKGL IQDHLRTAQKLGIDVFFSTAAEKLATDPVTRAVTSVTAVHHGQVKVIKTNAVILAAGG FEANPRMRAQYLGPHWDTALVRGTPYNLGDCLEMAIRDVSAKQVGNWSGCHCVAWDAN APANTGDREISNEFTKSGYPLGIMVNRQGSRFVDEGSDLRNYTYAMVGRQILHQPGHV AFQIWDSRTISWLRKEEYRPEVVQHITASSISELAEKCAAEHGLEDKDQFERTIHEFN NAVYESQRLNDGQQWDPAVKDGLSTQSKACTLSIPKSNWALPIDQPPFLAIKVSAGIT FTFGGLEVNPETAAVVSSTTNCEIPGLYCAGEMVGGLFYDNYPGGSGLTSGAVFGRRA GKAAAMASTIAVR AOR_1_382014 MSDSDFEAVRRLQAERNAAAAGKKGSRTFDPSSQRTDNSTKASL TESFDTTLYEREGADRFSGYDTSIAVNGDDEEMEDADGGHRLVGQYTATKSQMDEFAH GNGVEEEDILLGREKAARIADRETDYQKRRFNRGPLTPTRADPFAANTHANVEGEGQT YREVMALRELEKEEERVQKLIAEKQASGENGVAEHEATLKLEDKENTDAGSTVTVATG RKRKQRWDVTSESTEAAPEAPEPQETKKKSRWDQTPSLPVPGAAEEAPKRRSRWDQAP SITSATPVGNQGLATPMHPSQVGAALIPTSFGTDISGRNAPLSDEELDMMLPGEADGY KILDPPPGYAPIRNPARKLMSTPAPMASATGVGGFMMQEPESARALGKQLPTEIPGVG DLQFFKPEDMAYFGKLMEGGDESVMSVDELKERKIMRLLLKVKNGTPPMRKTALRQLT DNARQFGAGPLFNQILPLLMEKSLEDQERHLLVKVIDRVLYKLDDLVRPYVHKILVVI EPLLIDQDYYARVEGREIISNLAKAAGLATMISTMRPDIDHVDEYVRNTTARAFAVVA SALGIPALLPFLRAVCRSKKSWQARHTGVKIVQQIPILMGCAILPHLKGLVDCIADNL SDEQAKVRTVTALAVAALAEAANPYGIESFDEILNPLWTGARKQRGKGLAAFLKAVGY IIPLMDEEYANYYTSQIMEILLREFSSPDEEMKKVVLKVVSQCASTDGVTAGYLKEHV LTDFFKSFWVRRMALDRRNYRQVVDTTVDLGQKVGVGEILERVVNNLKDESEPYRKMT VETVEKLIAALGAADISERLEERLIDGVLYAFQEQSIEDIVILNGFGTAVNALGTRCK PYLPQIVSTILWRLNNKSATVRQQAADLISRIAMVMKQCGEDALMGKLGIVLYEYLGE EYPEVLGSILGALRSIVTVVGINQMQPPIRDLLPRLTPILRNRHEKVQENTIDLVGRI ADRGPESVNAREWMRICFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNN LRVQERQSRVCTAVAIGIVAETCAPFTVLPALMNEYRVPELNVQNGVLKAMSFLFEYI GEMAKDYVYAVTPLLEDALIDRDQVHRQTAASVVKHIALGVVGLGCEDAMVHLLNLVF PNIFETSPHVIDRVIEAIDAIRMAVGTGVVMNYVWAGLFHPARKVRTPYWRLYNDAYV QSADAMIPYYPTLEDDGLERSELSIIV AOR_1_384014 MANKTSPKTDTILIIGAGVFGLSTALELSSRGYKNITVLDRYPP PVPDGSSVDISRIIRSEYADPVYSQMAQEALKGWKSEYKDHYHHTGFVMLSETASNPY IKKSLDIIHAQGRTLKEFVNGNGLKEMYPDLHADLSSLRAYHNPEGGWADAEGILSLR TQGSRVVGVNVASGDFIPANQVILSTGAWSNLLLDVTHTASASGQPVGFIQLSPEEAR SIAKTPVMINLSSGIFCFPPTPGTNILKVARHSYGFATSIRSVATNRTVSSPKLGSNN ADKSFLPQEADKALRDGLRQLIPRFADRPWSNRRLCWYTDTPEGDFVVDYHPQMDGLF VAIGGAGHGFKFLPVLGRYIADRYENCAPEALRQKWRLRLPDGSGDLKIGDGSRGGPP LRMLSAEEQSKL AOR_1_386014 MGLDTMASEKEAAGGRVSSSHYDLETSKPGIEQLELIADPDDGL SEEEKAKIDRRLLRKLDMRLIPWLSLLYLASFLDRTNIGNAKIDGLQEALHMTDGQYN ASLTIFFVSYSIFEPLTNVLLKRTLPSIFIPTIIVLWGICMTTMGLVHNFSGLMAARW FLGLAEAGLFPGISYYLSCWYKRSEFGIRMAIFFSAAALAGSFGGLLAAAIAKMDRVG GKDGWAWIFILEGLATVVIGVFSFWLVYDFPDKAKFLSDVDRIRVMRRLALDQQSSTA KEHEWKSSYMWDSLKDHKTWLGAIIYMGADGALYAFSLFVPTIIKELVSYSSTRAQLL SVPPYACAAILTVSVGYIADRTGQRGIYNILASILGIVGFAMLLGTNSPGVKYAGVFL GAMGIYPCIANTIAWVSNNVEGIYKRGVTIGIMIGWGNLNGIMSSNIYRSADAPSYYP GHGTVLAYLTLFLFVGSVAEYFLLRRENRKRRRGDRDHRVEGLTPEEIQQLGDKRPDF IYTI AOR_1_1602014 MADERIVLPSIAEIEASTDILSDPSRSVKVVRVRERFAVKVGTS IAPLEAENMQFVAANSKIPVIKVHDHFVDPETQKRYIIMDHVPGTDLQKLAPSLPENQ KKTVSKRIREAPDELRRIPSKGILGT AOR_1_388014 MNQDTTLSQMDAEKKSEEIITRKPSLAEGTVTSHQGANPPDFNQ LAINNAIESIGMGRYQWQLMISCGFGFIADQMLLVSISLVMPQASKEFGPRYGTLLSA TQYAGLGVGAVVYGLIADLTGRRLAWQTSIFGVSVFTAICAASPNWAALNVFVVLSAF FGGGNLAIDLTVLAESLPRKWAFLLTSLACLWGLGNAITGLIAWPLVVNFCCPAGATP ETCTKANNMGWRYLYIILGGLCLIMSVLRTFTLGMSESPKWLVSRGELNEAVASINTM SKVNKSTYVMMVDQLRPHEHEDSKSAIKKAASMVGALFQGSKQIRSMICLVILWLLIG IAYPVYTVFLPYYLEAHGATLGDGSTYQTYRDWSISSVVGIWGPILSAFLVQVPFLGR RRSMTLTACACAAFSGAFTTVKNESQNLAFSSMINFWLNALYGIIYGYTPEVMPDAYR GIGCGLTLACGRIASLSAPFIATFGDVTTSVPIWVCCAFFGVIGIVSLVLPFEPGDSS AOR_1_390014 MNRLFPFTTKSTSTPIKEKPQTKALPSSWYRSEALYALERRAIF SKKWMVVTHRTRFTKTGDYVQLNVAGYGYFIIKDRTGTIRAFHNVCRHRAYPVIQSEG ESGTANIISCKYHGWSYGLDGKLAKAPRYQDVEGFEKEKMGLYPIHVHIDHLGFVWVN LEAGDRPSVSWEEDFEGVDQQPRLQGFDLSQYHFDHTWSVNAISDLSKYYVETKAGHI QHFNADKQDTPGLGIFSTFYFPNASITVSPSFWYMMRCLPVSATQTRMEYDVYRHNNA SNEDFTYIDEFFKQVLREDKDLCNAAQKNLNAGVFVNGELHPRAEKGPLYFQKTVREL VFDHRKREEEAGKDIWPAVPQYEASDKLQEEIKFCEGLECSGDGSLEW AOR_1_392014 MNGLEITPFITTLPKVELHVHIEGTLTPALRWKLAHRNNIPLRY PTYEALLNSYKITYNHRRELNGDNGAPTFLETYYEGCQVLCTDDDFYELAIAYFQKAK DVNVRYVEPFFDTQAHTKRGIPVEAVLNGFLRAQHDGAKQLGVKSNWIFCFLRDHPVK EGLEALRSALPWARTKDGKGKGLFHAVGLASNEYDRPPGLFEEGFLLAKEVGLHVTMH CDVDQKDVVEHMHEGIFDVCAGAGADRIDHGLNATDAPELMAALKEKNIGLTLCPHAY HRRQATEVLFPKIRKLWDEGVRFCINSDDPTYMHDVWIDGNMMKVYEYCGFTKSEMGK LVRNAVEMSWADEVTKTEILDELERVLGVEEKLIS AOR_1_394014 MSSRRPNSLANTSCDSESSHCPPYQEQHPFYQEEPDEQEISLLQ ENSSSHYSTPFADPVAESDSHRRYTLHDPGPMVFGAPEYEPVQSSGMKTRAGLNRYGT RKINLVKGAVLSVDYPVPSAIQNAIQPEYRDAEEGFSEEFTHLRYTAATCDPDEFTLR NGYNLRPAMYNRHTELLIAVTYYNEDKVLTSRTLHGVMQNIRDIVRLKKSEFWNKGGP AWQKIVVCLIFDGIEPCDKNTLDVLATIGVYQDGIMKKDVDGRETVAHVFEYTTQLSV TATQQLVRPHSDESTSNLPPVQFIFCLKQKNSKKINSHRWLLNAFSRILNPEVIVLLD AGTKPGPKSLLSLWEAFYNDKTLGGACGEIHAMLGPRWQKCLNPLVAAQNFEYKISNI LDKPLESAFGYVSVLPGAFSAYRYRAIMGRPLEQYFHGDHTLSKRLGKKGIEGMNIFK KNMFLAEDRILCFELVAKAGFKWHLSYVKAAKGETDVPEGAAEFVGQRRRWLNGSFAA GLYAIMHFGRIYRSGHSIIRLFFLHIQILYNICQLIMTWFSLASYWLTSSVIMDLVGT PSSHNKEKGWPWGNDASPIVNTFLKYGYLWVLMLQFMLALGNRPKGVVTLYTISFLYF ALVQLYVLILSFYQVVGVFTGGMLDFNFDDGLAAFIQSFFSSSGGGIVLIALVSTYGI YIIASILYLDPWHILTSSWAYFLGMTTSINVLMVYAFCNWHDVSWGTKGSDKVDALPS VTTQKDNNKRNFIEELDKPQADIDSQFEATVKRALAPYVEPEEDGGKTLDDSYKNFRT GLVCLWVFSNLLLALMITATGVDKICLTNTSTTRTTWFFQIILWITAGLSLFRFIGSL YFLGRAGVLCCVSRR AOR_1_396014 MSTITTTTTTTTTAAAAAASSSCHAKLYEIPTTDAACAMPMNST YHTLMTNCCGAASVISYSDCDYYCLAQNQTIGNLAECLIKGSAAGQVWCNTNENATAT GTASATASATGNEKLDPIGSNGVMTSSLATMDVLMLVLVAFGGIAQPFV AOR_1_398014 MQLKNAIHFTVFGCAILALAHPGHHEEHDPAPVRAYKRDVGHGL ANCAGQLEASGLQARSEARRKATVQLHRRQLITRDTDAVLNKSHQVTRPVSPSMPPNE IFKDTTQKACILGPTAEGETGPYWIPGERVRSEIREGQPGVPVILEQQYIDVETCQPI PRLYAELWGCNATGVYSGLVADGNGNSHDLSNRNRTFLRGIQETDIDGVVTFDTLFPG HYDGRTTHYHNIAHFGARRLPNNTIAGGTVGHVAQIFLDQDLIDQVESTYPYNTNNIP ITLNSVDRVVSQETENSNSDPMLNYAFLGDNIEDGLFAWITVAVNLSAVHYPYYTNVY TAEGAVEVEGTSDGDPRAIDGGLPQSSAA AOR_1_1604014 MPPATVTEYPIHHSQAKSNTERPGAPVSGSPDLTSSWRQDVHFL GDKDGKVELRSPPNFNDISDARTHLKQHLAAAFRVFARQGFDEGVAGHISLRDPGNPS LFWINPLSTHFSQIRVSDLVLVGETGEVLPDGAQNPINGPAFAIHSAIHRARPDLNAA CHAHSVYGKAFSCFGRPIEMLYQDALRFYNDLAVYPRYGGTVLTAEWATGSRLHWGRA AVV AOR_1_400014 MPRACEFCRRRKIRCDASRPVCSTCRKSKRSCVYHNAPPKQRPS AALIDSLQTEKAALEDALSRLKSAGDAERRALLDSMIVRDGRISLSDRSGGSTASSPR ARSALRTEHGVEQQLLGGHAVRMRENGGDATNSVDTGHGSDSVENETDRVLPRTYKSH VTSDGIFSSTSVIHVSRPVTSPEQPAASTESLRYQLIANAAMERQREHRLRRLTVIRG IPADLALHLLDLHWSRQHHTFLLTYRPAFMRELEHGGPYCSDLLLYAVFACASKFSER PEVRSNPVDPETAGRCFFNRCQELLLVEGLMTHSSIPTVIALVMLGSTLIARGRTSQG WLYTGYAMRMVYDLGLYFDLQEPNKHNVEEIEIRRRVFWGAFVCEKLQSLYLGRPPTI RLQDVHVSQDFMDTFEELEPWEPYNERSTDIITNNIGSSPVPLAYSVTTFQQLCLLSE IMTQIIDKIYYVGATASKTIHQIEALDDALTAWYRGLPAHLAHEPWAKDPLEPPVRVA PNRIIILTTYHSLIVLLHRPFITAPSSTSNHNSVDTIGTPAFSWKRCTAAARRITSLA LSYQSIYPLHKSSYLLSYAVYVACTIHVLNTASLSVGSDGNAHAESSYLLSASLRCLD ALAVPNSGAADTARIIRKLMAAKGVPESRTPSEVQATTPHRNPDNCWQFPDYSPTDDD IGQIPPFPDIFIPGQDLLFGFMNENMPLAVFDINNPIF AOR_1_402014 MDESTILTRLSVLDTNAVSDALDFLQLKGATYGLRPLWDCPKIV GRASTVKVGPKTGTAATAHPFASVIDAVTTDDRILIIAGGLEGVSCWGDIIANASKVK GIRGTIIDGVCRDIDGSRDIAYPVYGRNVTMISGRKRMVQVGAGTAVQVGGVTVRQDD YVIADNCGTVFIPAEYVETTVELAEKITHRENLMIKDVRAGIPVSEVMHDAKFQAITE DIASAAAGVLSSTQSPVL AOR_1_404014 MDDLDDEKAPSAENVEDCAIITTIDGIQVLGLRSEDADFYRNFS DRRKKLLRKVDIRLVPMLCILYLISHLDRANIGNAKILGLTEELGLSGMQYNIALSLF FIPYVLLEVPSNILLKHFTRPSVYLGTLIVSWGIIMTLTGVVRNFGGLLTMRLLLGIF EAGFFPGSVYLCSRWYMPRDLATRVAAFFCASALSGAFSGLLAAGLNKMDGVGGYSGW RWIFLIEGLITVVLGVLTFFLLVDSPEISTKWLDQDEIRYLVIQEFIKEGGKFKEEDK KTSWKDVGDMMRNWRIYLLSYIMLCQSACNYGTKFTLPTITEAMGFTGTNAQLMTVPP YIAGAISAVLFSKLSDRYYWRMPFVAAPLLLIVTGYAIIMGLKGRLEANIGPGYFAII IACMGIYPTYPATASWAMNNLAPSKRRAIGSAFNICMGNTGGIIGSYMYLDKEAPTYP TGFGLSLAFGGSALLVAVLLELSFAYGNKRNARLTETEIREAYTDEQLLAMGDRSPFF KYTL AOR_1_406014 MARIHLYSWMTLFAGTFAQLQTFSPPGQSFISYSVNIPQTTASS GSGPIYIQLKSTQELQWFAWGQGSRMQGANIFAVYASHDGNNVTVSPRLGVEHVEPTY NSQVQISVLAGSGISNGVMTANIRCDSCLAWPGGSENPNSSASPWVWAVKYGQLLNSD SLSQPITIHDTSGVAVLDLQKATGGASDNPFLASNNSNSAGQALTIFDTGNIASRRVA HAVLMILVFVIFFPSFALMLHTGAHSRIVDIHAFFQLFTLALAISGFGIGISLAKALH LTGTYHPIIGMVAVPALILFQPAMGFLQHRYFHKTGKKSVFAYLHRWFGRSIIVLGIV NGGLGFHLARKVTSTAPVGAIIAYSVVAGIVGLVYALVIIVLPLRKQRTSSP AOR_1_408014 MAQLQYLFAFILVQLQLAGAQDSTATSNTSSSTTSTAMGTASPS AVQTVDVGEHGFSFDPDTLKVAPGGKVEFHFYPGNHSVAQASFSKPCHPMNDSSFFSG FIAPTTGESDTVFTVTVNDTKPIWYYCGQVGHCQAGMVGVINPPASGSDTLEAFKSAA SNANGDSVPSKVQGGTLSTSSPKSSSTSTSETSTHSSTATTSASPTTTNSPSPTATNI AGNLQASTDSSIVFVLALMAFGFFM AOR_1_410014 MCVIFGVLAFGTQHAYLESATERIGGRKSTQHGPFTGDTIGVML YQQACLLLPDFITLSSLKSVQACLLLGIYTLPLDASGLAWIYLGIGVKLANQNGMHRK TCEDSFDLYIRETKNLVWWTFSLLHTSLTSEVIERLTRLFNLQQDLINWWKTLPRNDI HSDHTSHPENLRRAMHLKLDYCLGIMFAGRYFIFAESSLEGNSPTSTTKLQNARPMRI NSQTRHMSSILVS AOR_1_412014 MTAVANTKRSVTGVCPAGDALDFTVNASSLVEVLGCQDILLILP IKSTSGSEPEPLPELLERVTYLERIVKRELGDISLDLATLRSLAEGEDRTPLPTPAES PVADVRRSIEPLENNITREGIYKMLSKTKD AOR_1_414014 MAHGPEGTIYRDEEGFDDTLKIDINFAQMKLIRKLKTSRTSSIF HVNYNGEPRVFKVFHNNEDTRYADDGVRGLNRSRCEIRAYCNLKLYGICDGGYVPKFY GYMLTVNPASWAPHLEAFHHDSGLPSAVLIEYLPQPLVMNSVTYSKDRMQKVAIGIQQ IHLALIEHNDPYPKNILIVPDDPERFVWIDFDAAIV AOR_1_416014 MASTARAIDGSNHDDNAQVIASRTLRKVDMRLIPLLFITYMFNF MDKTILSSASVFGLIDDTHLVGQQYSWVSSIFYFGYFFWEVPTNYLIPRLPVAKYMAA NTFFWGAVVALTAACVNYGGLLAVRFLLGIAEATITPAFMFITTTWYTRDEIPFRTGI WFSGNSIGGLAASLLAYGIGHIEHPLRPWMWMFIILGVATFLWGFVLLAFLPDSISKA TFLTPQEREFMAHRAVIAGTGRTEKTHWKWEQAVECIQDPKTWHLFAIAILTQIPNGG TQNFGNLVIKSFGFTSLESTLINIPSSVVSASTITITGWMAGRYRQMNCILIVGIVTL SIIGSALIYARAHHVPLGAQLFGYFLLATGPGALPLAMSLVQANYRGVTKKMTMTAMM FVAYCAGNIAGPQLFRASEAPTYQTSFRAILICYIISGGLAVSLRVYLQFVNKRRDRE EGVQGNAGLSGAVGGKVVEERRRGNNEVSDLVRSVDLRPEDYEDVTDWKTVGFRYRL AOR_1_418014 MVFGRKKDDDDSSNRRALFGSRSKNKSPAPPANPYAKPIPTDPY TKAKINAGVAPLPVGEQPPAHNTPAGGNVPGDNKLQGGYAPNKFVNQGGYGGDRFGGG GPAPAASRYGPGGYGGLGSADPNDPGDAGRDALFGGARERAQQQQPQGGAPPPPYSEG NPSYGGGSNAYTTSTYQERHLTAEEEEEEEIQTIKQDIRFIKQGDVASTRNALRIAAQ AEETGRETLARLGAQGERIHDTEKSLDIATIEGRIAEEKAKELKTLNKSMFAVHVSNP FTSARRRRDRDEKILNTHREERETREGTRKEAFVTNQRMERTFREIDREAGKHNGRAR TNATERAKYQFEADSEDEAMEDEIEQNLDLLSGAAGRLHGLSKATGRELDEQNRHLER IMQKSDFVDDQIAMNRAKLDRIR AOR_1_420014 MAPYPGSDADYFKDKARRDLLTLLEGVRGKKNLVVSQDLAGPVG LFVKFSLLQEYGVDRVFLLENANVDSSQRNVVFLVHAEKTRQVRTVADQIKRLQRNGN VEHEFSIFWVPRRTLVSNAILEDAGIIGDVNIAELPVYFIPLEQDVLSLELEDSFSDL YLHKDPGCVYLAAKALMGIQQRHGYFPRIIGKGDNARRLADLLLRMRKELDAEESSGL TDLSARGLLPSADTESLIIIDREVDFGSALLTQLTYEGLIDETVGIKHNQADVDTAIV GPTPVPQAQESSKAPQQTSKQGQKRKIQLDASDQLFSQLRDANFAIVGDILNKVARRL ESDYESRHTAKTTTELREFVNKLPTYQLEHQSLRVHTNLAEEIMRNTRSDIFRKILEV QQNNAAGADPTYQHDSVEELIARDVPLKTVLRLLCLESCMAGGLRPRDLENFKRQIIH AYGHQHLLTFSALEKMELLQPRSSATAMLLPTTGTQPGSKTNYGYLRKNLRLVVEEVS EKDPNDIAYVYSGFAPLSVRLVQCVLQKPYVLSLIRGGSVAASASPSPASTASPGWLG FEDLVKSARGATFSIVQKGDDKAIRARQTLSGNNATKTVYVFFLGGITFTEIAALRFI AEQEAPRRKIVICTTSIINGDKMMDAAIEKQDFTKTE AOR_1_422014 MDGLLTEVKTVTHDRDLSLSIAKERPVHGIERHEAISKADTDAD STSADYILTVLKSKPDRAGLSDVLNVLDPSNKYVTPKVFDIRVPSPTTAQILNVLGTI TVPDHWASLNARSKGSTSEDNKLRAALLRCFSSVCGISCLVTQLRSLIATARSSSQQG KASGSQIQIRDLLTILSALLKPKDLILRIYMDIETLYSNATQQQLAWREFLSSIAASK VLSATAEALTLAGDFDGLSTILWIGDGAQYTSWLGTNICHMVSKLDLDNQDAWKAVAS LTGRALSLGYTDHLARELYTSLLVNETLRERYGSLFDTLRPMEQLAVLEATFREVEKK YFLALIDQSNEAILGQRVNGVAGLCSSIIGEREHIKTQLLDWLSKGQGGSIQTTGLRR ALLASFTYQKESMTTLLKKSLEQSSDKFYIKHAPIVSQEANTQVLLLAAGYLKRLDPN AIMEIGRSSAFLNTVSNRLAASSSKARFLGMIIGMSISQLIEQPGKAMKFDLEEMEGD EALWYFNMVNTQDSAGPLESIVPTDSASKAQQPAKSSPTSARATRKPPPRTAKIVAIE EIESENEEPEENEDLIPYEKPDEDPYDSDEDPTLVQRNKPTAPVYIRDLIIYLRDTEN IERFELAIRTAPSLIRRKTDFGTELAENTEELALVIVGLQEQSKFPKFHEYRLQSIIA LIVSQPLKMGRWFTAMFFDGDLSQVQRSAVLTGLGLSAREIAGNGENDAKTLGLPTLP DASFPSKKLPTNLEALYSGNESPIASLTKKLAQTSLQPLAANAADSLSGPNALKVRTF SSRMEVEKQRQQREAQRQKSTAKDLYKVLAEGFFYPLKSRFEMMMLQFSSSTAPSYNP FITPNLLTLFIQTLTLTLSTMGPHTPYLPTVTEDALTFLLSLHTRPASDDPTILSALL ALFLTIVDLNVASGSTGEERLVTELASQVIELREWAGEVFDRTPAVKRDEPREQVRTL AAGVMVKLGEVMERYQGRLMGVNSGFKY AOR_1_424014 MASADSSLRWKHLHKVLTKPGPFSDEDWVPGSETISALETSKIL VIGAGGLGCEILKNLALSGFKDIHVIDMDTIDISNLNRQFLFRQADIGKPKAEVAAAF VERRVKGVKITPYVGKIQDKDEDYYMQFKIVVCGLDSIEARRWINATLIGMVDPENPE SLKPFIDGGTEGFKGQARVILPTLSSCIECQLDMHAPRPAVPLCTIATIPRQPQHCIE WAHQIAWQEKRKDDPFDSDDLDHIGWVYNAALERAKQFHIHGVTFQMTQGVVKNIIPA IASTNAVIAAATTSEALKIATSCNPYLDNYMMYAGEEGVYTYTFEAEKKPDCPVCGNL ARNMTVDPDMTLQEYIDTLGDRPEAQLKKPSMRTEEKTLYQRFPPQLEEQTRANLQRK LRDLVEDGQEIAVSDPAYTIDFRYRLLFK AOR_1_426014 MAPLVPSQAELDRRRLVDINLETVSNIPSTDFPGHWPGESHEWN LEKFRNEFNVEFHRNERFESSFSLIGVDASIANAFRRIVMAEVPSVAIEFVFVHNNTS VIQDEVLAQRLGLIPLKGSVDGINWMRWFKKATEDDPEGSDPSDYNTIVLRLDIECTK NPNADATENDPRKLYKNAHVYARDITFHPVGRQEQFFTGEDAIQPVNPDILIAKLRPG QKIDLEMHCIKGIGADHAKFSPVATASYRLLPDIQILRPILGEDAKKFAKCFPKGVIG LEPVTREEAAKQGSGYEGHEGETKAVVRDAFKDTVSRECLRHEEFQGKVKLGRVRDHF IFNIESTGQFDSDVLFLESVKVLKLKCARWKRGLADLMR AOR_1_428014 MEGKREKSSLDNRQREEDSVEERTVIYRFALNSSNSSSSFSPLF RMAASFTRLAGSAPKRLCLRPSTFTKYNAIPRSRSIATTLPRRQAEPTSYQATRLIPT DPTFTSLANKEGPQEADVAAGLESESEGVGRKIRHYTVNFGPQHPAAHGVLRLILEIN GEEIVRADPHVGLLHRGTEKLIEYKTYMQALPYFDRLDYVSMMTNEQCYSLAVEKLLN IEIPDRAKYIRTMFGEMTRILNHLMSVLSHAMDVGALTPFLWGFEEREKLMEFYERVS GARLHAAYVRPGGVSQDIPLGLLDDIYQWATQFGDRIDETEELLTDNRIWKARTQGVG VVSAADALNMSFTGVMLRGSGVPWDIRKSQPYDAYDKVEFDVPVGVNGDCYDRYLCRM EEFRQSLRIIHQCLNQMPAGPVKVEDYKLSPPPRAAMKENMEALIHHFLLFSKGYAVP PGETYSAIEAPKGEMGVFLVSDGSERPYRCKIRAPGFAHLGGFDQISRGHLLADAVAI IGTMDLVFGEVDR AOR_1_430014 MFAGKRLSKELLKMKEHLPPGISIAKSENLEEWQMDIRVLDENP LYQNQVYRLKFTFSSKYPIEPPEVQFIELPSTSETPRPIPIHPHIYSNGIICLDLLSS AGWSPVQTVESVCMSIQSMLTANTRDERPPGDSDFVSYNRRRPRDINFFYDDDNV AOR_1_432014 MSFTNMLNKLSGQPESYEKKQLYKFGRTLGAGTYGIVREADCSR GKVAVKIILKKNVRGNEQMVYDELEMLQALDHPNIVHFVDWFESKDKFYIVTQLATGG ELFDRICDYGKFTEKDASQTIRQVLDAVNYLHERNIVHRDLKPENLLYLTRDPSSPLV LADFGIAKMLDSPSEVLTSMAGSFGYAAPEVMLKQGHGKAVDMWSLGVITYTLLCGYS PFRSESLSDLIEECRSGRIIFHDRYWRDVSKDAKDFILTMLQPDPSKRVTSEEALKHP WLKGESASDRDLLPEIRAYIARSRLKRGIEIIKLANRIEALKMHEEDEDDIPSPADMA ASAEESDKSGSAPAESSASGEAEAGTTKKRSLSKVARGAIFREVVLAKVREQKDTEER ERLEREAREKTTHA AOR_1_434014 MTGISPVADPLAILPPEIVLRILEFTPISALASLTAVSKAWHEF IDVTHQEAIYTSESKTVQPPGGARDFSFLSDNTSFTKLFEGTTSWKDLCKRQTLLARN WAESQPVCRESVVQIGNDPVWRFRPDFKRRFFVSTSHAGGLNVTDMDTGQLLWRLPST LDSDEDAVRPYAHLEYQDGMAVFDREGDAVEVWQADLEGAARGEFRRIAVLNHDCQTR GFQLSYWTLCVVSSQGRGFVYDMTQRPPKLTTRVEIEHGAIGHLDQSKDAVIYSMGPG GYHAYDKTTGAFLGALQPSHCTDKYHICPPAVSSISASAALAGAMRHFPPNRTFPLGA PRKDSLTPLKIAKGPLPPPSDPGHVRHGEDEWGAGMLHGNIFVGFSRAGRVFVCSNWR KALQGPDSLAAHSSLIECESDGSGFDLGGWLSVRNHRLMFEIEDRIYVVALDDDNRIQ DIDCTARASYSLLTSSAPQLAVPVSFMALYDDAIMTTYTTLGWRQPLPGDAAPQEQEA HTRLFPTKAIRVVSLAPDLSNHKSSSADTAQGTTAMDSSSPEAWRSPAQTGLLQLVSM LGNELDDFDDELEIMETEDDDEGWEDADETESEDHEQEHTAARAHESA AOR_1_436014 MPFNILLWPVIAFFLYSLLTAIWYIYFHPLRRIPGPKSWIIFPI MRHASAIRGRFDIDMRQHHAVYGPVVRFGRDEVSFITAEAWKDIYGHGHQQLPKVLSS ASNMLDIISSNDTDHSRYRKALSHAFSAKGLQAQEPLLNSYVDKLIERLKGIAESNLP ADMVKWYNLTTFDIIGDLAFGEPFGGLDNSEYHHWVSTIFESIKAIPFLKLKDAYPLA FKAILGLIPKGIMEARKRQLEHSRITVQKRLQTSSSYNRGDFMDSMLRNRGEKDSLND SELEANSNILIIAGSETTATLLSGATYWILRNPEALAKLTDEVRSVMKSEPEITAQKA SAELPYMLACFDEAFRLYPPVPTGLQRRTLVPTRISGCDIPAGTKVSVHQSAAYWSST NFHAPDRFIPERWLPEAKSDPSSPFYSDNRGVVQPFSTGPRNCIGRNLAYAEMRVILA RVLWNFDLELCEESTQWSDQKAYTLWEKPPLMCRLKLRESFRG AOR_1_438014 MQASKPKSVGSIDKKRARDRRAQQKLRADRLNHTHSLEAEIVLL EQQCKAYEKEIQELRTENEALQSAQQRIREVVCTSELDNNETLANCDGRPPKSAKVRS AIPHVCLHHTAQYPTVSGHPSGFFGYPQNESAPPIGSKVQSSNGTPLSPAKFTGLNPV CDLDLSLWSRLPLNFAQEPHISENLYSCFNRPDLVQASPDEPSPVELLYGSSQNFLAD RIHKATRFWPICDPERLASGLLTYNMIKWLTRPSQESFGRLLGFQRPINDQLQRPHPR CIDFVMWPVLRANLVKTFHKYDLKLVFAAFTCSLRIRWPWGKSFLEPDVTNSLRVHKE FYDTFTKIEGWGLSDEFQTQYPELLVGMDIGTLNYSIFDPMPAS AOR_1_440014 MLEADLCWPLWEESSVEGAFKLDAPEGNIGQAVITKVERPFLLG YRPAKPNGRGVLILGGGGYVQLMVGREGIAAAKWLTSLGFHAFVLVHRFPTADTGAEA PLNDARRAFKLMSESGLIPQGQGICGLSSGGHLGAALMAQYPETWTSPDLDVPQAQFA IIGYGPISTNAAGRTIVANKPPLPPLEKQALYDELQPDVQLNAPAPPTFIVYSNNDPV VPVVNAYRLAEGITKSGGSVELHVFADAPHGLAFDTENLPVSNWPLMCEAWLRQHSWI E AOR_1_442014 MFRFVEYASVENSSEDRQLQPSLPASNITFVNENDADIESNSPS NNMPHGRNDYQQSSQHSPSQMSNSVPTSCPVGSGSPLSPLKSEYEASLFKYFMTSLSP WFDYCDPSRHFYTYIASSASSNPILLYAVLTVAARHQRSHSERERLLADEYQQYCLES LISALDNSEKTLDESLFASAVILRLSEEMTEPSPREIVDSHTLSAHILVRIKEGNIYT SSFTDAALIVVLRQEIFVANLTQRPVGSFTDHCNIDTSLGPTSEAMWTYRIIAHAAKI TDFVYGDVMFRTKDRWKDLMRYVQDWEDSRPNAFTPIYSEAENPPSSCFPKIWYCNDC HVAARLYSELCRILLLASDPDASALRIGRFRRMQDNDDKIRECVRVICGVALTNPEFH TTRSTAGLAIGLCGELFHDPRETKVLLELLSAAEFHLGWPCLKLKEDLRRFWGLPVVE S AOR_1_444014 MAEFKIIIAGGGIAGLTLANMLERFDLDYVLLEAHSEIAPPVGA SIGLFPNGLRILDQLGCYERIANLSVQHLEVAYMRDRKGDVLSALHQMFKHLERRYGY GLLFFDRQKLLEILHDTIKHKDRVLLNRKVSNIDLIDGGVNVTTADGSVYTGTLVVGA DGIHSKVRSLMRDLGNKLQPGYFPAKEEDNVPCYYRCSFGIAQHVPGWVAGEQNIVMG NGQSQLVVSGPEGRVYWFLFDKLPQEKYGKDIPKYTQEDEADFVKQNYNLPITRKVTF GHVFDKRLSSALTPLHEIVYQKWFFKRIITFGDSAHKPNPIGGQGANGAMESCAEFLN AILRKKESHGGSLANLSDRDIEDILCETQSKRYDRAQMIVRNSHEMQALNAYENPLVS TIANNLVLPFVGNELVFSRMGQAYAGAATVEKLHVPHRSRVIPFNDELPAKPIDQNIS RLIRWGFIGSMGAVLFVTTKAFRLPFSSLGGWGESGSVIISWLGDSPGQKLLNKLVSI LSFPILDKDPSARLHLINFLPQLISPLLIYTIEAYRLGNQGSLLALPIIFTAGMQVQG IGRIAPLHAILSSLYTHEGVAGRAVPRDVASSLIPAVTLGFVLPTIMVFASNPNLAAW QHWVALWQFAPPLVNVLTVVLSAGFKRWRLSHEAPRVDGGSFERYEKHDVPVLKQVYT YAFAVQSTVHVATMAYAWSHPNISIGRAFFGLPNPFRAEWNITTISEQIATFFRYDAV TALAGYIGGNLYSIWDLRRLGYIQTRSAVKAALAVIVGQFMIGPGATWAGLWSWREDV IAGLAR AOR_1_446014 MSDREPSGKSTSRVIRRRRKCLACDACSKRKQQHQISEPNTPSP ENSCHSAPDFAWNPEATRTKTPDPNLRFPGLALRSICVFNGLPFLSSGGRQWIKAQTG EDVDLNQYQPPKRISSLRPTTTRAIHLPSKSLLFQRFQEYKSSIFSEIFPFINPQFFE DTVREAYREQSSLTCSNDSAKACIFAFMAVTSMFFNSTDNHGILDSDCYAHAAYDLVP GFFRDSVTMEGLQALLMLCLYSESVLGDQLGVELLFATATRFVFHLGGHIFPKAVDVD EFSRRSLDLRLHIRNLFWLCYIFNQEYSLRTGLPPSLDDAHCDLTLCETATTDAQNIG LSRCSPLFIPVARMAIIQSRIYRRLYSVVAQNKTDAELLSTIRDLDQLLEDWKLSIPM DSTPRSKTPIAIQLITSLSSFCLPYVTAAMIHLFCDILIHPRDKACHANLELIDMARD RMLAQLWPQAPTSFKMQVQFVKGLSIEVQRLARSAIRKAAV AOR_1_448014 MASIASRSSLFESPLVPRSPQQWKGALQGVKLLYIQQQLHPVYK TYLYFYSAVCYEEMGRAAHKYSSTKVPLLQGALDRFDICSTVLPSPIPVSSRTSEGSD FPSLGESSSPSEFSNSPSPISSLVTSITDIIDRTIQWKEEDPFISDSDSCSDTDIVVV NKIADDIANHLLVPPPLTFRKSSDEILPLTAVTHDPGHTAARSERPDRARLPPPLPIK IVPRTASGKYKRTVDSPLNNRDSRLCTDDLLIDLSQKKSEPATPHYSDSIRRYNSSIR SLRSQIDSSMNSIHVLIDEVEEKQHTRKMAKTIKRSASFWSFSPIKGGDGCWEKAEVP KRSPGKETKQERIERLGNEGWKTVGLRSAARGWKGGEYYKAYCSSILDELYLES AOR_1_450014 MTQRPISIFKRLQALSPKGSIMPDMPDPQPYYSYNHTTQTWSPV ETDSTVEPTTTTTTTTTINTNTTPLKTFTLTTWNIDFQQPCKAERTQAGLNYLSTVLL KPSDDKNNDVTPINIIFLQEMVPNDLTIIQQTKWIQDHFFITDLNHTQWRGSYGTTTL IDRRCHVQRVFRVPYSTSRMQRDGLFVDLDCQEGGETGGEIGTLRLCNTHLESLLSNP PIRPMQLHLASQFMHGSGPGETDLPTPHAAILAGDLNAFAPEDLTAPNECALRDAFLV LGGKDGTEESFTWGQQIPNWLREKFGCSRMDKVLFCGGLEVERLERIGAGEMVWIEYP DVSDEETEDEKGEEVWITDHLGLCADFRITRLGGE AOR_1_1608014 MPTFVPFPLLVSVLLLFSLPAVSSPSYFFHPPYMISLEDQARIP SSMSLFTIPLYRRFTEAFKDGWLQGRREPKMRLPVWPSSDHLARPRFGFGVSSELKQR VLHNEKFGQPLQKTFFAPGSSFASKEFFEAPPLAYCKTIQERIEEGQRSGSVGQKCYS RPGESEFPISQSSQYSSPYHQTSYPADYFSLSPHDEPIDETAPIQKLNSRGLAEEQAV KSQDSLLPPTPEPLRYCDLRENKTVFPKPSISTMDPPRGSKFLDPTSAMAAITKHKAE AIKLAREQGVAVQEMCRRAKTDTPPYEFEELIGKGAYGRVYKGHQLPSRKVVAIKVMD IDSLDYKSVRDFRDESIKDFIHETKVMKQVKDSGAKNINEIIEAISIHSQLWLVCEYC PGGSVRTLMRATGDQLDEKFLIPVARELAAGLRAIHDAGIIHRDIKAANILIHEEGRL QICDFGVAGVLQSQLDKRSTWIGTPHWMPPEMFSTRGEAHKYGSEIDVWAYGCTLFEI ATGNPPNSNLRERMQIGRQLNRVAPKLENAKYSEGLKDIVSYVLESNPSNRPTMADVQ LHAYIADTEEAYPTASLSELVRIYYQWSQRGGQRISLFHPGGAAAAEMPGTTMDSDSD WNFSTTDGFERRFSVIDLDQIAASLAEMEDEISTTNMQPPRESFDDPAERAMTKEDQA NFDERVRRGAEAMEGLFNEEKPSYTYETKNDFVPIEEKQPVSDLPLRTETDRSSVTST FIDIDIGSFDSSHYAAGASSAQPFQLADADTIKANRSSGRQSRNPSDSRSRSSSSDVS NREIQDLTYQPQSGPRPPTMDWKFPVFMQAPEQEPQTESHTESQTESQTEPQMETSQE PTSVEPESTARRATMDWTFPVMTAATGEDQDGNVDDMDNSRYDTLRAPVLDLEPAAPM RPTSIGEPGDSRPSTSASTHSRASVSISSDTDYDPFRFDRPSTPPGGIPPQRQILEND YPELLTSTDDQDDDQSSVLDGPGPDEEESHPVWQDNSGVSDPTLQSEPFPTAIPTKDL EPNPPFAGRDDSPSSEPLPTVRRAPLPSALDGMEPILFPDLVPPRLEVMHEGADEGDV TAEMDRLLGDLLSALSATGEALSRTRFGPEVANGKSSTPEIPE AOR_1_454014 MSPPAALDNANGSPAAPLTVEGIPALRANSAPIPKGVAPATSSD MFKSPACYTKPKAKRWDHILSTEAKSRKVSTLKGAAKYLKNPGLISLGGGLPSPEYFP FEELDIKVPTPPGFTPEATRESGTVVHAGKSDIREGKSLYDLEVALNYGQATGAPQLL RYVTEHTELIHNPPYSDWQCCLNCGSTFGWDVALRLFCERGDYIMMEEYTFSSAQETA LPQGLKVAPVKMDEEGLLPESLDEVLSNWDESARGARKPFVLYTIPTGQNPTGATQQA ERRKAVYKVAQKHDVYIVEDEPYYFLQMQPYAGADGEPVPPPANHEEFIKSLIPSYLS LDTDGRVLRLESFSKVLAPGSRVGWAVGSEQIIERFTRTCETSSQNPSGISQLVLYKL LEEQWGHAGYLDWLINLRMSYTARRDSMMHACEKYLPRELAHWNPPAAGMFHWIEIDW RKHPRLSSGKTREEIEEEVFQAAVNNGVLISRGSWFKAQGASEEKLFFRATFAAASSD AIAEAISRFGTTLRQEFGLN AOR_1_456014 MQARSSAATHTLQHSITACQRCRDQKVKCSRECPACARCQRLQA DCTYPSPPVRRGRKLRRSSRGVRRVADQSAKQLAQRRVIVSALDRSALGAQQSTANLS PQSNGLASPTNHTPLNKNSLTQTDNQVYHEAARSTRGTPRSGGRPSYSADGVDVEPIN VSSESYPTHLRNTNLIIEGLTSDVVRPPLPPRALGLSLLDIYFTRIYNASVLFCKPIL FQQYLDGRIPEVLLKALFALATLFLTRVNEDNNEEQLEWSELKLLNAYSSCGLPWARS ALREAMSSIYTEPSLTVLQALHCLQLYWFGVGEPRTGHLCLTLAYRSCDLLGYNKKVV DGVERSDSSLETESRRRCFWACWISTCMVMEPEPYVRLAWQEASMIPLPAAIPYIASG HQVVLNEKMDHNWCSSLVESHYEGTRTSVYAASLVKMVGVWVEVQLLVRDWASSSIAR NLDSLQRLSHLARSIYEYEMSSGDLATSRSDRGMENTPMVLFISALYHQCQITLHSMI VPLFSGTNRGPTIDPEIVKQSAETVTQHAELFEALLAPYMYGKGDITLLPPFVGYGAF ITGVVFLATEVSFQDKTSRRPIPGTLPESRRLSIVQGTLRLLNKLRFYWRALQLSWEK LDAALQLHLSCYRTQHELTSPHAITAREIYSSEPKSRLSAPVSEDSIDNEQSSAREAS VPSIGPSGEHLHQWASDRRTLEVDAMQQNQQATMSDNCIFEQSDIETADMPFTTPSGA TQDDPWYNLSFAEAGIEQFAGFEPLHLFQQGCGFFS AOR_1_458014 MLNQYCDIQTVDTAKHSYIFAQNVSIPLRTGGLLRCNVYKPRET EEGNKYPVLVTYGPYGKDVPYEQFNPKSFAEVDPAHQTEHSAWETPTPQYWTDHGYIV VRVDEPGIGQSPGKVHVKSATSIDGFCDAIEWAASQPWSSGKVGLLGISYYAATQWQV AARQPKGLAAIVPWEGFSDAYNESLRHGGILSNKFFSMWYERQVAPNQYGLPGRAARN WGPDTVDGDLSPEELDANRHKAVMHEQRYRDDKEISSMNFNLEDVTVPLLSVANLGGI LLHLRGNVMGYLWSGSEFKYLRFIVGRHDLPFYYPEEVEIQRSFLDAWLKGHDREGWT KKGALPPVDLILRKGNVGYNNPTAEKQFLRRKEKEWPLARTQYTPIYLTADNGLQRDQ PPQPAPKKLSYSALGKANASDMLTFQSAPFEKETEITGHIVAHLNVSVSRDNWGNSPS DLDLFLSLRHISPSGDEISYTGSTGDPVPVTKGWLRVSLRRTDPQHPHHRPWLPYRNY YSTDVLPVIPGEVYPVDIELWPTNVVVETGGRLVLEVSSGDTAGTGIWGHDDPIDRAE AVFKGLNHIHFGPHYANYITLPIIPNEESS AOR_1_460014 MPREDGTTRSSDLEQGSDPSKTINERAFVETTQWDEDDPAHPFK RSLAARWLTVVIVSLCSLCVACTSSIYTTTYDQILDEFHCSQEVATLGLSLFVFGMGF GPLILGPLSELYGRRIIFLSSFTFFLIWLIPCAVAKNIQTLLISRFFNGFAGSAFLSV AGGAVGDMFPRHQLAAPMMIYTASPFVGPELGPLLGGFINQYINWRWTFYTLLLWAAT MLVSIYLFVPETHHPAILTQKAREIRKETGNSWPLGPSENNNIPLSELLLRSIVRPIM LLTLEPMCLNLCVYSALLLGILYLFFGAFQIVFESVYGFELWQRGLTFLGLLVGMVLA ILSDPFWRRNYQRLEKDHQNPEASGFDPEWRLPPAIAGAPLVTIGLFIFSWTTYPSIH WIVPMIGSALFGAGTILVYSGIFTFLVEAYPRYAASALAANSFTRSTFAGAFPLFGTQ MYNTLGLNWASCLLAFLTLAMVPFPYVFYKYGARIRKKSRFSS AOR_1_462014 MLIDHFFKSNACFSYETLRAAGYSNYGGADLGEVIAITSKIRAG NEDDWLVEWKKAADRAFTSAEQSASVNNTVSAHEGYLRASNYYRTAEFFCRDDYDNDE TAQLVYERSETAFEEAMKLSVYRYEPIKIPYQGTTLPGYFVSPTGTGSPRRTIIFNGG YDSTSSEAWFAIGAAALARGYNFLAFDGPGQGAAVRRQHLYFRPDWENVLTPVVDFAL TRKEVDPNAIAIFGWSMGGYLVARGATQEHRAQAIILDDGVYDFGSAFRANQPSFVQR LVQNEYDGLSNFIFGCAQSLDTGVRWALRNGKWTFGVASEAELMRTVNLYTLEGLAQK IVSACLVLDAENDHFLKGQPELLCNHLKCEYEFVSLGADEGGDTHCHQGAFFRLHQVI FDFLARRLA AOR_1_464014 MRLAGLKLVPVIGLKSDGARDYTYCVWKKISSSLISSALQASEV LPCCGVNTIMVYTASFAFFEALWEAGVTHVFANLGSDHPSILEAMVKGQKEKPDQFPK IITCPNEMVALSMADGYARLTGKPQCVIVHVDVGTQGLAAAVHNASCGRAPVLIFAGL SPFTIEGEMRGSRTEYIHWIQDVPDQKQIVSQYCRYSGEIRSGKNVKQMVNRALQFAT SDPKGPVYLAGAREVMEEEIEPYKVNQNVWGAVAPSALPAEGVELIASELAAAKEPLV IVGYSGREARGVEELVKLADTFKGVRVLDTGGCDMCFPSDHPAWLGMRFGIHEAIKTA DVILVADCDVPWIPTQCKPSDSAKIIHVDVDPLKQQMPVFYLPSMATFRAESATAFRQ INEYVAANNSLKQTLNSEEQTSLGKRREEEYKKIRQGIADLAVVPSGGGNTDLNVSYL ISQVRETCPADTIWAIESVTLTTFVADHIAATLPKSWINCGGGGLGWSGGGALGIKLA TDHENGGKNKGKFVCQIVGDGTYLFSVPGSVYWISRRYNIPILTIVLNNKGWNAPRRS MLLVHPEGDGSRATNEDLNISFAPTPDYPGIAKAAAGGELWAGTATTVSDLARMLPEA IKAVQNGTTAVLEAQLDGTVGKHVSKN AOR_1_466014 MIYDRGDPRQAYENRLDVPEKKYTSEKVQLPPAEEVPNIDLQVV NYHRPIFGTFHAKFMVVDRRIALLQSSNVQDNDNLEMMVRLEGPIVDAFYDTALISWG KHFDTPFPMLSSPAAGAPIPSLSLMDVSHEEECQGLTLPEHTTLDQHYDLDLRDEARR VNGTLKPRPGESKTRPVTRHLNTTTQPNTTGDASDVDQDTPMTPYTISPPHEACPMAL VNREPWGGKHHSKVI AOR_1_468014 MKRYRPRVEDFTIGWICPLPLEYASAKSMLDELYDESEEHTTGR IYNHEIVITCLPAGQMGTNAAAAVTARMVSSFPSLKVSLLVGIAGGVPSHKADIRLGD VVIGQPEKSYGGVVQYDFGKTIIGGFQQRIGSLNAPSPTLLTAVSKFKSNLSASINNI QDYLDTVARVKRPHSVPDVLFEASYDHARGETCNACRKDMLVRRPQREDSNVRVHFGT IGSGNQLVKDGMTRDKISTKLGGVLCFEMEAAGVVNLLPCLVVRGISDYADSHKNKDF QYFAASTAAACARQILLYVPPPSRGVCAQSDTILDYHRQQRQTSAALQKMYLESLRFE QIDSRHRTIRMAHAKTCQWLLSCQEYKDWINPGLSGRHHGIFWIKGKPGTGKSTIMKF AFSKTPRQVKDTTIISFFFNARGNSLEKTVVGMYRSLLCQLLDKLPDLCTMFDLLPTN QLHSQTPTWDIETLKYLFSVAIEQLHGRSLMCFVDALDECDEDQVRDMLSFFEQLGEF AVDRQQRLLVCFSSRHYPHIAVNNATELVLEDQDGHQQDISNFVHSELRAGRSKQVEQ IKEEVIARSSGVFLWVVLVVQTLNKEYDRGQVHALKRRMEQIPDGLHELLKDILIRGN HDMENTLLCLQWLLYTQRPLSSEELYFAILAGIESTAFLAEWTSANVNEEDVNRFILD SSKGLAELTKSKSPTVQFIHESVRDFLRDEGFAKLNVGSVSPGPSHETLKNCCLNYVK IGLSSVPLPGTLPPVKSSKAKRLVQKTSKLYPFLEYSVQYVLYHANAAAENGVTQMCF LQDFPLSSWIMKNNLFEKHEIRRYTPAAQRMYILAEKDYGMLLETEIKLHHYEDGGSQ NASHYGSKHGRHIEPEEFKQ AOR_1_470014 MQVKLFYTLALWAPILVSAQLSGSVGPLVDFKTKAKNKTCDITD YGAVADGKTDVGPAILDAWGNCSTGGLIYVPPGTYSLATDLELKHGESTAFQLDGVLA RGHEGSYQLILVRNCHDCEFFSGNSQGAVQGYGYEYLQDGNYGERLFRFQDVSDFSVH GFAAIDSPAYYLVFDTVSNGEIYNLLVRGIADLGMTDAFDIWGQNVWVHDIEVTNGDE CVTVKSPASDFLIENIYCNLSGGTAIGSLGTGTNISNIHYRNLYMNQADACYLKTHNG DGIVKNIVWENVIVHRGPYPLAVNEAWGKDVGSTGVQVQNLTFRNWYGENTANSRPAI RIECDEDVPCYDITLDNVNLWTEDGDYVEWSCANAYGSGACLQEAKDTGDLATYTTAV TVTATPSYSATHMPGDFTTNPPSTAPFTIPPMPTSFYPGATPISTLLSLSGAGGL AOR_1_472014 MTHPYDPPIQSLTTYLYNHTISNPTAYASARIALLDALGCAIET ASKSTEAQKLLGPRVPGTIVPHGFRVPGTEYQLDPVKGAFDLGVLVRYLDHNDALWGR EWGHPSDNIASILSVTDWLCRTNEPTHHGPPMTIYTLLTALIKAYEIQGIYAQSNAFN THGTDHVILVKLASAAVVSWLLGLTESQTMATISHVWMDGHPSRIYRGAEDTIPRKGW AAGDACMRAVYLALLVRAGQVGVPSVLSAVPWGFYQRDFGGKGFEFIREFGDWMVRNV LYKVMPVEGHGIAAVEAVLVQRRRLLEMGFGVRDVERIEIRTTRAADLIINKRGPLRN AADRDHCMQFVVALALLKGGVPEVADYQDESCWVKSQELESLRERVVVVPDDRLTADY LDLEKKSIGSGLKVCLCDGTVLPEVLVEYPIGHVRNPKTSAAVRDKFVRNMRLVFSDA HIARILAAVEDDEMGISEFVNLFWLQGSSGPRL AOR_1_474014 MAIAEDGLNQCRRTNPRQFSVSPPPQVGTSTQHPFAYGANDEVT RLAASRRRPLTLADLLKHGRPPLSKDALLASANFTLSLLPARLASRIEALRNLPFIIV SNPHVSKIYNNYLHSLSTLLPYQQRQITTLEEEKHFAEVLADLVHTHTNTIPILARGF LECRRYIDPTEVTRFLDTHLRARIGTRLIAEQHLALHFASQPISDDGKLPKSTSPSNY IGVIDTALQPARIVKLCEDFVGEICELKYGVRPRLTIGGQPDATFAHVPVHVEYILTE LLKNAFRAVVEAGNEREPVEVTIAAAPDVPRNHVRGPYSVSAGTYPSHPNSDVGFEMD SVVGTADANESIKFSSPSTQSITIRIRDRGGGIPPEILPNIWSYSFTTFSDLDLQGSE NGNMDALNAMSSSSGHLSSIAGLGYGLPLSRAYAEYFGGSIAVQSLWGWGTDVYLTLQ GVGKVG AOR_1_476014 MPLYNVTLKKDSPPEELEKAKEQAREKGGTIKHEYTLIKGFTVE YPEDHVSTLESSDHIHVEQDQEVKTQ AOR_1_478014 MLLWLAYQSIGVIYGDIGTSPLYVYSSTFSEAPSRQDLIGVLSI IIWSLFMMVTVKYVLVILRADNDGEGGTFSTYSLLSRYMNITNRDPREASLVQMKRHL TDELERTSRHVRHRLESSSVAKRLLKVMGVLAVTMVLADGLLTPAQSVLGAVQGIEVV SPNISKGTIIGVTDAILVVLFLIQPLGITKLTFAFAPIVIIWLGFNAAFGIYNLAKYD AGVFIAFNPGYAFSFLARHGEEGWRMLSGTLLAFTGVEALFADIGAFSRRAIQISWLG YAFPCLLLAYIGQAAYISVHPEAYSNPFFNAAPPGTVYPALVIAILAAIVASQAIITA TFQLLTQVMKLSYFPQIKVIHTSDIFHGQLYIPIANWLLMVGTILIASIYNNTTSLGN AYGVCVMFVTFFDTCMVSLAAMFVWRISPFIVLFPWLIVACLDGAYLSSSLMKVPTGA WFTIALATVLAILFLIWRFGKEQQWFAEAEDRFPTSHFVSKDPDGQIRLTDRYGSTPL SITKGLGIFFDKAGETTPIVFSQFILKLTTMPAVIIFFHLRPIETPSVPAEDRYTVSR LAIPNCYRLVVRYGYNDEIITPDLANTITQQVRRYLITRSCDQADPSTCTPDTMTNKS HTSSVKRSTTSATGESSMVDGGRYDTSLTKLEDAYNHGVIYITGKEQMRIKKSKNYFR RIVLWIFLWIRENTRAKIASLGLATEKVIEVGFLKDI AOR_1_480014 MVVDRRPPPAYTAPPESTVHASSEIYRAISNTASDASQRTLETS FTIRPCSGQAWIVPAGHVCRLTTPKGPQVGDLNIWNANNPRERLWAARTRQIHASHVS VGDRLWSNLPYLRPLVTITGDSLGGGQLHEVLDAEGKRTKGFGTTQWGGRVHDLLGTR CDPYVNLLMGGESFDFHCHSNLTRSVLPYGLTELDVHDVLNVFQVTGLDEEGKYFMET SPARPGEYFEFFAEVDVLCALSACPGGDLSNWGWEEKGENMGATTRPLGVEVYKLNDP KVLENWKEPESPKYRGMHGLTMPQRENDGSGYVGL AOR_1_482014 MENQSNRVHRPSKEKKKHDGPNPKAFAFSNPGKGNKAGARSHDI KEKRLHVPLVDRVPEEAPPLVVAIVGPPGVGKTTLVKSLIRRYTKQTLSTPKGPLTVV TSKRRRLTFLECPSDSLASMIDVAKIADIVLLMIDGNYGFEMETMEFLNVLSSSGMPG NVFGILTHLDLFKKQSTLRMAKKRLKHRFWSELYNGAKLFYLSGVVNGRYPDREIHNL SRFLSVMKNPRPLVWRNSHPYALADRFLDITPPTQIEENPKCDRTVALYGYLRGTNFS AQGARVHVPGVGDLTVSGIESLPDPCPTPFMDQQMAKASGKASKRRLGEKQKLLFAPM SDVGGVLVDKDAVYIDVKTSNFNKGEDESEDEDRGLGEQLVVGLQGERKLLGEADGGV RLFRGGEAIEKADDEEEGAGRKHRRHARFMDGEGDHAEEDEGFESAEDDDEDELEDES DDENIDVSAPADFEASFREKQEGKSRHDEGDIAFADSDSDLGSISSVEDQVLESDGEE DLDEDDDDDDEDGAVRWKEKMLDNAKALHAKRPKYRVADLSRMMYDESITPLDVIRRW SGKDEEEDADGEIEEDADDFFKKTNNEKEEQSEYRAIPEFDYEELERKWRDEEMIESL RSRFATARLSGDGDDDDSDVDDAFDEDDEGDGDFEDLETGEVFNGISDEKEQEEGSGD EGSVDLEAERERNAKKKEELRLRFEEEDREGFANSKDNSRQDGGGDEEFGEDEWYDAQ KAKLQKQLDINRAEFDTLDPASRARAEGFKAGTYARIVLENVPCEFATKFNPRYPVIV GGLAPTEDRFGYVQIRIKRHRWHKKILKSNDPLIFSLGWRRFQTLPMYSTSDNRTRNR MLKYTPEHMHCFGTFYGPLVAPNTGFCCVQSFSNKAPGFRIAATGVVLSVDEHTDIVK KLKLTGTPYKIFKNTAFIKDMFNSSLEIAKFEGTAIRTVSGIRGQVKRALSKPEGCFR ATFEDKILMSDIVFLRAWYPIKPHRFYNPVTNLLDLEEDSAGDSGWKGMRLTGEVRRE KGIPTPLQKDSAYRPIERQERHFNPLRVPRQLAKDLPFKSQITKMKPHKDQTYMQKRA VVLGGEEKKARDLMQKLTTMRNDKQARRAAKQEERRQVYRAKVADSLEKKEAREKRER DDYWRREGKKRKNTDEDGGGGGKKRK AOR_1_484014 MLQSRSLQLFLRVRRSSLHQNIHSMWLLAELPLQPRQQASSPIP KNHRVKGIRPRGDALDRKQAASKPSVSSVHNNNFTAVPEPPSPSGIAAGHKRSATGFI KPLVEDQPSSSSGTISERRRSKSINSAGHGNRIAALSVHLRTRLSYAAAKIEASRRSQ GSQNKLPLDLLHGDRSSSTPATERFERIGRGGDIQGLMEPGSPGAITSMSVPDTLPRS HLYSINDPMRLSPTARSEDSAPSLKSDPRKSHTPLSSLPSFAKLAPPADIIPRSDSSR RRRPNPNDPTSQTQNFPYPRHRRHHSQQSISTIKRNSSSETVLVPETPPLRPLPYNGL SSQQSHSQNSSMEQDAIKTLLFMSSPGPSGYCSNSQPSRSQPNHTHSSITPTQTKHSA PGSQDTHITVDTAPPPPGYRGSGVGLEAQAGDEIDRLLDQMDSDSEDEKNYPSGHSNS VSTRSTPSGGSHGRNPLFGS AOR_1_486014 MKSLIWALPFIPLAYANGNSSSCRCQPHQSCWPSEQEWNSLNSS INGNLVAVQPIAAVCHEGDWDSSACKEVMASWTNSTWRAAQPGAVQWENWESWPEHNQ TCYIESPRNTPCGQGQISLYSTLAKSAFDIQETVKFAKQHNLRLAIKNSGHDFLGRAS APESLQILTNGMKDIKMVDKFTPAGAPQGKDEGQAVTIAAGVSLQELYAAVAANNRTV VAGSAHTVGAAGGYIQGGGHSALGPWKGMASDNALEFTIVTANGDLVVANEYQNKDLF WALRGGGGGTFGVVVSVTVRTFDDAPLILVNFNITTSAGNPQYWDAVTTFHASLPKIN DAKGGGYYWIAPDTELTENTSVSAITQTFIFPNQTDTAQIDRLYAPLISKLNGTTGVY TQYASYPIPSVGFLFSKIFLTGNSDLAGGTGLLGSRLFSRDLLSSNNGSKKLSSALRS IRVDPGSAILGHLVAGGAVADNAGKVDSALNPAWRKAITHIVIPRGWEPNATLAEQEA VKKNLTDVEIPILRSVEGTDKMGAYLNEANAYESEFQSSFWGENYQRLLEVKKKWDPE SLFVVRRGVGSEEWDEWGLCRAAK AOR_1_488014 MSPQSTPFEEGAFLPGGHNTDPPLPPNDPTIGTKLNHSMLRIRD PQRSLHFYITLMGMRTVFTMNTGPFTMYYLGFPSSAEDRADLSAWAAKVSDPANLTQT LGLLELFHIHGTEKPVDEGGVEMANGNAPPNLGFGHLGFTVPDVGATVERLRAEGVKV VKELGVTTRESIPLSEWEEKRGVGVGEIHPNYKVFFDQIAYVADPDGYIIEILPQNWQ AOR_1_490014 MKFSESEAAEVKTWVVRKLEDISDADADVLADYVLALIRADAPD EEIRKASVEGLEDFLREHTVPFVDELFATFAPKQTAPPASSHPLSQPQIFNDANAPGS GSQQQAPFNPPSGPSKGPYGPTVGNTPQSQTDSSSYGRKRNYHEGFQADQEREEAPHN RNFKTPRRGRGGGRGDWMGRDNRATPGQYAPAPAGGFPVMPPTFPSFDQNDPMAAMMA LQSMGFPQMPGMPPMPMPAPGGGAGQPQDPMAPKSSERCPFWDTQGICYLGAACPYQH DTPGIPKEDEYDPKSSNIVTDFQRRNTDTPHRGSDRGRGRGRGGDRGGFGGRGRRSEY SAAGPNEDTSITTIVVEQIPDDKLDEASVREFFSQYGDIVELSLQPHKKLALITYDSH ASAKRAWSSPKVIFDNRFVKVYWHKTKADKNGDHRQGAIEVEPFNQEEFERQQEAAQR AYEEKMQKRRETEEAKQALEKQREELLKKQQEEKERLMQKLGGNDVSNGAAPSDGESG PSPQENVSDQTKQLRAQLAALEAEAKTLGIDPNGADSGAPSYRGRGRGYLGRGGYAPR GRGYDPNHRGGYRGRGGMTRGRGGVLRLDNRPRRVAVSGVELNSEKDEALRQFLIGVG EYESIQPNPEQSDSVIVAFKERYIAERFMFGPWQIPSVGEVQLTWVPNPPISVAPTTP GSGMDTKTGSDEDTVMETTSTPLPPDPSAGRRDGNHEVDYDVAEDDDSWGVQ AOR_1_492014 MVNFTIEEIRSLMDKPKNIRNMSVIAHVDHGKSTLSDSLVQRAG VIAAAKAGEARFMDTRADEQERGITIKSTAITLYSKFDDPEDLKEIEQGHDGNEFLIN LIDSPGHVDFSAEVTAALRVTDGALVVVDSVSGSCVQTETVLRQAIAERIKPVLIINK IDRSMMEQQLPKEDLYQNFCRIIETVNVTIATYEDKVLGNVMVHAEKGTVAFGSGLQG WAFTVRQFAIRYAKKFGVDRKKMLERLWGDNFFNPKTKKWTTKSTDADGKPLERAFNQ FCLDPIYKIIDAVTNNKRDQITTLVEKLEIKLTSEEKEYEGKLLLKTIMRKFLPAADA MLEMICIHLPSPVTAQKYRAETLYEGPHDDEAFNAIKDCKAGSKEDPAPLMLYVSKMV PTSDKGRFYAFGRVYSGIVRSGLQVRIQGPNYTPGKKEDLFIKKIQRTVLMMGGKTEA IDDVPCGNIVGLVGVDQFLLKSGTLTTSETAHNLKVMKFSISPVVQRSVEVKNAADLP KLVEGLKRLSKSDPCVLTMINESGEHVVAGAGELHLEICLKDLEEDHAGVPLKISDPV VSYRESVSGKSSMTALSKSPNKHNRLYVTAEPIEEECALAIEAGKINPRDDFKTRARL MADDYGWDVTDARKIWTFGPDTTGANLLVDQTKAVQYLNEIKDSVVSGFQWATREGPV AEEPMRAVRFNILDVTLHADAIHRGGGQIIPTARRVLYAAQLLADPSLLEPIFNVEIQ VNENAMGGIYGVLTRRRGHVYAEEQRPGTPIYTIKAYLPVNESFGFTGDLRAATGGQA FPQSVFDHWAVLPGGSPLDVTTKPGQVVTEMRKRKGLKEVVPGYENYYDKL AOR_1_494014 MSLYGAYYKHTSIIFHWHPFLHSILKKVDRPAPPCSRPLGILGY CKMANTSLLTFGLTPDPSFNVFFFLELVVSCILVLFFLLYFNRLFATLLSYGIRAYTW HYYRAYVDINALQISLLGGRIFFKGVRYHGVNETIFVHGGFITWRYWRRSVRRTFLYD LKPNGYEPRNGVRSVADGDNDGAGDSGMKEQGGLKGADLLPCRITAKVYGLEWFIYNR TPAYDAILAGFNTQGQPATFTKPQYPGSSSLDAELKDNSTRNSSVTGGETIGSHIVEG SGQEVGDGLSRLLRLLPVKLVCDKGAIVIGNENTRSVLTTTFDGATGLIEVCNAGPLD LYRQSFSFEFMHPVVQMRPNPDFKQNQLSAASGLSSTGEDQPGIKRKRDTIFNYQFQK RRVWHSIRDLIPYFQTSVESFHVSEKHEEAGPRTQGDVRHDVRWVGLSRYLDDTNQDD HEEWNSVEYARFSTLLDSPSMTIAYYWDIPGCVKPQESPQEFPPREATPDINGAPPPE WGIDVKIEGGTINYGPWADRERVGLQNIFFPNSYRNSQPAEPLTTGDLRQNSVFKLRV ETTDELTLRIPTREPSKDWQWKGRADAIRGASKMKKQQRRRQSRAADSEKGHVGPEIR PFGWLSLRVTGDSTINYCMDMVASKVGYFNQLVLDLRDSTMSSSVNHAVLWQCPQQLI KCDLSVPLTWNDPRTWEFDVESRDMELFLLRDHIFLLTDLVADWASGPPAEYYTFVPF NYKLSLSFVNLRLFVNVNDMNIISNPSDLDDNRLLVIKGERLTSDVLIPLNRYKPEQN TVSFNVRLQDAGIDYLSPLWDTLHTFLQDKSAATLETMSIDGSYSYYLSTSSELTDTL FLNIEGLSPKLYLFGFLIRSFMTIKENYFGEEMHFKTLEEFQDLAYAKEPSETHNGIN PNRKSNDLDVIVHVTVDNPCALLPENIYDRLKCLRLTAPSLEIDLRFTNYYMDLEFSI APLKVGLESHLAGKHPRISDSQLFIDGVSVHGHRLFGLPPAEPTYVCNWDFKLGRVIG ECSTEFISCLGPALKSFDFSFDNEENALPPLFPIALHDVTFLRARIGMIHVSVLLDKT ALVLSSGPVTTKFNDWTNAKFSKRMSLLVPELVIAAVDHELVGRLEGPTRPEVTPLAL FETTINLRMAQRKNDIVESRRLQQEHIKVHDQRTQRTPWLLFDWEEVDPDSTHLNDDD LTLPTIAIPAMPEPVIKNMNMGHLSAKRSVFSDGSSYASSEGFLVSSDASSVQRGRRK GDRSTTYTSSLVSEGQRQTSVQLPPGLRQDSHSTEEQRNPSTILQNSSNPWDMPDFSL HKVNLDTSQLPSRHTSSDDIRPDDLFVTKFDPQFSPSAEDQTTHTNFAIVLPNGVRGS CAPDFLFMLSSLIEGLQARHPTNIIDSLQKDVVSDIVGYEKALKSPKRSTSVAIRTPV ILVKLENLSEAPSKYESGFRDEYRVEIAHLKTEIRTKVEREKGDLLAGIKKSSTVHAA AESLSISVEGTRADAYQERAELTCLFGDMNFWLVTKPMIKSNFQARAFDTVTYTKSVE HLAYLVRRTTTMFDSVTSSLQHNSSLENKRLRLLIYFLTQPAANIPDPAFLTRISYVL RVAPTHLRQHDSWKIISRIRNVYDNLPSHQKEDLASRCLNNDLSLPPDARTTVLSGFD EWRAWDLAHVGKSYVMQRVWSSFVPKMESTPSPAYFSSTVKLLRFSIDPGPKESDFVV EDLSTALSINPQDGTLLGAEAKPTNLTIVQAYCSSISLRLRWEILELVEGLLRTMSTV TLESTTPTQALYTESKESKELQVVFGADTGSINLDGINAKLAFTGRGLRSSVVQKLDG IRGPDCLSALISAQACSSELSDLCKVIMSWKVGDPHLYCSRVSQEKEGELENEWKIAG SSKRLRYDMREDPLSLAHIADRLIEDEVRYIHRLTSNMNPSAHQSSEGISSARKPVCN KFHIAMFLGDYRLSFCILPSLTYVITGEVARTSMVPTMDSKVEVDFDLKRNLHTFLSN NGNGWHSLSVLEIPPINGRVVANTSPVRMDMEVDVTIELIQLEASAVRSLLGALTKPE FSHLMSDLKQNVETLQLHLNDALALDKGPPRQKEPSNGHSILYKARMTMAGTKIHASA PAISGKKYSADMDISLGMIRMRLNNGLEQRHPMEYPEFHVNTSNISFDLRKQEATISR SYCNFTIDAKLQGTSMLRENGEARRVFHFSSKRLDVELFPETAALVVDMATHLQERIK TLDLSHEVKRFKKLRRRANAVPRVPSIQVNDDSRSEDLFSAMFSLDLNLIQVGWNMST VPLPISGRKPDDLVFSIRRLELSNKRTNTAKLRIEDMQLQMVPYLGNRRTRSLNSALL PELVFNVAYSSAGREVRLAFQAAGKSLDIRATSDFILPGSMIRDSIAASSRIIREANS TLVSKSSDDNSKPRALFGNKRFRSVLVDVDFAGAIVSLQGRHTGDQQALLTATLKGSK LSEAKYGQYIQGDAVATATFRAPGVALKVQFEDNGQDNPRLNAELKIDASTNVLYPSL VPLLKQMTDTVKEVMGGQEKPRRPSGAMMLQPQRLMQEAPLDMNAKDSILGRCILNVG LLICKQEFSLSCQPIARVAATASFDTVYVTINTVQSDDYGRFLALLVAFNSLEASVKH VYSNESTASFEVNSMVMSLMNSKHLGNSKGISAVLRISPMQVALNAKQVQDFLLFREI WLPAADNIETKPAFPPQSTESQAYIVQRYQQVASASAFPWNTTIAIEKLEIQLDLGSS LGKAQFAINDLWLSSKKTSDREQTLCVGFKATGIESKGRMSGLIELQTFRVQTSIQWP DDTYHNKTPLIQASISFSLFQAKVSFDYQPFLIAHITMFDFLMYNVCSASGNGHQRLF SILEGEQVQVFCTSLTASQTVALYQAWQRLVQDKQASYEAALREVERYMRRRSSVFAS KADLPAKDVARDADEKEEKAPISLQTGVAVTIKSVNIGVFPSSFFDNHVLRLDAHDAQ ARFDVSLQEGKIHSALGLTLGQLRVALSSIVRPGPTEVEELLVGEIANRVLASSGGTI LKVPRLVAQMETWQIPGTQQIDYIFRSTFEGKVDVGWNYSRISFIRDMWESHSRALTS RLGKPLPPSAVRITGGLNEGGGDKKDQQQEKITAVVNVPQSKYTYVALEPPVIETPQL RDMGEATPPLEWIGLQRDRLPNVTHQIIIVTLLEIAKEVEDAYGKILGSS AOR_1_496014 MRRGAYAYVLRRCHGPAVTETSAECFTGGRKPKSARGREPRVWL TLVTMSSSGGTGSSLAKAVVIVSGVSSLVASLLSLLSIWLQTKNYRKPLLQRYVVRIL LMVPIYAAASWTSIVSLKASLWLDPIRDVYEAFTIYTFFQLLINFLGGERALIIMTHG RPPVQHAWPLNHFLPKLDISDPHTFLAVKRGILQYTWLKPILAIVSIIMKATDSYQEG YLGLTSGYLWTGIVYNVSVTISLYSLAMFWVCLHNDLAPFRPVPKFLCVKLIIFASYW QGFFLSILQWLGALSNGVAGYTPDNLAAAIQDTLICFEMPIFAITHWYAFSWHDYADP TISSARLPVIYALRDAFGIRDLIEDTKMTLRGDNYAYRLFDSGDHIMAHAESESRVRR MMHGMRYERGGKGKYWIPTPGEINSRTPLLGGVESSRRGSIVDRFRSPSEVEESTLDE GDEQLFTKARALEFGDWNYPVITANQAPQDQRLSSYQNPQQPNVVKKSRKHRKVRAPG SGETQPKSDSTPSKSRRQRTENRAPLQRGPSSSTSQNSHRSQLVDLVVEDREAEKKER AEHQRMTGSALLEPEHRYFQTPSGHPLSEQSQITPSEGPGSPSERVRQPTERDEGEGT DNDDPKTPGWSYEGLEENVWGQ AOR_1_498014 MKRAIEQAGSVCAGWISSCLFFCPGSRGDDESFHHQQSLKRKGV EREMKVCHTQPHLVPPMKLAVDSTLPRSSPPAWTSSTPSLELDSRLSLQRSKRASLAF KRRSTAPLRISGPSEFRTVSSFATSPGGFHPDSFILLPPGQFRQFRPLELSFEASGNG LPDLPEFDTFQVEEECPVLTRPPRTLRTSADISRLSRAKSHRPSSSFQLVRKPVGSGS RRSSLATMEQLIDKKVTSSNPLVPHFSTRSPADNDLTASQHHSGYTRLDSANGCGLVV SKPEPPKEPQASRVTIPSRTPTNTSTNLQDRPLPPIPVEDSPSSGTTQRPPTTPTDTR PPTTPSENRNPNSATTTPTRSGRVTQWLFQSSNKTSPFSSSVSPWKSTFTDKNPFRIR SRTLSGSTITSSLTSLTGGFKTTPSLSSNTTAAAPAPPPHIDSRLEKELDVPMSFSRP YIPKHADEPDIYPTIFEGQQQHQHPQNGYDEFDHNYYTTYRRSAVGLAF AOR_1_500014 MTTHLPHLGDDKRCIGPRIFLPTSQGGQVIYAEISVFLAISLTL PSSQHKSSISFLLLQLLTFPLNYPFTMFQRTILRQAQAARSLLSVRSTSSAPLALRRT SQFQPQSLRPLAPLPGLRNYSTENKAEEDKQEKNENAESESQNTEDAVRKELEKKEKE VVDLKDKYVRSVADFLNLQERTKRDMDNARNFAIQRFAVDLLESIDNFDRALLAVPEA KLNSNEPEHKDIRDLVSGLKMTQNVLMNALKKHGLERFDPSEPAEDGKTQKFDPNMHE ATFMAKAEGKENGDIMYTQSKGFRLNGRVLRAAKVGVVKND AOR_1_502014 MRLLATGRALRATSSRWAIGRNVRIPFTHVSHISDPLRRTWSST TALRTQESTNSDQTPDPRISIKKPSLTIEDKSYPTDQWTNTPDTILSHVGRRLYLDEN HPLAITRKLIESQFPGPVYGNYHEKSPVVTTAQNFDVLGFPLDHPGRSRTDTYYVNEK TVLRTHTSAHQQAYFQQINRNEKSRPEEVGYTVVADVYRRDAIDRSHYPVFHQMEGAM LWKRPDIEPLKAAKETAAGITDDLNRIPTHDVAVEDPNPTIHAERNPLQAEHHSAEEV EAIAAHLKRSLERMVIKIFTEASKAAAAANGNDSTEQEPLKVRWVEAYFPFTSPSWEL EVFWQGDWLEILGCGVIKQELLINSDVPNRIGWAFGLGLERIAMLLFNIPDIRLFWSR DERFLSQFKAGHISRFEPFSKHPACYKDVAFWLPSAAASGGSAAGGAVPVHENDIMEI VRGVGGDLVEDVRLIDEFTHPKTGKKSMCYRINYRSLERTLTNEETNDLHNQVREKLV GLLGVQLR AOR_1_504014 MLDELDNVFDEHPSLDASLEDFENPSNAHRSPVFGLPSQRSGFR SEESDVEEDDMTPNGERWSPPGFRRYDYVQGSGWYRHQPYLRKVDQDRLGLKPTVGLS PSQSREPSPQYEDALEDPMTGKRSNSTDTGDLTIAANIPLPIDADSPHKARSPSPRRA PETSPAPEGLEFGSENNLSNYIRFAVRAEVQQREPFVALFNYLRSKFDKITSSKSNTT ISILVALLSIAFMRALFLPSVPQAIPDLVKLSGFARSFEPLIYYSENGVQQIGTLQET GVAVWDLSESVRGTNMTSAPIIVRQLDELSESLKSLSLELTRFFANVDSDVDSILLVM DWAKRELETLSSQPPSTLPSIMLDNLHDLLARLGTLERVTVPDGDSVGGELNTPTTFG HVVTALFGQTSAQRTRSTLTRTFTEFLSVLEESINSELTHSTALFALFESIDRQFLNI QRTVVRESDAQERAEGEMLSSLWTRVLGPDAAVVRKYEKNKRLLANVRSRTVANKHLL MDHRGRLLTLKVNLETLRRKLVSPLVRRNDSVSFAGAIDSSGGRSNGRMLGPVEAVIE GQIRGLEGSYDYLRSVREKQKAKLMEMVYGSGRKLPTHSMLADGSDNSESDTIEGI AOR_1_506014 MGKDAGFAPGDSAKGAKLFQTRCAQCHTVEKDGANKVGPKLHGL FGRQTGSVDGYAYTDANKQAGVTWDENTLYSYLENPKKYIPGTKMAFGGLKKAKERND LITYLKESTA AOR_1_508014 MAPATIPQFLLPRGIPSTRAFQSLSRANATHHRIRTTTRRCASD NSKPRVLEQPDKFRPPSHPARRVVQTRNGRVVGREPVNYGPKLTEKEREEQNRKQYPN MFPPEGTVMYKFLTNRWIHIWIAMSVLTTLATFTFTTNFKRSSPFAHLLPSWSDLLWH PVDTVSQALSVFRMHVQHTSVQTREKRHQRIEDAEKRRQYRVAHGLEEPAEEQGKTET EVVDDQSPIAADAETKQGGGEYVDWEGKKRPVKKWLGIW AOR_1_510014 MAAQRQASSASQTPHISPAELSYLYTSLALPGSPIRPDGRSATQ FRPLTAETDILPGTNGSARVGFADGTQAIVGVKAEVEKTVVSADALKLPQQTQEEYVG NNDGEANQGSSSGHGSWVQMSIEIPGFRDDDALPVFLSEMMREPLVESVSSEGSGNGE MTGGLKGRLVINKRWHWRLYIDVLLLSQPQSYPLPLLSLTTHLALLSTKLPKLKSKGE EDPFFDDDWDAAEYLYPRSTSTASATPSQQVRPPVTLLVVSVGENIIFDPDREEIAVA DTVLAVSITRDSDSDNLKLVSIRTIDPPSRLTQPGVPNSENVTTLGSTAAAEEAAILN PSTGEEEVPGVWRPRRGGVKRSTVARIVKLVLEKGGVGEEVLEGLEGVQVG AOR_1_512014 MTVSYDHSSLRNAAPTSMATLEDRFEVMKEVGDGSFGSVAVARV RTAGSNIARRGTMVAIKTMKKTFDSLAPCLELREVIFLRTLPAHPHLVPALDIFLDPL SRKLHICMEYMDGNLYQLMKSRDHKPFDGKHVKSILYQILSGLDHIHAHHFFHRDIKP ENILVSTSAPSDSAFSRYSNLVTPPSTPPTYTVKIADFGLARETHSKLPYTTYVSTRW YRAPEVLLRAGEYSAPVDMWAVGAMAVEIATLKPLFPGGNEVDQVWRVCEIMGSPGNW YSKSGSKLGGGEWRDGSRLAQKLGFTFPKMAPHSMESILQAPQWPTSLSHFVTWCLMW DPKNRPTSTQALNHEYFADAVDPLRPKSSTARLLGRKTSDRSFKSPTRENSDSPSLTS KSSWFRRSLIGRSDSDNEQSKQPVVSYSTAPETTKTKPAPSKRATWANGAPMPILPSI RPVSPLSNAVTAQANSCIAGDNGKASKKIGRQLSLNSHGNHYADVHRQEAERALNGGN PLVGANQKESFFSHLRKRARRLSGRNQGNVGSDNVEANAGCMPWSNRSSLALDTANAN DAKQGSDLSELDKALQGVKCSLDSTALGNVPVHITSPVEGVKRQSMPQGSIRSMGDSP VSTSGTGAPISSRTRRALQLSSHPVHRYETPEEEDELLDEALHSASKAARRLAQTETS SDGSSNFSHQTMGNDNSRALPSPYPTPSPSAKCDGVSFGHSDTTPIRRLGLPDGKTDA TSNRQWPTPPYEDGDWNHSGTTNFMTGSTYR AOR_1_514014 MGDRVRNAYAGGYSDAIKRQLERVVIPDRVKCMTCKKIRMQSAY SKRQLDVLRNAIVVQGGRALTGPGLAKCRECVGGQTVELRCVICDETKGLEEFAKNQR HDRDHARCLGCVQNHTETEPVFEEQKLLAESEMSTAQDTTTSSQADDGYAYSTTYGED EEDDDDYSIGGGVWVEPECPQGNPPTKSKEREYTGYDQQGIPHRLSTPTTTPKSVHSG WASWGIEASSVQANTPRAGKPSPSAQKKTSNFAKIPKMRVERSDHRTTRTPAPAREHL AYEKGDHVDIEDFL AOR_1_516014 MAYDGYQNNSVPFYQPGNANQMNPNEPRASPYTPPYPSQYGHER LNMPQPQVPPPPPANPYAEAQPPPSQPPTWQQPYDGRINEAVNSAFHKADTCTYLSPE ILTQITANVIQQLKETGLENLQSDQYQPPHPPPRPPKQWATGAHTTYSHDFASSPAMA TQDTSASSPHVPYENPAYQPRPPSTGYSSSPHLQPRASPAPPSERRGSPASQMSEHSQ KMESRPKPPSREATVTELTTLERIWGTLFEDGKPTKKLSQFLRGIAVHLIEDYEPKSS LVILPAKLQKFYEDTRVPGDYYPWKYIFDDETSHVSRLFREVRAEHHLVQDENQLRER PYIPGLTPRGFETWATLMIQVNPETEYKRLQKAVLNMPISNPDNRKERFPKEIPQSLF PFEKILKPELREEIKEFIKEHCSVDLDKEFEKFKAHHEGSATSAAASGPSYSERERKP YQASVSTVVDSDEEELDTPSRPIERQRKPYTAHPGGGKEYGDIQEPNHRHTSSFSGGS IPRDTLTSSEPRVSEYQGLDPTYVRPSTAQPPSTTVRRQGSPPRGSRVGSEYRHSEGD LLGYNGSSGYGVDDIYNYRPGASTSTGDILEDNRFYRDASRDEDQRLYESLRERERER DREKNKYNDYLPHRSSWDGEEGYYRDALGSQGGPGYDYKTYTYK AOR_1_1610014 MATVDDGELVFYPAFCFRASPTHFAWVKMGAVDVHLLKRRAGFE DQSTFFYMNHPIRFVSLVGIIVARSEYPTLTILTVDDSSGAIIDVIVLKAPITDDNGD QPSAYATKHVAATNKTTVDTNPLVPGVVVQVKGTLSTFRGTMQVQLERVAVVQDTNAE MRFLDQRSRYLVEVLSVPWSLTEEDVERLRYEADDEEERLEEEQERIKRRQRRRIERE EKDQRRIQKLWEREERLRAKEALYSRDAGAKFMRDFEERKV AOR_1_520014 MHPTKTICIVGVTGNQGGSVAQRFLQDPTYHVRGLTRDPSSTKA QELAAQGIEIVQANLDDTSSLKSAFAGANIIFSVTNYWEPFFRADCRQNAAELGISCR KYAYDVEYQQGKNIADAAAATAETLDENGFIVSTLSQAGRCSGGKFEELYHFDAKADV FPSYVQSNYPELARKMSCVQTGYFMSSYKLVPDAYFGRADDGSFEMAFPTAPDAAVPH FNVNADMGNFVYAVAKMPPGKSYMAEGTTCSWTEYMRLWSKVNSVPASYRQITLEELI DRTPDAEFGREVGDMFAYSTEPGYDGGDRELLHAADIRKAGIDCPMTSLEHWMKEEDW SSVLQKA AOR_1_522014 MWTPGVSALSFLALATSALCQPIGLSPYTNPILPGWHSDPSCAY VEEDDTIFCVTSTSIAFPGLPIYATKDLQNWKQVSNVFNRPSQIPSLSNTTNQQGGIY APTLRYHDGTFYLIVSFLGPEIKGLVFTSSDPYSDAAWSEPLEFSVRGIDPDIFWDDD GTVYVTSADDARIQHYSLDLQTGETGPVTYLWNGTGGASPEGPHLYRKDDFYYLMIAE GGTELNHAETMVRSKNRTGPWELCPHNPILTNRNTTQYFQTVGHADLFQDGTGNWWAV ALSTRSGPEWKNYPMGRETVLAPATWEEGEWPVVQPVRGQMQGPLPRENKDGIKGDGS FVNEPDHVTFAPGDSIPSHFLYWRYPETSNFAVSPQDHPNTLRLTPSLYNITGNASST PEEGITLLTRRQTDTLFTYSVDIAFDPQVPDEEAGVTLFLTQLQHVDLGLVLLESQNG TSSPAFQLRTEGHGNYEGSLPGRIVSVPEGWRGESIRFQIQAVSDTQYEFSVASVKTP AQRAVVGYADSRIVSGDTGRFTGTLVGVYATSNGGSGTTDAYISNWRYEGQGQKII AOR_1_524014 MQKQRRPYRSHKIPACDRCRRFKRRCTGGTPEQPCVLCSLQEVP CIISSSPKLSSSPRRRVKHDQRCVQTTRIAPSTSVDRVPSPAPADGEVVQEHIGRDYH GSPGQDQSKVELSMFASPVISEDIQILERYMSSKSSSLSTGERSGSSDTPMVYLRAPR RREGLSMAANPGKRQKEIVMQVLQPYTDELVRLYFEEIHPAFPLLDEQLFMELYKAGD KLSPVLVCYFFSVSLILWHHSPVLRQFPKPDVYFIWNLAVEALQQDFLAPALSTMYSV LLDMGGRPIYSMLVNTINNGRAVTLAQTLGLNRDPTNWRRPKSEKSLRIRLWWAVVIH DRWSSFAHGISPTITKSRYDVPIPMLEDIITDSNQSDTRIKAANSFIHLCTLTEILGE VLPLVYDLTFNPEETWKQIRRLETNLDDWEDRLPSYLRRDNNENPRVSGSSSLQLSYL AVRLLLKRISLHATTLSTDIDRLQSTRYHLSQLRKSAQEIVNYVCSLTKAQLQEFWLP YTAHHLILTVIIFLRCTIESTDKTIISTCKTSLQHLWTKLQTTAEDGWDLATMCISQC KESVSKVLDSDVRGANVVGGEQQQLHLQQGGAGDGKLLPNQGEGRSPAMQSMGAGELL PDILPFSNPDISFDNQWDLGSLYGLGWMGMDGFGAYEAGNQGGF AOR_1_526014 MQSPHAKYLRECLSLAEKSPPRPTNFRVGAILVSRKEGDYKTED DRIVSTGYTMELAGNTHAEQCCLSNYAAVHSVPEDRVWEVLPSEPDRKLVMYVTMEPC GKRLSGNLPCVQRIIRTRQGDRKGIQKIYFGVKEPGTFVGGSEGCQMLTAAGIDWQVV NGLEREILEVAVAGHENREEEVKAALDTVETNIDDISDDERRRQQEAQRNPKKRMMEA NLLG AOR_1_528014 MPHEDDVPDIPDEAPLETDLYETLGVKGDATADQIKSAYRKLAL KHHPDKAPEDQKEEANKKFQQIAFAYAILSDERRRRRFDLTGSTAEAVDEDDDFNWAD FYREQFSSAIDVQALDKFKQEYQGSEEEEGDLLAAFEKYRGDMDKIYESVMLCNVLDD DERFRAIIDKAIADGKVEQYKKYSEEPERKRQQRLKRAQKEAKEAEEAAKELEKKEEV KETKAKKGKKKKTSAMDDNDLVALIQQRQASRAESFFDKLEEKYAPGKKRAAKFEEPP EEAFAATAARRSAKKKKATK AOR_1_530014 MSLETALEEERRELVHEYEGRNGARSRRNHRTTPTTPARSLLDI APSPGALPPRHGSIAGIGVGVTPPSAQRSGHEPLVTTTSSTAPPPVKKTSTPRRTTSA VAPPPIPQKKSSADSEDAPRRSSDPLSVTKDSKLDNTKPRQSIRWDDSVTMPPSVLSQ RRASQAQEKPPTKALQGRNAMAAVMSGLDIRVGLPSFTRGRSSSRNNSARGTSLDSRL SPKNRWLSPSSRSPASQSTKPAAEKPKPTETESTSKQQPDRPAARRQLSDDDVRSPPP GDERLEKDMYDSENNLIETSEEEVDDSTSSDDEASSERGRKKTPDRATLIGDNETSPS TTDSKEPSEDSPDDKSEKSEYTHSAKQGPIPKKPEVHPRTSFDTASAVNTPFGSEDEA ELSDIKRAQKLGIQMSAIDSSVHNRSIRTIIRGDYTGLSEQTENGRRRQRKYLVTTDL SEESVYALEWTIGTILRDGDTMFAVCAFHEETGAPTSVQIGEGAKAMQDAAAVVGSQT EETAQQSQNDSSTNLSRALLSRLGSGTDSKPGSVDSRGMSKAESERVHAVEVISQTCV RLLRKTLLQVRVAVEVIHCKSPKHMITEAIDGLEPTLVIVGARGRSALKGVLLGSFSN YLVMHSSVPVMVARKKLKKQSKTKKTNIRLSNNLSTPKKLAMAKVD AOR_1_532014 MASEFVDPRMTSVKPRIRYNTIAGINGPLVILDNVKFPRYNEIV SLTLPDGTERSGQVLEARGSRAVVQVFEGTPGIDVKKTKVEFSGHSLKLGVSEDMLGR VFDGSGRAIDKGPKVLAEDYLDINGQPINPYSRVYPEEMISTGISAIDTMNSIARGQK IPIFSASGLPHNEIAAQICRQAGLVGKPTKDVHDGHEENFSIVFAAMGVNMETSRFFT RDFEENGSMERVTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVIMTDLSAY CDALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVEGRNGSITQIPILTMPNDDI THPIPDLTGYITEGQIFIDRQLYNKGVYPPINVLPSLSRLMKSAIGEGRTRKDHSDVS NQLYAKYAIGRDAAAMKAVVGEEALSSEDKLSLEFLEKFEKTFISQSPYESRSIYDSL DIAWNLLRIYPKDLLNRIPKRVLDEFYARSSRKIANKDTRDNSVPEQTQSSTGDLIET AOR_1_534014 MEAIKKTFAKAKQEKRAALVAYITAGYPTVEETVDILLGLENGG ADIIEMGVPFTDPIADGPTIQKANTKALENGVTVTTVLEKVREARRRGLKVPILLMGY YNPMMRYGEERMLKDCREAGVNGFIMVDLPPEEAVRFREHCTSNGLSFVPLIAPATSE SRMKLLCKIADSFIYVVSRMGVTGATGKLSANLPELLSRVHNWSGNVPAALGFGVSTR EHFLTVQDLAEGCVIGSQIITVLGQAPAGQAAKHAEEYLSSVTGRKLERDAQGAIVRQ VNVIDFVEKKEQAAISQPTAVVTDVDAPSGPGLADQLEALNGGNPSAQPQRFGEFGGQ YVPESLMDCLAELERGFAEALNDPKFWEEYRSYYPYMGRPSSLHLANRLTEHVGGANI WLKREDLNHTGSHKINNALGQILLARRLGKTRIIAETGAGQHGVATATVCAKFGMECV VYMGAEDVRRQALNVFRMKLLGASVVAVDAGSRTLRDAVNEALRAWVVDLDTTHYIIG SAIGPHPYPTIVRTFQSVIGDETKQQMMEQIGKLPDAVVACVGGGSNAVGMFYPFSKD TSVKLLGVEAGGDGVDTDRHSATLSGGSKGVLHGVRTYVLQDEHGQISETHSISAGLD YPGVGPELSNWKDSDRAQFVAATDAQALAGFRALAQYEGIIPALESSHAIHGAMELAK TMKKGENIVLNLSGRGDKDVQSVADELPRLGPQIGWDLRF AOR_1_536014 MSTLQKPPQAALLDYESARSVQDGASYSSFGQAPYVTSTSMVPS PMADHASQISDCVPYMGNQEYASSYEDSRSPMLGGESRQLPEVISYSPQRGSEGTRVF VQIQSPYDLHTSSYATLYLVFGSKKCECVPHFLGFQGSLFQYALSVDTPPFISTGSPS FAVPLQVAMNAQNECPSTTLQVGVYTYENASHPSPSDESRKRRIMSYSEEPMSGKRTT GPPIQGKEQPPAYSYSPYLQSLPAMNGFVAPYHPTSSPRVAPAQYSTVNATPQSNIRA PSPLAPSWSPSYVTVHGDSRAPGFAYGHGLRQQKPTSPARLSNPTLIRTSTLQQSSSL GHHTQSFNPYAMYPSKAVLKLNGSLDSMTESWTKEEREAKRRLVQFTRMQSGSTIHAD FNPVAPEDRAPNSICISCIYWDGKDECFITSVDTIYLLESLVGVRFTVEEKNRIRRNL EGFRPLTVSKAKADSEDFFKVIMGFPAPKPRNIEKDVKVFPWRILGHALKKIIGKYSA SYSSTAGALPTPISSTYTSNGAASDSGTEPPNAASPQSVSDTGPSTTYGAHVAAAAYS PPAHHHPAHSMTGTHELRAVLPAVSQPYHPMAAPYSYPAVCQQQGDLGLSAPVSRTWE INPLINAPGTNGGPTYNYLTPMSYSVQDPSH AOR_1_538014 MKFRLVVNQKTLWYTSSDDKANSESFLATPGKILDSAQTPHGTL HRIRRTPSPNVVYGNPDIEFGTLDFPSACLICNVPWEEGAVRRDKSGFNLKARWKAVV ALESSMHGCRVLVPVTIWEVVIGLVSIGMG AOR_1_540014 MSLRDVAVSSVMRSKRSIGTLDERNTDILTSNVCQKYSATLKAL HASNELLEGKARKLSNNTVLLELDVMRVQRHIKAFHGELLMTWQADILTRLIEVVYER SGWKMPGGITSSSHAGMDEAKVTLMYRTAARKIKKETLRRRFNLSVQYYLALQRYDEV VCFRSTNPFRSERTFARWLMSQKENHARTGLFNFWARLFPLCYGRTVDETTEMF AOR_1_1612014 MSKAIFLSQIEGKPGQVYYPLSVQNLPQPTPEGRELLVKMTAAS LNHRDVFLRQHLYPGITFNVPMGADGVGVVVGAGPAVSNPERWQGKRVILNPGTGWKD SPDGPEDPKGYRIMGEEAPEHLSDAEAAALPLTGLTAWRALVVKAGERNSGKGAAVLL TGIGGGVALMALRFAVAKGADVYVTSSSQEKIQKAIELGAKGGVNYKEEAWEKKLLTM LPPGKKAFDAIIDGAGGDSVEKAVKLLKAGGVLSVYGMTVSPKMPFLMQAVLKNIDVR GSTMGSRKEFEEMVEFVKANKIHPVISRVLQTELGDIAGLDGLFQDMKEGKQFGKLVV EFGKASESKL AOR_1_542014 MPTPLPSSFASAAAGNTDASRRGDGTASGEWARNRMNGATQTFR RPSVATNPSHSRDTSQPASATTPTAGTYTPPHMLSNYQSSALRNGATNDTRYSKDQLL ELYKAQRDTGILGKNLAEYLAADWNPQVETSAANGAWGRREDSKDNPSGPGVCWDHGG QMEPLSLVDMTDDEKELFSLSVNSPLKPPPTNVPKDNTGATPGGRKGSISQGHINNYN TSSPSSNRPGPRRRETGDSAGNPMSPTTGGSRFFRDEPNTSTPPPSLLRRKTDFRDTS STARWEEKEKESGRDVTSEAASPFNSLKRSSTNPLSAAPGTTSSPWGSASQTASFSPM GAFGAFSLGTNPTEKKPGFGSLRGESRLKGLFSKDSSEDISASVKEKSSLSNLERLAG EGEQRSQSPWGETLKTRTGRSETNPFQEEPRSGSAALGGSQELPSQSADPTGFAAFGM TSSIPGFRELIQSHENSRNPTPSLLQGHEPTSPTNTNPYQSPHGDRGDVDDVETDGSD IQTSHHPGLTGLRDTTAFGSIRRVGSGMDLPSIDRSATSSVAGNRSFSSLGGLGGLPS IGGAAGWPASGAIGTPTRERSAFGGGGFGDPIFGTMGDLQSPSLSTLGGGGLFSPGIS GTGSIGRSSKLGSLFPAAMQEQMQGDQARAELGSLDDGSRQLDLQQADKSGQANQPTT TSTSQTPVSAVGSIPTSMAPDAPPPSQTPGQPGSNAGSVPPAQQRTMVMPDRMRWIYR DPQGNIQGPWTGLEMHDWFKAGFFSPDLQIKKLEDPEFEPLAQLVRRIGNSREPFLVP QIGIPHGPDPNPGHWTGTTAGAAQPPFPGSFPSFGTTLTAEQQNALERRKQEEQYLMA RQKEHLAQQQAMMKQMQFQGLPPTIHPHQLQHHSSAHSLHSQPSFGSIASPVGFQPSP IQGPIQQQQQQQQQSQPQPQPLAGFFDAAGPIRQNLPNVGPQMLGTDLGGSQDQLPAL LERLNVNRPDPFAFGSAAPFATRQPDNLFHQQQVATMLQDRAQLQQEQEQFDSTQGDS LFDQQAREERLRQFHALRAQEDEFGMRTAEGLPTHPTTGPSPPPEAESVQDVDLGSPT VEADQPTVADEEPVLTLSQQVQKAASAQRQQQEQQEQEQQQSQAANDAAWTAKGDPAM PQPFPPPPSASPLPAPAAQRNRQNVAESLAANSRSQTQTPVEAPATSIAPWAKEVHEM PKGPSLKEIQEAEARSAAQREELAAAARRAQLLAEQERLSQAQVQTPGLPSTANWASA GSPSTPASTGSVWGNSKAPAAATGGAKKTLAQIQKEEEARKQRAAAAAAAVAAQSAVA AAPSPPAPSSTGKRYADLASKAPAPAAPTTPVTTGAWTTVGAGGKAKAPPAAPSGPRS TTATTPLAASPVKPKPATISTPRAVTVNTVTPANPNRAVEEFTKWAKLALGKGLNSNI NVDDFVQQLLFLPAEAEIISDSVYANSQTLDGRRFAEEFIRRRTLADKGIVDPVAASA FAEKSSSGWSEVAKKGSSNAHREEDSSNAAFKVVAPRKKGKR AOR_1_544014 MADRQAIEEQTLPFYDQKQYYPVQPGEMLKDRYCTIAKLGYGAA REYASLKVCVQTEENESSPVLSEINMLHRLEKFAEKDHPGLDFTRLARDIFEIDGNIP QRPVAVAHTDISSANIVMDIEDDTILKDVEQQETEYPSTPITTELSGHPWLTDFGQMR VVEGRINQDWWMSDLYRAPEVLLQLPWGYPVDIWSIGVMTLELLEGKNLVDPVYRVHG QYVLPLALAQYIGYLGPPPLEIIQKSPLFQTYFDSKGNWISEPPIPKTSLEEFVTTIP PRKRRTSF AOR_1_546014 MTTPFGAPMREHFLFDTKFKNLNHGSFGTYPRAVQTALRQHQHS AEARPDLFYRITRGQGIDESRRIVANLLNIPVNECVFVKNATTGVATVLRNLVFQKGD TVVYFDTIYGAVEKNVHSIMESSPVTTRKVEYALPVSHEDLVKRFQDVVSRARGEGLN VKVAVFDTIVSMPGVRFPFEALVEVCREEGILSLVDGAHGIGHIPLDLGALRPDFFTS NLHKWLFVPRGCAVLHVPLRNQHLIRTTFPTSWGYIPPPSSGEITPTTTQGKSAFEYL FEYISTTDDTPWLCVPAAMKFRTEVCGGEDRIYAYLETLAREAGDIVARALGTEVMQE AGLKEGEASQLRRCGMATVRLPIAVSSSSDAGSGRGGDAVMRVQGEDGTSYLRIQASL VATVSNWFRDTLFEKYETFVPVFQHGGWLWTRLCAQVYLEKGDFEWLGGVLRECCERV EGEVGVSSAKI AOR_1_548014 MKTLYKNGHLITPQSPNPTCMVTENDRIIYLGEESTARTLHPDS KIHDLEGRKVLPGFIDGHMHLLLFGASLSKINLGNCTSLSDIRTTIKAAATANPTAPR LFCRGWMHSMTNGEALASMLDDLDPRPIFIDSKDLHSAWCNTAALTELNVHNTPDPAG GVIHRDETGKPTGLLSEAAAVNIVWPHVAKVATLEEKLGFVRAGIREYTKAGYTGVVE MATDENLWNTLLALREREEANIRVAAHWIISPKEDEEEVLRQVDRAIELHGKYNVTTS PDLRIAGIKVICDGVVDACTAALTEPYASNGDNCAPLWGADILKKVVRKADQAGLQCA LHAIGDATVKLAIDALESEGTPGSRHRIEHLELTAPHDAKRLGALGITASVQPVHADP AILRAWPRLLGKERCGRAFAYREFLDHGANLAIGTDSPTAPHLPLRNLYTATTRRSAR EPESVETVNEGFRLGLLEAVVAATGGSAYSCFADGFTGRLEVGMKADFVVVDMVWEEE RLLEAEVWETWFDGRRVWGRE AOR_1_552014 MAKSLDFIGFQGDTITESRRVERRPPYLHHDNLSLYLDTITMAD VGDRMFCHACGGVWLRRDHGLECPHCHSEFTEIIEIPPDTEEEVPDPPTSVPEDRSAP PRNPWADHNPWDHDNMNTDTPDWGSGNGFRHHTYRSPDGRFTFSSTTYTRRGSSGQQM PVDPLMPMVRGLDTIFHGLADTYRQTEYRQPNERDSSPGLWRTDRQNQGFGPNGSRIY STSFDIDMNTRSNGGVGSEFHTTGGLHPRDADGPQPMGTPLRTLGDILELFRADFGNN GPPGGGPGVRVMTGPNPIAILSTLLNLDRHGDAVYSQEELDRVISQLIDQNARGTAPP PAAPNEIQSLPKKKVDQEMLGSEGKAECSICMDPVELGTEVTVLPCKHWFHYNCIEMW LSQHNTCPHCRRGINIPAGPEGSSDNPVVINSSPETSPRRPSGAAPEHSGQSPPRWNG PGPETGGQEQDQGQSSRNDNQGGGFAGWVRSHFGGGNQ AOR_1_550014 MGSNLPYAADAESPLKPAELQVLRAQYEKEGDYVGIQTKFNFAW GLIKSNARPDQQEGVRLLSEIFRAAPERRRECLYYLALGNYKLGNYGEARRYNDLLLE KEPANLQAASLGTLIDERVSKEGLMGFAIVGGLALAAGLVGGMVFRGAKRR AOR_1_554014 MLLDENPGTLIHHTIGNFNIQPDKQAVTRINDSLSTLQQSRELR MREAESSLRKLSRHLHSLNAQHEEAVAAHDSSKHAADMVELDTKKFRIAKAATELEIE SERLESELEMLKERLADLEAQGLEGDEATRRERELDDATILRLKIYRSLGVDIEADDA GNFNKAVIRNSRKGDVHVVNIDPKFSRFFYSNYFWSTMQG AOR_1_556014 MKLHRPFYSFLRLNLTSVARRQCHRQLHSFTRRLFKLPSPPPHP SAHHHDLPSFLVYAERTSLSPTTTAYIGTHYEYIVQRTLRSSAFTLHRVGGRDDAGID LVGTWHLPRREHPLRVIVQCKSLKTKLGPNLVRELEGTFNQSPVGWRTGDEVGILVSP REATKGVRDALARSSYPLIWMMIERDGTLRQVLWNGKAEHLGLVNLGVEVRYSADEDA DSSKGVVLTWDGEEIPNMGQVESHVSAVEDSWLRSWGDGFNEGQRDKSELLDAVQELF PEEKPLLFGTGGCSTLTDADRVKVIQFLDSKKSAQVEAASV AOR_1_558014 MADILTQLQTCLDQLATQFYATIGYLVTYHDNSPAIPPQNDPTA APALAKITKNSTAPPAPAGAPAGSQASPQQQSAQIPGQQQQGGGDAGQTPGAGGGTGG AGADPNLPPAPDSPRTFASRQRELARDLVIKEQQIEYLISVLPGIDSSEAEQERRIKE LEKELRSAEEDREQRVRELRKLRKKLENVLGAVEVGIYGDRGAVASRR AOR_1_560014 MKLLSVSATYLAIPMALLVSAQRETVTQVGCYTDSANFQNKGSY TYQSPGYCAKLCGKAKTPYMALHDGTECWCGTSLPDKSSLQSDDKCNTSCSGWPDDKC GSDKAWSVYQLPAYTKEASETESASISISLASATPGSNSTASATKSGSVSQSASSAGA TPTTSTSAATRRFKPPFFL AOR_1_562014 MGNTTSIAGRDCLVSALGGNAGLVAFQNQPLYQTTAVHEYNLNI PVTPAAITYPETAEQIAAVVKCASQYDYKVQARSGGHSFGNYGLGGTDGAVVVDMKYF NQFSMDDQTYEAVIGPGTTLGDVDVELYNNGKRAMAHGVCPTISTGGHFTMGGLGPTA RQWGLALDHVEEVEVVLANSSIVRASNTQNQEVFFAVKGAAASFGIVTEFKVRTQPAP GIAVQYSYTFNLGSSAEKAQFIKDWQSFVSAKNLTRQFYTNMVIFDGDIILEGLFFGS KEQYEALRLEERFVPKNPGNILVLTDWLGMVGHALEDTILRLVGNTPTWFYAKSLGFT PDTLIPSSGIDEFFKYIENNKAGTSTWFVTLSLEGGAINDVPADATAYGHRDVLFWVQ IFMVSPTGPVSSTTYDFADGLYNVLTKAVPESEGHAYLGCPDPKMANAQQKYWRQNLP RLEELKETLDPKDTFHNPQGILPA AOR_1_564014 MFLTHISGTLVLFLLIIATTLATLTRYTIPIPAETLILETRQQL NDMAAAYSMGTLDDRNGGYYLLDHDGEILAVAADGLCEELDNSMASARRVYEQHSRFD LYSGEVQEVTLQSHDAQLRRSGENSCSHPRCYTHALCETYSDCFVCSSSHHWCY AOR_1_566014 MLHHSKTFIPVDYLVEAIILISQLHESVGQTYNMVPEMGEQPVR EMTKMFRMLEKTIQVSLEGLPYEEWLNRLQVENDDDPLRPLLPMFEEKVYDGRCQWEM YENMPISDTENLRQYLQDVPELATCPFLDQDIFKKFLSSLGLA AOR_1_1614014 MAHQEEKCPYVVGNTIKLRLGAPYNQQDITAQITLLKLFDRRFA AQPRKDDKLDPWTPEIERQYHDFILDGSASEFITRLNTDGKMAEEEGHTWNDPQNEAY LHDHMQDLYETEVEAFHTVTDIQGKDIPQLFACLTVPSSSSSQEPSVNKYIDVSGVLL QYIDGFLLTDIAVHAPREVWQSVCDEAIQIVNRIGDRGILNEDVKMRNFIVQENPGRK FKGFMIDFALCNFRSEYEDETDW AOR_1_570014 MAAPQTVTVEYNDNLAIVTLNNQEKLNALSQEDYYKLATTLREI AQHDEVLVTLLIGKGRFFSAGADVTRPPPDAKKTPPRQYWLRALVLNNIDLADAFYTH PKILVTALNGPVIGLSAAVIAHSDFIFATPNAYLLTPFSSLGLVTEGGASLAFVRRLG ISKAKEALLSSRRIPVEELQQVGFVNQVLDAGGDEERFREMVLGEIRGRFGDHLVGSS VLEIKRLLREPGDREFGSQAVQEVFGGLRRFVDGIPQAEFRKIATGEKRHKL AOR_1_572014 MLVHVYLQINVGQFRQLSNDLKKFIRQIRCIPNHTPFRFANTFG DALYDHRVGGEFGPFAETSDFTSYSIPEHTSSETRNAIPPVLSRPYRSFFSHAALHST NILISQGRLSGIVDWECAGYFPEYWEFTKAIFGIINNEALEKIMRNAFDEDYGDELDV ERTLWRDSPFVSHTINPNRPPKCGKAESQRELPTSNMDVYGAYKSHLDLLEDEERTVL ELKGALEIPPQAVQDELIDAFFSWVAPVLPVVNQRVFLSMYKDPLNPPSLLLLQAIFL AGSRAVREKNHGKELSPGAHSSMVYLQRAKALYDAEFEKDHTTVVQALLLMSWYWQGT EDTTENGLFYWSRLAIGVAQNFGMHRSNELEMSLSERRLWRRIWWTLYTRDRAMAAAY GRPISIDADLTNVDTITQDDFIESEGHQPDSVQVQFFIQYVKLCELMDLVVGRRRRAG SLTESEFAQWEIRLSRWMMQCPEQMHWAQARHSFWPAILHSIYFTMVCQLHTLLPAVA RPSTSSAVALQAGSTIASIMQTIVSHGQVTYVPPSVIYSILTVLSAAKSQKLTSSPTL ILQWRANIETCLQVLELISTVWPIARSIREGAGLIYSEKYFDSLLNEALTNLETQSQE TQVGQHRRIRYSRPRRGNPDLLLPESRIIVKISPGLPLTQRTSMGHRKTPSHSHGKKT HLGGGVDDSMCAAHNTSEPCSAYPDPADVLHSLQVSIGMRQGVPETEPENA AOR_1_574014 MDFQNAQHLVAAAQKVRAEYLKGAHTTAISVQQNTTCRDDPVKG PLWVSKFSLPCPTDDYSRELLLRLIDEANEHLVRYDRSASAPLDFEWVGYRSNVQKDT PEPKIGEQEKFERLMAETKSPLTILYLYGGSFVGPDDDRSTLATLEQLPYLTAVVQEG LRLCDPVTHRISRQFPDQPLQCRGIFIPANSTVGMTAMLTHLNEKIFPEPRVFRPERW LGSDGKRLERYLVPFNRGTRSCLGMNLARAELVLILAAVFRQFDFDVSGVNRERDIDV SRDYILGAQARDTPGILVTVKGC AOR_1_576014 MAGSLRIRVENAYDGAPRTFYPVVVIGAGASGIAAGCRLKQKCG CDQFLVFDRQSGIGGTWWRNRYPGVACDVDYIDYLYNVVERAGIADKIQLNTEVISLE WIEKDAEWEQYISQVYGQDSRGKKTEAVEIVRAKVVISAVGVLAESSEWPSSVASRDT YNGQLLHSARWPDKISLDGQDVVLVGSGCSAAQIAPALLQTKVKSLTQIMRTAPWLVP RVEEPGGRDAYAKWAPRIYGMIPGLRYTRPNGRLRKQEEASCLAHMRALAPITYHDQL TPTYQLGCKRRIYDNDWLRGMHDPRFMLESRPWRSVADTSITVGDGDNAKTYHADTLI LATGFEATQFLQPISVVGRHGLSLHGLWATRGGPHAYLGTAVDGFPNFFLILGPNTFS GHTSVIMAIENSVDHAMRLIAPILDGQVESIEPKTIAVQTWLTGIRRDMASTVFASCQ SWYNGGGRYNSVMYPRSQLDFYLRCRFPRLSDMNRMLKPQGRERQLWRRMGRVVVVGV LTCALIFYRELWKYTVDSGIIDRVADTTTSMMSFVSRTVQESREWVLQRTPKN AOR_1_578014 MASNAAMLLDPRAHRKQLQNDGSQDSGHSNSSAAPAGTASAAHR LLNPQRRQPSNKGSPKSRSRPQSVSSRGGNSARASPQRSDTREPDVDVVFTSAQEEIS DGKRSSDHVDEVRHGNLIEDMYGVERRLNQPYKKIKTEKDLAQSGKRAIFASTGTTGL GEWVKNGEEKSNSSTPITPNVVDLTIDSSAGATDDDDLQVTGSNNLSIQRVCYGKLEN AMVQAVLVPKPAAQTIFGDSAHDWPSIKLGVHRQTNQGNNRIEVSDPHGKIFGAVDSK TAAVIAPLLDSPALKVNVTARLDVRRRLPNEWPWAPCSALYRASINLYGLRKDAELVG KHLGQHNVWLGTPFSVEQGVPVFNPHAERRRAQAAASFLPNVAARGRSTVNYEVRTAE EVNDAVMKMFDQLQSAENIPELEPPSLLSTPLLRHQKQALWFMTEKEKPRKFGPKEED NNSLWRLEHRSNGARRYREIISGIVRDDEPPQSLGGLLADMMGLGKTLSILSLVVSSL GDAHEWANMAPNSELIRNLPGIRNTKTTLLVAPLSAVNNWTFQVKEHLKENAISYHVF HGQSRITDVDELSKYDLVITTYSIILSELSGRGSKRNGSPGSPLTKMNMFRIVLDEAH TIREQSAAQTQAIFKLNSQRKWSVTGTPIQNRLEDLFSVTKFLGLSPYDDRGQFGMHI LSRFKTGDATVLASLRVLVDSFTLRRVKDKIDIPTRHDKIITLNFSEKERQLHEFFRR ESNVMMKVIAGEDKTALKGRMYHHILKAMVILRQVSAHGKELLDSNDRARIKGLSVHD AIDLEDGGNDTPELVDKKAYEMFTLMQESSADLCAVCGKRLEDPNTDSGATDRQAPMA IILPCFDVLCPECFSGCKQAFDSQTGPSIHDIKCQVCDGWIPVSYSTITPGGLKDYMM GQAQAKQSKKQAKTLGEYEGPHTKTKALIAYLLETMDESKGLTDERPIKSVVFSAWTS HLDLIEIALKDNGITGFTRLDGTMTLSARQKALQEFHDNNDITILLATIGAGGVGLNL TSASRVYIMEPQYNPAAVAQAVDRVHRIGQTREVTTVQFLMKDSIEEKIFELAKKKQQ LADMSMNQRKLDKREVQEQRMREYRSLFK AOR_1_580014 MPEYQRLLKWALSSQSQGIYYAVAQPKETVPLETKKFGPSEIFT ERSSPRSNAAWEALAGPNHENPGFIYVPNWEELKLPPGGVIHGEMMYGISMFHQLHCL GAIRHTFWQLMEGKLDPEALEALDGDTTDPNFIPNGHGVWHIEHCFIYVRHALQCCGD TTIEVRTDFNGQLIFIGWNSTHQCRSFDAIWDYTIKHSTLNSRD AOR_1_582014 MSPIASHSQRPPPSLSVDEEKFEQNSDNTIADDYVDPRVFDQRR RRAFSRQYMIIVIYLLLTLGGLLLTAVDVTSTAYSTSNLMRWESSASFALESSHPSSS HGHHDTSPKTYDPSFPAMKGVMKSPCGHTAGEARARGCHFDIITFCWLPDRCYDAELS DSLEKLVDWKWYLDRNKTQPVPKEEALQGELDGLYVASSINLVLQLSLLVLWSVSPRT STSIPAATLGLANAIIIIGLSYVEDRKSTRPSSLLTVYLLLSILFDATQARTLWLTHR IPTAAVQSASTGTKLAMLLLEMREKTFYLQAPYRDYPPEATSGIVNLSFVWWINRLFM TGYRKLMGNRDLYDLEPGLASGLAGERLKREWENHGPRKASSLPLVYLSAVSGWSFSP WCGLDFV AOR_1_1616014 MPSGPEVRGKFHWKRSTELEFGDLEEGEEYLSRPGFHEGNQGLG AFQSVDPKPARAPRTGAIQLCPEYGHPSSPSSSMRSKHGSEATTNYGESITALSIITL VTTPAEKLLAVLPQLAAATSCFQRIHEYITSDPVKDGRLGHNKALMLNSAISPANADE KEFAPRTDDEEQGVAIKLDNVTVLPSPKAIPVALKNVSFKVTRGNLLAVTGSVGSGKT TLLKTILGELGCQSGTVCVQSKRISLYSQDPWLLNTKIKKSITGLANHQVYEKCRQDI ALLDDILSALDMQTREMIIARLLSDDGIFRQLGTTVVLTTHNPQLLKVADSVISLSAD GQVELQTTGRDAIPYAAIPHGREDTDAREGGLNEKTWDKAADSSDDPVNEVKDEDRAR QIGDLSVYYYYARIVGPFLCTMFLLAHAFLAFAENFPRVWLSKWTEAGGGQLSLYLSV YITLALAASMLVLGCIWIIFLELMPKSAIRLHWRLLNAVIRAPLSFFSTTDSGMTLNR FSQDMTLVDLALPISLMLSIHGTSRGLHESTNAGLLGVALNNILGFNQLLSLFITSWT TFETSLGVIARVKSFVETTPSEFRDGEIPESPVSWPEEGAIHIQGLSLSYPNGTPVLH DISICIEPGERIGICGRTGSGKSSLILSLLRLINPSHGSITIDGINIESISPSAIREA LITVPQDPFTFLGTMRYNADIMGASNDEEIISALKQVGIWEAIESRGGLDAILEDHPL F AOR_1_584014 MPKNRPATSGYARLAQEEEDRANDLYDYSDDDDQNDSLGTISHS APRYAPISSRAQMDASILSSPPGHRRRPSGYHRRGRRNSGVDIKAINARLERWAEEIA SKFKIHKVKGKTLEEEKLEIYHSVFQPPNGVRPISAEELESDEIEGAARRAREEFEDV VESVHSAIRMGMHPRMISQGSSGSYFARNGEGKVVGVFKPKDEEPYASRNPKWTKWIH RNLFPCFFGRACLIPNLSYVSEAAAYVLDSRLRTNLVPYTDIVWLSSKSFYYDFWDRR KAWMGKRPLPPKAGSFQVFLKGYKDANLFLRDHPWPDQTNTGFRAEDAPKRKKRPWNE ACRPSGMQSDDEDDDYENGDIQTPSPREESRERRFYWTEGLKQSFREELEKLVILDYI MRNTDRGLDNWMIKIDWKTEEVSIVADPPKPNGTQQDDDDDHLPPARPVSVNSERTGS ARLPYRRHEAMVAVSRTGTPLNSSEPQASIQIGAIDNSLSWPWKHPDAWRSFPFGWLF LPVSLIGQPFSQKTRDHFLPLLTSTSWWSGTQMALRRVFSQDDDFKESMFARQIAVMK GQAWNVVETLKQPDHGPLELTRRARVCVWDDLVDVPVAIPLRGPSTEAQKRKVKSYEN YDYDPDHEEMDIGASMSLGTGPENDLLGLGSSPNELPNPNRFELSRGRSHQPSTRRIP NGSPATIGDYRVSQDGIDSMIHGRSMDQSWPSLPPRPGNKHQKHSSISSARGQAQLIW SSDDLEGDLGYAAAEGMEGNQRKVIVERLEAVKSKNPVFTWC AOR_1_586014 MATTLADQKRPQLQPVCQNCGTSTTPLWRRDELGSVLCNACGLF LKLHGRPRPISLKTDVIKSRNRVKTAGQGPKRKSSSAVDANGLSTSRSEAGTPPLGSH GYRRASRKMSPGHSDRSNSPVSRTETPGLPSMQQQQLPPQHNSNIAPQHMFDSVTLGD HGLTNGLSSGQLRQQSPTSTSAAVDRHNESPQTIEGLLAANTSLKTRVRELEFVNDLF RGRVTELEQSDAAARRSEMIARDSESRLKRALEDAQRREEDLKRRVSELERHIGEASN GNLEGDESSGIERRFVNLTGPLDSCKNEMQPLC AOR_1_588014 MAESTHKTKRIISVVAGTLVALACGTNYAYSAWAPQFAERMKIS ATQSNFIGVAGNLGMYASGVPLGLLTDARGPRLTTFIGAVALGVGYYPIYIAYEHGKG SLSVGVLSFFSFLTGLGSCSAFSASIKTAATNFPDHRGTATAFPLAAFGLSAFFWSNV SSFIFKDDTGRFLLLLALGTFFFNFVSIPLLRLMPPAKAYTALSRDRSPGVESTRLHR TKSSDLRYVPEESDEAGMQSSTAFESHSPMHVRSQSGASINSHSANHNPDLDETSSLV SKSTPRQSREDDHEEEDDALLDVGVGSPHPDIRGLAMLPKVEFWQLFLTMALLSGIGL MTINNIGNSAKALWKYYDDSASSRFIQKRQVMHVSVLSFGNFIGRLSSGIGSDLLVKK LDMSRFWCLFISAVVFTVTQLAGAAISNPHQLIVVSGFTGVAYGFLFGVFPSLVAHTF GIGGLSQNWGVMTLAPVLSGNVFNLLYGSIYDRHSVVGPDGDRDCPDGLGCYRTAYYT TFFSGVAGVIVCLWSILRERRVHGAIRKKIEHDRLA AOR_1_590014 MGALLSLPLLAVPSASTLLTLATSCCGAATCSAVCSACGKFQNS MATRIAYAFILLINSIVSWIMLTPWALKKLQHLTLDYMEITCDGKACHGWVAVHRINF GLGLFHLVLALFLLGVRSSKDGRAVLQNGFWGPKIILWIAFVVVSFFIPQSFFFVYGR YIAFICAMLFLLLGLILLVDLAHSWAEICLQKIEDSDSRLWRGLLIGSTIGMYIASIV MTVLMYYFFARSGCAMNQAAITVNLIVFLIISFVSIQPIVQESNPRAGLAQAAMVTVY CTYLTMSAVSMEPDDRQCNPLVRARGTRTASIVLGAILTMATIAYTTTRAATQGLALG SKGGHNYSPLGTDDNEHGLVTQQPTSRREMRAEVLRAAVASGSLPASALDDDSDDESD DYNTKDDERGSTQYNYSLFHVIFFLATTWVATLLTQGLETEVENTDDFAAVGRTYWAS WVKIISAWVCYAIYLWTLIAPVVMPDRFGVY AOR_1_592014 MNMATIKAIEARSVHQIQSGQVIVDLCSVAKELVENSLDAGATS IEVRFKNNGLDLIEVQDNGSGISPENYENVALKHYTSKLSSYEDLSRLQTFGFRGEAL SSLCALSEFHVVTAQANQAPKANRLDFEHSGKLKKTQIVAGQKGTTVSVEGLFKRLPV RRRELEKNIKREYGKVLNLLHAYACVSKGVRFNVKNTVAKTRNVVVFSTNGNQTTKEN IANVYGAKTLLALIPLDLTLEFEPSAAGKRAAVDEERESNKIFVRGHISRPVSGEGRQ TPDRQMFFVNSRPCGLPQIAKAFNEVYKSFNVSQSPFVFADFHMDTNAYDVNVSPDKR TILLHDAGALIESLKTSLTQLFESSDQTVPQSQVNNARQSTTKLQSGKLQDILTLKSS SGGAEAAEDEGPEVDESQVNGRNRLNPQHRMRSFLSDLGSSPGGKASIPSSPLRVDKE PAHDPTLLPPNTPTQSKPGLSEEDNQLFVTDDDRYEEPSPGADESMQPERAADGKSQV AYDDDDDAPQSSAPPDSQRTVTQGREPSVEIPNTIQNAFDRMRPRRAPAEVATITIGN RTVTSLVGSGPYRKRDIDHVDTTSSTARKRRIHTPSRPSIFGKHMRGFAAPGAQVEHS ASSEAEEEEDECEEEVEEEEAEDVEDEEEEIEDEEPGGGSRSHVLSDQDSVQSHDEAL PEAEVKDEPAMSQQDTAPVEKTLSDEEKKKHEEAEVQRLIRQAEEKAALPQESNINRA NKLNKGAAHRDSTVNLVSTIDGSLSRIQLQMDKLQERLRLHGSNAVHSQDNEAENSLE TAEERLSLTVSKDDFGKMRIAGQFNLGFILATRSSTGVSDSAPPSSKDELFIIDQHAS DEKFNFERLQAETVVQNQRLVQPKQLDLTAVEEEIVIENQSALEKNGFVVEVDDSGNE PIGRRCKLVSLPLSKEVVFGVRDLEELIVLLSEMPASSTAGPMYVPRPSKVRKMFAMR ACRSSIMIGKNLSQKQMTRVVRNMGTIDKPWNCPHGRPTMRHLMSLGQWNEYDEFDGD EESELEDRRSWTDTLDIWKDYFKEMTGEGEESEGEDEDEAD AOR_1_594014 MSTSPPPPPTTTTPTTTTTSQTTTTETEITDQRTTEAKTAFEAS LRSVGANYETALRDRARTLHDNSTVLDKQEADVRRATEQLAKQNDQLAKVADQARDGL KEIGDVQNWAELIERDLLIVEETVRLAEEGEGDYHGLGNGYGHGNGEDGGLEGNGRVN GFGEEVEDGDKNGKKGKGWFQWW AOR_1_596014 MSDANPTVQAACASNSLESLKNAIPTASTEELNSALCDSCASGN VSFAEALLECPRTDVNAVKDGMTALFIAVSHCYLDVVKLLVDHGADARLKSLAESTSS SHSNTEPIFTPLHGFLRPRRERQKSDPLPSEIFPELLSLLLRAGCDLNARGPAGETVL HQSVHLNLPYTKLLIESGADVNVVNDSGSTPLHLLDLQKSEDIFQLFLDRGAKLDVKR VFDGRSPLQCFAAGGQLGDLSLFRPHVSSWGETDAKGDTLLHLAVRSHRSGSQTILEL LKLGLRVDQRNLKGKLPLHMVDGPMEWFEEAVDILLAAGADIEGKDNQGFTLLAYTMQ RAVDHERKITCLIKRGANVNTQDYKGNGVIHYKRGNGHRGIEALEFLLSIGIDPNMAN YEGNTVLHRLVADFASFSDESEIYFIQKLLDAGLSPTQANFKGQTPLHLLCHQVSEYM FLPTVAGDKRAIDLVLDAGLIRALEIADHDGIRPIHLAASCSETLVARLIDLGADTTA VTGDGRNLLHIASTARQVNIVGLLLEHYTSINQLSLVNKRCKNGRPPLHDACRSGRLE TVSLLLEFGADVNAEVEAKFSRGKTPLLVCCEYAKEEQRWPVHLELPALDGRVSAAGI LSKDEKRPNLPQLMDKKSRNPIRRLEVTSENDTTNVTGVLRALVAHGATLTYEPSRMP PMAEAVFSGSEELVSELGRLMKQHGLEPMRFPDFEHMYLTLTSRHLPDILGVCFSEFV SDHTVARLLLLRQYNALAEGLEKHADAAHVQIALPGILVNLAKWGYTDLFKRLGDLMA DPSWINGGVNRFKKRLMPYLLIAAERKVPNLDVIKVIVEHFKADINLQFQPGIEIRPN LPFQSTIWLRRAYKPGESALHYFAKGGQWWHTKAVRYLLQHGADPNLQSTNGSTPLLN AVHKSLSESHQRKEIIKILLEAGADPNIPSHNGCSPLSLATYDINIFRLLLENGASLE NCISRVMFTTLDNLNLDMLSLLLERSIDCNTTTLQKEGNIWYTQRFHDDRNLTNFTLH PLHYLSMPKFNESNTRDRAIKLIQYLLQQGADPFRACSDETTILHHIFEHGGTIQPFL EIPDLDLERRDAQGRTLLLAASRCENLGTDSFAVMYPLLEPVPKYIRIVAYPEGDITR AMTLYNRGADITAVDHAGNNTLHYLVAGSCDNFAGQKQYRQTVETFVRKAPKLLHQVN KEGKTPVHIARSAEQKWALEAIRNAGVEIEEA AOR_1_598014 MSQYKYSLLTPRPETIRMLRLLPSEDNTAQIQCQLVNYTLPTPG TEDYPYEALSYVWGSENTPQYIIIDGQTLSVTENLYTALLYLRERQLERLLWIDAICI NQGDEDEKAQQIQFMPMIYGQASQVIVWLGETADQSDKALETIRLAADDEPSEDKPTD IQQEMNHTAIVRLLERPWFRRIWVLQEVHAAQDILLICGDVKIHGYTFSSGLKGLSLS LKARPDLQSLVGSIVYLMRGAIFRPVTSATPLQEEEDVRWDEIAETLRSRHDPIRDMS LIWNWETSHTNPGKLQELEIPMESNDTTPGILGLDSEETKRFDDLTLIVRDTLVKMDM GFAATRLLNYLQESKLPLSEKFDLAAAISRAWGYSAMKELGIDIIGETMPVAELDQEG TDLWDQIE AOR_1_600014 MTWQTLKNRLYHRPNNATILALMNLIANTVSRWLDRNSADWLNQ IMLVVNGIAVVGVVLREARRSTSDPGVGRIDDDQTGVGEKESLVGAGAEQCP AOR_1_602014 MSNRYSVYSSHSAAFSTGGRAPQAGGQVSTTTLLNALHAHYTTG QPYQLDAGTSLVVNTLLTATQSSPEGHTGPTIDHELAVRAWEHARRRAEDGCIVLCSA HHSAPSILEPFLAALPLSTPSIAFTALAALRPFLTAVTTFNPSYSLYSALSACYNLTL KGDITGLSLALSTSGINVRKGFLDIPSEPGYRAFDVFYYLLTSASTPAEREFLDLRDA SSYALLRKSGTYTPPSYLPTADDAAAAEDFRSALKAIGIKGASQRGLLSVLAGLLKLG NAAGFLVDQEDLEEACEDVGGLLGIDPEVLLHKCSTDDREVLISGIYEALVDWVIGKA NEAIASQLQASLDDSSRGSGQAAQWTDDDTVSITVVDLPRPALGKAVAMRGIFDDTLG INAEMKDDGVVVPPAGPAVLNDMTAAIAQVEVDLGITTGPTWREREYELDKKHEVLEK VGLEVEMDSFLRQILFTAESEGITLGKKGRFDLATTLGSSRVWHHISIHPTDDLPENL SPGVPTAAWSAGAVSRQLREWRLAEWANRRLKQIDFTADFDIEEFIGRYFRLGCGEGK DGVENWLVERGWINGDAVVGHQRIWVRENAWWEAETMLDLKPEEPPAASPFMYGGGML DPGVPHYAVPPIAESTSLLGSRDNLLNRQSTLVPSVAGGAKSIAPSAPHTLHTGGDYG LGTKGDDKKYDSHPYYDDEGRYLGELDPEYADPKHIEKKEITLGRRIWTGFVWALTFW IPSFVLRFVGRMKRPDVRMAWREKLVLVFLILLFNAIVCFYIIAFGNLLCPNKDKVWN EKEVSYHQGNNDFYVSIHGKVYDISKFWKIQHSDTSIETTTSNMEPFMGENLDAYFPP PLTRLCGDFVTDESITLRNNDTNAVLYSNAKHSCGPLQQTDPNTALHKITWYEDVFLP KIDEYYKGSLVWKRSEVSKQADSSSRYWVIKDESIYDLTDYFYTLKQMNNIDSYNFLP SSITELFKNYPGTDVTDKWPNSENATKAQTCLDYVFYKGKVDFRDSARCQVNNYILLA FTCLICAVILVKFLAALQLGSKRRPAPQDKFVICLVPAYTEGEDSLRKGLDSLTALQY DNKRKLIYVICDGMIVGGGNDRPTPKIVLDILGVDPKIDPPALPFKSIGQGSDQLNYG KVYSGLYEYEGNVVPYIVVVKVGKESEQSKSKPGNRGKRDSQIQIMNFLNRVHHRAPM SPLELEIFHQINNVIGVDPELYEYCLMVDADTSVREDSLNRLVAACANDARIAGICGE TSLQNEERSWWTMIQVYEYYISHHLSKAFESLFGSVTCLPGCFCMYRLRTADKGRPLI ISDKVIKEYADNDVDTLHKKNLLSLGEDRYLTTLMTKHFPTMSYKFIPDAYASTAAPE TFSVLLSQRRRWINSTVHNLVELAALKDLCGFCCFSMRFVVLVDLLGTIILPATCVYL GYLIYSVASGGPIPIISIAILAGVYGLQAIIFIVKRQWQHIGWMIIYICAYPIYSFVL PMYSFWKQDDFSWGNTRVVLGEKGNKRVVAVEDEPFDPRSIPLQRWDDYALANNLPGR RGDYNMSQEKFYGGQYGDMGMEMDDMHSQYSSVKPASTILTGFPGAGRNGSPYMPPQS PAPFGGNTPGNRHSHLSSFSRYTDMPLQPGHQSRNLSVGNLSQFQDPSNRHSVGLMQS TDNLLGVPRPNSRSPVGGYTSRPQSAFDFRGSGGPDEMAITDAIRSCLAEVDLDTVTK KQVRALVEQRLQATLTGDKRAFLDRQIDQELANM AOR_1_604014 MVGPSPAGTVPSHAQSSLPSLPAHLQSDTHLTAHLASRFHVGLP TARLSSQALISLNTYTSSSKGPDGGKEGSAMGEAEDLARRAFTRLGARGENQAIVFLG ESGAGKTTLRAHVLSSFLSFSSTPLSSKLSYASFIFDTLTTTKSLTTLTASKAGLFLE LQYDGSSSVNPTLIGGKIIDHRLERSRIASVPTGERSFHVLYYLLAGTSAAEKSHLGF DNSIHVSTNAGKLSSASIGHKRWRYLGHPTQLKVGINDAEGFQHFKTALRKLEFPRSE IAEICQILAVILHIGQLDFASGQATLTSAEESGGYSHEGGETVTVVKNKDVLSSVAAF LGLGVDELENSFSYRTKTIHRERVTVMLDPKGARQNADELARTIYSLLVAYILENVNQ RICAAEDSVANTVSIVDFPGFSQACSTGSTLDQLLSNAATESLYNFCLQSFFDRKADM LEREEVVVPATSYFDNTDAVRGLLKHGNGLLSILDDQTRRGRTEAQFAESLKKRFENK NPAIVVGSSGSTHGTGYVSQQARSAFTVKHFAGEVDYSISGLLEENGEVISGDLMNLM KSTRSDFVRELFGQEALQTVTHPKEKTAIMQAQVSSKPLRMPSMARRKASPASRLTFD APTAEEPEDNESYGGSTAKSSGRRKSAMSMTGMQGAAGQFLSSLEIVNKCLSSPSLNP YFIFCLKPNDRRIANQFDSKCVRAQVQTFGIAEISQRLRNADFSVFLPFEEFLGLAEV GNVVVGSDKEKSEVVLDEKRWPGNEARVGSTGVFLSERCWADLAKVGERVVPVYHADG SDEGGDGLLHPRTAGYGDSKVRLLNPADQSLGNFIYGDESKQGYFGSRDIDGRSDTGG SGLNSGDMFHNLETREQMLEKGNEKKMEEVDEVPVSGSRKRWMAIVWLLTFYIPDFAI RLFGRMKRKDVRTAWREKFAINLIIWFSCAVAIFFIVAFPGLVCPTQHVYSAAELESH NGKNGHDSYIAIRGVVFDLDKFMPRHYPDIVPQSSLKKYAGMDATGLFPVQVSALCQG KDGSIDPTVLLDYTPTNISGSATTISTGDLNAKYHDFRYYTNDSRPDWFAEQMKELRA TYLKGYIGYTPQYISTLAKKSQNIGSIDGKVYDLTTYISGGRRVAAPTGKEVPANVDR EFMDPLVVSLFQDLPGQDLSKHWEQLQIDAGMRDRMQMCLDNLFFVGKVDTRNSAQCQ FARYFILAISILICAVVIFKFAAALQFGKKNVPENLDKFIICQVPAYTEDEESLRRAM DSMARMQYDDKRKLLVVICDGMIIGQGNDRPTPRIVLDILGVPESVDPEPLSFESLGE GMKQHNMGKIYSGLYEVQGHIVPFLVVVKVGKPSEVSRPGNRGKRDSQMVLMRFLNRV HYNLPMSPMELEMYHQIRNIIGVNPTFYEFILQVDADTVVAPDSGTRFVASCLADTRI IGICGETGLTNAKHSAVTMIQVYEYFISHNLIKAFESLFGSVTCLPGCFTMYRIRSAE TAKPLFVSKEVVEAYSEIRVDTLHMKNLLHLGEDRYLTTLLLKHHPSFKTKFLFAAKA WTIAPESFSVFLSQRRRWINSTVHNLIELIPLQQLCGFCCFSMRFIVFVDLLSTCIQP VSLAYIIYLIVWLARDSSTIPWTSFVLIAAIYGLQALIFIFRRKWEMIGWMIVYLLAM PIFSVALPFYSFWHMDDFSWGNTRVITGEKGRKVVISDEGKFDPASIPKKRWEEYQAE LWEAQTSRDDRSEVSGFSYGTKSYHPAQSEYGFPGARPMSQFDLPRYGSRMSLAPSEM MSRHMDMEMEDLSHLPSDDAILAEIREILRTADLMTVTKKSIKQELERRFGVNLDAKR PYINSATEAVLSGAL AOR_1_606014 MADLTPGHVVTLTDGRQATVRFAGATHFAAGDWIGIELDEPTGK NDGAVQGERYFDCEFGYGMFVRPTAIAAIIGPPTKETKPAAKGTANAPQTRGRAQTGS GLGIKKPSALQAANTKRHSGSSASPSPAAKPASQRLGLKQPQSPTKSQSPTKQLSGAS TPRSSISGPSRPSATSKGRPSIGAKSTSMGPPPPPSASRPSRPSISGASNRTVRPGLQ GTTTAPAGVAKRPALRPTASKTSEEQERGSPQSEDIDTADNYAEGDEMESEEPTAKPS RLASGSSRAGAARPGFSQTSSPRQAQSTALSRELEELKTKLRVMEKKRTEDREKLKAL ETLQQERDKFESIIQKLQAKYQPQQLEISDLRKKLRESEAQLEEIERIQAEHDSILEM ATLDREMAEETADAFRHEVETLKLRVEELQLEAEVLREENEELGQTMSPEEKSSHGWL QMERTNERLREALIRLRDMTQQQESELKDQIKELQQDLEEYESIKSQYESTKEKLLVA ENNVEDLKQQLETALGAEEMIEELADKNMHYQEEINELNAAIEDLEALKEINDELEYN HIETEKQMQEEIDYKDSLFNEQCRKVAQQDEVIEDLEYTLARFRELVSTLQGDLEDMR ASQQITEAEATDLTTRSRAMMDLNLKLQASVSKAQTKTIDIELERLDAQEAAQHLSIL KLYLPEYFEGEKNGILALLRFKRVSFKSSLMISTVRERFPEQTSDPAAVEDGFTAHDV LEKLMWIGAICDRFINYITNCSAESFDRTKATLFEMEPVERTVNFWIESVRKNELNMK KCGIELQRSIALLSHLAEVHLPTSLETFADELCMRSSLTQSYIDHAASLISRLRTLLQ SKITVLEGEDEEPNFLFSKMETLVTQARGLKVAMGKIYKALEDLRSRSLALSQDVAGP FKETEEAAKNLSELARHLGENIALIVSDESRTEPLTLEEATKSMSQVSTLYAQPSESG SECSDTMSFIANRLRSLGGNLEELDSISTDLSITSEFERLPSPWIARASELKSNKAVS PDADEEIRRLKNEIHEASTALGVKDKTIEEQALKVELVESRMQEASKKASMVKELETK IETMRTKETELEGMVEKQRKELQALETEREEIKARLDRVKRASGTTGITTTEGVVVDN ALSLATMRENEALRAEVESLQAAVRFLREENRRGNMLDPYSVQRSAEMYSWLDVPLTQ ANGNAQHDKIQQTASESRDVFSHLLKLTKESNICDLKSTMSQENGNRASWRPSKTKLR YQVLQQRENFEHWTEWRDDIVNQEREQDRLVNTKKERLARDRARRHAPKNSVFGGFPQ GLGHGMMGRAWEILGMQQDHRKLADRPVEPSITPSF AOR_1_608014 MSPSNDATSAGSDPRPVFFFDIDNCLYSRKCNIHDEMQKLIHQF FVKHLSLNGEDAHMLHKKYYTEYGLAIEGLTRHHKIDPLQFNSEVDDALPLDRILKPD PQLRKLLEDIDRSKVRLWLLTNAYVTHGKRVVKLLGVDDQFEGITFCDYGQLPLVCKP TQEMYAKAEREANVPSTAERYFVDDSGLNCKHAAARGWQTAHLVEPGLPLPDAPVSQY MIRNLEELRTCFPHLFKTSN AOR_1_610014 MTAAQLPTGSIPTGLPTNEEVQEYEKILKISDDIFTGTHPRLKV PQQFVRKPASRNPPTSTQAQVKTSERPGSSTWNAPQTVSAPSKPASALSTNTGDGLSG TTAPSRIVPKHTSEIDPIFLTKSDDLVRAELQLQRQRVERTLREQLEQKRQESRQKAS IQDAKPDFDVSDVLNKAFEIVKPSPSVDGRGAGEPSDSENSFYSSRAPDSPQQGDQQK PSPAPPSNSDEPVTEAPAENYSDELQRLEALNRTESDQDMQDTYPVADHGIPYQKQPR PAAADSVAHKYQEAQPTDAFEEPEYSPPAPGVPPMERGENYDPQRGYPSGPRRRGPDA RQPDRPRYAPGSNSPRDDIRVVRNHITSPAAPRPSRVSPLANAKVPSVYQHKEPRPVY GTEHQASPDAPPQQLMPRKRRRLHEERDRSRAVAYRMQTGAPDGTYIKEEPVSPPPFT DTSPAYRSRPAQERPIYIDIASPRYTPVIERREPPMRDTAYDVDAYDIRGDSGMPRTV SRLSTRRPIRDDQDLRRVASLHQARQPEYPREYVDQASPHAMRASSYAVVERPPPRYY DEIATPPARRYIAVGDSPTSPRYQETYYDEEPPSRMMAPPTRRIVVDEQGNQYYETVP APRMQAMPPPTSRMPAPRSEVYDDHPPVRYTSVRAPSVVEDPYGGRRYVQDMPPPQST YRRVTDYARPAPSEHRPYVAPFDEREPLPRSASVQVTDYSMRRPHYIEEAEVPRERIV RVPSVRPPTARYEEPREVIQRVESVRPAGRDVSIYMDDDPRRPREYIERPVYLSTRPV AREEHYYDNGEPERVVLDGGVHRVPHRY AOR_1_612014 MHKISNFTGQARHGWERMTPTFGMSRPHSDMASHSLRRPHGAPP MTPPTGIDPTVNLSFNVPFSSTLGGPDVDDVLHASPGALQRWTFPEGTLEGTPVHNLP VHTSNVEALRRLCRQITESSNGRIEAVVFGSEPKSVASLQRRPQGLVTNVCITGEGET VRKMRARILNETPILLRCATVDVDMHLIMDGSTKGIRPSVLEHLDTLSAYTGTDIFLL SPKLRDNDSAVVSSYGYASDNGLDQRFRVSIYGDMESAEHAKTRVLIMIDQILKRHVD AMKLELTMHTLVCGRTRKNIKLIEAATGTAIYFPPPFPRIFGYTPPGAHRRSEDEVYI TGDTQEQIARAKQKLRELVMGVKIYVKDVIVNSNKIDNILLDRLDKVRKVMEMNGSYV LFPQLGSQRGLVRIQGTEVLHVERTVREIMALAGQFYSASWWIIMPDPSQGTFRAPSP ADVRTMLSDICTNSAAEVSFDNLTFTINGSDDAVKAAMMVVNQIPFVQRSQYQMRVKI ELANEHKEFVSGKKNGKINKIMGQSNVQIIFDGFNEYNFYIDVCGNQYESTKNGLDLV EQEMPASISFHVPDQYHKRIIGIGGQHIQRIMKKYSVFVKFSNAMDRGGMGKEDDDIK VDNVICRTPARNAQSLDLVKQEIMDMVEKVDAEYVSERVVINRLYHRELLARMTEIDE LEKKWNCKIEFPSTELASDVVTISGPEYQVPQAVDALLGMVPESHELLFQSSHELREY FKSVEFRSDVCAKLKEQYEVDTTVDLSADFPSSETSSTGSVSPTLPPEDRVVLGYTRN NAGGLKDAIDFLISRLVAHGLDANTVKGAIPRPKSDSFEESLPFFDSKLLQHAPVPLV TDSPTRPNFSDETSERGSIFERLRKPGSISSFSSFIGRKNHSASPGSFFKHASSNASK ASLVSMESRDSGYRNPWNDSGVNLPEDDLPVLGSSHSHSSSNGWPARFDTKFPFGTAP GDMTPKHDPRASFDSGRPSTSNSTSGYPAPIGPPR AOR_1_1618014 MSGLTTSPPGSIPASPSSVNQVSRSSRPALTLDLSNLPTMSQPT KPTNTLIITELSNILIFQPASLATLRAQLESIAPLNSFSPLPSLRRLICSFYTEEDAL SVRKLLDGQLLEHNVRPRVYFGEPTPILDEESRRPKLLEAPHADKLFFISPPPSPPHG WVMRNEEPPNKEVHASDLATALAKLKTEQSGVYEGISQTCEPGTPMSMTSDKRTGSWP ASMSGQRSRSSTLIYHPEDHGGSPNLPAVMVEDTSIMVDDLEMDMSPIEMPIRKAPPK TSRPPVELMC AOR_1_1620014 MGGAVRQDESLSLARSGLCWAVLTAPTIITAPHPLLIETMGSIV DAEQARKLSLFRPLGYQRNSCGYCKSDNGSASYYTSSVSVRPAHYEELVNRGWRRSGT LYYKQNLQRSCCPHYTMRLEATAFKSRKDQRKAINRWNKFVLGPEYIRRAAYLCPKTR EEKRHRKCNFDLLTAVHEAEYSNVKRPIDPKTKRYLEPAHRFEVNIEGDSVSQAKYEL FLKYQTKVHKEDVSTWQQKDFKRFLCSGLKRSPADPKSTEKKLGSWHQCYRLDGKLIA VAVLDLMPSGVSSVYIFYDPDYEQWEFGKLSALREIALSIEGSYQYYYMGYYIHSCQK MRYKGSFKPQYILDPESYTWDPLDGELAKKLDERPYVSLSRDRRLAAEGTPESAGTEA DAEINDDEVSLFDLRMPGVLSLEEVEPLDLDHWLLLVHGSFVHMIDLVGWETMPMDNP QSVKGIIAELAAVLGPKIVKESAVVLFD AOR_1_616014 MVDQTRSMESRTGRKNQRYGSKGERLVAGVVPLSTDKSQVLMIQ SAGRGGWVLPKGGWETDEETAQQAACREAWEEGGIICTVLRDLGMIPDMRPSTLLTSH APKASYQFFEVIVDREEAQWPEMHKRKRQWVSYAQAAAALASRPELLEALNRSSMKR AOR_1_618014 MDYEMDIEPTGPQVTVREAEPYRVDFKLSSVDLAFANSLRRAML AEVPTIAIDLVEVEKNTSVLPDEFLAHRLGLIPLNSKNCDQDVEYTRDCECEDHCARC SVTLTLHARCTGDDIMRVYARDLVVSGERANEWVGNPVITDPEGNGPLICKLRRGQEL KMTCIAKKGIAKEHAKWMPTAAVGFEYDPHNNLKHVDYWYEEDPIKEWPVSHNAAWEH AAPPDQPFDYDAQPNNFYIDVESVGVLEPDMIIQQGITVLQRKLASVISALSGTGDGD HNGVMGGEDEDMMGVRSPDAYEPPEGIDGGFTAYANGGASAWGASAATPYGATPYGGG GYGF AOR_1_620014 MASSAKLEVSQPPRAAVPVKKVHYPFWFGGSASCFAAAVTHPLD LVKVRLQTRGPGAPTTMLGTFGHVIKSDGILGLYRGLSAALLRQMTYSTTRFGIYEEL KSRFTSPDAPASTLTLVGMACTSGFLGGIAGNPADVMNVRMQSDAALPVEQRRNYRHA FHGLVQMTRHEGPASLFRGVWPNSTRAVLMTASQLASYDTFKRLCIDRFGMSDNLGTH FTASFLAGFVATTVCSPVDVIKTRVMTASPAESRGHSIVGLLRDITRKEGFAWAFRGW VPSFIRLGPHTIATFIFLEEHKKLYRLLKGVSEEKHTA AOR_1_622014 MGAIPEADPDEPMETKPFKFVTAGYDARFPQMNQTKHCWQNYVD YHKCVTAKGEDFRPCRQFYHAFRSLCPKAWTDRWDTQREAGNFPVRLDH AOR_1_622014 MSPWRPSPSSSSQVSYDARFPQMNQTKHCWQNYVDYHKCVTAKG EDFRPCRQFYHAFRSLCPKAWTDRWDTQREAGNFPVRLDH AOR_1_624014 MFPTRVLRMQASRPFAFPTPKEAHSAHTISQRLRTLKRVPPELI PLGIVLGVAVGAAIYSSGRKLMTDKTLRLSRNSPESREH AOR_1_626014 MSEAARLKCTVYVGGLDQAVTVQTLAEAFVPFGEVVDITLPKPD VPNSNELHRGFGYVEFEVPEDAKEAIDNMDGSELYGRTIKVAAAKPQKDSNEGLGSKT AIWEQEGYLAKYAVDEEDKLAAEQAQAATNDRPHDPMQGLEELDVAGPKPE AOR_1_628014 MVRLREIPRTATFAWSPGAASPLIATGTRAGAVDVDFSNETCLE LWDLGLDRQSTGEELQPIAKFDTDSGFNDLAWTPSEDNTRGIIAGALESGSLDLWDAD KLINGSSDDAVISRTSKHSGAIKALQFNPRHSNLLATGGAKGELYISDLNNVANPYRL GTAARADDIECLDWNKKVAHILVTGSSAGFVTVWDVKTKKESLTLNNMGRKAVSAVAW DPEKPTKLVTATPLESDPMIYVWDLRNSHAPERVLKGHESGVLSLSWCSHDPDLLLSS GKDNRTLCWNPQTGHAYGEFPVVTNWTFQTRWNPHNPNFFATASFDGKIAVQTVQNTS TDTAQAIADQNQALDGEDFFAKAQTQPQVSSFSLPKAPKWLERPCGATFGFGGRVVSV NLVEKGQRASKIKITPFEVDEAVGQSTETFENALKEGDLRSICETRAANAATEEEKAD WKVIQALISENPRKGLAEYLGFQDQSADEAADKLANLGLGKEETNGESPKESRGPGAK KHKRLQSMFDASPEADNFLSDLAASKGAKTNNPFHIFNGSETEADKGITRALLLGDFE KALDVSLKEDRLSDAFMIAICGGQKCIAKAQEHYFSKQTESPNYVRLLASIVGKNLWD VVYNADLSNWKEVMAALCTFAEEKEFADLCDALGDRLEEQIRASDDKSLRKDASFCFL AGSKLEKVVAIWIEELRENEQKALETAANDTSFSIHVRALQGLIEKVTIFRQVTKFQD TERTKESDWKLSNLYDKYIEYADVVATHGRLQVAQKYLDLVPEKHPEAEVARNRIKLA TRQPTAQKTQQTTTGRGTPLNKPLPTTNAYQPQRTFSPVATAAAPSPYAPPAPTTNAY APPAAATNPYAPPAAATNPYAPPAPASNPYAPPGAAAPPQPTNPYAPASGGSYAPTGY QPTPAPSYGAKPLGGSVPPPPRASNQSPATVTTYTTATNLPAWNDLPEGFAKAPTPRR GTPAGAAAPISSPFPNQSPSIAQGPPPPGAPPTQRTPSVPPPPKGTAPPPRVTSPPSA IPPGPTPPPNPYASLPQSPPQLNPGTMGVPAPIPRGPSPYNAPPSMPPPTNRYAPSPA AQAANPQLQARGPVPPPPQAAASPYAPQPPAASQYAPSTPPLQQGPPPASTSRPGTAS SQKVTPAPATPKYPPGDRSHIPEDAMPIYEILSADMQRIKGRAPTSFKQQVEDADRRL NLLFDHLNNGDLLKPNTVSDMADLARAIQARDYEAARAIHVDILTNRTDECGQWMVGV KRLISMSKATP AOR_1_630014 MAETKPKTPSIPQWQQPSATNSASASPPSSDDTSRSDLLQQATK FLEDESLRDAPLDRKVSFLESKGLRQDEIDGLLGVSRNSEATSSTAATEGDNKTTESS TPDDTPSGSTSSSPSTSTSQSSSSPATTINNRQSSSARDVPPIITYPEFLVNQPKPPP LVSFRSLLYTVYGAAGLGAGIYGASEYLVKPMLANLTSARHELAETAKDNLKKLNEKL EQNVSTIPPQLTARRAQSTGFSSDDETESVTSDPGELFHRDVAVQTTEDFNLEKSSSL AANTADTESFDPSAAVNTHVKRLEVIRSSLQEFSEIDKQSSTCDDTVRSSLNELHHYL DGLLYSKAGYGPGTGYGVYSTPGFDSSGNAAGLGKGEEDAISNFKSEIRGVKGALLSA RNFPASRGPRISSGISR AOR_1_632014 MSLRRSLRSRPVRDDPPDLDSNASPRTPTRVTRAVASSSVSVFR SPSDSPEESRRSIRLTVKMPSSKLREVTSSSTRNGATRRSVNVFTENPIVTGPRTSRP KKKLVEVDTSDEDLDDQEEDEVDDEDAPGEDDEDADADGDLDMDDAPPQPPVSKRHAK SAASTSKAVKSVEAKEMELDAEDDEDDEELSDPESDAEGEPDDQEESGIGNANGGEED LDEDEEDEEELDSDDDTPTADPARMTKRQRGNLGNDFLQLPMEPQVKKHLTAEERAMR RAEMARRRKNLSEKRNEEEKMDTINRLLRKQAPKRRGRIPAAEAAETASAEQQMQEFE RLDPTMVRWISGREGSKMIVSEEWLGTPAGRVFAEPPLESTGPRKLVEEV AOR_1_634014 MSRNWIPALVAIGVGVFSGYYTFQPLLKDLQMEKAGSQRLQLRE RTIAPDGVQTPTTNNKDDSNAPDSAQSGNPK AOR_1_636014 MESWRERGFVPDSDEDDGLGSPEKVNQDLESSDDELGASPTPDT LDTTRQGPQDEDTEEEEHHGPQSGIVSDLRPVTSSQDLDDVSVQANEEAKSTAKEVPD KEARNIAEGPLSEDGDVTRRRKPHPSPTLSATPRPSPRPSPPATPLREQPKDIWDVPS SSPDELQLDHLISRRSVGLTSKVPDTGEQQENNENADPSPLSSPLSSLHSLALGENDQ DDQENTENPPPESNVEALLPPLEIPEDILREMSQPARRSLRQRNPIQLHPYLLEDAKY QTLMKARGIKPVRIAQYQQAMRAAAEGQSQSFDSTLPPSSSPTAEFEYAPSSPAERNS TSAARATQDLHGGQPQSPNQNLSENEIRGPKRRKVLRPDDHRNSSRHQSRPKVVINNR TSPINLNNSLAWDIPPSPPRSGSNSSPQAPWNPTEFRFPRGFTPPTLNTPSTVRRRRG QDATTTGNGPVDRELADARSIASHHSHSASENDGEDEEDEGEEPEEDVREFQRRIKGV LPASWLRLDQQRQKDTQLSSTQRHRDKNSRTENAKGVAKKITKRTGPSTSSNPVEHLA SLWHLADSDGSDADEDDDNDGQSNARQILANLVGFDDSFLAEDMGEDIPEDNRIDYMF PSATRETSAPRSQKGGQKRQRPESHFTRSDSHAKRPRLQKQARLTDPIYRREKKRQSS RTPPKLGILDAPDVASRPRNEQPQFLRVAARKARSRQDRGRRSPSNKVIKMSSALDTE DANTSLREWRAGRIRQSKLPRPHAKPPRRPPLADLSSNMKIGAGNHVSGEINKDHPII AGPATQQGDTNVVQSQMPAPEAPPPTTAPPISEKPMQRIQYGNNWFVRRNVVVSSLKR NDPRPVGLEMASLNVSRAPSFQRSLSLLNQNTWQKRLPDTVDRNIILNRFLVDSGQAS VHAKARGSTFTRESNDASVSLPKRPVQIKRKLKKRIPMRLDVSTTEGTNQLILSTDLD PPRAQGKDAHPSKGVSDGLSGFQRSYSTDFSITPLCLGTFFHESSFIGSGEFNRSLEI GKRDLDQNAGIFTANVRGRTFRWGPWNDAVSSELGIAFDDMLEEMEKSDTMSTEAATD NAPNLTYTIYRTLVKYITEALTFIDPIDRTGFITRAHALISKVNDNLTSMVSPTGRGT EYRTRIASYNVVFANLTYQVACHTLVNDSVTEEVLELMRSASRQAFAVISSEVGKINI RKFLGNNKVCEQRDKGVRDEYPAVEAYIIVRQVLYSTETLKGFFEELIADSLLAISDQ SNTKNIDRLESGWHWLFTTLPLGEIDALGIARIGSRFSETCNNWKIVKQLLCPVLESY EPNTSASISYNTYCRALFHRCFHLINGWGWRDCKLILDTLYDFFARNTLYNLKQEENY TSPSFLDELDHNPSLDVTPSDPCFHIFLKIIASGLRSLSKRYDKKKVRNFAWRLLPNH GRVYPKEQPIHQADLDALRNHHDLLCTLYFAVPDGCRPRLEAIKNLVHPANSHRETCN ISIRSWARLVRFKLSTDEDVSGLEAFADWHSYFVSEFIKQHMLARREIEAQNTKDNQF SHQLIERTISQNQRQIESLLKTALNSLQNAIQSAPTLEHAHRLISKSPISVVLGLFDP RLARVNTTVSEALQLLKAYVQKCSSASATGSANPPAPVDEDSQEYGDWADIEAMYGDE FSLATHPQGIEYMEKVLHPAVSQLVSNSFGEDHCPEDAILLNVVDCWTSIAQVLVKNG FRHWDNYLSLYDGDSWAVLRKTAQTRKFTPKFLASCIEKDARFMCECKMQVLGMWMSS LVERVSMLKFQHSLTEALLNCDSNNTLLQNLPFSADRKDGRYSITLEQLSQRRLSLIS SLLSNMRAHVQDLEITASRALSTEKQEYRELIQTMMSSMKANYQELGSSAASAQGAYV DFVHRVVGFLQQHTRDICPVDPFFTDPTSFPLPSTDPTYIVARLKGYEPKLSSEKVAK TLIMFVQGISERAAIDGQQVYLVDQLQTSIAHTYEAGDPVKPTLRATLLQSVFPAYLQ TAFSNPGAWLLSQPIIQTISHTFKELLFHIDATDTDCVASVVGIFGSVFQSSYHALHS IVDNANMLKEPPVVITVASFIEMITSSLRVVDYIDRSTDVGDNIISQIHAFRQFILFS TSFLHDQLLIIDPENIPHPSNIFTTENTPTNAPKFFQEIRISATRELRTYINESWSRH QGKYYFTRRGGHHPQEVNLEPSMAANLENFPLAILDDAARNFLDTLRALDSFDTFH AOR_1_638014 MATEARDSAIREAKLYVREIVRNDWTFHPSTDAGAPASATPTPP EQEVTAWRLRTYDSSASELEPLSSPTIASPPSGYDSAQIESPILSPEGDERTERRRKR RRQMEEEMRWNEGLRTWVERRDAWTCAKSREEIIARRQLKQAQAQAEGTIINEDQGAS SGSAFSRPASSSSRDEENLAAKTEASLSVAEKEESGPSSVSAQPQQGEDHKESTETGA TEPEIALQQTATDDATGTVANATTPAPAPATAAATATASSKAHQGSEDPYVPVVPSLI STSNPIRASITPAMYPSIYSKVVVQGLTPTVPINLADVTKAMVQGWKADGQWPPKPAT TNLVLQDTATVPKTAEDGQPSSPESKRRSGVVGAVRKVLHFSGFHPHPFHRRSSHSAQ GDVSGAVNTETAGK AOR_1_640014 MYSSMQRGRLLSSVARPCRFIARRRYPAVVCSTPRRFHLSRGWQ SSAPPDPEDARSSSPASVTSDIPTLNAEHTVPGHDASSIASPSAERAGKKESSPYGSA VRRALRNRKSLKEWAAPAATIPCWFYERNTVANGGESHAASDFPQQVKISKPEPETVR ETTDGMAHGGSGDGEPSESSGVPDTGERYALTEALWEELCASAKAGLRLPPAKYAKEP SARKSHLVLQYPGADGILFLDAVVKRLAQELGADVVTLNAQDIAQLCSEQDLEDVGTT SPIRSLGYDVYRPTAPEPWQDDSMGEGDDEAEVEISPRSLRSGLKGPRFITIESSREA GDIPLPGILGLKSLVSAFNGPVDGSGASSNPTDRAEDRRLQLINELISSASGPKRKST TEVSLDKPTESRASENKPPVRDVIVQIQDYGEIQATREGARFIYLLQKAIQDRRRDGS RVLFVGTASQDAASESDASRLMQNAFDDQFSQMLVITPAMGLEAAEKIFTDDRKRRTL DINIRHIQDMLRTRLDNTSTLEDDIFRNRAWPLDASVVKESGLDERYWPYSQVHWATT LALGSLGSDELFGFQHIQKGIEMMQRTDRIKNDWMQEKAPKTKHTETGNDRERLLSSL RKTCNSHEKKLLNGVVDAKSIRTTFSDVHVPPETIDALKTLTSLSLIRPEAFTYGVLA TDKIPGLLLYGPPGTGKTLLAKAVARESGATVLEVSGSEVYDMYVGEGEKNVKAIFTL AKKLSPCVVFIDEADAIFCSRTGASSRTSHRELINQFLREWDGMNDLSAFIMVATNRP FDLDDAVLRRLPRRLLVDLPLEQDRLAILKIHLKEENLDSSVDLAELARRTQLYSGSD LKNLSVAAALACVREENDLAAQHQGDEPYQYPERRTLTWKHFERGMEEISASISEDMS SLSAIRKFDEQYGDRKSRRKKSPGWGFTPASTEEASSDAARVRT AOR_1_642014 MAQPFPAHQGIPQHPGIPPGHPLAPGQHPNAHPGAGMVQQVHPG VSAPGGPQVTQGGPMMGGMPPGAGTTAPGGPVQAHALSHLNPAQAHLFQQPHFAQQFA NNPQLMHQQQQQQLLRQRMLFQQQQQQQQQRHGGLPVSMPNGTQPLNAAQLAAMQNPG MRPVISQMQLQQMPHGQPQNIQQQQHFLAMQAQQAQQAQQQQAQQAHQAQQQVQQQTP QPGQQTPQQRPAPQPQNVHDAQSVTPQPQPMPPPHQGSATPQPTPQQHLPTSQPPQQP AVPQPQPTPNPPPQQLPQSQQPGQQGQQGQQQQPQQPQQQTQQQPQQPPQPQQPQSQP QPQPQQGQQGQQQGQQQQQGQQQQGPPMTAQEAQLKAQQQQNAMMMQQRMNMKGATIL CLNTFAEQLSNFTSRGEAHDLLYWQSFVDKFYSPSGVLRQGVWNPQTGSKQFEIATPA LARYYLTQFTSGIRHIQMVVENARERDSPNGGHIVESQKTSFIYWFVNDTQIFTNGKL RAHFDMNNKIEMLDIEVTSYTEYLPRSQLQALEAADQKQSPKVSKNMGKRAQQKQAQQ PAFTLPESMVTANGVPFAVMSFLEVAETISQMQLLFQYSQQNPQLSAPEALRNLVNSL PTQTPTPGFMPAPMNPAMQPGQNPRGPNMNVPNQFASPAMAHLGLPGAQGSPHLGGSA HPSPAQSHLAGPPGMVPQGQMQPNVGQGTSASASPNVSHKRRRASTVKMENDDGAPEV NGTAPPGPKTVKASPRVGGKRQKGTAN AOR_1_644014 MSHSHSHDQGISHSHDDFGGHGHSHEILDGPGSYVNREMPLIEG RDWRDRAFTIGIGGPVGSGKTALMLALCQALRDEYNIAAVTNDIFTREDAEFLNRHKA LASKRIRAIETGGCPHAAVREDISANLLALQSLHKQFQTDLLLIESGGDNLAANYSRE LADFIIYVIDVAGGDKVPRKGGPGITGSDLLVVNKCDLAHIVGADLDVMDRDARKMRE GGPTVFAEVKNGKNVQNIIDLIISAWKGSGAYELSLERWNAGATRGSGSVDEQ AOR_1_646014 MADNPSTKAVAPANKKRKESKALIEARQKYGRGKAIPMQTVRDK KLRANLRAVENKFKQAALKAKDAEILLEHEAGFLEPETELERTYKVRQDDIKEGVGIE TAKKGFELRLNDFGPYRADYTRNGRDLLLAGRKGHVATMDWRSGRLGCELNLGETVRD ARWLHNNQFFAVAQKKYVYIYDQAGTEIHCLSKHLEPLFLEFLPYHFLLASAQMSGHL KYTDTSTGQMVAELPTRMGAPTSLAQNPWNAIIHVGHQNGTVSLWSPNSQTALVKALV HRGPVRSMAMDRSGRYMVSTGQDMKMNVWDIRMYREVHSYSCYQPGASVAISDRGLTA VGWGTQVSVWRGLFDAAAADQGKVKSPYMAWGGDGQRIENVRWCPFEDVLGVTHDQGF ASIIVPGAGEPNFDALEANPYENKRQRQEAEVQGLLNKLQPDMISLDPTFIGKLDTIS DKKNREERDLDRRPEDVMEKLKNRGRGRNSALRKYLRKKGRRNVIDDKIVKAEMLRKE HQARARDKLRTEREDLGPALARFAKKEI AOR_1_1622014 TLDSLPFRFKGSSGANSAPTQAMQHHHRSTTKTSHKPFKTKHAS KGALKEKAKGKVERGERGTRKTPHQQLMSKLDRRNQARQKQQVKHQEKAQATSIFSGQ SGAPRHVAIVPLSADIDVAAIIRSLNESVDVSCEVSHDTISRVRIDRFRQSVQYIPAK FDLMNALDVCRMADFVVVALSSEVEVQEQGELILRSIEGQGISNVLAVVQGLDKINPP KKRPQVASSLKSFINHFFPSVEKVLSVDSRQECSNAIRSLCTATPKGIRWRDERSWML IENVAWPETNSEVVDDVVVTGVVRGRGLKADRLVHIPGWGDFQIDSITAAPLPQARGK RDDAMNVDESESSQVLDTPTEDIDDLAVVAPEEIEMEDDDMEIPEEERRGVLLDEHHY FSDDDSHIPAKPKKLPKGTSEYQSAWFLDDVSVSGSDLSDGEDEEMAMDTAGAPEDGI FPDNHDAMTEAGATEYPQSEMFVDPSPEDEERELEEYRASRRKEAKEDLEFPDEIELH PNVLARERLARFRGLKNFKTSPWETREDRPHEPEDWRRLLQIIDYKGTKNRTVREALI GGVNPGIRVDVHLRAVSTSLRNKPQPLCLFSLLRHEHKNTVVNVNMTLTSNIEEPLKS KEELIVQCGPRRLVVNPIFSSNDNTPNNVHKFDRFLHPGRSAIATWIGPLTWGAVPVL VFKNKTVQDPEVMDGDEQPDVEQLELIATGTVVAPDTSRVVAKRAILTGHPYKIHKRV VTVRYMFFNPEDISWFKALQLWTRRGRSGFIKESLGTHGYFKATFDAKINPQDSIGIS LYKRVFPRKARALEEVTA AOR_1_650014 MAQDPRVLLQKADKALQGASSGFSFFGGRSEKYENAADLYTQAG NAFRVQKQNKEAGLAFEKAASIQTQNLNEPDDAANSLQEAFKVYRKSDPEDAARVLSS AIQHYVLKGNFRRAATQQQYLAEVYEVELGDQKKALEAYEKAAEWFDSDNAEALANKH YLKAADLAALEGDYYKAIEHYERIGRSSISNNLMKWSVKDYFLKAGICHLATNDLVAT NRALENYRDIDNTFVSTREHQLLIDLVQTIEQGDQEAFADKLYQFDQLSKLDKWKTTL LLRIKNNIEEQAEDFS AOR_1_652014 MLCLRSTASQLSRRISPRTSRLSNLAEVRRIRFYSPKPEQVDVD ALLAKPSWSVRSLLPDQTAKQSSPTVTPAQLHHLLRLSALPLPSTKEEEAKMLETLES QIHFVKEIQRADVTGVEPLQSIRDESLEALKENTIGLEQLKDALAKERVVGRNKRIQR VESERNDRPDGDAWDGNALGYASKTKGKFFVVETGN AOR_1_654014 MWKNLKEKHASKFGSAAPAAPKNDGNQDLTTILNRSQRADLTML VAAILEQMRATIEQNFHGSPSHSHQPSPDHKENDSASISHDQQGNPTNQSDFKPTAQD LKAEATSLTSFDDWRDSVILRIGEVVNKSEGDDDDDDDEQHASTSSQASQQQAPVVPE DQGSLKKLRDVYPPVETPLLQLPEAKRLLILHSLLLLLLSLEHYNARSRVLMLYVASS LHLDVKILNGDEVKVARILLDTAIELSKNAETQNHGKKSDSSRKWKVGIASVAGAALI GITGGLAAPLVAAGLGTVLGGIGLGATAAAGYLGALAGSGVIVGGLFGAYGGRMTGRM VDKYAREVEDFAFLPVRGSRHRPQDEKEAAKQDHRLRVTIGITGWVTEEDNFVVPWRV IGADSEVFGLRWETEPLMNLGNAMDLLVTSAAWAAGEQVLKKTFFASLLSAVVLPLGL LKVARVADNPFSVAKVRADKAGEVLADALINKVQGERSVTLIGYSLGSRVIFSCLQSL AKRGAYGLVDSAILMGSATPSNAQHWRRMRNVVSGRLVNVYSENDAVLALLYRTSSLQ LGVAGLQPVEDVAGVENLDASDIISGHLRYQFLVGHILSRIGLESIDVREVEREEAAL AAKDQRQEQERIRNERRAGIQGSDSAHQKLESGELKDEENRLQKQVEERNHERLHLRH NE AOR_1_656014 MSSQVTNSSPEKEKVKLFARMKLPNLVGEDKALKDAKKDPKELK SVVDGCMNGLLWNHAKTTVSEKNKNHKVNYFCVKMGEYEEGSEPSVEEAKERLISIVS NCLIR AOR_1_658014 MASQINLEGNNWWAEIDVSGVNSSLEHNFDPIADGNNHEKKTRF AAITKKIIELGIMEVSIVPKDRTEERICYPFWIGQYPTGREPTKEEAKKKMVEHLDKE WKAPSETLDILEEFWRRSREWLAQRAESRQEGLYREDTPAPFETPPTPRIRQLEDNEL PEDTTDCWL AOR_1_660014 MNTPQKHGTDKSTQVMWYIKLKSTVRYKWVIKVLDDKEIYNCFL VECLRRAKEGEGKRTKEPTDHSPGIYSIQIGETYPDKPPSKEEADQKLVDDIQARLDA FYRKNPHMKTKTVKWYVEVTTEDAPWTEWKGKIRLNSPEYFRFLENCLRITKRGEAKV TTDDNSSKDDTYSLLLGKFCDHQFPDHQQASLRLEREIYDAIAKHNTSGWRYVPPALG FR AOR_1_662014 MGESPPFLYGTPSAYRFDGPTDRPFNPKAVTQASWTRPPSKPKP KGPLVNFNRHPDSYCNIPSGKSKWTPMHPNTKNRVIYGRKVQLGLRILQLIGALGSLF CAIVIKNAAAALIWIVRVGPAVAILHTLYGIYHLCRSITRPAGTQASYMLFCGTFDLG LVPFYVFAAYLGFNQYTDNAYHWSTLLSPDFEVSTKIAQATFLLSVINGGLHAISLGI SIFLGLIFRKITRLPPDLNPLEDNLTARPHKRNKSEITKKHTSSSTLDSTMSGETLIG APRTMSFMHTRAKSSEGGSSTSPLDARENRLSQMTMAYDHDELPVPLMPFGRQADTAE HMMYQLPDQDEDILTRPTTRISYASPVRERSPDVPSRSQCASPASENWIAFPSRSASP VEEGQKENLLHRDPSSVYSRTTNTPASGNSGPLDWFNNQRIGWELEDTIREDVRGEYE SVPIREYYGNDDENHYLPQRDRFYDNAEEDISDHPIHIFEDHSEVRESSESLRVNPLA LNPPTPQPAFVETPDDATQNGRMVLVDIPNLSPNPTASPPPVDSPEKSGRFYGELESK TGLSIPRNVSNHDEDTRGLGRKKSKLMKRRSQKMNTYGALKQHDDAINVNENGLVVPP QSPMTVESDRKGRVVSNSGADIGPNVSGGSALSYGNYIAGLGVGRRRDVSGKVAEEGR GGMNKATDEKQTIRAAGWARFAGL AOR_1_664014 MNLQRVPYICSQCTVQISRSPLRRSPHRLLPQPVWQRRHNSQSV QTNQPFRVAVVGSGPAGFYAAYRLLAKVDDAVVDMYEKLPVPFGLVRYGVAPDHPEVK NCEEKFTEVAASPRFNFIGNIELGEDLPLQALKPHYDAILFSYGAPKDKELGIPGEKA CRNVYSAREFVGWYNGLPEHRDLAPDLTSGENAVIIGQGNVALDVARILLSDVDTLRK TDITDYAVEALAKSKIKRVHVVGRRGPMQAAFTIKELRELLQLPGVSFDPVRKELFPP DDVMNALPRAQKRLIQLLAKGSSNDPATSAKSWSLDFLLSPECLNWSPIHPYRLSHVK FSRNELDPADPYITSAKVSPKYLSNGQRAQVNIPANTFFRSVGYKSLPLPGLEDLGIQ FDTKRGVIPNDGFGRVTSPTNTGDNEQLPDGSVISHLPGLYCAGWVKRGPTGVIATTM TDAFTTADVVAADLSSHRGKGSLLNGPGHSTGLGWEGVRPEAEKRGLRATTWEDWQRI DAAERERGQQIGKIRSKFGRVEEMLEVVQ AOR_1_666014 MLRYVLENGYINPLGVPRRGFTITSNSRTLSKTLKPETFLPPSS QAVFKRPSFRTSIITMKSFTAISLLALFSSALAAPVEQSTDASTAATISVSYDQKYDV SGSSLTTVSCSDGVYGLISQGYSTFGSLPGFPNIGGAPTVAGWNSPNCGKCYQLHYAA GNVDKSIYVTAIDAAPGGFNIGLQAMNTLTNGLAEQLGRVNVDYTEVPRSNCGFP AOR_1_668014 MIALIRMLHLLNGEDAQTHLTKRQNATQQPLTGGDRAGFIAMGV VALCSFIASFCLLSFLTYRFIFWKRYYKRPLAANQYVVLIYNLLLIDIQQATAFVLCL YWVSRGHVDYPSAACVLQGWWIQIGDPGSGLFIMAIAMHTGAVVLRGRQLPHRTFVCC VIGLWAFIIVLGLIPVGLFGSKTFVISEAGWCWLGPEHETERLWVHYLWIFLAEFGTV VFYGMLFFHLRRRMKQAAMLRQGHQESLKRLNRVVIYMVIYPIVYLVLSLPLAAGRMS TARHIVQSRGYFAVAGSLMALSGLVDVVVYTLTRRHLLLDTEISTSDKMYAYSNSNAY QTHITTTTRENKKPRMGSRLRRGLQTINDTINDGDSTEDLRKDGDMEMADLGHGVYQE TTIEISHEPADPDEFHGNKRNSG AOR_1_670014 MAAPGQPSPQQIAAMQQQFAAEAARRGMTPEEFAKQQREQLNAE AAKHGMSTEQYVQQLRMRALAAHQKQVEAQRQGQGSPQPGQPGQPGQQGQQDEQGQQT PQQPQPQQTTHQVPVNPSNPPDPKAIAVAQFLRSQNLKPRTCIMDGQRKDMFKVKRAI RALESPAYAKAAAKKNSLLPPVTDRASAENVFKLLPLSLLALRVSKVDPHAGHNHAKP KNRVKGLWTVKIEQHQETDPMMHYVWLYEGPQWKQKAMAAAVVAGIFAVVLFPLWPMV MRQGVWYLSVGMMGLLGLFFAMSIFRLILFCVTVFAVPPGLWLFPNLFEDVGFIDSFK PLWGWQESKKSSGDASKSAKSPVSQPADSAPSATTTATAAPDTSSAVKRDLAPRVEEV TDE AOR_1_670014 MAAPGQPSPQQIAAMQQQFAAEAARRGMTPEEFAKQQREQLNAE AAKHGMSTEQYVQQLRMRALAAHQKQVEAQRQGQGSPQPGQPGQPGQQGQQDEQGQQT PQQPQPQQTTHQVPVNPSNPPDPKAIAVAQFLRSQNLKPRTCIMDGQRKDMFKVKRAI RALESPAYAKAAAKKNSLLPPVTDRASAENVFKLLPLSLLALRVSKVDPHAGHNHAKP KNRVKGLWTVKIEQHQETDPMMHYVWLYEGPQWKQKAMAAAVVAGIFAVVLFPLWPMV MRQGVWYLSVGMMGLLGLFFAMSIFRLILFCVTVFAVPPGLWLFPNLFEDVGFIDSFK PLWGWQESKKKKKSKKSSGDASKSAKSPVSQPADSAPSATTTATAAPDTSSAVKRDLA PRVEEVTDE AOR_1_672014 MSLNYNPDQSSLINTKWETVFLSERDVSGQIPINYITSSGVSVT AACFGNNVFETQSAEKCISNLLSVGYRRFLIDLYWSVDSRAWTFCPVAIPKNIPVVTV STTPTMTPTATASASQSTATVVSSSPGWPVYEIGPYLCSENLDLSGLIDVFQDYFKDT TSQLNVYIRYLTFNLHVAASAAAPEQPASRVSGDGLPSFSERLDVLMDNRLFHYIYTP SQLANERSNLNESWYEVDDGYKPITEYFTTYELSDGTQSTPDGWPGSKYVQLAKERRL FLEYGSVDPQLEDYNLTAGKSEVIFPPKYLASLVPAPPTDEGGLDYRCIYDTDASQVS QANSSWALSSSLSQPQGLNETYALRELSDRVANLTACGLTPILNNTLFNMTADKAVAP YKNISLSSTWAWAEGEPQGADVADNIDSPQHNRCAIMDVSLSGHWRVANCTDVRRVVC RVANLPYNWTISSAAHSYSTAYSEACPDNTTMGVPRTSLENTYLYRYLLTQPSSIIDP ASPDPAKREVWLDFNSRDITSCWVTGGPGAKCPYASDPKQLEKKTVLVSAIAAIVICI ITALTLFVKCNTNRRNSRRGKRIIHGWEYEGVPS AOR_1_674014 MTVNTEPPKSSVSERRGQTLAPLQTNFSRPSARPTVVATRPNRP RPEYQNDGEAGERVPLQTPVKRQSSKSGLRSIFSREKLPHKESTDSKLSEIEERQDPA AQAAPDLAVPISPTLATPKTAFSTPTVMTSPIVDPHRSKASKSRQKTSEDKPAAGEIG WKPPPLFQAYPQAIKHDNLPTPSLSAESILRIQATTSAKQSSTQNESPQAENPAARKK EAKEKKKHMRSLSETIGKSDWSPKIYVLVTTGYILQYAGSGKYDRLPEKMLQLGPKSV AFASDAIPGKHWVLQISQINDENNATSTDTHRHLFSRFGFHRSHARRLTRSLLLVFNT PEEMSSWLLAVRAQIEARGGKKYVSERAVDDDTEHQLESKPSTRQLVKKDPNRFSQAY LHPQPVIGVDDKEFSDQSRRSSYISYNRRSIVTQATPESRSESMSTTQTEVTSPVSGQ TRFYSMGMKPEANGASSPPNGSAISTVLSPLDGPPRSPTFSNSTKRESVYPSNAPTIA ETSDLSEPQQTVPDPILRSASPPAPNFSVPSFSKRFTARMGQGQIQQVPRFPSTRRDE TDPDEANPISAFPSPPQSPIKSISSVAMSESHEQVKFGPKTAACFAHFEDHRRNINCF AILATT AOR_1_676014 MSDFDFNTEGKSVVERWGSLIEGKVVVLTGASEGGLGGATALAL ASARPSHLVLLARTENKVRTVISTIKEISPETQPAFVHIELEDLDSVRRAAADVLSLT SKIDVLINNAGVMAIPWSKSKSGLEKTLAINHIGHFLLTKLLMPSILAAGPGSRIVNV TSAAYRMAPFFFDDWNFSDGQTYHPLAAYGQSKTANILFTVGLAQRYKEHGILSFAAH PGYIPGTSLLLHGPDLDPSAMDEVARKNTGFPFGPDPPKSLEQGISTTLVAALSPELT DASGAYMEDCQVCEAREYARDPKLADRLWSLSEELASEAF AOR_1_678014 MNTVSRALQRPSRSLTSQLCRSIRQHHHRAFPSPSASIATPHYT LGSGSPSLLRTSSYPTPSQPHRTFSHSSTTMAAAPKFARGMNKGQLQPELNSLLEQGW ALDEDGMGVKKTYYFKTYFKAVSFVNVVASQSAAKKHHPTITVRIGSVDIHWTTHQPR GLTDKDLTMAQHCDEAAELMGAVEKDQGKKCGPSSPTPSSPGL AOR_1_680014 MAPLSDQAINRDEKLHESGASSSSQVDGGFEKEAEPPLHKPQLG LANDPAAAGTTFHQLENTALDGGKIELTEEECYDQLGYSFPSWRKWMIISVIFLVQVS MNFNTSLYSNALGGISEEFGVSMQAARCGAMIFLVLYAFGCELWAPWSEELGRKPILQ ASLFLVNVWQLPVALAPNFASIMVGRALGGLSSAGGSVTLGMIADLWEADDQQYAVAC VVFSSVGGSVLGPVVGGFVEAYLPWRWNIWIQLIFGGFVQIAHFLLVPETRTTILMDR IAKKKRESGENPNLYGPNELIPYRERFSMREILVTWVRPFKMFLTEPIVLTLSLLSGF SDALIFMFIQSFGLVYAQWNFSTVALGLSFLPILVGYFIAWASFVPAIRRNVYERRMR PDDEHTQYESRLWWLLYTAPCLPIGLIGFAWTSLPQTHWIGTMVFSAIVGIANYSIYM ATIDYMVCAYGPYSASATGGNGWARDFLAGVLTIPATPFYQNIGGKYHLEYASTILFC ISFVLVIAVYVIYWKGPTLRKRSPFAQQLSDARVEMQTHGRRLSKIPSGSRANSFARS QQNLRIRQNLGSRQGSYIGSRPASHANSRVNSRVNSRRNSVNQ AOR_1_682014 MCPDINSLPPSRSSSASPRQARNLPTSSDSQQHSSSGFSPASAT MSNNNNNNQNNHHQTSEVPRRLSRSSRAGMPGPERRRSAAGANFNLNNNEPTGDSMAP SDHRSSLGPHGLRTSSPSSLGGSPIIATGDPHHQRAPSLGELHQELEQEQEAQVNRLL HMIRTQQTQLQQLQQQQQGSQGTAIDDSDRSAFPTFPPVSAGGNRTSTQFPSSLSSRR PSRPSSQAASPSLRPLSDAPRGPEGPEWIAGTSESPARRSSRDEGAFYQAEAAMLARE NQILRQRIRDLERQVSELSTSPTRGGVRSGEIAATPATEGGATADPHATVGVRSTNEP ADKT AOR_1_684014 MWPTRSLSSLFFLSLALGSPVSQTEKRYAILDNDWGAVSFLPFL IALKNDVQVLGLVSDTANSWQRQCAYHALANLEVGNLSCIPVYAGATYPLINTPERFQ AWESVHGKLPWEGAFALENATAEALGNDPTSGDPNRIVKPAFIEGFPTTKINHSTSAA NFMVEMVHKYPHQVSIYSAGALTNVALAVRMDSDFASLAKELVIMGGYVDVNMYQVTG DYLQADINSDINLMVDPEAAKIALNAEFPEIVIAGNVANQVQSTQEYLDEVYTVKNEY TKLFHDHYGTEFPFWDETAAALMVDRSLATNTTTAYIDVDISYGSPNYGNIHVYQAML KPPGVRNITYVNRIDGAKLKDMMKQAMWKPPTCS AOR_1_686014 MAHNSLNVKGKAVGAASSLRITPTNSPGLRPPRTPNKSPQHQAT LSLQTVIGTTTTTPHGFSSHDQSKSFAICAGSAAILAELDEAGNVNQRFFRARPSASS VHPVTSFYHQSTPPSTPDTRARPLSGVKPTAHSAIPNGSPANELAESNSSRAWSSRER VKAVTSVSISPNGRFLAVGETGYGPRVLIYSTAKDAPSDVPLSILTEHTFGVRGLAFS SDSQYLATLGDTNDGFLFVWSVSLKSGAAKLHLTNKCTSSIRDMCFMGQTLITVGVRH VKVWRLPEARPASPTKTRHNMESAPSSPSFAPRALSGRNCLLGSLAESTFTSVASISD REAVIGTDTGALCFLSDAEGSQKLTLVENVGFSITSLMLDSDRSCVWAGGRGRRMQRF PFEVLRSSAAPLSPGLSEASSEEHKCKGPAITCMGSLTTHMVTVDTTRAIHIYPFDAM EDEQSHVETTMPAHRDAVLGIGRLKVPNDLEADFFTWSCRGTINFWDTHGKCRDSRVI PLEEVPGVDEESSNELRVVRTSDGTNVFVSGDKLGVLRVLERQSWKCLDQVRAHGAEV TDIALSAAFDSCLIASCGRDRMVQLFQITEHRLKLVQTMDDHVGAVGKVLFINDGENL LSCSADRTVLIRERVTREADDGISIAYMISKVITLKSSPVSMALSADDADVLVISTAD RCIHQYEIPSGRHLNSIRAVDSDSNDAVVMGALTVAGEILGHSPKLLIGVASTDKSIR VYDLDRGVLLTGEFGHTEGVSDVQLLERNPDPSSRTVVRTLISSGIDGVVMMWNISVQ PLQAQEITRTTSKEDDEGAKEMTLTRPPIRKILSKNELAEFRHEHGTGASTPTREQSP PLIRKLSKLSLAPSSTKNGDTTPATPTPASARPSSRLERSRRTPSPPSPKSTPAKKTS HLSHNNHRSPLDIRSRTRYGGKTEFGSLNMSTEQVCRTLRAYRKKLNGTKENLPSQKD LERELGLTLRALHSRTKGCDGTETETDSSGKENENLNTGVTSVRNLDIQVPPSPPNLS QKQSTPSSRSHSTEGDVEG AOR_1_688014 MAVFCASSARLALPTLLRNIYRSEFASELHSSRPVSLRQVSYSH NRFNNGRSFASLSRLLASQSGSHMNPQPSSQPIITESSSEQLDAAEDGSIVGAPTKDA AVNRDRRDPDKPTRKTKKAKFASSQTEPDATSAKSSRDKKHVRSDRASPDYKPKKKKE PWQIQKDALKKKFKEGWNPSKKLSPDALEGIRHLHAVAPDKFTTPVLAEQFQVSPEAI RRILKSKWRPSETEMEDRRKRWEKRHDRIWSHLSELGLRPKTKRTEALDDSNILYGKG EEGNKPSE AOR_1_690014 MPSDIQVFVKWKDQTIFAGEDVECTITFKNVAEGNVESNNGRQL SPQRKPSRPGSSTPHSDSFFSLKSPRNLFSNPNRRSSTTFSRRSPSHRVSSSLSSPLV GSHSFPPPATPRNGPPPGHKHKRSISILSIDSEGGGDRTPKTSSPFTRSRPARGHGRS ASLQVVPKRFEGYDDIFPKGGRHTTRGVPPPESPMNVSPQSLRVDVDAAARASRSRSA ESPRGPARRPQLPPMDFKFPPPASDTSNHRSGASPTIPAGEHSNAVTVAKPNGKESSA LAAPGHLPQLTKIMSTSSLSGSHRSSGEFYSVGNNSSETLQSEYTNYSMTVPRTPVSR HGRHMSSVDSAAWLPNGQTLLMGYAQISASFTVDGSLINQSAFEEVKRKGVVGDQGNA AGMSNGRSAASSDKNRKGGGFWGAFKWNAIEESINGLLSNNELDGLRDMRGVTSSRSI PLLSTSQSLLFVDLRLAPGEEQSYSFSFTLPRGLPASHKGKAIKISYNLVIGTQRPSV RNEPQRVNRITIPFRVFSGVDGQGDILGHDLMNPYVLLRDEARVQKVGPSPPPSTKSK SISGTTWNSAPEFLGYVDDILEQRSQGALLQPPETPLEKRPSHDLSLGPLSCKDAIDL AILRSNQALNSSRSPNRFEIARNGHRIAVVVLNRPAHRLGETIIATVNFAGTALPCYA VRATLETSEKVTGSLAVRSGASIRRATRKVHASFFENTLYSTRVVFSPAIPISATPTI LTTGVNHEWELRFEFVTPNMHADSGVGPSGATLLETVHEDDRGRIMNALENIGCESFE ITIPITVYGETVRERLPEENEGYSI AOR_1_692014 MASFITTINARTRAPFKPRSAAKGTSSYQLRQFAEATLGSGSLR KAVMLPEGEDLNEWLAVNVVDFYNQINLLYGSITEFCSPQSCPEMKATDEFEYLWQDS EHFKRPTKMSAPEYIEHLMSWVQSNIDNEQMFPSRLGVPFPKAFTSLIRQIFKRLYRV YAHIYCHHYPVIVHLGLEPHLNTSFKHYVLFIDEHRLASGKDFWGPLGDLVESMLRSD AOR_1_694014 MMPTRFARAGLRATQQFSVPRTAAVNGLRTYATPAQEVKPPVSL YGVDGTYATALFTASAKSANLEQTSKALSALGEVLKADRKLTGLISAPTLTASDKSQI VQELQKLTGDKGDIVKNFLETLAENNRLGLLEGVCEKFATLMGAHRGEIDLNITSAQE LDKKTLNRLEKAVSQSHFSQGKKLKVVTKVNPDLVGGLVVEIGDRTIDLSVSSKIAKL NKALTDAL AOR_1_696014 MVLIDKHTYTSREEERLKEDRDRIRYWKKWGPYVAERQWATVRE DYSENGDAWSYFSHEHARSRTYRWGEDGIAGVSDTHTLQNVAFAFWNEKDDFLKERLF GLSNPQGNHGESIKEAHFHLDNTPTHSYMKYLYKYPQKKFPYEDLRDENARRSRLDRE YQILDTGAFDDNRYWDIFIETAKEDDDEEELLFRVIAYNRGPEPAPLHIIPHVWFRNT WSWGYEDQSHKPSIEKVAPLTAKSYHKKLGERYVRFSPSPAAGSNQEDVLPKMLFTEN ETNNELLWKSENDQPYVKDAFHRYIVNNERDAINPENKGTKFAAWYAFNEGEGIPPGE CAVVRFRLSRKNQTFVDEEELDDTIEQRIGEADDFYYHISPLPMSDDLRNIQRQAFAG MMWTKQYYHFVWDQWANGDPAMIPPPPGRKHVRNQQWKHLYMDDILSMPDSWEYPFFA AWDTAFHCIPLAMIDPEFAKKQLDLLTREWYMHPNGQLAAYEWNFGDVNPPVHAWATF RVFKIERKMYGRQDLDFLERVFQKLLLNFTWWVNRKDSEGKNVFEGGFLGLDNIGLFN RSEPLPTGGVLEQADSTGWMAFYCLCMLNMALELAKHRRIYEDIASKFFEHFILISDA MTFKSGGQETSLWNEEDGFYYDAISYGEPWTQQLPVRSLVGLIPLYGVLTLEPELINQ FPSFKRRLEWFIENKQDVAERNIASMKRRGKGERLLLALVSKDRLEKILKRMLDETEF LSEHGIRSMSKYHGEHPYSMTVNGQEFRVNYVPGDSDSALFGGNSNWRGPVWLCVNFL LVESLLRFYMFYGDKFQVECPTGSGEYMHLGQVAEEIQHRLQHLFARNDEGRRAVHDN SDLLDFDEHWKDYMWFHEFFDGDTGRGLGASHQCGWTGLIAKIIHDTGINCRIPQTPR SPFAAASHYFDDIFSRSRPRGSRRPSVRRSSTSRSIGNRSDFESAFGDTTGAESVVDD EEKDRGVDDHVSHFVESQLERVRSRLSMGAYEDEFETQADRKPNGH AOR_1_698014 MGQFGQVVWRGFPCALTIGSLICLVIVGLGCTRAHKAENLYFLR FIQALDEVNNTTGLNDFYSIGLWSYCTGNITDNGTYKTTYCSKPRGGFWFDPIDTWGL NGTNTNDFLPGKLKKSLNMYRNVSLWMAIIYLIAVIATVLEIMTGLMAVGGNRLVSCF AWLLAGVSLLFTTAMSITSTTIFATLAATVKTVLKPHGITGHMGRHIYAATWLAVVLS FLASALWLLDYCCCSRRRTTPRPIAADHQQSYGYSSVPLNGVTAPRHTPYPPAMVFAS PPNLQGSYQHPMHQTPTHSTPEDACEPYRHG AOR_1_700014 MNITSCSPAAIQSPTVFGAEILSLSASWVTNYTLNVPAGFNYNH GDVDVRNAQFCNITVTYTHPGYNDQITVETWLPPRTKWNGRLQATGGGGWQAGRFVLS QFFMSGAIGEGYAATTTDAGLGDAVGPSSWALQSPGNVDYVAFNNLGSRSLNDQAIIG KSLVNSFCGRAPDYAYWSGCSQGGRQGLMLAQRYPTAYDGIVASAPAQSWTKFVSALY YPLLMRQWHGVNPLACELDFLTTEAVAACDAKDGIVDGLISNLTACEYSPYTSVNKTF TCSALNKTMALSPGAALIADAAWSGPQTADGERLWYGVNPGADISQFGSVPGVNSSQS DMWFNLFVAKNASFDTIHMSPKVYEEFFHLGTQEYASTINAADPDLTAFRKAGGKLLT YHGVADESIPTKGTEFYYKSVQNQFPDVQDFFRYFESPGLGHCSGGKGGQPTTIFDAL RRRVENGTAPETLPVEYARPEGEPLHRIVCPYPAQAKYMGGDISSVESFRCV AOR_1_1624014 MSTDFYTEAEHHLNLAVREVSTLVTQIQPNNSASLYVSTIFIFL SSLVKGPQTGEYMAFRDDGNPGHLSLFLGVPSILELCQTDIHPSVFAIHGGEEEQQHP SPETPLNTNTQHNPETPTHYEDHLAAFPSFQPPRRPGSSGAGMSPFPLVFAWLYRLPD EIVYDLQRREPPALILFAFFTLLLNQLTQVWFIRPWPRHIMFDIYCNLDPGFQPYIRW LMGHIGVGPAQVSLSIDHLESFHASSM AOR_1_702014 MAKDQSMSRTASLCQEITVPKTIPVCIQDVFYRRVLEQHDAPAV CAWDGELTYGELDDKSSSLARILAQKGIHRGSFVPLCFDRSLWTAVAMLAVLKAGGVF CFLEPKYPLARLEHMCRHINAKMVLSGESRSELARKLGEHLAVNEDLLATSPSDQELV DVAPNQAAYVAFTSGSTGKPKGILVSHQALVAGILYNDKPMYLNRTSRVLSFASFAFD VSFLEHFWALFVGGCMCIPSESDRENNLLEAIENLQVNWAFLTPSVARVLNPTKLPSL RHLIMGGEPITQTDIDMWSPHVHLIGVYGPAECAGCTTIQSDYGKVESAANIGFPYAV TCWIVDENNHNVLVPTGSIGELVVQGPSLSEGYVNDPEQSAKSYITNPLWLSGSKEAE QQLYKTGDLVRRLSDGSLHFISRKDTQVKINGQRIELGEVEHHTRAVLGGNREVIVEA VKAGRPSSSLVAFIVTDNIPQSSTELFLAPDAGFKDRINTTKSLLRERLPDYMVPETY ISINHLPSTVTGKADRKRLREQFTLLTRAQIKAYFGLEDKVKVMPLTETELKMQRLWA KVLNLDLHEIARDDDWVSLGGDSLGAMQLASLARSEQFFLTVPEIFRHKTISMLCQNI KTDVSETIEEMKPFALLCDHKLESDRILQTIADQCQVSQNSIEDAYPITSLQLDASII PIQWGLNYTLRLEFKLPPTVDPAQLTLAWEMTVASNPVLRTRIVELTKDHYIQAVIRS KIPLENLDSSNMARYEPAVDVWGIGKPLVRVGLQANRFVMLIHHAIYDGQSLPLVFRD ISNAYQGQKLALIHFAPFVRWSKSLDAPKRQFWIDKFAGFDGRVFPPVLDPSLDPVES RELTGHLNIVHDAFTATNKIRVTLAIVISWHHGTNDVVFGGVFARRSAPIPDIIDSAV PTTAMLPDRIKLDPDETLRYNLERDQDNILSLMLHEGIDDRDIEQLSPECEAVACKYG TLLAVQPDLATAYPEMFRERDMQYYGPICALNALMQCYLSPESATISLRLSESTMDGV YHWGRFLDEFEAVFHFIQKNPDVKLCDLRRQLDIPNPRSPA AOR_1_704014 MSEFIPTWKQPSHPETLQVIKGATPYTASARSLVALPAGALFSK ITTAIPAPKKTYTSVQTGPGLNIELMSDLVYCNHSCSPSLEFDMSTFEVRVSRDRPLS VGDELTFFYPSTEWDMVQPFNCFCGSQNCLGLIAGSQDMEASVLSRYWLNPHVKDLLA GKQMTVAPESTEEISLKA AOR_1_706014 MPYATEETSSVAWFPEGNLCHSKTCGPRTNGIVLEAMKGKLSQP SEWDRSEPFCVMDLGYVYNEYQRWTSLLPDVKPFYAVKCNPDTHIIKVLNAMNSGFDC ASRNEMELVMSQGVAPERIIFANPCKKISDLEYAQQSGVRKMTFDNEAELQKIRQRFP DAQLILRCLASDPSATYSLGSKFGASSATSVKLLQCAKSWGLSVVGVSFHIGSNAKDP TAFDKAIQNSREVFDAGLRTGHDMHLLDIGGGFSAHNFDAMASSIRQCIGKYFCGIDV EIVAEPGRYFAAGALTLACGIIGRRDAAANDEDKENRHMLYLNDGVYGTFICNIFEPG PQPKVLRASGDFYPLDSEDEYERYTIWGPTCDGTDCVAESVALPKSLAIDDWLYFPEM GAYSTCLSTGFNGFHSDRETIYMSSDPAADIYL AOR_1_708014 MEGLRRHSVMLDCKLWKDDPIYFFKTLPPYISKYAQRADDASIQ AQIDVFGKDDVGAMPGALGPRGNFAAVTFAESFPDRVAMLAYLNEVLSFYECFEKQLT EMLDATLYANPVPKDPKYDNPVWQANYKNTMTKWPKILENLDPKLGPKCVKSLVALVE GTDMEPKMAHYKTMKEYALDRTNYIAWPVACDNAEFGSQLDLTQDQLDSVRDIFLPLW THSCYVYDYYHYDKEAEIHSTYGKGRSMINSIPLLNRLKGLSVEEAKAWLKQRCFELE KEYLQRKEDYFSENPVEAVPVDLRRWFLSQEDLATGFAIWCATTYHNHPPFGEGYAAP YEKRRKEGALWFEKVTESDQLMTGGFEVRYAN AOR_1_710014 MPGKQFPLKEYIAALAKFLDTIEYQDDNFSHEQRVESLRYVYQH TAKHFDQPIEKAAVTVSPKRLQAVMRTSTLVTVYCWVKCPLDVMVGVSIYFAYIIMLD DSSDTPTTEMKTFCEDLIKGRPQKHLFWQRMNAHLTNFLRYYDGFCAITIFRSTLDFF QGCWIEQNNFGGFPGSSYFPHFLRRLNGLGGISSATLFPRSEFDEGTVFEEIVTAIAQ IEPQLTLCNDLISFYKEYDSPRDQINLVSNLAHCNGVSWEIAFEELTRDTILYCEQLV TVFKGKDPKVEATVRAFVHGYVIWHLCDPRFRMQEVYEQAGQSEADLKFRHFYEQATS IGVIDFKLWASPSRLSSDKRKHEQAFGDDPQNGKTRVLESIGQANASEAVALAPLA AOR_1_712014 MLDTISESRPNGLATLGVVVATFATYLALKALYNLYLHPLRKFP GPKLAAIGPYYEFYYDVMKDGMFLWEMERMHQVYGPIVRVNANEIHIRDPHYYSTVYA GNHRSTDKYHDAVAAFSVPQASLATIHHKVHRLRRSILNPYFSKAAVTRLESAINERI ERMCSRLEETMHYGQVVDLDAGFAALTADIVTTYFYGQNFDYLGNEGFKFQVRDAILG LIQFYHFTRFFPWIANTIKKLPIPIMRLIHPGAAYLVSSQEEIKDSIRASLDKGNKAD AKSVIVQALEDPTIPPQERTLDRLGDEGTTIIFAGTETTARALSVGMFHILNNKTILK KLREELDTLPGVSSGVYSHVQLECLPYLTGAVQESLRLSHGPAIRLPRVANDKALKYG DYIIPPGTPVSLCTVLVHQDPCIFPDPHRFDPERWVKASKEGVNLDKFIAAFTKGTRQ CLGINLAYAEIYLTFAKLIRTFNMEIYDTTVDDLTVHHIRLTGAPKQGTGEVKVKVTE KIL AOR_1_714014 MDFGGILLHLEARSMATVLIAGLLVYWVGSAIFLAVVHPLAKFP GPKLAAASDWWLVYHEWFLGKSLTDILFDLHQNYGPVVRYGPYRLHFSSMQAYSDIYN VKSKWDKDPEVYKAIVDTSSAFGLRNYHEAKERRDLIWPFYSRQSISFLVDQLDKQNQ EGKLSNMSMAFRCLAQDIMADICLGKSFGTLEERDFGSPLIHALDEGLESYVLMKSFP TLRNILYSISAMVTLPGEAEFATYGTIVADHVTRGIQQPDTIPPNTMLGFLVPTSSNT AKEAPQPKLSQGHMTEELQTFVIGAGETVASAMVQGLSGILQSPDLYKNVYEEIVQVW PETDGPVPPIEVLEKLPLLTAVIKEALRLTHGVVTPLARVISAGGACIDGHHVPGGTS VGTSHVFIHMSSDYYDAPDEFRPERWLGSSSDKHLVAFSKGPRGCMGINLAWCQLYLV LATLVRTVQMEYPADLNDIKIKWKDCFQPLYYGRPLQVRCTRAEGHS AOR_1_716014 MRYLITGATGGLGGHILEYFIAQIPFSDFAASSSSPENRSRFES RGVNFRHLDYENPTTLNRALHDVENLLFISTNANVIDVEKVKRQHRNVVEAARKANVK HVWYTSLPFGGLTNDSEVSVQRAHLATEKMLKESGLTFTCIREGIYVEGFPLFLNWYP ETTLLTLPRDGEIAFTSRVELAVCTARLMIQGGFENRIVLLTAGETITAKELVSVINE TTGRRVELRYVSPDEFVDAGPRNDRGGKSRAFFETLVSLWESAASGELRTMDGLMAEI LGRVPIPPRDAVRQLLVENRDHTWHQMYAKK AOR_1_718014 MTPKPLTLVNGYHDLDNTQISSPLSSMHDVWRTILSRFKQNTNA IKTRFDKYRALCKELGLQRDEWSESIFLDDLEKLLKLTAKFEMALMPSNGVDNHVNGV ITKINGFNNGFDTHINGFDTRINGFHTHTNGFDRGLELWQIEERYRALAQLQSTLGSF FERACPGYDQSKIPWFFDPSYYQCQGVNYDRFASPDVRDREQQLLETLQLGSNQNPKL LLMSSGMASFTVIQQYVVQQLNYGDTVVVSPYIYFESFQPMRSQKSLTVVNAKGFDPE SIIEAAERNNARAVFLDPMCNTVGLDTIDIRRFAHLVANRGGWADRLVIVDGTLVSGG MQLYDWFDGPHCPKVLYYESAHKYIQLGLDLIMCGYVVMPEDLVPAIQLIRQITGTVL YSRNASLLPPIDKTIFNFRMSRLTTNAEKLHRLLDAESRNMAEVTFPHHWRDYRWRHG GNVVTVRFHGEGLNKRSNLERCCDDILRAAEEEGVQMVKGASLGFSTTRIFVADAFFE NTDPFLRISVGVQSEDIETVARAVLSGIKRYCMSAVPVNLDVGQRLYDAKFYKAMASM LEVRARYAKDRVVFMEGEWLVPILKALGAREEDFDALQQVSHHLGKDPTVDYRTIRNG LFYFNFENKTIQRFQKQRFTLTVQENYKRHDSGLPRDFPEVRGDLQYNTVLQALMVAK AFIMNKVDVEPRAHLDYSSPNFLCNVFNIRTFTEKNILGEPTLEGVHADGADHTMTTF LGCTNMRSDSGITFIHDQKEITGIPATEAQPSLIKHRFQHRHFLDSLLFADNEAKHSL TSVFQEDVSKRATRDMLLFLTRKPKLAGHSSGSVDAMEPHKTLPINVPLWL AOR_1_720014 MVLKYVLIALAALPGCLADDIAQLKSRQDAPASTACSKLKDKYP DITYSKLDLRYINANTEYYTSSAWLGPACVFEPSNSTQMGDAVKILKEANSPFAIRGG GHMPIANAANINSSGVLLSSFGLTQLSLSDDQSTVNVGPGNRWSNIYDYLEPNGLTVV GGRLGHVGVPGYILGGGVSYFSNEFGWASANVASVTMEQLSK AOR_1_722014 MIGMVSYGSDVAEKFIDAIHSFTVDGSKDPKAATIPMAEYIPAL GAASYSAILFYNGENESPAALESFLGSDLKATTSTFSSRSMSDWSKELDPALSLLKGS KQRFYVLNIHASNKQAISIVHDTFMEVAREDLPVGVLVAALAFPAVTEKFITASTVNG GDPQSLDVNGAPYIWVEESITSAAIVSDNDVDAFYEKVNGQIVKNLQAAGIEMAKFIY LNDANPSQDVFSTFPPENLERLRSIRSKYDPDRVFTDLMPGGFKVA AOR_1_724014 MSDADQGWKPNGRPQSTMAQAFSSTLDSLFALDSDVHHLEQTVD ERKFQMIIQNRELEELQAKIRATEERLKARKSVIMDGSGPRSNGAQSDGGYQSTESAS SATSPTDTAGHYSSGDEQRQHGQTRNS AOR_1_726014 MPPLSAYTPFESLLFFQSLAALDSRPTSFVSISDVLRNNPFIRQ NGTFDAGRLAPEALEELYTTLMRDGETSADIISSLEPNGHHVESNGTNPKKRKITSPR PDGLVDKGMSHAAIIPDLVSHLYARYKELVTREIRNEEARYKEIKDEIERLQKEERET PKESVPTPVIQKPTPQAPEPKLEPEPEPMDVDAKEERPAQIQPKDANKPSIELVPGND TKQYRVELQSKEEDIAKQPPVSTPHPAPVEEQRVPVQPVVPPQGRPVSTQVQSQLPPP RPSQQQPACRQLMPQTTPKPPQPQALNGKPAATPKQPPLAPRDTPVNQTPTNILAVQK PMPPSATPVPGIGSVPSPPATSSQAPQNTNAPAVSPAHQKPISTAKPAGKEGPPKTPA TTAPRPPPQPSFQQWSLNPPPQTPRPASSQPIPEPSGQTTTGRPFPSHPPQPLPEPET KAAYQTPQPTTVPSTPQPISTVAQSPAPSGYGTGYETPAGAAQALFYSETRSARPRLT IATPGNLTPWKKTPRLSIPVSPRSPERPRREDVSPISEKAPSLMGSREATPEEPVPPP RKRGRNEGKGSGRGRESELAGAEVDGKGGLKPKVEKQTGKGRDRSATSSRSRGRSVLS RDEESESVYPGKIKHEMPSTPAGISEAAEPEPRPSSGRKGTAASEDRPGRGRPKRKRG ASEALEAEALPPEINHISRIDPNQSTSYVLCARNFPRTGAPIMNDVTTHKHASIFTKP LTERDAPGYRDLIYRPQDLKSIKSSIHQGSKAVAAATEAANTPAADGESPAPNGGTPS KNAVLMLQKTEDVIPPKGIVNSAQLEKELIRMFTNAVMFNPLPQRGFGPAFPMTSDSG SRESTQVPELDEGGIINDTIEMFEDVEQAVTRWRAAERTADELASKSILSLRRGSASD LNTDSADEVKG AOR_1_728014 MEETTANGSPVNSTLRQPKKRFVGRRTADAQAQKEQSSSQNDVE STSIQKAAPRRTPRTLNQVPPEILEDPEIQAAIDLLPKNYSFEIPKTIHRIRSSGAKR IALQFPEGLLIFATTISDIITQFCPGTETLIMGDVTYGACCIDDYTARALGCDLLVHY AHSCLIPVDVTQIKTLYIFVDISIDTSHLIATLERNFQPGKTIATVGTIQFNATLHGL KPVLERAGYKVIIPQITPLSKGEILGCTSPQLSSEEIDLLLYLGDGRFHLESAMIHNP SIPAYRYDPYSRILSRETYVHDEMHTLRRDAINTAKSAKKWGLILGSLGRQGNPNTMA MIENHLNERGIPFVNLLLSEIFPGKLASMSDVECWVQIACPRLSIDWGYAFPRPLLTP YEALIALGVRESWDTANKGVYPMDFYAKEGLGRTKPSQAIPGTA AOR_1_730014 MIKFRPRLSLFTALRAAPPTRRFATEARLTSDHVRIVEVGARDG LQNEKKSIPLETKLQLIEKLSKTGVTTIEAGSFVPAKWVPQMASTAEICEKLLTSPPQ SQNAIAYNYLVPNIKGLENLVKIMDIAGAPAENTKSSTSTEISLFAAATEAFSKANTN CTIAESLERIRPIVELAKTKNIRVRGYVSVALGCPYEGPDVPPSKVADITATLLEMGA DEVSVADTTGMGTAPRTMELLQALKAAGIANNDLALHFHDTYGQALVNTVVGLEHGIR IFDSSVGRLGGCPYSKGATGNISTEDLVHTLHSLGMHTGINLEEMAKIGGWISGELDR FNESRAGKATLARIQE AOR_1_732014 MAFSLASRRVLNPVKTLRISTRAFSSHPVLRSSDDKPAFAFGGG PAPPRLPKEEQEIFEELQKKSTGAFSTPRTTPQINQSPHSEPANENPEFKASGNGEEL HPDLRGGLQPEFEGERNPKTGEVGGPKNEPLRWGAEGDWSYGGRVTDF AOR_1_734014 MASVRVASRRLPLARKLVPAIESPLQRRFASAATGPNVKTQAKT SLPNPDPAADSATMAFVEERAPFMVPTYVRPAPMMVKGQGCYLWDMENRRYLDLTAGI AVNSLGHCDPEVAQIIAEQAETLIHASNLYHNAWTGALSKLLISKTRESGAMRDASQV FISNSGTEANEAAIKFARKVGKSLDPSGAKHEFVSFHNSFHGRTMGALSATPNPKYQT PFSPMIPGFKYGNYNDVEQLQTLITDKTCGVIVEPIQGEGGVNVATPEFLAALRKRCD EVGAVLIFDEIQCGLSRTGTFWAHAHPSLAPASGEAAHPDILTSAKALGNGVPIGATI VSGKTVAEHIKAGDHGTTFGGNPLVCRVAHHIVERLATPELQNSVEIKSAELISGLKA LQKKYPNVISEIRGRGLILGAQLSQDFTSKASDLITAARERGLLIITAGDGCLRFVPP LTITEDQIKTALKILEQALDAVITKA AOR_1_736014 MPRIPASEETPMLPLPGAPASSWARFRERLSALFSGADPRVCIA FWLFGLINNVPYVIILSAALDLVGPGVPKGVVLLADVIPSFGTKLIAPYFIHVVPYSV RVVIFVLLSAIGMLLVALSPDYTDGGTISTKIAGIILASVSSGGGELSFLGLTHFYGP FSLAAWGSGTGAAGLVGAGAYALATTSFGLSVKTTLLASACLPAVMVVSFFTILPRSP MHHPLSAVQAGYRAVGTRDRLAEERVFGDERDEANSEVDSLLASSIHSTASGSLRWQH IKANVQRARGLFFPFMLPLLLVYVAEYTINQGVAPTLLFPLTETPFAHFRAFYPAYNA IYQVGVFISRSSTPFFRIHDLYFPSCLQVVNLVILTLHSLFNFIPSVYIVFVIIFWEG LLGGLVYVNTFAEIGERVPQEDREFSLGATTVSDSGGICIAGFVSMIFEVWLCDWQDA HGRDFCRKL AOR_1_738014 METPDHVLGRPSTKFRKIQVLAVFLFWTTYLLRGNKHGPPVVRN VSSRLSAKLSVWQTTVGVFLWLYLCRNFAKIVGLECPEPLANLYSRSFFRATWITTAL DAGFWTAMKVKPKWLRDIASLAFTVYYLFAAEQADEKVRRVRATLTVEHLRVSWNKGT TPYLWALASLVRPRLTKYPPRAIRIPRPPQSSYTEPTNAWLYFDGPLSALRDQTCIIL DIPGGGYVAMSPRASEDRLLAWAGKTKVPILSLDYKKAPEYPYPYALNECYDVYHTII TTRGRCVGLSGNVRPRVVLSGDSAGGNLAVGTTLMALQSGSSDAPRWQGDHMLPRPDG LVLAYPALNMRVESWMTEEQMSLIQDKSARRTNENVLRQKNMDYQRLTPFTSPGPSTE DLLRDSSSDLDLEADDVAQGASKKLEEKLKADNLATQTAAVAQSHHPKQIRTRLAVSS MISYVHDRILTPEMMRAMIILYIGPHNRPDFSTDFLLSPVLAPEALLARFPKTYIVTG ERDPLVDDTVIFAGRLRQAKLHQFRERQELGLEKSHRTFNEKDHVEVSLLPGISHGFL QMAGFFPDSWKHINKCATWIQDLFEAAERRKSSSSLLQSLQDSAQYYQVPKSGASSGS PRNHKRSLTGESSGDEDRPLEMSLGRMTPLNPDNSTDNSSSQMRGKPSNGTSHVHLQG STPNGSDIQSTSGSQGKNASRGRRSQPKGLSRRRRDAPTKLTMPPAHDYISDAMQTPP PKRRDRSIGSLPSEEDLLDRRMNGLAGGLMGIGEGARTP AOR_1_740014 MQEHKVVSSASSGVTKTVQHHVDFDGPNDPLNPLNWPSKKKVYI CAILGLSTMIVAFASSIFAPAIPVVMLLYGVSKEVATLGVSLYVFGFAAGPLVFGPFS ELKGRYVPLVLSMFGFTIFSFATAVSKDVQSLFILRFFTGFFGSGPLTLAGPAFADMF SPQQRGVPIVMFCLMVFIGPLAGPFTGGFIIMNHSLGWRWTAYIPGILGAAALVVMTL FLEETFQPVILTRKAEHLRRETGDWSLHSEQEEIHLDLRSIIRDNLSLPLKMLVKEPI VLCMCIFGAFVYGLLYLFLTAYPYVFQITRGMNPGVGGLPYIGVIIGTLFGAAATAAT QPWVLRKLKQNNGEMMPEWRLPVAIPGAVLFTGGLFWLGWSGYKGNVHWIVPTASGLF TGFGLLTMFLPSLAYLVEASGDKSASAIAAHTFLRSAAGGAFPLFATQMFDGLGVEWA CTLLGCVGALLIPIPLLLYIFGARIRARSGLSA AOR_1_742014 MAAMKESDLLKSTPSIGNKEYDSYSWELLEDHPLRSHPTECIPG LSSYVAKYLAILKRRWTERIFPIVCLILMFLIVIQFLAALPYGLTYIVFRSGIEEQKG FVQWPTEFSREPSTCILYNPQARDAIQYAIDAGCSGVKVDLQAQESELLVDSLVSDRE APGTLGSLYLNSILRKLDARNSAVVSPASTDETSPIGLFDEDPARPFTLFLELHTSVQ AAWPHLVSQLMPLKQKGYLSYRNGTRVVPRPVTIVLTGLEGLDFGDVVGSDHDNILDS MMFDTSLEQLVKEDYGPTLRVTQSSRGVGGSNSAQTEADTDNLKQSHESSYQLVTATA NFTRSIGFPRRGGRFSPQQIERVRAQVRAAHRRGLRARYEGTSDYPPPVRRMIWRILV REGADIIEIDGRGCEIPWWRRFFVTGSMECRGRKGDTGNV AOR_1_744014 MNPPPSHDPSRRRSLGSSGSPVFPGPQEQPSAPPLGTRRMPPPS PPQHYPSRGQSGNASSLSTAFSSREPPSNPAHHRPGSSMSISAMLGSDADRPARDVGS SSIFSRIPVSSAPFSSAPPPSAPSAMSPPTAPARPSPLEYSSFRRSHTPEKSFSKAQP GRPYRSSSGGMSQPSVAEQTKFGGLSRVPPSSQYPDKPSSAHHSPQVSSAEPSYNETR RFSFSAPAPRPNSQPQHLEAPQRPAGYSPLARSAAAPGGGDGFGGAHQRQASYMGQES QHNRFGGIYVDRHLEEQAHRERERAIAHEQESKAAHPQSRYGPYGERDPAAARQQSAA TWELGRSQPPSPEAKRFPAPEPGSGFGFGAIQSYTKSLGSQLGGSRQPPLSIQPRQGQ PTPPPHEQPPYLSKLQTEQRLFSSTPSAGPSSLARSASSDDQRRKGSDELLQHRTLLG VGLDAKRGGRASPLPQAVQGAQAQILGPAGEAGIKSELGRVFSGIGSGVGGVTATTGS GPSTPMVASPFKRDSVTAKSANSETTTDETKIGRPTSANGKRPKRSRDEDGRAETEAG TDGRLAASARGSRRGRHVHHHHHHHHHHRHKPEEETSALGAHRAVSSLNYFHRASTQA DAAATAMGHHHHHHHHHHHHTPRPAAANPPAVTPMREPRTVVTIEPVLSSVAHLPRHH LGSTLYAPRLGVPTEKATLESAKFGYTTTPLPLPRFEGKENCTFTIRVPRFRIDSSHR EEICARRALWGTGIYTDDSDPVAAAIHSGFMRGAWGEDVDTDMLDLEIKDAYQHAPKT AQDVGLPDGDRPRVPPVPPSDKDLHIILLILPRLERYDSSVLFGLKSRPWDGTHDGMS FKVLRTEWVDEGVGRGEERGGEARRKRLRNMMQTGRICTGPGVLKLEHLRNGIQITRQ KTKVMESQEPQPAAPVQTVS AOR_1_746014 MFTASARSRLSTLSRPRLSPTNSLLARSAVAPTMAPRRKASSVP EGYVEDLSKGKMLRFEDSLPRLPVPTLEETARRYLKSVHAVVSEAEYENTKKAVEAFV RPGGEGQTLQERLLARAADPKNKNWLTEWWNHAAYLGYRDPVVPYVSYFYSYKDDRAR RNPAKRAASVATAALEFKRQVDDGSLEPEYMRAAPIAMSSFEYMFNCCRIPADGADYP QKFPAKENQHIVVVRKNQFFKVPLVVDGKPLNVSELEKQFERIYQIAQKSPAVGTLTA ANRDLWTDARKKLLAAHPANEQALRDIESSGFLICLDDATPVTLEERARQYWHGDGAN RWYDKPLQFIINDNGTAGFMGEHSMMDGSPTSRLNDHLNNLIFNNKIDLSEQPVRSGL SDPRPINFHLNDEVLEAIDAATKEHRQQISAHELKVQAYQGYGKGLIKKFKCSPDAYV QMIIQLAYFKMYGKNRPTYESASTRKFQEGRTETIRSVSDDSVAFCKAQSDPSVPREE VVRLFRTALASHSKYTAEASDGRGVDRHLFGLKKVLKEGEKLPALYEDPAYSYSSSWY LSTSQLSSEFFNGYGWSQVIDDGFGIAYMINENSLNFNIVCKRIGAERMSYYLNEAAS DLRDLLMPDLAAQTEKAKL AOR_1_748014 MAYKAGCYPGDPHPELETDRTWKQYDELLHKLWPSYDDFFNDAL VLLHPDHAQHDLLINHISKRFEHIGKAGMAYIYTQSLRVRAVLSHQSRGYFPNGTMEL QTELGDSIGWLFPRTIAYGRLFDLQNPSPEIFFDALALSLGAICLTGFDGHEVNEVCC YDCEGVPMKFQSHFIGSVVDLMIVDTRDGVSTRIALGRAFLRIWANASPKSQSFILV AOR_1_750014 MPIRQFYLLGEPVSSAREIEIESSLDIAGLQHLIAAHFAIVEPS GIGFQANDNTLNDIPEITSSNGPVAITIDGKEVREPPGPKGLPIVGNFFEVYPDHLGN HQRLFEQYGPIIKTTSLGRTTYQTNDPVLSSIVFAESDFFTKKINEAHPLYALKQPSA GVFLGDTETPEWKVAHKFLPPALGPKAVRHYAPTMQETVEDAFKVFDEFDKQGEAWNV YQYMLKLGSQAVGKLTLGLDFQHFTSPDANLHEMVNLIAELLSLNKKVTSKGDWYAKL PFGDPQKLKQIKVRIIEMVEESIRSAERGGVVDLPLQDAALQASNMVDYAVRATDNKG EKLPKTSLVWALTVATGAGFTTTSSLLSWLIYGLVTYPDMQERLLQELIDHDIDENTQ LTADITDRLTFLDKYIKETQRRHNPSFQPGRTAKVDLILPGGYKIPQDSVIIPALHHI HNNPELWDNPQKFNPDRWDTEEVKNRHKAAYIPFAMGPRMCIGFNFALQEIKVFLPKL IYRYKFTREGDGHIEYDPMFQLIRPNNLYVRAERRVKWPPKTEN AOR_1_1626014 MQLPVSLGLLTVLISSVTARYYDWGEYHPKAYCTAHIYYCGKTL LTVGNYRDQIKDVLRSEGYPLDDWHINNVLLYCRKGTSDELGFERMPKYQCYDGGDGR SDYVDDVGPILHVVG AOR_1_754014 MSWYEIGNKNGYNEGYYAGREAALKELKNQEGIDKTKRACLDEL LHRDPQNTYYSSNVIRDFLADFYKADFDRDGHITLQELCQQWRPNDEETFKKLEARFK EAEVTGDQKLSLAEFFIIGFLGDDRKNGYKVAKKVDS AOR_1_756014 MPNILSNMQEFTLQDVAAHKSKDDLWVAIHGKVYDITKYVRDHP GGADVLVDVAGTDATAAYEDVGHSEDADEILGTYLLGTLKDAQEFKKPKTVRLVQQTP AKAETNSNNKSTSAIKTVVLASGSLGSALLLYISSRSNSALREVLSKIPKLSLNRLPE IHVPSGGVLRGGFSSGFVAATILCAAIGGVVGSKLSKFTRIESGFMRYPSRIKSRGLK KQNPHLAKGFLEPKDYKNLPLIRKDQLAPNVYRFVFELPGPRDVIGLPIGQHVAIKAN VNGAAVSRSYTPTSNNLDLGRLELVIKCYPDGILTGQYLANLKVGDKVQFRGPKGAMK YHSGLCKKIGMIAGGTGITPMYQLIRAICEDDTDTTEVSLIYANRTEEDILLRSELEA FARKYPKNFKLWYMLDHPPKNWAYGNGYVTPEVMAAKLPGPAPDTKIMLCGPPGMVNA SKKALTAAGFQAPGAVGKMTDQIFCF AOR_1_1628014 MQLTWQPPQNYRSRPVVVLGAGVLGRRIGCIWASAGYEVRIRDP SEQQRADGLAYIEENVDSYAQKTGQKPGKYSAHQDMEDAVSNAWLVIEAVPEKLELKI ATFAELEALAPEDCILASNSSSYKSSEMIEKVSDATKARILNMHYYMPPGCMIVELMT DGYTDEGVFPFMVERSKEAATLPYVARKQSTGFIFNRLWAAVKREVLTILAEGVSVPE EIDSMWTEMFIKPRNLPCKTMDQVGLDTVAFIEGHYVQERGLSPEKTVDFLKRNYLDD GKLGNKSPKGGLYSPVEDKKDIVDDKTTAPELLVLDIGLSAANPTTTSGEVLKLSSDG KIQKVLVPNQSLPDGIAVDTTTSRMFWTCMGVPGKDDGAVYSANLDGSSIQTVVPQGR VNTPKQITIDAEAQKVYFCDREGCRVWRCGYDGSDLEAVVDRSDSKDAKDNAVSDWCV GPSKSGKGRIFCANIATPEGQSGASRDDIQLVLGDLPEPIDLELDEKSNTLYWTDRGE VPLGNALFKAQLDESGLPVPIKSDKKYEMLTKHLKEAIGLKLDLGNGHIYLTDLGGNI YRCNLDGSHKEKIHSDDYRAFTGIALL AOR_1_758014 MSARRHRSAVACQHCRQRKVRCSFTVTGVPCIGCTQDGTEYLGS VKLYLIYAKMVRRTALGLRRGLIERPRSVGGTNDVNTSPEENRSLDEERTGAEIATAA LGRNRRAGQAPFYTGESPGFGSVLDLCSPPQQPVQRHILLQPKRSIPLSAEDREYLQY KGVFNLPRSDTCDELLRAYFHHVHPIVPVVDATSVLSSYPSGESNQCNLLLLWSMFFV AANYISTDTWKQEGYSSRKAMKYDMYSRATCMHHISGETDNTVLLQSALLLGFYHSEV DLHMKPWYWTGTAISLCQIMGLHRCPSSAWSDSSIPERQQRLWRRLWWSCFFRDRWLS LTMGRPLRIDLRDCDTVMPSSVDMLSDMTGLPEAVASAYIPTDLPRLADCWVTMIHLS KLLGDVLSLSYRPLGPHPSLQQVEATETEILLFQFPDNSDADRSRLATFYMYHLQLHY QALLITFYRPYITKVPEGLPVAQQQAWRSQIRNKMDAAALQTNSIVDNLAREKLLEFG GPMTPPLLVPAMQVHLLNCKSSDGFIRRLGLNKLELCMMILEQMQHTYPSASIFRGIF LGAIRQVFPDYMVQPSKPGTAAPEYPILQDAPLDDPAASMVISDDVIGALMDEASTYN FWETFSWM AOR_1_760014 MDWDKLLFNAAVFVAGVFMLDYGADKFIDHTVIIGQRLGVSQTL IAVLTAGAEYEELAVVVAAVLQKQSPLALGNVMGSTISNILGAFSLGLLLHPGPIAFD QSAKVYTALLLSITTAFYILTYFNMLNKITGGVLVAIFLMYIICTCYAIHKGAMEPPE ASDSDSDSDDDASDDEHSYSTSRPQDSRVQDESETSPLLTHEEALVAKKSAPRSLFYH ISQLVMGLLTLTISGYLLSRSASVIADCFHLSGTVVGLTVVSFATTLPEKMVAIISGS RGHSGIVVASTAGSNIFLLTLCAGVIALAGLSADKSDHVLLFELLFTWISSVMLFLAV FVRADRAVGALFLVMYVAFLILEFTVYRR AOR_1_762014 MYDSSGGSKDLLLECRQVRGAFGGACANCKSMARRGAFTPELFN RGPTVDDWACEIRERGELYKITWTHWEVARGSCHSRWQQPGVYLARSRAKLLYALESG KLGPEGEEVNMLAKVRRYIELLRTMSFRDFPTEDKSKALLAFKKASQRAIQNSQVLVS TNNNVGDCMIASNFGLNAQGIIVTRDEDTKECETNIYPALLTPHEDEPPGD AOR_1_764014 MASWKQRKTGKVKEDDELLAQVIRVFEAAHRNEQNTVFSTVELE WISRRSYNIAVQARSCDYRLVVQLLDLSMHFTDLQRKTMTCEKQSGLWQHYLHCDSIK IFSIITEARKEQQHYENVQKIAQHCRTYIRSRPQIENTREQHSEWLKEYRRILSIDLE CAIFFNQWDNVPSIIGESKSIMDDELCSIFLDCVLRCAASVTYIIKAVEKIIFVLRTT ASPYLEAAAARAVLPRYIHTFFQLSLDAQEYYLAESAIDQALDLACDLCGTVLRYPSD EIQWMATVAFNRAVDLYILSESDDCRRWAEKAIKLADLGEKDCAMLGDLLRERLQKLS AOR_1_766014 MTEKNAAQTGTRPEQSGNGTLGNLKNSMAEGDAPKKDSTAKDNS RNSPASKGENAAAGTHASPKKRRKVNHACVYCRRSHMTCDSERPCTRCIKRNIGHLCH DEPREPSKRARSEHEHSVGDDESVQNNDFSNVLQGMTGNVDVQDAAGQQILPNGIPSS SVQHGNLPSSSGQAPGATSQPVLGYNDWLGGQSQFQDMHTFHPSYMFNAPEVTNEYNL LGDFLSNSLLDDGSMFQNDDLQGIYSDPTLIGSMATLGGGPNAALLQQSQPPPLTQTQ PSQGESIQGPISGAVNDKARETYYMTAADPSGSDPPEERMNKLLKAKYDAGLLRPFNY VKGYARLNQYMEKNLQQASRQKILRQLDKFRPKFRERMQSLTDIELILVEMWFERSLM EYDRVFASMAIPACCWRRTGEIFRGNKEMAELIGVPIETLRDGKLAIHEIIVEDQLVS YWEKFGAIAFDNTQKAMLTSCTLKSPDSNAPGDGIPCCFSFTIRRDPHNIPSLIVGNF LPSQRKSK AOR_1_768014 MPPKRKSSGDGHDQDDTRRKRFAYLKPQVRRVAERTIKSKWSTL PEPMQEKVRDMFRALERPVIVRQQSERKRIEAQAAVQAVVKNLGKRLPRMPFPPVTKD SVFEYEAALKEHCSLEASLATVTDSTDLLKAEIEKEEALLAKETKQLQEMEKNAKRAE AERKRQLKNEHPVLRQLSVPGQQSQDHTQFTLAGANDLQTTFDELENDPEVVDLLKHL NGHLKSMQSNTAPLAGLSEAITRSQTALSLICRPED AOR_1_770014 MNMNAPQARSGRDSPQPGPFGYSFLSPMDTPYEPAPAPPPGPAL LDDNESNMLDNFFTTMNSNHFTNDFWLQGQQNKSLGPPNFEWSDELPPTFEGSTTSLS QPSLPHRGLDKSGMDILPNHSNSDIFAAASMLYQNGMNGAGLVAPFAHHPFSSFSNMD YNNTHMNGRVKPHQPQSVPSKQSNTLTGARAPLAYHTSEMLFDVRDPISAEQQATAKV RPLHWGSDVSFMDQGYVAPPDQPNVEEQTQELLRHLESLEPQSSAANTRAPSPERITG HHSAHWTGPDATGPLSDLRREYRDSMEDLSQPKKRQRTLIKEEEDENSEDDTKPRSRR SKSTSYGKNRRMSTETIRKSKVQQGAKPARENLTEEQKRSNHILSEQKRRNLIRQGFD DLCTLVPGLKGGGFSKSAMLTQAADWLEEILRGNEILKAQLADMKAVNGLVMPR AOR_1_772014 MDSTGLTGKGRNINQLLKPILRAYIIGYLSSTTPRVISSLRQVW RNDSNYQQKRRVLIRALTSAIRLESFPTFCASLVGGSTVFPLVIFRLAELLSQRLGFK CSQSGSAKFLRLSRLVCAFLSAWLGFGLLNRKPIRLQGDERTLAHIGNNEATGEQQGC PNTIPNRPQFSGRTMDLTLFSFTRAMDLVACIIWARWRRWRSARGRWSRAESLAPKLA DSGVFAASAAVVMWAWFYLPERLPKSYGKWIGEVAKVDSRLIEALRRARRGVFVYGKD TGQAPLLESMCKDYGWPIEWGDPSKTIPIPCEMVHMSCGPNCEKHAVSRFARTFGFAC ATYIPLQIVFRLRRLKSVLSLRRAVSDALRSSAFLASFVSIFYYSVCLARTRIGPKIF PRDVVTPMMWDSGLCVGAGCLMCGWSILVESPSKRQELALFVAPRAAATVLPRFYDKQ YQYRERITFAVSAALLLTCLQERPGMVRGVFGRIATSVLK AOR_1_774014 MYGRIISPWARYTLPGTGLRLPTSTNARCFEPGRRYFSRNSFLG QNASAPFNTPQAQKRNASTLYYTASLILGTVALAYGSVPLYKMICQQTGWGGQPILTH RGGDADTASRVTPVTDARRLRITFNGSVSDVLPWKFTPQQREVRVLPGETALAFYTAT NKGPSDIIGVATYSVTPGQVAPYFSKIQCFCFEEQKLNAGESVDMPVFFYIDPDFTKD PQMKGIDTITLSYTFFKARYDDNGVLKPIPTN AOR_1_776014 MALLVDKLRPRSLEALSYHHELSARLKSLAQSGDFPHLLMYGPS GAGKKTRTIATLKELYGAGVEKIKIDARVYQTTSNRKLEFNIVSSVYHLEITPSDVGN YDRVVVQELLKEIAQTQQVDLSAKQRFKVVVINEADHLSRDAQAALRRTMEKYSPNLR LILLANSTSNIIAPIRSRTLLVRVAAPTEDQICSVLSAAGKREGWPEAPGLNKKIAKE SGRNLRRALLMFEAIYAQSEKVTENTPIPPPDWEVLISITADEILAERSPARLLQVRA RLYDLLTHCIPPTTILKTLTFKLIAKVDDALKPDVIKWSAFYEHRVTQGSKVIFHLEA FVAKFMRIYESYLMGMDF AOR_1_782014 MSDTRLMDTEPGGESTQYESIREFTETPAKQTGQLGGNMPHTRS EMMQLLDPDTSSKAEDEDAEWARRFSGQSGVMGEADKDLKPETQDGDAEAARSRRQQG YGPGSGVGA AOR_1_778014 MASTMKMFSGPQLALFRPTCLSTTFTASPLSRCFSTTSPALDWL TPKFMETSKSPKGRPHVATGGSSRGTTVVWGDYGLRMKDHDRRLPASSLKIAEETIKR RLRGMNYTLYKRVSANIGVYTKGNEQRMGKGKGKFDYWTAKVGVSRIVFELKGDIHEK VAREAFRLAGHKLPGLWEFCKKGDPPVVGLTKLGNGVTLESLKRPRRSPALGAANMST PPSSTSSSPSASQ AOR_1_780014 MPADIRSFFGGKPSSSQGSSASLAKPPAKKEEPAGRKRRGRKVV DDSEDEDDVKATKAPPPKAKELTKPKPDEPQGEVTTTSDYFASSKKRGRPAKTSTATP IKEPQPPNDTISEEGKASKSPRANKQTEKKEALKRPTRESKRNATTVLDDERLGGDDI FATEFGKPGKGDDDYVEDEHSEKDSDLEELAVKPATAASSRPGRKKPASKLAPDDDDV VMEDAPKQPKRATKSAASQPGRKRKSEALGKEEDDEPQEGPKKGSPSKKDQPESKEIQ SIFDSIPTVRPPSPPPESGDKKKFNPFAARARSPAAAGTAEIPVGAENCLAGLSFVFT GVLDTLGREEGQNLVKKYGGKVTGAPSSKTSYVVLGGDAGPKKLKTIRDHNLKTINEE GLFELIRRLPANGGDGKAAEKYEEKRKAEDKKIRAMAAEIEQEEKRKAKATSTAAAKA SAGSQAPSSSQSPRPEDELWTTKYAPTSMNMICGNKTAVEKLQSWLRDWHKNAKGNFS KPGKDGTGIYRAVMIHGPPGIGKTTAAHLVAKLEGYDIVETNASDTRSKKLVETGLLG VLDTTSLQGYFAADGQKVHREKKNMVLIMDEVDGMSAGDRGGVGALAAIAKKTHIPLI LICNERRLPKMKPFDHVTYELPFRRPTAEQIRARLSTICFREGLKIPPPVLDSLIEGT HADIRQIINMLSTVKLDQQNLDFEKGREMSKAWEKHVILKPWDIVSKILSAQMFSPSS KATLNDKIELYFNDHEFSYLMLQENYLRTRPALSGNYQGKEQKLKLLELADNAASSIS DGDLVDRMIHGTQQQWSLMPTHAVFSFVRPASFAYGNMTERAGFTSWLGQNSKQGKLW RCTREIQGHMRLRASGDRDEIRQQYLPLIWDKLVRRLMKDGKEGVEDVIDFMDSYFLT RDDWDALVELGLGPMDQSMVKLDTQTKATFTRLYNQRSHPLPFMKASSVAAPKKMPKE KPDIEDAIDESDDEVLEDDTKEDDESEELDLKKDKYVRVPKKPAAKSAAKGGSGKGKK AKKATDDDFIDDDEKPLKGRGRKAKA AOR_1_784014 MSLLHNEDFTIWQLRTSYLSTIKDGIGDRLINVNNSVLNTPGFR AAGWSSASTNPSAQSVAAHIRRTYSPPIPTTAAVTSEYYQLGVSRDANEAQRFGLGED GEEDEGGMVTGKSSTEVIGRRPHGRAGKRTHRKERQQNDSYKQRDAEEDDSSDLSDES DDDVDSQRASQQIKFPKLPIRTRAGSSPIRSTDRQEGPQLMVTSPSHPTMGMHYRTGS LGTAVSVNERPRRDTTTTASSDMSSDNEMGSLASRKQIQFSGQDQVIELASNRRRGAG NRNLGGLDEHPEDSGAESEDSALSSDFDATAGSASLLVGVGITGSLDSSSPMMMHKLP NGTGPQTASPRKAKTPAPELQDLPPPRPISTVQPVSLLSKALNARKRAPTNPVEKFAV LSGKGLTDALNIKLYLPFSSDPEEPIDLPIARESKLAEQPAPVTVVEAIGLALWRYSE EGRQPAIERNKLTVNRWALRMVEDGEVEYDFPALGRTSQIMDFTSNNNRATGARGRGR GKQYDEFALVEASDSEFEENERQFPMESQAVLPEDTNDAASALNVPSAQPTSQNKAPR PNPILGQPFSSALNDNTLTPADRPAVPTSHATPRLGVSKTLKIRFINIEGSTQVTTLN TSTDSYIAEILDSVCKRWGLDKGNYLLKVMGSNTIAPLDRTVEALGNLTELDLVRRRF GPQSLTGSPGSSSPNAPLQIDSGTVPSSKKAKKGGPRMLHPLAQQQDLIGGYYRRYHV FRKQSMSFTASNHKILTFDNDYMHIMPGDTAKTGSDTKTRSISFNDVVGCKVSRRHPK NFRVVVLRGNDANEQKRYDFEARNALEAMEIVDEIKKNMAHYRI AOR_1_786014 MPSLGGLLKKRRTKDSQTLSKELEAGSSPTAAQTQTSPNQHHHS HQSHPTPTATSPDVAYTSAQPHQSSHDHHNCSSGTNQSHPEGQPSSMQSAVTQSPPAH HHHGHHHTNSHNVASIQNIIHSSHQSGQSVGGGSQQSQTRTTKGKYSLEDFSLQRTLG TGSFGRVHLVQSKHNHRFYAVKVLKKAQVVKMKQIEHTNDERRMLNRVRHPFLITLWG TWQDSRNLYMVMDFVEGGELFSLLRKSQRFPNPVAKFYAAEVTLALEYLHSHQIIYRD LKPENLLLDRHGHLKITDFGFAKEVPDITWTLCGTPDYLAPEVVSSKGYNKSVDWWSL GILIFEMLCGFTPFWDSGSPVKIYENILRGRVKYPPYLHPDAVDLLSQLITADLTKRL GNLHGGPEDVKNHPWFAEVTWDRLARKDIDAPYVPPIRGGQGDASQYDRYPEEQEAYG QSGEDIHGHLFPDF AOR_1_788014 MALFGSGSTNAASNPQEVKTAIIKQLQQEAAMANARNLIGKVNE HCFDACIPAPGSSITSKEEACLSQCMEKYISFWNTASRTYVSRVSRESKRLGGAENLA MMATPTDTSL AOR_1_790014 MLLPRLSSLLCLAGLATMPVANAYDGDENIKSIPLRTHSLAPPY LDSDFQSRWFDFGGDTIIRADKYIRLTADRPSQQGWIFSRVPLTATNWEIEVEFKIHG NGNLHGDGFAMWLTKQRATQGPVFGSTDNFEGLGIFFDTYKNNRPGTSFPYVMAMMGD GQTSYDQAHDGKANELAGCSARGLRGASIPTKARLTYFQDKSLTLDLQYKSEDSWTNC FTLTAPETNIAIPSVAYLGFSGETGELSDNHDIVSVKSQNLYSVGPSSRSSRGPSADS GRVKKTKKRKGSWSGFLFKTVLFFVAVAGCYVGFTMYRNKQRYSRF AOR_1_792014 MDPLEPNPTQDPDKQPKPGKFRFKTSKSKSSSRRDDTASTHHHS SHRHTSHRHRSKRHHRRRSASPTPIHSDQQQPGLNADAAFRESLFDALGDDEGASYWE SVYGQPIHNYAVPNVPKGPNGELEQMDEEEYASYVRTKMWERTREGMLAEQERLRAEK ARQKRRDERREEDMREKMRFERAMEESLRRGKERRRVKAWGRVWEEYVRSWGEVDRAV EQVRDTGDCGRGGGEGTKLRNLIFWPVESGKRGDVSRETVEEFMRHAPGEDLLAVLKA ERVRWHPDKIQHRYGALGIDEMVMRSVTEVFQIIDRLWSEMKGKQS AOR_1_794014 MALVSYSDSEGSDSEPETTTKPTTQTTTTKPTTTAAPKPKLVDS SNPRKIRVALDLKPEEKNDNDDGPARKKAKIGGGGAFAGFNSFLPAPKRAAAPAAAEK KGSVGAGRKVFSLKTGATPGFDRGLDEESRNEWAMEQAGSSTPGGRGDDDDGTIPKAG SLRDDGGEGGLQMKKPEEVKLKGNPMMFKPLSVARGTQKKRKVVSSKPVETAAEVSQG VKESVSEQSKPAASASAPVQPPAPKPKVSLFSLGSSEFAASVVPGPQAQSATYEPLVY TADTDAPEAGPEVEREPQVPAVASEPATTQTLDNIADDLNLSRSQRRHLFGRNADASK SRILHFNTDKEYIANQEMAHQTDMAALQHNPVRAIAPGKHTLQQLVNAASSQREALEE SFASGRRNKKEAGSRYGW AOR_1_796014 METRPAYERALEESQLFEQLPNEVAELIRTSSGTQYLNALAVGA LRSKCTEGFFCLYEPIFVDLAARWLASDFHNNQVDIISAFSRILPFAPFLASFASQYA SSRAGPLSALAATDEAMLLQLDDTTLRTLLLSVFRLLSYDLETFSSTISPSRLQSLFQ HSDHSIRYLAIRCFALYMHAADAATEKMVQTYIGTDVVEGEWEGIVIDYRCLGLWEER RWGTLEKQARIARSDRLIEDSLSQVEKLREYFTPRTAEVCGVLIPRLNEMSTPASSIV KAPTAVRNLRRIATALTTSNPLLLVGLPNSGKTSLVNDVAATMGQSESMVTLHLNEQT DAKSLLGMYSTSPATGSFAWQPGVLTKAAREGRWILIEDLDRAPSEVIGLILPIIEKG ELTIASRKERIKCAEGFKIIATMKSSYNIAGEEVAPSTTILGSRLWQRVHIEPLSIDE IQEVITQKFPILESRVPTIMNVYQRLCSSFHGSLAIKSSQGRTPGLRDLIKLCSRMHR RLQRLGAKTGYEAMPEGAEDEIFLDVVDIFLSYIPERSLAESLALVAAEALQISPQRA QFCLSERVPAYSDQGNKLVLGREVCRKIKAAAAIQMAEPMLLVGETGIGKTTVIQQLA TLMRQKLTVVNLSQQSESSDLLGGFKPVNIRTMAVPMLDEFNAVFELTFSAKKNQKFL SSVAKSVAAGNWARLVHLWHEAVRLADGVFKSSNGPSQEGEEQPTKKRKLDSPKYQHL RQRWERFAGQLNDFEAQVAQGDAKFAFAFVQGKIVRALRNGEWVLLDEINLASPDTLE NIASLLHHGTEGSPSVLLSEAGDVERVFGHPDFRIFGAMNPATDAGKKDLPPGLRSRF TELYVHSPDSDRDDLLALIQKYLGDLTLGDMRIAPDLAQLYLETKKLSNENKLTDGAG QRPHFSIRTLVRALIYVIDHAHVYGLRRAVFEGFSMSFLTVLSQESERLLLPLLERHL FSNAKNARALLGQTPKPPTDGYDYVQFKHYWMRRGHLVPEEQPHYIITPFIEKNLKNL VRASSTRRFPILLQGPTSAGKTSMIEYLAKVSGNKFVRINNHEHTDLQEYLGSYVSSD DGTLRYQEGVLVEALRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFIPETQEVVHP HPNFMLFATQNPAGLYGGRKVLSRAFRNRFLELHFDDIPESELEFILKERSQIAPSFC ARIVSVYRKLSLLRQANRLFEQKNSFATLRDLFRWALRRADDREQLAINGFMLLAERV RNPQERAAVKGVIEEVMRVRIDEDVVYSASELEKRAPHLPAGVVWTKAMRRLFILVSV ALENNEPVLLVGETGCGKTQLCQAVAEAYKRQLLIVNAHVNLETGDLIGAQRPVRNRG AIEHQLLSDLQAVLNETEPSRPLEEMRQVFGTLTADQLQACDSELVQRIQTNIARLNA LFEWSDGSLITAMKTGQYFLLDEISLADDSVLERLNSVLEPHRSILLAEKGPINSMVV ADAGFQFLSTMNPGGDYGKRELSAALRNRMTEIWAPQLSEDEDILPILQRKLELNSEH ITKAMLEFAKWFKCTFQNSSTTSLSLRDLLAWVEFVNKCRTADPLFAVVQGAAMVFID TLGANPAAMLATALHDLQGNRRLCLDKLHELFDVDASSIYLQKSTIEIENGALRVGPF ALEINGSTEPDPQFILDAPTTIANSVRIARGLQLSKPILLEGSPGVGKTTLVTALAKA LGKPLTRINLSEQTDLTDLFGSDVPVEGGDVGQFTWRDAPFLQAMQRGDWVLLDEMNL ASQSVLEGLNACLDHRQTVYIAELDQSFKRHPDFVLFAAQNPHHQGGGRKGLPASFVN RFTVVYADSFTDTDLKRICAKLFPGSPSWQTNRLVDFVSLLNKAITHERRLGAVGGPW EVNLRDIQRWLQLADRGTLQVSANSFLDVIISQRFRSQDDRDLVTRLYKQVFSEVPAP NKSYYHNLTPEYMQVGLGIMQRDLYLQRTQQSQIRILPRDLSILESLMLCIEQSWPSI LVGPSGCGKTTLIRKLAAVNGASLIELALSADTDTMDLIGGFEQIDYRREVSSLVHDV SLFLQQQILSMNSAGTALYETPIALEIYENLQSPDASLENICTFLTMLSQTYPQTAFD DMLLRAQDLLETTKQSDRMKVGFEWTEGVLTQAVQHGYWVILDNANLCNPSVLDRLNS LTEPNGALILNEQRTEDGSARIITPHPNFRLFLTMDSRHGELSRAMRNRCVEICFLPQ EDETTVATSAPAYTCESFLYRLRPLWNLDPSASANDVSRLLCEICLDHLSVMDLAYLQ QSLKYFLPYHGKSVGDIVSSAMHRYVSVIHGNSHWKPIECTKKTIELSRASFAIQGPS QPLHPLVNEPLVSLLGSEVPYTSLVTLAHLQESKLDLQLLKQGLLQADESGKLLKPSQ MSRLERSLASTRIPSLMKDATQPIGSFLSDCGQAMYDIIQNLDQDTLQNPHLANALRT VLNFCMDIFRLTAARDIDEGEFQVYLQIGRELCAALSSSFEPLKQLAVALSQALSRFQ ESWALKTGLSMQRLWESWRPATPATGGQLKSILDLESVASEFTNIATKTHLDLSQLSQ MRSSLVNAQQSIVLHGADEGQLVQNLRQTVSELASVVQRSDITAAPYFSNEFETLCQY HDIFTLKHNNKAGDNVIKSILPLLAGRDARPLNVSAFQTQVPEILHRLALYSGSERSS TFGSAISGTFSLSLLEKLTNVGVTILGQMDSLEVEKQTLSKALALSSWEIAMDQHKVL RQVSSTLTMELVLAHKEFFEPQSMEKLVTILRTVEEQGYCANPGLLNIRLEKSLPSDH YFKTFAEQSLPSLVASLATNPVQNNDKSIQDTGAAVVQLAAILLRLYVPDKPFDPSLG LVVQRQRHSQRSLELTDKANAITSFERVFSGQTSNIRHDLIQGELRDLGSAPPPSSVT RPQVSELSILHGEFTSVIKSVLERLPKDILGATSQDLSESQSLVNLLRDNIRQLSRRL STHYRSYDDIVVSVVRFLQLLDLGLYLMSGRSQQSSYVQAVEAISGSTPFLGGVHHPL SDLYHQNSNIDPRHAIDMWFHELSSLRVAENADPGIIHTQVGRSALSRLFQQFHGLWK AKLREDQEEEAKKASLYQYRGSWEDSEEIDENELRELFPTYEEETVDDGRKPTRLDPK NISIRLASLHAKLFQPENPGRVLTTYVKQSAQLLASLWSEGGSSVPQVHPRDQLSGVL LLLEDAVNKENDSRNTYNFYVDANPDEGRKLVSLTVATEARFLQIQSAWPEHAVLADV ILCCKEILQFKHAEPVAKFLTKVEKLHSLVHEWQLVASKEYSAAGVYDEITNLIINWR RLELSTWARLLDLEKEKCVQDVSQWWFVAYEAIIGAPVQLAESGQTDLSEHTQEVIAT LENFFRSTTLGQYSERLQFVKNFRSLLSLYVQDFPCLKQLVFALDNFMQHYTQFEPPV HKLLKERRSTLEKDIKEQIQLASWKDTNIVALRESAKRSHHKLFKLVRKYREILAQPV QHILEQDMPDDSEEPGSIDQALILPSASFPEALALCQKENKIWQSRPPRFRDPDGTVS NMTHMYVSISTEFDVSEDLDGFMKYFLESIKEFRSQTPKTLTEENKDDVQHLKVQKRR FYADTLRRLLEMGVKRNASTSLIETQASVAQVLASSPALEVGSVTTTVVRSSDLYFHR FLDILPRVRQAAHDYSEDLSNVEVSRSSGSVEHLLHLVRKQRAAISPAMSSVESLQST LVKISNLWKSNPTLVLKSRPQFSNEVRVTARAVAWLTPILGLAMTVVELHSKFSGVNS SNIVDGLRSWREVFTMLQKSLDSLPELPHGVTSQLHVDTLKEASFSFDKLNTDLTGWA EGRPDLSFVLMQITPWAHINVEQAEALQPKNTLAIEEFDGSLLTAIDKILISLQKLKE VPSLIVSPGSLSRSDEFFSRALKAVHLPDITSSLESVLDKLQGLKTRSNSGLAPAVAL VASLLPIMNKYRNICKDLVDRYLTVHRETCKMSYLLAKSFTQIASEGFCTPAEASTEE SKSGKLESGTGLGEGQGAEDISNDIGDDEDLSELAQQEQTEEPNEDMDESGDAVNMDQ EELIGETGEHKKEDDEEDASGDEEDDNIDEEVGSVDDLEETAVDEKMWDGAHDEEQKE TENQEGKGQSESDEQTAAQERKEKEGEKGEGKNEEDQEEEEEEEEEAPDDEGEAVGRE EMDVTDPHAKEQDALDLPEEMQLDGDEMDKDEGDESDDGLDDGLDDDLPPAEDEQPFD GKEEENVENPDLDQSAEEQEGDQGDEEAAPEDEEMKEAEADETNEPGVEEPEEAQQDD FLTQRDENEEAGEEVAPSEAVNGGLGADQDQNMDKGASGNAEQESGSADQSDDKQQKT GAANEGEDNERHRDAGGGDDGNRNDPQLQAFKKMGDILEQWHRRQKEIMEASQQEEGE SDQPLPQDTDMADADFEHLADQDDVADTQALGQANEEQTQALDQNKGVESDAKHGDNE PLPDASDEPQDTLENKMQDEMQLDAASESKDQTGGALIPGSSHTQERTVDAAGQQEAI EELDEVDAQLAAIHLSSSLPPLTPRDEAQRLWSHYESVTNDLSLSLTEQLRLILAPTM ATKLRGDFRTGKRLNIKRIIPYIASQYKRDKIWMRRSIPSKRNYQIMLAVDDSKSMLE SGSGQLAFETLALVAKSLSMLEAGDLCVLGFGNEDHVRVAHEFGKPFSAEAGTQVFQH FSYQQTGTNVRKLIADSIALFREARWKQSPGSGNADLWQLELIISDGICEDHETIQRL VRQAQEERIMIVFIIVDAVKGSSILDLTQASFEPDTESGTGEMKLKMKRYLEGFPFPY YLVVRDVRELPAVLATALKQWFAEVVDVSS AOR_1_798014 MQSEGEAGASSFFTQSRSQQHSPIPRHDSELSSPDPHELSDSLS GGLHEPISFKRKQKQRSGFSLSNLFSNNTTEFVAGDAGFTSSNTRNGQLHESVSSSRD RPMSNNGNATAQKEGGLLDWYVEGPGRRVGYDDLTAIDWIFEYTKERQRKRHLYSHGQ GAVGYVLKFLDASNVWIVLIATGILVGIIAAFIDVASDWLGDLKTGYCKNGAGGGKFY LNKSFCCWGHDDISNCLDWTPWGKALGVASSGGVFTVEYLFYVLYSVLFAVCATVLVR TYALYARHSGIPEIKTILGGFVIRHFMGPWTLAIKSLGLCLSVASGLWLGKEGPLVHV ACCCASVIMKPFESLNHNEARKREVLSAAAAAGISVAFGAPIGGVLFSLEQLSYYFPD KTMWQSFVCAMVAAVTLQALNPFRTGNIVLYEVTYTRGWHRFEMIPFVILGILGGLYG AFLIRLNMKIAQWRRSRSWSRPIAEVVLVALLSALINYPNLFMRSQNSELVHSLFAEC GNGGEDLFGLCKTGAASVTTIVLLLMAAILGFFLASMTFGLDLPAGIILPSVAIGALY GRALGTTFKMWQEAYPKVFLFGNCEPDIPCVTPGLYAIVGAASALGGATRMTVSIVVI MFELTGALTYVIPIMIAVMLSKWCGDIFGKRGIYESWIELNEYPFLDQRDDTTPPDVP AHKVMTAVDDLTVITAVGHTIDSLRHLLLTTSYRGYPVVTDTSNPTLLGYISRNELSF ALKYSKSPTDRELSGETQVFFAHQPFADPVETLDLRPWMDQTPITLNSGTTFSLVLQM FQRLGLRYILLSDKGVLQGLLTKKDVWSVLNGPEFRKGGFSRENVVRPVNTAEEVGLL ESDDVTSMASSLERRQSL AOR_1_800014 MMVDPFEVRMRFTAQLQHLNASITSSQKAAHYALKYRDMDEDLH SCILEQLERNNMNNRANIMYFIEQFCEMATKEDHAPYVRMIQRDILRVVDAVAPPDGT GAANVKHVRRVLSGLQNKEILSAETVAEIIAGLKDRETHPAHLDLEADEGVEAKAGTP RGSRGSVRVDKRQIEQRIEEDRERNKRLRESMWTVSGDDGDEHGKFWDETSDIGEDDF LAANEEFIERRQMVGAK AOR_1_802014 MFSHIVTAAKGLFTRQDTDKAQSKNFTTTSSDNAIASTSKMVTT RRRKISDIVPKEEPEINGQQEVNGRRKSGPAGSGKMETQRNKRRKRASLEAAEGESGT PEEPSEDTQETDSKQEEEKSAPAPKKHFRFDSEEPEVPLDTQIEETAETQQAKEDSGD DSSDDDEAPEAVDNSAQLSKVKAQAKKMEQAKQLEEELKREKRRQLDELRKSQAKVSK KKDKPVDDLLSESTVTLQGSNTQDARRSALPALLPDDILNAAPVTRPPTPPAEGINIA HKKPTKFRFLEKSEKRPKDVKMGDVTIRVLGDIPQKKAKSALPPKASKSGRISKQTWL DRSRSTGHVNGLRRTAGGSSGFVRK AOR_1_804014 MASTAETTPLLPQHQQQPATSNTSPRANRRTVTFNPLTTVSTYH DTTSTNAPVKTLYSGPSSFPSSQETPQRPTGLSALNSKLRRRNSHGAPYSAAPSMPAA PKVGPQRTTKKAQKLKLLPDPITEEAAEGEFPSDVYSQIARIKEPTARSHAARLGKSD RERLPRVTAYCTANSYRLDGAVRFLKSRSKTRGANPKLYDECVYSPFDYQYEEKQRST SENNVGMNNVGMRETFQRPSTERRFSDSVVEIEDNTKSRREDLIDLRDSQAHQSENAI AETQSETPDFDTTIHTPEVFLFDYGTVVIWGMSPAQESRFLSDVSKFATSILSPEDTQ VENFNFYYAREYQARIYNDFISLREPRNHMIKLAISHALAQSVKTSLFEDLVSETISN TAPLPAQIAQTGSVNLTRRQINMQVGELFILRINIHLQGSVLDSPELMWAEPQLEPVY QAVRSYLEMDQRVSLLNERLDVIADLLAVLKDQLTHRHGEYLEWIVIVLIAAEILVAA INIVVDLYAGVD AOR_1_806014 MEPSTNQSTSIESSNPPSVELAYKRKCVALKKRLNEIENENDLM RVRNRRGWQYIHKMRLESCILLERLAKVTGMAEEAQAGVNPELRARAAAMLSNAAVLD PGEKEGGGGAYYADDTEGSSDEQPPTPQERPLRVKRSRKSNVGDGADDDAAPSANNAP ESSSAAGSASLPRLAPAPSQEDMTSSFRIQAGNGSAQDKENNTGSGSDRGGSQNPESG SREPGQGEVSVEPTTPMDMDTKESKEDS AOR_1_808014 MAMQERKLPMGKIEPNTGKYFVNCALGGIIACGPTHTSVTPLDL VKCRRQVDPKIYTSNISAWRSIFAKEGLRGVFFGWSPTFIGYSFQGAGKYGFYEYFKY LYGDQMFPNMNRTVVYLGASASAEFLADMALCPFEAIKVRMQTTLPPYAQTMREGWSK IVAQEGFGGLYKGLYPLWARQIPYTMTKFATFEETVNAIYKTLGKPKESCSGLQQTGI SFLGGYIAGIFCAIVSHPADVMVSKLNADRQAGESAMKAVSRIYGNIGFSGLWNGLPV RIVMLGTLTGFQWLIYDSFKVFLGLPTTGGH AOR_1_810014 MVYGGKPSTGCYLCRKRKIKCDEALPGCRNCSVYGRPCPGYRPD TIFRNENQKVERLMRKRSVTPTTASQHSSRSTSVSHSSPELPLILSQVADSTWEERAV CHFFDQFTSVSDECLNHLGFLPSLYATCRDSGQDDSVSSCLKLATEATALITLSNHMK APPLLLKARGYYGLALHGLRRLLGTRSQAVRDETFATMVILSIFEDIAGERNGLYSSH TKGFGLLMGMRGESQLSHAQGRDLFICAYAHTLIESIVLRTRPRHASTELIVGQLDGS EPVPRLMLTASKIGQLFAESSSHQGSIDIDTISQLTTWIETGNILALEMASWSQHLPD HWLPLVVYTATGGPLMTYQNASIAAIWTYYRAARISLQRHLLDLRQTLASLVGDNQAC DVHRDAALEEIQEMTTDTCRSIPFSLGDIDALGQTIPTSAEGRPPIRALYGYLMLWPL WYVLTFGMGTAAQMEQIRSALGRVGSVLGIKLALMLAQQGSMSQHATALTPNPYRFVP STS AOR_1_812014 MSPRSVPEQLATAHTRTCSATDSVASLSSTHSRSLSSNKTITSI PPNLLPSAPASPPTPAPSPTPHQRPPTWQSADEDDDAFLLNARIHFSSLSNFKRQKFL EGILSLCDSQHLSFVSSYVSPRLRKDPFLVFPTELCLRVLSFIDDPKTLARASQVSRR WRELLNDDITWKNLCEKHAYASRKSSEDDRDFVDPFHTQHLHTISGTNSLAGSRSSLT SSHQSRDGHPGLTRSLSGDWLASASLSSRKRRVRPLSYRTHFKQKYMVESAWNKGGRC TQRHITPDQGVVTSLHLTPKYIVVALDNAKIHIYDTNGDNQKTLQGHVMGVWAMVPWD DILVSGGCDREVRVWNMATGAGIYLLRGHTSTVRCLKMSDRKTAISGSRDTTLRIWDL ASGTCRNVLVGHQASVRCLAIHGDLVVSGSYDTTARIWSISEGRCLRTLSGHFSQIYA IAFDGRRIATGSLDTSVRIWDPHSGQCHAILQGHTSLVGQLQMRGDTLVTGGSDGSVR VWSLTKMTPIHRLAAHDNSVTSLQFDSSRIVSGGSDGRVKVWSLQTGQLLRELSSPAE AVWRVAFEEEKAVIMASRSGRTVMEVWTFSPPPEEDTDEIVPQSSSSTPGMLPVQDDS RQRAYHSDTPTIPLGNDDDQIMPDAPS AOR_1_814014 MEGLFTLLALSIVMAITSFVVGSLPLAFTLSSSQLRLISSLGMG VLVGTSLIVIIPEGVETLYSANLPNDRKALSSRSTGAITWQHQNIPTVATAMIHTSEE INSIKVPVSSSSDTSTLLPVSDSTPYVTRREQKGKEEASDEDKKANDDEGSSPHAWIG IALISGFILMYLIDKLPEFAAPAKQQRTPYHISLDNLGSGLRRNSSPSREGGLLDAGN SPRSSHSFATTTGLVIHAAADGIALGASSSDTGLSFIIFLAIMVHKAPASFGLTSVLL KQGLSSRAARAHLLVFSLAAPLGALATFLFVQVMGSGSSSDLTATQWRTGVLLLFSAG TFLYVAMHTMQENNPSAVSRETQGNGYGDSRDIPSKSNKSMRDLIASVVGMILPLFLQ LGHAH AOR_1_816014 MSVTDPQRITLIGLGTIGMSMAALHLSRENVTVDVFDTRPDFDQ YLFNTLPHFLDQGPSSANFKSQPSTSAVASLIASGRLNVHTSLETACTSATIVQEQGP ENLEFKQTLWAQVESFAPPSAHLWTSTSGIAASAQQQRIYDKSRLLVVHPFNPPHIMP LLEIVPSPDTSPERLEFAREYFSVPGSKHRPVVLQKEIPGFVGNRLAFALLREACYLV QENVVSAKDLDTIMKASLGPRWAGNGVFESYQQGGGEGGIQAFLNKLGGTMQAVWDNL GKVNVLGNEETSWKEKVVSQVNEAYGTLTPGQVREKEERLKDFVAIQTKKYGHDVPEA AOR_1_818014 MASEGDWTCDANDAVQITLVQPGEQKPKTLSSFHPQFTYPIFGD DETIFGYKGLIIRLRFAAHDLRPHIHISYDEKFKTVGDTSAVDLIKTLSPFIPEEAFS TLPDYENAVQEDKDAKDFVPPGKLVHNYVTRGRTYEIWAASLADPQVRRLLDRAQVFV SLFIEAGTPLETEDPEWTLERWTVYFVYEKVKPPTPTASQYSIVGYATTYRWWFYQRD SPEKGTVTNDPFPGPEIRPAQLPARLRIAQFLILPPHQGSGHGTHLYTTIHTACFNDS TIVELTVEDPNEAFDALRDTADFHILRPEFLKHNVNINPDPYAELSKKQRPRRVPTSA LIPTKLLHDIRSTYKIASTQFAHVLEMFLLGEIPTKNRHAGGANMSRLLVKKYNATDP NERRYYWWRMLVKQRLFKRSRDILIQLEMSDRIEKLEETVTNVEEGYEALIKVFTARE EALMAKQEESGESPETAVLEDSVASSSDSSTRDQRTKRKFTVEDEDEEEEGESEVSKR PKV AOR_1_820014 MASLPLGLLTAAQGHPMLVELKNGETLNGHLANCDNWMNLILKE VVQTSPEGDRFFRLPEVYIRGNNIKYLRIPEEIIEMVKEQPQNQPSNRNRGGHSHRGD RGDRGGRGGRGRGRGRGRGGN AOR_1_820014 MLPLGLLTAAQGHPMLVELKNGETLNGHLANCDNWMNLILKEVV QTSPEGDRFFRLPEVYIRGNNIKYLRIPEEIIEMVKEQPQNQPSNRNRGGHSHRGDRG DRGGRGGRGRGRGRGRGGN AOR_1_822014 MPRQTLIVDGLWYCLCPSFSLNTFKRPGNPLIKGKRAPKPGQYS AFLAGPVSTSRKCLSSASVRKVGGIAPSKGDGSLGDGYSESMDQHKTPAQLDENHKLG LDAATSPKEEKPGTEYTRKRPPGVPETLEHKSTSFLEQKLQELTTSTPRVLSTSQILR ILIRDRHVRPEVRHYRALLRANSDAERGSPEVVRQLLGEMEANGFTLDSGTLHTALQA IAVHPDYLLRQELVRTLRDRWLPLSPDGWHYVVAGLVREHQFELALDHIAHMERKDMP VEGWLHSMLIYYLCEFEEFDEVARLMRSRVDQGYDMTTDLWLYVLDVASAAVHHETTR FVWDQMVELRYVYPSYGVCSNVLTVASRTGDTDLAASVARFLIETDVPLSLEDYEKIT EAHVMSGNLYAGFEVLCEMHKAKIALESSSTAAILTYMIQSRTSPQKAWCMLKQLKAL KYEIPLRCALVVLEMCEHEAINDPFVVDDGLTLYKQLYALCSEKADVSVYNSLISMCR RAKNTDAGMFVVKEMATLGVVPNATTFEHLIIMCLDAGNFESAYMYFQDLLARDATPS EDARAEIRDLCTGSSDQYAVQLRYHPQIRDALVRRQADDFEPTQIRAGLIKKVPSDSP PEYANRFRPGPRKTITKEERRAESKEKRKQKRRRLAIARAREEEGWEDYEPGGLIPED QVKADANSPSS AOR_1_824014 MQLSSVFTVALSALNFASALPLHRLNTSPALTWQVADFNTGCSP GGCVYNFNITGVASQNTPGFHTHCSGTNVQDDFAFCDDKHVKAKVVSQLYPVWTIHVQ HAWFQGEAEFYAVGHANVTSTQKNFTIPVTEVYGVA AOR_1_826014 MGSMHNWLHGLDGKDSQGEAQEKRKRVAVVHCKAGWKMEDALQR FTERRMRSGFGPGVSIPSQLRWVGYVDRWANQMGKKYIERPVEILELHVWGLRDGVKV AVEGFVDEGKMIQNFHLFKRSERIVVDDGRVKTNSSQKTDKKKTNGQKYGIKKAFSSV VDSSSSSSSSSSDEDSTTQKGTSAVLFGPNKPLILPTSDINIDFERRSKAYKDWAMVT SIAHVWFNAYFEGGDKEDSGVFEAEWDTLDGIKGTSRKGVRALDRLKVVWRFPPSEPK TKESETAPTPGQIITEPRLGEPTFESHAADWRGQDPGEPKAVRDQENIPMRAPDVPVY QEESKGDANPILTGLSTATSSAAAAATTSVQMLSKELGLRRQTDESKDVSLAESDDNE SVLGHRKQEGDEEKRQTLNRAESEDFQGVQSYFGNGDKDDSTGSPKTTKAS AOR_1_828014 MLSCTSPLLRGACHNMGAAKALRLRWTVPPAVLIALGSGALYTT SGQTLYYKNSVQQTDQTARFSTSTTYRVDDRSAKPSSASSQKSTWDLSSEHSSDHGDP NSIWTNILHKFDGVKQTVGSTDWIEIDSLKNYIIPDWTRLLPATVQKLQRELSMAPGS LADDIWKEAHDPDINPEILQDARVRVGSDLCREELEFRRKRREHGVKALASYLEIPEE DIHPDDVPVIAMCGSGGGLRALVAGTGSYLAVQEAGLWDCVTYTAGVSGSCWLQTLYH SSITGRNFQKLVDHLKHRLSVHIAFPPKALNALTTAPTNKYLLSGLVEKLKGDPGADF GLVDIYGMLLAARLLVPKGELGVSDRDLKLSNQRYNLTDGAHPLPIYTAVRHEIPVLE SLEEDHNKKQPTRETLMKEAQDESWFQWFEFTPYEFFCEELGAGIPTWALGRHFNGGF NSIPEGHYPIPELRVPGLMGVWGSAFCATLSHYYKEIRPLVRGITGFAGIDSLIQGKN KDLVRVHPIDPATIPNYVLGMKDVLPPSCPESIFRSSHLRLMDAGMSNNLPIYPLLRP GRDVDIIVAFDASADIKQENWLSVVDGYARQRGVKGWPLGAGWPKEDMKLKETEEKLR EAQNISEAELNSKIREAQDNDKNTASNGQTPSTDPGQNPSNGDTDLDYCNVWVGTTQE RVSDEEPPPSKRLFQPRNKDHKESDFHLMRPDAGIAVVYFPLIPNPDAPELPPSSSLK KSPAPAQQKETKSSANVSDPAKPLTPHPGSINPDVDDFLSTWNFVYSPEQIDSVVGLA KANFAQGEEQVKRVVRAVYERKKSDRLRREEEEARRRMEGFVPL AOR_1_830014 MVAPRNTAYAEESAEVEVLYANLEKLKVLTKKIQGSLVRLETGG NVVKHAIGPIYSNTQSLQITNNNIDKVNDAIDRLRQPLDAKSREEGIIRSGPQNVELS QYLAAIKRVEKALIDLNSTNLRSNQKAISDFNALLSTGTARLQDLLRSKLSDDVSPIE PLHYLTKELPFPSIPEETVTELGPICAAINSAAIHGPQHGDGGNPALKIYAAVRAPYI TSSLQNLAIASLNTVKRRADDGPYRQGTNGIGIYSNALENFIYAEHDIISRIFTGDQR GLALQATCQSALAEYSKTLRELNQYIKANLMTDCFLAFEIIEIVTAMSYRVDSKTGEL KSMFIEALRPIRDTAKSSLSELLEETKRKAASIQVLPPDGGSVPLVNEVMSSLVTLTA YSGPLASILTSLGDGNWRSTSNASGAAPLDVSPDSSTLLSHFILDMIEALMIALESRG RAFHRTKAVQGVFLSNVFCNVDRAIRSNVELARYLGSPDSIARIDTFRKRATSTYLDS WKETSQYLLDVQYTSRGAGASTRPTSGGIVDSSAIVKSLSSKDKDAIKDKFKAFNTSF DDLVSRHKALYMEREVRGVLSREVQTVLEPLYARFWDRYHEIDKGRGKYVKYDKGSLS AQLAALG AOR_1_832014 MSANDVYQTPLNSRYASDEMKYLFSPRNRFSTWRKLWLWLAESE KELGLSISDDAIEQMKAHLTIQDEEFKVAAEEEKRRRHDVMAHVHAYGQVAPAAAGII HWGATSCYCTDNADLIFLRDGLDILIPKLAVVIDKLSAFAQQYKDLPCLGFTHGQPAQ LVTVGKRACLWIQDLLMDLRNLERARDDLRFRGVKGTTGTQASFLQIFDGDHSKVEQL DELVTQKAGFDSAFIISSQTYSRKIDVDVGNALGSFGSTCERIGIDIRHLAMLKEVEE PFEKDQIGSSAMAYKRNPMRSERLCSLGRHLQNLPKDALDTYSAQWFERSLDDSAIRR ISIPELYLSADACLILLNNVTSGFVVYPEVIKRRVNDELPFMATENIIMACVKKGLSR QDAHEEIRVLSHQAADNVKKHGKDNDLLERIRRTEFFNPILGELDTLLEPSTFVGRAP QQVEKFTSTEVKKALEPYAAAVAKAETSTLSV AOR_1_834014 MPAMSRRRTSHSEPMASSSANEAFAYPFTSMQQGNQPQRRGPIE GPNGRRLVRRVTWRSSTYKLMASLWVLGVFYIVWLIRDIFFLPFTSSQPSMIVKGTSQ DLLERYVGHQECGISSLALYEPPKTEGQGALSHSYCQTRDSLLSAMSDGGRHGFDEAY ISKGCFYRWYSNAEVCQILQKFGALVFVGDESLADIYAGFNILLQGNLATGALRESEM TKEQIEKCRCASQFTSASCLPLRITSSEQVEKQNDNKISPGSNACSSSIPHTFVTATS SPASKSAQERFRQLINRAGSQGKPVPVIQSLSLSTSYSLEIAANSMDEWLALAQSSKR DMPSLWIGPTAPGHQKHFESNIHASSWQYTLDTFEAARTRGMETLGMYNATLQADSWD GMHYGEKEALIQAMMVINWLAML AOR_1_836014 MWKPSERLMETIRHYASFPATGVSLRQMVQFGDRPSTGTLFRAS QFLSEELPIRLAHRVQDLGELPDGLSEMPSIKKVQDWYAQSFEEIITLPRPTLTQEVK SRLLRPGRINGGASKILSETTQNPSIKEGQYRSSPTSALNHNGNGKAAATAARRYFVP SDDQGNWPPELNDYNERFAKTLQHIKRRHDSVVTTVAQGILEWKRKRQRLQIDSTVQS FLDRFYMSRIGIRMLIGQHIALTEQTHVRHPNYVGIICTKTNVREVALEAIDNARFVC EDYYGLFEAPKVQLVCKDDLNFMYVPGHLSHMLFETLKNSLRAVVETHGADKEAFPVT KVIIAEGKEDITIKVSDEGGGIPRSSIPLVWTYMYTTVEQTPNLDPDFDKSDFKAPMA GFGYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEPLQ AOR_1_838014 MFNRNVPAPGPRSRDPIVIDDESEDEDMNVDYPGRQNQPRGVDP RYDTDRMRGPLTSYHTTIEQEKKVRSRLREERHAALCVLMDRELLTMQALAAQETLPQ ARRRFLSKLIAPEDPEVAASIRSDLFIVQNPSSPAPNNQPLLVHRSVVDVHETDDAGW RRPADAGGSSSAFSSPASSSKNKGRLSTPDRTREKGKASTASSSVSGSAGREQQLRER ERRRRWSGAEREDYGVSSP AOR_1_840014 MSDNPMSQSARDTPHIRLNSGQHDPFSDPDENGPPVPSHGGIGR ALTPGMSNSTSTGTFLTMQTGMGSPTPQDSTDFLLPPRPQRHREQYDGFQSPDLSGQS SRRTSWSSEGGSESRGYFYPRYEDMRSPSHGEGDGDDVNTQTVTEKFNIMPSEGLLLF PEDVEKDDYLHNPDPNDKERDCDIWNRRGIVNGGGLVLLTLGLLMLFIGYPVLTAVRG MEKGSASVCKAGDTLCLDVGERSTLKNVRTGLIDPDTPASAMTKKSADGKEWKLVFSD EFNTPGRTFYDGDDAFLQAVDIWYGVTQDLEWYDPDAVTTKDGVLELRFDAFPNHEMK YRSGMVQSWNKLCFTGGRLEASISLPGNGEVSGFWPGFWAMGNLGRPGYAATTEGMWP YSYYDGCDAGITPNQSSTDGLSWLPGMRLPACSCDSAEHPTPGKSRSAPEIDVIEASV AALNGDAATMVGSVSQSLQMAPFDIWYMPDYEYAAVYDPKITEINSYRGGPYQQAMSG LSNLNNDWYNGTQYQVYAFDYTPGARGNITWYVGQDKTWTLDGRALGPNGNIGQRVIP LEPMSIIMNLGMAYSFAPVDDNIKKFLPGYMRFDYLRIYQDPDNISLTCDPPGYETTE YIAKHPKAYQNVNKTTWTDAGYEWPKNSFMHEC AOR_1_842014 MPLSRRGHCVIDRIWCWASISSPEVHKLPLCPSIIQLPLKNYPP SSRQIHHLHKPLLVSPHRTRDNTTVSQPTPLQPTISRTMSDDAYMSFLNKANADLDTA RAQQAQDSPTVRTETVETGVSVPAPLTSVDAYYISETDEPFEPVALRWDGASRGIFPD ASHLSNLISPNADLSSSITTLSPSSFDPRNQYPSALRAVRAAAAESSGGDESAVDVKV FRVEVGPSRIEYYILAVDAEKSLVVGLRTKAVET AOR_1_844014 MSHRKYEAPRHGSLAYLPRKRAARHRGKVKSFPKDDPKKPVHLT ASMGYKAGMTTVVRDLDRPGAKMHKKEVVEAVTVIETPPLVAIGVVGYIETPRGLRSL TTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYAKQHAEESGASITRELERIKKYCTVVR VLAHTQIRKTPLKQKKAHLMEIQVNGGSVADKVDFARNLFEKTIEIDSIFEKDEVIDV IAVTKGHGFQGVTSRWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQMGYHHR TSCNHKVYRIGKGSDEANASTDFDISKKQITPMGGFVRYGEVKNDFVMVKGSVPGVKK RVMTLRKTLYPQTSRKATEKIELKWVDTSSEFGHGAFQTPEEKRAFLGTLKKDLVTSA AOR_1_846014 MAHTQPSPTGGVTPHHGHLAAHPQVNGHMPLQSQGQKGPPMSTA QKIAALNEQVWLQIGGLTELMGDLDGAMNAYEQALRHNQWSIPAMNAISCILRTKEQF PKAIEYLQNILKLDPTSGETWGSLGHCHLMMDNLQEAYTSYQQALYHLRDPKEPKLWY GIGILYDRYGSLDHAEEAFSQVMRMAPDFEKANEIYFRLGIIYKQQQKFNQSLECFKY IVTDPPRPLTEEDIWFQIGHVHEQQKDFDSAQQAYRRVLDRDPNHAKVLQQLGWLYHQ QSNSYASQEKAIEYLEKSVSADNTDAQSWYLLGRCYMSQAKYPKAYEAYQQAVYRDGR NPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYISEVWYDLGTLYESCNNQISDALD AYGRAADLDPTNVHIKARLQLLQSQLSGSNQTNAPAPQPQDVHPQAYQTGVGGPPAPQ WGAPAPTGGPPPQPPAPPRQIADWNRGINELQSQAQAQAQAANGFDHRDAVRAPAAIQ QPSPRQEPGRGFPDAVRPPPTAARSPKTALAGPGVYAPTHALPQIANPPPAPSHERVP SGASGFPSATRGPLPPAPAGPPPAPGAPNGAPTPGGPLPPYHRPFTPPAEIRPIRDER PSSPGSTYPHQQFHHGPSVPPVQGAGSTGIAGGAPAPPSAITAAEAAAREREDRPASA MKRGREWEAESAPVKKIANEESRARLDEQLPRRVTPPNRMPSPGEMQRRSSSEVRRED QRRINESYHPSEAAHHPPTLPSIQHMPPHASGSGLPPMAEGSTPAANGPQPGPSAPVQ VKEEPARGEQPPAHEPAARKMDVDENYDDDGDDDKRASTAVKGSPSASGSGNANNATN GSQTSQSKPESTA AOR_1_848014 MRQPEMSHSPAYYQDQDHRVTAYLHDSTSAPAAAHLPPEPPSLP NHGHDFRHPELNSVENTIPNAYPSDSWDNTSSRHPHRHSRQPHKPPHRQPPVESIYPD SEAPVVAPMTGGAARKEERGRRSWTDHSSYMSSDNHHLGVTRLQKRAIHTEEPLADDS QDALLMLFRLSVPVPIFSLCASLYTIFGLLLVLLVSPFRICPCIPYFRSTSFREQLCH LLVPQLHIHERLVRLRGPATQSVYNDADGSSISDPSEHYSIFGLIAVLLLSSLLSIAF LLLVWTAAFFWIFAMVLGNPDGTERKDDGRAAVLGVCRWWQIWLRKARKLPRE AOR_1_850014 MATLAEKLEKIKSPKLQNQHHTAVVLSAVEDTLRDQNADFSPTA YFAALLALLSQSISAEQGIVNKDLATSVVYLLDITTAYAPAPILRSKFSQILTSLAPA LSLPESEAPLLRPAIGCLESLLIAQDAAAWNLPHTQISPRRATAGLLSLSVDHRPKVR KRAQDALIKVLKSPPPSPSLDHPAADMCAESALRTLGDSIAAAAKQKRGRNDPHTHNH DPLVIHSLQLVKTVATASGGWPSKKIEPLCELLMNASRSSNEFITMGAFEVFEVIFSS MADDFSSSKLPRLLEAISELKPAQNDSQLLPPWIAVLSRGYDVSSQISPEDTFEKLPS LFDMISSYLASPSKNIRISASECLVSFMANCIPNSVIIEPSVYDEKTLEKLAKKATNL LSVKYQAAWAEVFNVCSAMFDSFKWRSSPFLDDIVKTVGELRSNESFHGKKQADQVLG SAVEAMGPAAVLEILPLNIIEQKAGQPGRVWFLPVLRDHVTNTNLAHFRSELVPLSEA LYQKVMAFTAAEKPVETKIFETLVQQTWSILPGYCELPLDLVEAFDQSFAELLSNVLY KQADLRVDICKALQNLVESNQAILSLEAEGDDLILQRRITKKDAEKNIAHLAGFASNL LAVLFNVYSQTLPHYRGYILQCINAYLSITPEKELNDTFTRVTSMLESSVASEKEAAE KQGHQQGGAGDKMPPTSHTLIDLVIAMSIYLPRSSFASLFAMAAAVLNGHTKDQQLVK KAYKLIPRLATTETGAAALRERSSELQTLILETADKTPASARRDRMLAIDELITYLPT SDLHFIPSILSEVVLGCKESNEKARTASFDLLIHLAKRTTDSELNPAGTKIRNSLVPH MPDNAPDAPATMEEFFTMVSAGLAGSSPHMVAASVTALSRLFFDFHTELQPAVRSDLV QTVELFLTSNNREIVRSVLGFVKVAVVVLPDDVLRARINSLVPNLMVWSKEHKGRLRS KVKGILDRLIRRFGAAPIEELVGEADRKLVVNIRKQRERSKKKKQAEKGDEDEEEEEA ADNKNAKAQSYGNNAFDRAVYDSDFSDSDDDASELDVDEHGDTHAINKGGRKGKKASK QSEQYIRENEDSPLDLLAPDALASISTTKPSVRFLNTGPGSRRKHSAKVDAEGRLLLG DDENNDVEMSGGLDGNAEAGDSAINAYVAAVSGPDAVRRGQRGKFKMAQAQKGKSNRG DDMDVDDEPTPSRGDNKQQTGRRGLGMPKSHGPSGGRIQKRKPMRGGRFGKRR AOR_1_852014 MERLSINDQSAGHQQGNAPPGFPPQNNLLGPMSQGPPQLPPQMF TTAAQLLDLTDKKLVLVLRDGRKLIGVLRSWDQFANLVLQDTIERLYAGNLYAEISRG IFLVRGENVLLLGEIDLDKEDDIPPHVQKAPFEEVFKLKKQEDSARKTGDKKRQGKLQ GLGFEAEHSGEILF AOR_1_854014 MRSHPFCSGVSLNRSPGHRGHRAVRGGSWVRKWLRTTDRYRYGD DIAEQGGTAGRRARPFHRLLISPGRGGSVA AOR_1_856014 MPPPASSVDFTNLLNPQNNETVDSSKAPSTPSSTQSNSTMASSV SLLPPLMKGARPATEEARQDLPRPYKCPLCDRAFHRLEHQTRHIRTHTGEKPHACQFP GCTKRFSRSDELTRHSRIHNNPNSRRSNKAHLAAAAAAAAAGQENAMVNVTNAGSLMP PPTKPMTRSAPVSQVGSPDVSPPHSFSNYAGHMRSNLGPYARNTERASSGMDINLLAT AASQVERDEQHFGFHAGPRNHHLFASRHHTGRGLPSLSAYAISHSMSRSHSHEDEDGY THRVKRSRPNSPNSTAPSSPTFSHDSLSPTPDHTPLATPAHSPRLRPLGSSELHLPSI RHLSLHHTPALAPMEPQPEGPNYYSPSQSHGPTISDIMSRPDGTQRKLPVPQVPKVAV QDMLNPSAGFSSVSSSTNNSVAGNDLAERF AOR_1_858014 MAPPRQRATAVHDDSRSEGSSTTREHKTGTGKGRKAATSSAVVN SVSHAKISASVANVTSAPMGDGDQSDSQPKIHWSEMPLEFLHSYRHAYKISSPSAYPT EYSQLLLSQGIGLLSPTSIAAQRAQLRQTQNTNGSQTNTKKPHHPHIASRANGTKGPS GHNPKNKSAIEGKNALNHIIGQDRVSKNHLAFTVRKHFNSAGLAEQEAIARFLYKVRE EGRGRQFRLRFQP AOR_1_860014 MVQSPMISCPLKQTNEIDWIQPLKDYIRQSYGEDPERYGQECAT LNRLRQDMRGAGKDSATGRDLLYRYYGQLELLDLRFPVDENHIKISFTWYDAFTHKPT SQYSLAFEKASIIFNISAVLSCHAANQNRAEDSGLKTAYHSFQAAAGMFTYINENFLH APSTDLNRETVKTLINVTLAQGQEVFLEKQVADHKKVGFLAKLASQAAYLYSQAVEGM QEYAKGVFEKVWVVVVQAKAAHMASVASYYQALADSESGSHGVAIARLQLAEKNSAAA LSWAKSFPSSVPPNSNLSAESGSQLLDIVKYHLATVQAKLVTFTKDNDFIYHQPVPNE AGLSAVAKLPAAKAIPVSELYQGQDIQRIIGPDIFQKLVPMSVTETASLYDEEKAKLI RAETEKVETANGEMAASLDYLKLPGSLNILKGGMDQEMTVDDEFRRWCQDLAGHQSFT KAFDGLQERKSEVLAQLDQCSKQLDLEESVCEKMRSKYGPEWSQQPSARLNTTLRGDI RTYRDTVNEASASDSQLLSTFRQYESDFDEMRSAGETDEADVLFQRAMIKAGSKFGKG KNGHSSPYAPTSEGSLIDDVYDEGGLSVAEQISMVESILKKLNLLKRERSQVLKDLKE KVHTDDISNVLILNKKSIAGQEAQLFEAELEKFRPHQNRLLSANHKQASLMKELTKIY GDLLQDKRVRSEQSKYETITRQRNAVMARYKKVYDAFNGLLSGVRQAQTFYTEMGDTV ESLRKNVETFINNRRSEGAQLLGQIEREKASSATDQEDREREKLRQLMERLSTEPKPS SSSSPSGVPAKVKSPPPPVHTPSYPGPGISSPKMSPRYPPVAGQSHAPPLSHSPAPYS QYANTAAGISYVPGQPFQQGAAAPLTESYNPMAYPFPASASPPPNQQYFSSTPAPYSG YSNPTPPTAPSQFMPQGYVPPPPPPRPPQTTYPTSTGPFPSGPGGYAQTRPYGSSQHH KSQSQPAPSSADPWAGLNAWK AOR_1_862014 MSATSAAMPKAAAIPARLLRSTSRQYSKSPATSVRAYHASPTSS TDRRVRCDATNKKQPVFSSSRIFHTTAPLAAIPDPYKVLGVEKNASAGDIKKAYYGMA KKYHPDTNKEANAKEKFAEAQSAYELLSDPKKRENYDRFGSAAFDQNGGFDPSAAGGN PFAGAGGFHGFGGGFGGGFPGGFGGADINFEDLFGAFAGGARRAGRGRRGPFQEILVG EDIEVQTNISFMEAAKGTTKDIVITPLTPCGTCSGDGLKKGAKRTQCRQCNGSGTRVH FMQGGFQVAATCDACGGAGMSVPRGSECGSCNGNGVVRGKKTVQVDIPGGVEDGMRLR VSNEGDAPPTGTSAAPGARTQRGDLYVSIRVSPDHRFSRSGSDILYTAQIPLTTALLG GEVTVPTLDGEVKIKVGTGTGTGDKVTLSGMGMKRLGSRTSRFSPTGDLKVEFKVAMP KYLTGNQRTILEVLADEMGDKTAKRVMNVGKDSPPSGGDASTGGSNNEGFLKSAWHKL VNKCENSPEESNKKESGNSSKNDGEKKSN AOR_1_864014 MLRGQSLPWRAALHQTPRPLIIRPLLASPRYNASARSILISLRL SRSLPPSRTFSSSSIRRREKPPPGDGKEDPDHKEQKEKNEDKDVERTSESRRRVADQS GKHGSSTESGAPTSGSTKRREKQIVDKEQRGLEDDGKKPNNVAEGKGNSNEPPSPIPV SGGSDSKPSGANNGGNEDGGKKGKKGSSDKALQKPSVPEVYPQVMAIPIAKRPLFPGF YKAITIKDPNVAMAIQDMMKRGQPYVGAFLFKDENADGDVIENLDDVYDVGVFAQITA AYPLRGEASGVTAVLYPHRRIKVSSLLPPSDSTKAPAPDDKSSEKQGDVVASFEEGTQ ELAPKDHYEPTSFLRKYPVSLVNVENLVEEPYDKKSAIIRAVTSEIVNVCKEIASLNP LFRDQISAFYTDQFPGNLSDEPSKLADFAAAVSAGELHEMQEVLEIMNIEERLPKALV VLKKELMNAQLQSKISKDVEAKIQKRQREYWLMEQMKGIKRELGIESDGKDKLVEKFK EKAERLAMPEAVKKVFDEELNKLAHLEPAASEFNVTRNYLDWLTQIPWGQKSVENFGI QHATTVLDEDHYGLKDVKDRILEFIAVGKLRGTVEGKILCLVGPPGVGKTSIGKSIAR ALNRQYYRFSVGGLTDVAEIKGHRRTYVGALPGRIIQALKKCQTENPLILIDEIDKIG RGHQGDPSSALLELLDPEQNSSFLDHYMDVPVDLSKVLFVCTANVTDTIPRPLLDRME LIELSGYVADEKMAIAQRYLAPAARELTGLKDVDVNLKEEAIEELIKSYCRESGVRNL KKQIEKVYRKAAFKIVRDLGEDVLAEDKALTDEGKAAQEESKKESEAADSANATTEEK ATTETPRVALKVPEGVQLSIGKDSLTDYVGPPVFTSDRLYETFPPGVTMGLAWTSMGG AALYVESILENALTSESRPGIEITGNLQNVMKESSHIAYSFAKSVLAKQFPENKFFEK ARLHMHCPEGAVPKDGPSAGITMSSSLLSLALNHSLDPTIAMTGELTVTGKVLRIGGL REKTVAARRAGATKIIFPADNTSDWLELPENIKEGIEGHAVSWYSEVFDLLFTGLDKD AANHIWQKELAEKSKNKSAKDNEDDD AOR_1_866014 MAISIADRSCSPTRSLGLLLDPEKADLPRPKQSMWHRFGLRRWL GRDDRDSSQSTAGGASFPSHHNKHNSSNDPNNANAGNTKPHDNLTRKLSKKVGVGLPR STTFKRQNSERRDNLAPLEPEPRRAASADRPRTLSAQRTRSRSPPPTVNPRLSAPEVP WHDPDETTVEELPEANEESDLRSEWDPYPAMTEAPEEPPEDVIEMELEKRWILNLSMH FRDRSEREKFFVTYAETPNRWRRVTISCDYRGAPPDSLEQDLKELRYQRDKCARIYES IRESLTEIQFYDTVTNLKLETRDGRLHVHVTEDVNEIIPYPPISCIGHLPDWRLVRED QLHFEAHLSGFVYNVRLNGKSYIKKEIPGPDTVDEFLYEINALHALQDIPNVVQVEGV VVDEHEEVVKGLLISFAEKGALVDILYEHRGTISWEQRERWAKQIVRGLCEIHEAGYV QGDFTLSNIVVDANDDAQIIDINRRGCPVGWEPPEIAAKIESNQRISMYIGVKTDLYQ LGMTLWALAMEEDEPERQPRLVQPDAFTFGILPVAFHFTYQSCP AOR_1_868014 MADREDDEAPPALVDLSQIPDAEQQDATNISSADAPPESRVPIT LVTGYLGAGKTTLLNYILNEKHGKKIAVIMNEFGDSTDIEKPLTVNQDGQEFTEWMEV GNGCICCSVKDSGVMAIESLMERRGTFDYILLETTGLADPGNIAPVFWVDDNLGSSIY LDGIVTLVDAKNILHLLDEPTPEETVSSHEKEEDHDHTHSGPVLSMAHMQISHADVII LNKADLVTPEELNKVRERVQAINSVAKIHVTDHSKTPQIEGVVLDLHAYDHLASLDFG EKGHSHIDPAISTIAITTPPIPSEKIPLVDAWLRSVLWDSSLPPAESQSEPQSHPADF DIHRLKGILITKDDSSRVIQAVRDVFEIRDAEPTSSDEGQKQCKIVLIGRGLGPDAQP WQRSFEAFLERDE AOR_1_870014 MAAAGAPPFTEVQIGPKSPSVGESFGQDAVQLLGASHGADDGST RRIRPGTKSVDMAVGPPLIPLSQLDSSFQLQEHLKALYNHYTRPEGSETVVPINREVA IQLAEPPEGVDRSLWLYELCRFLTMKVNNLIIAFFAENPPCSAQTCPEMRASEWQYLC AVHDPPKSCCAIDYSCHTLDWATNILTSPKYFPSRLTLGSEAGGGPQASMRHLTNIFR RLYRIFAHAWFQHREVFWQVEGHDGLYIFFKTVCDLYSLIPEDNYTVPAEAEGADPVQ PAEEETDGRRMTILRKEGEGSLPATLQEPPSATTRRHKNTPSTSSRVTTIRDVTTISE SAEDDEQQPKQQESEKPAEPAQKEPEPQPPVEAVSEEPKASNEQVSIGSTESQITVAE VQVDEETEAAPQAVDADTKITEQEESKPSTEGSDAQKDEPETASTEEATKPESEAETK QTTEQEAEPVSEPAKET AOR_1_872014 MPTEDSPSTMALAVKGPIHFGPFVVTSQVFHLTPLSFALVNLKP ILPGHVLVSPRRVVPRVSDLTPSETTDLFLTVRRVGRMVERVYGASSLNIAVQDGVEA GQSVPHVHAHIIPRKKADLDARGGTDAVYDMLDGEEGDLGKQYRQRRTRFPAVDNEER RPRSMEDMEAEARMLAKEMEGEAVD AOR_1_874014 MGSETPSNALWTTQSVLATLPHPPEENSASPIPFFHLLERLKTT KREGWRRFGITAGESISDHMYRMSVMTMLAPPSLAPRLNLPHCMKMALIHDMAESLVG DITPVDNVDKQEKARREADVMNYITKNLLGGVPGGMLTGDEVMKVFQEYEDNETLEAK YVHDIDKMELLLQMVEYERTHDLDLSEFCHVANRVQLPEIKEWAATVLQEREAFWKKK AANGVQA AOR_1_876014 MQSPRPPSRSKRPDWTPQPPVAVFVRNLQLLQLDSRDDWPGITV RSLSPSSQNQRQRVKAIEWALYQLVALWDPETARDKLRPFFPPLEPLQSVNLRAALFR VLSELKKNGDLGKETILRKSMLDDCKGEKFDELLAVFSTAVLRKALAASADEGLGTPA MKLSLASGLTQQEYQRMLPLILAHRVSLREVGERRSRVRDTHARFSQLLDYKKSQLEL QSQEERPQIANEANFDELAQMVKANCLSNADWADTLLYGGARSSSDAFLELPFSTAWS KAKASTVEDLRTNSAPDLLIDLETRITRQRARLLRWHQYHSSMLRHERVESMNTIRSP PLAFRDHQALTVASIARAVREPVERISPKADDRALLFSMTEALARIEGTHRSSSRATP LHAPVVDADRRMAGHESSSSSNTSSPQLVQQDATTKSTPSGSVTSEADDSIGRSSSPS LQLSSDLEFKPAQRKERNTYNLIERTRKSMSLVPPPQSTPRPRESLRPRRPRPSFPVN QFELPERQTPEISRASTPRDELFEEEADYNSVFKSRPRVAHSPISSPAVHVSPVEDFD LGMDMDSRLDMNEAYGLDSPLPRRRR AOR_1_878014 MPPFVPRKRLSSADPPSAKRHNATAAPSVDIAALDDESDSPLSD VPSEAALQDQDLEDEASDESESDDDEVDWEDAMDSKATTATATTPSMTPAHVQDLELT LDKNEVHLSDIIDGKKAPSKIERQIRVLTHCLHVQFLLHHNAIRNAWANDSQVHDILR RKLPEALYKEVKKWKVSSGLELPEKPPEETTKKKKWKQRRKSERDWGEGSSRMEPGQP DMSRGDPIITLLKVLAAYWKKQFKITAPGLRKRGYRPMSHLEADISAFNKEEHDPERF GEKVCGIEEFRQAAERMEGSRDLGAQLFTALLRALSIEARLVASLQPLGFGWTKAETY TPKVKVEAEAQTEIGDTEDADSDDSDVVQKPVGSITNPKGYDKDLPVPIYWTEVASPV THQIIPVDPLVLPNAVATTPELQAAFEPRGAKAEKAKQVICYVIAYSSDKTAKDVTTR YLRRRTWPGKTKGYRMPVEKIPVPGRRGKFHEYNWFRVILRIYERSTKSRTAVDDLED ANDLVPNQPEKKSAKEGDTLQSLKASTEFVLERFLRREEALKPGSQHVRTFVSGKGIK AKEEKIYRRADVLKCLSAESWHKEGRQIKKGEAPLKRVPIRAVTLLRKREVDELERET GEKPKQGLYAKYQTEYIIPPPIRNGVIPKNDYGNIDCFVPSMVPRGATHIPWPGTVRI CKKLGIDYAEAVTGFEFGSKMAVPVIEGVVIASENEDLVKDAWRADAAEKREKERRKA EARILQTWRKFLFGLRIAERVREEYGESSRDHERDAYNPFTSRKSGQQAPAPEPHVRE PSEEGDPVDYGGGFLLPGEDDGDDGDLIVERHQPSQPERENEVAAAAESDDAAVMEME ISDTSSVQELSSSPEIADSEDELPDSEPEYVLPATRRRTRNATRKG AOR_1_880014 MEAVKRFFSSPRFAVAGASTDSNKFGYKILAWYHQHSLPVTPLN PRAPQIELPSRAYDTVASPSALPTPSQTSLSVVTPPKVTLKLLQEAHSVGIPAVWLQP GTFDDAVLDFAHNHFSAVIAGDGGAGSEGWCVLVDGEEGLEAAGVQWTSQKL AOR_1_882014 MPTSSFVLDSPIPPQLDLAGAPSQPFLPPNPSASSALFRSISIP SRKRARGVESGHRSWLDSPSSPTSFAVPDDRLAGVDDVSAEHDYRPSRYRDPPLRLPL DSSVESLSDASGARRKRSRRDPSSVVAPSPSGPEDEKITQNNSCDPQTAPVRWSRAVL DVVGKVWDFCWSGAFRGFYAGGGRGYAMTAADPSVSLGPDDHSWQPTTEKHDLSSASA GAHGWSESTPLSGDHHDDDLHHNWVVVGRDEFGYEASPSARRRVHRRTPSYGHLRRRQ PVKRTFVSQPASITTKAHFSAPAKPRETPVSVETQRYMAQKRRMEREEDASLARLNRQ LQAMIKEGQQALGTRVEVDDFMED AOR_1_884014 MSATSSCDRDISSFYYSESDDDASSTATIDHEEYPKESSLEGAS FLPNLFQRFDRARLQGYFWKAKYLFRRIQASSNFENIPLPLLKREIEPGQEWLRGAML CAWGTASILALNVIITIIAVGVGYSGQSEDKYFTYAELYQGDCSVTGNWTTGMHVVIN ILSSALLAASNYVMQCLSAPSRADVDEAHSRRQWLDIGIISTRNLAVMDNKRKALWGL LLISSLPIHMLYNAAIFSSISNIQYATVVIPQDLEENESLVRDEYEARSFYDYVGSSA NDIRAEIFNGTFMKKDLLGCSKQYDVEFNTKSSTLLLMAGRDSLRGFSSLLPLTWVFG DYNHMGTGITQSGLSNTRGRTPGAT AOR_1_886014 MATQPSGSPDSSVEPSPESSLECLGSFVEKENARVKVESRDIEA GEQSQDELDNLVHWDGPDDPRNPMNWSDARKWLIIGLISLSSFNTSLVSTIFAPGVPE VLKDFHTDNSSLASLMVSIYVMGSAVGPLVLTPITEMSGRLPVTHGANILFMIAAIVC ASSINISMLIVSRLIMGIASSVPVTVGGGFVADMMPMERRGTAMTIWTVGPLLGFVIG PIFGGYMVENVGWRWTVWLEAIQGGFIVIASLIFLRETYAPTILRREAMKLQKATGQH YRTKFDSERAAGQMLLTSLTRPIKFLFLSPIVLIVSLYSSVTYSYMYILFTTFTDVFE NVYGFSPGQAGLGYLGLGMGFCVGQITVGYYSDRHVKKQEKIHGKMKPEHRLPPLVLG CFLVPIGLFWYGWSAQYRLHWIVPIIGTFFIGAGIFYVHLVTQVYLVDSYTLYAASAV SAELALRCVFGATIPLAGTPLYDTLGLGWGNTLLGFIALAFAPTSFFLLKYGESIRTN PKFMPKMT AOR_1_888014 MASERVAWLGLGNIGRGMSRNIALKGPQTTPIVLYNRTTSRATA FADSIGSNKATVATTIPEAVAQATITFICVGDDHALDQIITTIISDSSLDLTSKLIVD CSTVHPNTSRRIHATLTERGATFIACPVFGAPNMADAGQMIVVPAGKQEAIDRLQPFF EGVTAKATLPLPGDDVGRASQLKILGNTFILNTVETVAEGLVLAEKSGLGADMYQKWI HTWLGGPFAKYADRMVEGDYHKREEPLFAVDLARKDLGHATSIAQDAGMRLRSVEVTD AYLQEVKKEKGVKGDVAGVYGAIRKESGLEYDN AOR_1_890014 MAPSTILFLTLSELGQATVSLAVAHEVLIRSYDVHIGSFAPLEP AVSKLNGRAASLSSVTNRATFHPLIGPPMIEANPWFNICTNSFHVHNVGFRAALNTQK HILPVVATPWDGPQYMAIYEDCSTLIRTLQPAIVVLDPMFLQAVDACRMLEQRYVALS PNTFKELTIQPRLASLWKYPIVGSGYPYPLPWYLILPNVYLVLRMLLILMSNPRAREL TAYRIAQGLPNVTSAQVSQQLNKDKTVVLLPARQETEIPCYFPDNFILCGPILRPCVP IAEEDLELASWLERRPTVLVNLGSHVTYTTDVLQELMEGFRMLLDKRPDIQILWKIKP SSGTTFEDTPLPDNLRTAVAEGQVRVESWLAVEPICILTSGHVKCMVHHGGSNSYHEA IRAGVSQVILPVWFDTYDFALRAEWLGIGIWASRKTAPGVNAPELGQALIRVLASAQS ESMRHRAKGIATKLGPKDGRVIACEKIISLLTEPCNTKMRR AOR_1_892014 MTGLAGADEVPLSSKIPYWRLVLDQKVVTPEVVNYPYAGSGTED DPYVVSWIPNDPRNPMEFSEIQKWSYTVLVSFVTLTVALVSSAYSGGMGQIVKDFDCE QEVAILGISLFVLGFAFGPLIWAPMSETFGRRHIFTSTFFLLTAFNAGAAGAQNIQTL IILRFLAGFFGSSPFGNAGGTIADMFPAAKRGIAISLFAAAPLCGPTFGPVIGGFLGS AAGWRWVEGFLAALAGVVWLAMGILLPETYAPVLLRRRAEKLSELNGQVYRSKLDIER GRATLTKTLTTALSRPWLLLFKEPIVLLFCIYMAIIYGTLYMLFAAYPIVFQEVRGWS EGIGGLAFMGILVGMIIAVACTFPDNFRYAKLCGQSTGRLAPEVRLPPSIVGGIALPI GLFWFAWTNSPTIHWIAPVAAGVPFGFGLVLVFLSVFNYLIDAYTIYSASVLAANSAL RSLFGFAFPLFTTYMYRNLGIHWASSIPAFLAVACVPFPILFYLYGAQIRKRCVYAAE AEAFMQRLAAKQNPPPRQEQEPAQEKTTVAEKAESVYMSSDSDDSDSLSTIPSQVALD RRGSRASRKSGHSLGRTATQYEENPYDIDRVNTRNSAISGRGRKD AOR_1_894014 MATNPSGLHLYSLPNEVFVQILCPLPTHSLLPLTTVSHRFYALI LRILHYRILVSVSLNKYNLMLDCLNPSSYSTKPHVFCKYLGTDGLSSQYEGKGSLYEN VDTAQQLGRLGSLYSRFRPRVVAMGRIGGARLHPEQPESSVVTLPIELEAFENFIQIC VAVNIVEVMWGSNFPLGAVIVDNGVIRLFRDWLKTHAKHSGQSHGSSPESEESDNTAC SLTSEAPYQMVWVGQKKNVGLKVRVKEKDFMFENVNRAWGGPVLPYHDEGQSACYELT IEELHVRTTRLFMTLEKSLEHSFPKVVNLIRSHG AOR_1_896014 MVENVLDDISHRRYNPLRGSYILVSPHRTKRPWQGAQESPSKTT LPTYDPACYLCPGNKRAQGDANPKYEKTFVFVNDYSAVKEEQAPYSPDNADDLESFFL KAEPVTGKCYVLTFSAAHNLTLADLSPAEIAPVIDAWTEIYSAHLSPKSPLAALAPAT TLPPNSPTADLAKPKEQYRYMQIFENKGAAMGCSNPHPHGQVWTTSSLPEEPAMELEQ LKKYRKEHGGKHMLEDYAALESQKKERVVFENGAFLVVCPWWGVWPFETMIVSKQHKR ALVDLNANEKAQLAEAIAEITRRYDNLFETHFPYSMGIHQAPLDGTDEEIESSYLHLH FYPPLLRSATVRKFLVGYEMMGEPQRDITPEQAAARLRNCGGELYRKKLDG AOR_1_898014 MAAILSYLPPFEGFLPKWLFLVSVVSSANSIQAYRSDSYAAELY NGRSADGRPLTNPLSSRTFGTWTFLSAVIRMYAAYNITTPVAYDLAIWTFGIALVHFV GEWLGFGSAQLKGRFVSPLIVASSTLAWMLTQRESYLSA AOR_1_900014 MDFLSKHTTCLSNLELNLAPGWQTVSAYFLLAAGSLFVASRALT FVRVLLSLFVLPGKSLRSFGPKGSWAVVTGASDGLGKEFSLQLARAGFNIVLVSRTAS KLTTLSDEITSKYASVQTKTLAMDFARNEDSDYEKLKALVDELDVAILVNNVGKSHDI PTPFALTSQEEMTDIITINCMGTLRATQLIVPGMMQRKRGLILTMGSFGGLLPTPLLA TYSGSKAFLQQWSTALGSELEEHGITVELVQAYLITSAMSKIRRASATIPDPRSFVKS VLSKIGRNGGSPSYAYSSSPYWSHGLMAYFLTCIAGTMGKFVTSKNRGMHESIRKRAL RKAEREKAKKST AOR_1_902014 MTSVKAFLIASEPRCKSSIFRKPQIHHPWCHPKGREEPRTKALI GSEYEYHAMDGSYAQY AOR_1_904014 MGVSNLMARLKPQADHEHQEHSDTPTPVRADSNLEKDNAMIDDS PVKYLTWRSFILGLCVSMGGFIFGYSTGQIAGFTTMNDFKMRFAEQHADGTYAFSNVR NGLIVGLLSIGTMIGALVAAPIADRIGRKYSISFWSVIHMVGIIIQIATDDNWVQVAM GRWVAGLGVGALSSIVPMYQSESAPRQVRGAMVSAFQLFVAFGIFISYLVNFGTESIN GTASWRITMGIGFAWPLILGVGTLFLPESPRYAYRNGRIEEAREVMCKLYGVGPNHRC IAQEMKDMKDKLDEEKAAGQAGIFEVFTGPRMFYRVMLGIALQSLQQLTGANFLFYYG NTIFTSTGLNNSYVTQIILGAVNFGMTLPGLYVVEHFGRRNSLMVGASWMFICFMIWA SIGHFALDLENPPNTPQAGTAMIVFTCFFIVGFATTWGPIVWAICGEMYPARYRAVCI GLATAANWTWNFLISFFTPFISSAIDFAYGYVFAGCCFAAVLVVFFFVNETQGRTLEE VDTMYVLHVKPWKSANWTPPEGIVRDLHGPPASPKQEGRAEHSEPATEIRE AOR_1_906014 MKTTWKDIAPVPTSQEFLDVVLSRTQRQLPTQIRAGFKISRIRG FYTRKVKYTQETFCEKFQAILDGFPRLQDIHPFHKDLMNTLYDADHFRIALGQVSTAK HLIETVSRDYVRLIKYAQSLFQCKQLKRAALGRMATICRRLKDPLVYLEQVRQHLGRL PSIDPNTRTLLICGYPNVGKSSFLRSITKADVDVQPYAFTTKSLFVGHFDYKYLRFQA IDTPGILDHPLEEMNTIEMQSITAIAHLRSAVMYFMDFSEQCGYSVADQIKLFHSIRP LFANKIVFLVVNKIDVRRPEDLEPEYQQEIESILKSGDVEMLQLSCTTTEGVTNVKNA ACDKLLAERVAQKLKSGTNSSGTPGGRLGDVLARIHVAQPMGGVQRETFIPEAVKNLQ KYDKNDPNRKKLERDIEEENGGAGVYNIDLKKTYDLADDEWKHDKIPEVWNGKNIYDF VDPDIEQKLAALEEEEEKLEADGYYDSDESVEDAEDADTRMKADLIREKRALMRNEAK MRKSLKNRAQIPRSAKAKSLSQMENALEEAGYDVDAASARARSKSQTRGRTTTRDADG DDAMDIDMSDPRQAIAKAKGRARSQAATNRLLDGVTDTTARSKADRLKKLGQKKMNRM ARAGEADRHTTASLPKHLFTGKRSIGKTQRR AOR_1_908014 MSDYTADKSAADQPINGFKPLSNADGYSLPTTGDDLSRLSARGD FSMANGIPMEEVDDDDEEEEVDEDYVAVDHDDINEFPYWFRRPPVHQRTKLDELHPFV QVLTVSNVDDCVNVESAFPEQERCSRDKFVYRLNRCPELCLGLFTLPILGEDQPKPRP TLVGHIVATRISTQFVTDKAMELPENWQTERMTVENGETVGHDEYGGTIAIHSLAVLP EHQGKQVASTLLKSYIHRIREAQIAERISIIAHDHLVPFYESFGFDNRGPSKCQFGGG GWTNLVLDFIGE AOR_1_910014 MAEAFPVNNGMTSFWRTEPHFLDSHRSTEVLPDTSDIVIVGAGY AGVTTAYHLILEARQACSGATGRNGGHLKPDVYYQISALSDKYGIDAAEEVAAFELAH MAAVKSCVEEEKIDCDLDFDKVIDVQLDDNHCAKLKAGYESLLSRGALTVTEADFTPN ETAESVSGVKGARGCFRCRTGRLWPYKFTTQLLERTVSAGVNLQTQTPVLRISETPDI DGRWTVVTSRGSIRAKWVVFSSNAYTSAIAPEYKDKIVPVRGVCSRIVVPNPPKSPLS CSYTLRFNAWDYDYLIPRPDGSIVVGGGKSTFFHDSSEWYNNTDDSRLIESAARYFDN YMQRHFHGWEDTGAYTDRLWTGIMGYSTDSLPHVGHIPNKPCQLVIAGFNGHGMPQVF LSAQAIAQMIIRGATYEETKLPRLFKTTPERLSSQENHILTHLKAI AOR_1_912014 MSSRALTWPRTAKSSLLKQQTSSFVGQPKLGTPNCRSFSSTADR PINQSAEFSSSSKSYDRLGRRAKEKLLDREFFLSLLNSASTKREAKSYLARLKAQHPP KAQTEPTTGHSKGTVTQSLPSGVNLGSFYGASRSVYDSPVFRHDSTPLPPPSELPEER LHLALIKIRTPQLLDDTIINGVAKTLSQLSRLGMACCVVVDPGTAGNANTLRRVAAEQ AERISIAVDAQPDSKSAHLDSVLSLSPMFPELPTVLSRKALLNPLRDGQIVVVAPIAY TEDVPKAVTISANDAILALTKELAGLAMRPDPDEDPWLTAQKIAKLQKEVSLDRVILL DPLGGIPSFRGPQTSHVFINMEQEFDDIKNELLHVQSSEACTATTPKGGNTFVEDPLE RHLDNLQLSQNVLAMLPSASSGIITSPLEVSNSARTPQANPSDVSAVGTRRQRNPLIH NLLTDKPLLSSSLPMSRREAMNRRRGSINTPSSHTTFVKRGMPLTMIPNPRVEVWTAQ NRPRLSLDDPSIDLPRLVQLIEDSFNRKLDVQDYLNRVNDRLAGLIIAGEYEGGAILT WELPPGVEDDGSPASEARMVPYLDKFAVLKRSQGAGGVADIVFNAMVRSCFPNGVCWR SRKDNPVNKWYFERSTGTWKLSDTNWTMFWTTPGLTENSQRFSDYEQVCRSIQPSWAD DTGVVD AOR_1_914014 MMPICIECSYPVSHLYSTYSRADDRSLGKGVRLTQCPRCQRFAD KYVEYDFVVIFIDLVLIKPQVYRHLLFNRLGGDNDQFDRSIIRLGVLLLLFDVYLTWA RIEKSPSLATTFLSRAPIVVQYFFFLGLNALATLAHHLTIRVLASVLAPTPRGLKQNG SNPNATTTESPLSTPSTPVHTSPLSQNMNAQSQLSPTSASMLSPRRLSRQGSYDIPSM GGGFSTANTLGATQGSFPPPPLRRASTAPIQSIQPLPPPSPASPTAISTALLVSSCAK LFPILLVIWGPEGSGIASQTPASSSAGTSRVTPGNISQHAVTGMTPVATSTTGSSGIV GSPSAASSTLLESLIQMLPQSVPTSYLANFVELTGSLFSLGAADTHLVLLSNIEALYV LLGCGYLRAVTLAVAGLMARWTVQRVILGAIGVG AOR_1_916014 MANGWSLIIGLIVIAVASVVAWVFSPKGENQTLWRSTLILAFVS CYLMWAITFMAQWHPLISPKRADIRPDRVPQ AOR_1_918014 MDKVQAFGKNLSASFSPFAARTQQMIKEQLGQAEDKTQLPDEYL ELEKRVDALKLVHQKLLQVTSQYSNEAYDYPPNIRESFNDLGRTIGEKVQLLSQASSP AEAQAALTAPPSAKPQPKTFNHAIARASLSGSQTLAQSSTGEDPLATALEKYALASEK VGEARLSQDGQIQSRFLAGWNTTLNTNLMFAAKARRNVENARLMLDSVKASKKAAARG DLDNLSEEARQEIEQAEDEFVSQTEEAVSVMKNVLDTPEPLRNLADLIAAQLEFHKRA YEILSELAPVVDGLQVEQEASYRKSREGA AOR_1_920014 MPRYDEYRRSTGVLETEPDRWDPDRFARERRERHHSRGPLVLDR PRRVEDERFEYRLQEHDRYGPPARRAGRQYEDDHLIHPSGPLVAYDHSRADSPPPRPR LLRRQSSLDTFDRIPSRKLDEYYYRPAAPRAAPSPPPARRRSFRRSREPDFYEEIRIA EPDYFGDEEYRGFRERSRASAHPRRSGSHFHERVVEEKVEIDKPYPRKGKTRVPRKLI HPHAIRELGYPYEEEGDMVIIQLALSKEQIDEVISRSRTIKRRTETRIIHTSPSPVRE KTKERTVERVAMEAYSPRTSYNTLIVEPSPSRHRSRTRQYDISERKVTRAVSRARSIS VHGRRRGRSSPVRMVEPYVESSSSHAGPLIVVRPRDSDEDIREFMPLARRSGEVTRRT ELLTDGDYEEVIETKKDRKGPNPRILRAMMATLT AOR_1_1632014 MENANSIKPLKQEAFTSTTPTEPGRDCSGVKQQDVEQTALDDVP AQKVPKRRTKTGCLTCRQRRIKCGEEKPVCKNCVKSKRECKGYAQRLVFKNPLGIPGF SNLQQTFTAATVPLSSGYNAAVSLQEKPGSSRQPALAPKPVSLPTTGHDPMPTTVVSQ PQGSQQTNAMPTPYGPGEGQTLAHPASKHYMASESPSWIPSHSWKTESEIRENYIHHG ARDLSIQGYYPDIQGSNRPSHSSAAPQTLSNNTMHSQVSSVLIFCELPKSPQASYSAA PHQEQQTHQFTPAHPSISPSQVTYMDEDDDYYDVETDEEPEEQASTQNFNQLSLIMTS ANRDERQLRSFTTYLNEPNILASYHPTLGSSPLNNPKTARVFLHFIHSTGPSLSIFER HPIDPSTMFGAPVPAAQQGLWTYTLPFKALEHPALLQAILALSSLHISFLQEAPATVS MKHYHYALKRIGAAVGLPTRRKQVGTLAAAQLLGYYEVMAADPSKWNNHIAGAAQLVR EIDYAGITRDLRAHRRRICAQRYEVGGFGFPLVGSHSFNNTFSEDDPFAEKENSIDEN IIGTIVGRAVNYDDFGEVDDGYDVRRSRRYFTRKDIENFRVQCDLYWWYFKQDIFQSM LTGNGTFMPSSQWGQCPPRAGLGRLDAIYGSADHLWLLLARVTTFGIRDRKRKLAAMA ATGGNWKPGPELSKFMARFVKGPPDARARPASNSSSSTSPAFSGVPVGAQAHGANSAG SRAPPMYGMVPVSGPKRLPLAFVEATTHAGSVGQDDDDSESLSYSEAEHEWESILAAF ETFAHALGPYFRPLPSDSAPPISTVFGPALQYRTHTIAVIWGYYYIGRILLHRMHPCM PPAMMVAAGVAAPTTAEFSQIIGKIAAGIYYPQLFNLEAGSLSPTLGSCLIEITVPIF FAAVQFTDPPQREWTVAKLRTVSRLTGWKASDAILHGCESAWRAAAKQGRGPPYYPQD EAVAHQPPAWMSATESQTNENSERRFVKVKPPYVHYAMGVLSLEDDMENLGINERV AOR_1_924014 MFAVPGWSVSSSALQAQTEPRSQSQAQPQQANGTPKPKDRNNKR KRDDHVTKANVDEMYRRHIEGQTGTQKASKQGSNNSMKAEKKQKKEQDVQGKAGQSPS VPQGKDESKLDKQTKPDVGEGKKADKKQKKQKNKNKQQQQETQNQTEVTSKEAPAATG SIAPAPPKTEAILTPLQQAMRQKLISSRFRHLNETLYTTPSSKALELFTASPELFDEY HAGFSRQVKESWPSNPVDGYIQSIRSRAKVPAAPRKGDKSGSKGRDPLPRRPNGTCTI ADLGCGDAQLARALIPSAQKLKLNFHSYDLHAPEGSPITKADISNLPINDGSVDVAIF CLSLMGTNWVSFVEEAWRVLRSDGKGECWVSEVKSRFGKVMRKKAQIGARKPLSKSEK KKLKKRGGEDDADSDADDAEVYAEDARPTDNDETDISSFIEVFRTRGFILKPESVDKS NKMFVKMVFVKQGPAPSKGKHASATGTKAGPGKKRFIEKPADAGNNMSPEQEAAVLKP CVYKIR AOR_1_926014 MFIARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATNE GVILGVEKRVTSTLLEASSVEKIVEIDQHIGCAMSGLQADARNLVEHARVECQNHAFH YAEPLRVESCTQAICDLALRFGETGDDEESVMSRPFGVALLIAGFDEDGPQLYHAEPS GTFYRYDAKAIGSGSEGAQAELQNEYHRSLTLEEAETLVLKTLKQVMEEKLDAKNVQL ASVTKEKGFRIYNDEEMGRAVATLGGNQ AOR_1_928014 MSVNDRRASYMSAPRPRVSSNRVGDARSGASPLQSDQINHRGSM SSQKGKVSREQRDMMSDKRAERMAPHSKDKAQVRARNPAKESSSAGNRGEWERSRSRR ATLTDGASPNMRKKDKEPAESPWNPYASLIPHSTAPLATRETALLEDLLFVFMGFEGQ YIHYHSGYDSSMEKDRLTGPVFQLPSGLDPTLRDLTLSMLKMATHYNALEAFVEVQSR AEYGAVSHALCAAIRKLLKDYLILVAQLETQLVNNPSFTLHLLHLHTMPTSQCLAQLY SLGQELLRRNGLLDQDLDESIDDFDDVDNILEQLKEGGDLVPGAMSSKKICKGGNVLR LLTERLATFSGDPTTKTLLEKLLREASRPYMTMLNEWLHHGGIKDPHAEFLVKEQKWI KREKLEEDYTDEYWEKRYTIRENEVPPQLDSVRDKVLLAGKYLNVVRECGGVDISKAV KDVPKTLDDPRFLENVNAAYTYANASLLNLLLTKNSLTTRFRSLKHYFFLDRSDFFSY FLELGASELRKPAKSVNENKLQSLLDLVLRQPGSIAVQDPFKEDVKVRMNKIGLTKWL MQVVSVSGIDQDNPEAAIEKYQAPQTQGGDDEKDIAGFDALELDYSVPFPLSLVISRK TVLRYQLIFRHLLSLRHLEHLLVTAWLDQNKVLGWRHKSTDRRLELWKRRAWNLRAKM LVFVQQLLYFCTAEVIEPNWQNLMDRVNGTDADGSEVTVNGTKQVNRTVDELMQDHVD FLDTCLKECMLTQAKLLKIHSKLMTCCTMFASWTASSLARALATADPELARNKASNPD GRGYDPTRISKLEDTLKRYEDHFNRHLRILMDSLNYFAATESVVLLKLAHALSSISKD D AOR_1_930014 MVAPEDDTRTSTLMLKVQDAPCEEENMEYPAGFQFLVVLLAMCM SLILTGLVRFLVPEGYLALPTITTHFGTIEDVGWYYSAYRLTSCSLQFMFGKLYHLFS VKHVFLASVVIFEIGSLIAGVAPTSATLVLGPLQWGGSKYGWGNARIIVLFILTAVLL GAFMWQERRRGDNALLPGRIMRRRSLLAGMWFSFCNNSTLSVFEYYMPTYFQVVRGAS ATVSGVLSLPIAIGVPVAVMCGSSATSLLGYYNPFMIITGILTPIAAGLLTSLSVEQS LTSLLCYQALLGVGAGVGFQGPQVAAQTILPVNDSPMGIALIIFAQNFGPALFVSIVQ TVFTGQLLTRLGSLLPNLDTYSLSAMGMSDLEKYVPSADIPRVIQGYDKALTTAFFLP VGLACASMIGALGMEWRSVKRKDV AOR_1_932014 MTSLLEKASTFFSSHISQFRLLIQSGNDTRAVIVSALSATVVAV TLPRIYRDYRTFISYGPGGVPYNLIGWFAASVILPPWGREMFSTGVYEEKIAAGETTS YLGDEWDLLRKRDHRPQIGPHIVPQRQITEFPSEEIKEKLNQDFYAFANRNQHLVGLS PSKLELHADALFLADGLVPTPAAQQLKGEIAHIHRLKDFSLHVTLAPADCKKVIEAGW GQRHRLSGVQAPKALFGGKVISLPSEYVLIYAPRTKQEAVFVVEIIAASVKYMTGSVE VR AOR_1_1634014 MTSPDSETEALDDVYLETITIQSRVFQRFSIDHQIFFEPVDGEE AERLELQHQVFNKVFDNRLIFPPIPRPQKILDCGYGTGSWAIEAAEQHPKCKVIGLDI YPYMNPDDIPDNLCLQVDDLNRPFTFPPNHFDLVHSRLLATGINRDRWPSYIRDIKRV LKPGGWVQLVEIYFNVQSDNGSITEQHALRQWSTQLMGSLEEVKDLRVGTRLRNLLTA AGLAEVDARMIPLPLSAWSNDPRMRDIGAANRDNVKKLLPALGLYPFTQRLRMTPQQF AELIARAQQEADTHNLKAYFPLYVCIGRKP AOR_1_936014 MPSTYKRDKPWDTDDIDKWKIEPFKSEDNVAGSFAEESSFATLF PKYREVYLKEAWPLVTRALEKQGIACTLDLVEGSMTVKTTRKTYDPAAILKARDLIKL LARSVPVQQALKILEDGVACDIIKIRSQVRNKERFVKRRQRILGPNGSTLKALELLTQ TYILVQGNTVSAMGPYKGLKEVRKVVNDCMANIHPIYHIKELMIKRELAKDPTLANES WDRFLPNFKKRTLSKRRTPFKVTDKSKKVYTPFPPAPEKSKVDLQIESGEYFLSKEAK DRAQKEELMEKQRVKREEKMKERAKAFVPPEELESAKKEKKEKKEKKRKREAEAEADV DGSEKKEKKKKKSKSKESASSDGES AOR_1_938014 MTDSGVPPQESHTQQSRVDEGKSSEQVFQEATPDKDLEQPIAPD QFDVKYCTTKWEIWAYYAYYIGNNGLSLFNFGPTAFQNLLSQAAGDSGTLYFAGRERS INSIVLLSNGISFAIQVVIFLVIGSFADFGTWRPSILITLSIIAYAIGFGWLGVHTAD KWHVGVGLYIVGLIAYQTTLTFWTAAFPGLARNTPEMKAKADAYTAGTISRDEYDQAD TIERSRLANIAFYVQSCAEVVILAIIVGIMFGVHVNASEENNNWGLSVLIAFASGVWL LVSLPWFFLEKRRPGQDPQGRNIFVAGLWQLYYAMKQVWRLKQSLLYLVGYFLLGDSL NTTVTVISTLQNSVVSYNTLELTYLLIAGIAAQAVGIYGFWFIQQRFKLGTKTMFSTI AVAIILLDGWGMIGIWTQKFGFHNGWEFWLYQVYYGLFVCPWYSYSQIMISEVAPRGH DFLFFSLFSIVGKTSSFIGPLVSSAIIDATPSGNASMPFYFLFGLSVASFAVLAIWLD LKKSRREQELFLQGKDGNGSGDIRNQSLLGEPPWEPVPDFAAPGLNWNDEMLETQSDQ RPVAAQARPDPMLPSDLLSPSSNPPVSTSPTAPFGRIEDAALSRLFSPLNASFTGTGF NGCPITGVHDDSHLSLNSQPYTVSQQQSPGLINKETPTSNTDAADSLPGQEYLAETLS PEVSGTSRSKRKAGTLKQDPSDEKAMTAIKRQRNTMAARRYRQKGRDRISELECALRD MEHERNELRLQLARREAEVAALKEMLRR AOR_1_1636014 MPPKAARKLKDSIEQEGRILLAISAIKKQEIRTIAEAARIYNIP RTTLRRRLNGHTFRAETRANGHKLTQNEENSLVHWILSLDQRGAPPRPAHVREMANIL LLKRGFSDTPTTVGENWVYTFIKRREELKTQFSRRYNYQRAKYEDPKLLHKWFERVQI TIMQYSIQPDDIYNFDETGFAMGLISTTKVVTRAELADRPFLLQPGNREWVTSIKYIS SRGPLPPCLIFKGKVHIEGWYEMGLPSDWRIETSANGWTTDEIGLRWLQQLFIPFTAG RTVGRYRLLILDGHGSHLTPQFDDICSQNNIIPLCIPAHSSHLLQPLDIGCFGPLKRA YGQLVENKMRLDFNHINKLDFLEAFPQARAQIYTTSNICSGFSATGLIPFNPKRVLSQ LNIQLEATPPGSRPSRNYTEEAA AOR_1_940014 MDLFSEISAPTMAMASALSVGAAAYLNAKLAISTDISTIFNDRA FTARFGQRIAQLGDTTTIYKMLERVIEVDGHGSSDALWFENKTWTYSQLKDLVDRFAT VLHGRNINSGDFVGVFTTNSIEMVVTIYALSKLGCVAALINTNLRDDTFIHCLNVSGS KFIISTPDLSEFVCSDLPHIALNISSFDGESAGTTELITAAQLQQLIPLGLIPAKRSP SDFCALIYTSGTTGKPKACAIRNMMTLVTSNPLSTDANNQSKYFPLRTYSPLPLFHGT AFFTGLCYSLGNASTLCLRRKFSASQFWKDVHDSRATRILYIGELCRYLLSTPPSPYD QDHSCIVATGNGLRGEIWERFRQRFAVPEIREFYRSTEGVAKFDNHGVGAWGAGKIGF SGPIRRFFEDDVFIVKYDTETEMPYRDPKTGFCVRAKLGEEGEAIGRVRNRGLLTEYL HNEDATEKKLLRDVFEKGDIFQRTGDLVVQDRDGWVKFQDRVGDTFRWKGENVSAGEI RDHICRIPSVHDAVVYGVKLQGYDGQAGAAGVTLEESSAAVESEFIKNLYRELKKKGV PSYALPRLVRLTEKVATGVTFKQAKGDLAKKGWDPRGDWKGDKLYWLNGKTYEKLDER SWSSIESGQAKL AOR_1_942014 MSSPQQRLSSIANQLTSPGAVSAKSKLLAKNPDDVVITLALRTP LTKARKGGLKDTTLDELLISLLTDVRERSKLDPNLVEDVCVGNVLAPGQAYIARSAVL AAGFPVTAAASVANRFCSSGLLAIQNIANQIIAGSIDVGIAVGAESMSTNPDNGAPEL SDKITSHPIASQNKQPMGQTSENVASQFGITREMHDQFAAKSYQKAEHAQKAGWFNDE IVPVHTKVKDPKTGEVKNVVVDRDDGIRYGTTAESLGKIRSAFPQWKPSATTGGNASQ ITDGAAALVLMKRSRAQELGQPILAKFGGATIAGLEPRIMGIGPSIAIPKLLSKVGLT KDDIDIFEINEAFASMGTYCVQKLGLDESKVNPRGGAIAFGHPLGCTGARQVVTALSE LRRQNKKVAVTSMCVGTGMGMAGIFISEA AOR_1_944014 MKFLSTAAALLVCLAPVSTTARSLDFFKSSQSPIQAQAKSVPGN NPLEYCNDPSGDILDIKQVDLSPNPPLPGKTLAITASGTLREKIEDGAYVLLEVKYGL ITLVRQTADLCEQLVNVELKCPLGPGDMTLTKQVDLPKQIPPGKYTVQADVFNSDGEH ITCLKALNIEFKGPF AOR_1_946014 MAAQAKDRQFLAVIGDEDSVTGLLLAGVGHVTDPPDSQRNFLVV DSKTETSTIEKAFQNFTQERKDIAIVLINQHVAERIRHSVDSFADPFPAVLEIPSKDH PYDPEKDSVLKRVRRLFGE AOR_1_948014 MPRLIRRQPLTERIKSYLNPLDFLLWLSEEIDANDWDQFEKDWA LPLGVALNVVFLIARANTQPSGGRAIDDVFGEDGGTPWLSWFASFVVHLLACFTGLNS LYTFYRKRHYRMFEASIDRAPATPSAHRVRVDSTPASPLRYFANAISGSARSRAHPDA QRDVWELAVWDPLPICLRLFCLFSPGHVLVYWLFLPTQLSDPRPSVTIVTTIFLTTLL SVQMTFLSSSFKQQAKDSTLVHKEVLKEYDTKYVHPRTQPLMRDVGTQFSGADVTQSG SKSKSNKVDTYTPTFIVQRGFKTSPNPNYLKHVDPDGISSGRQSLAGTPSGPSQYQGS LQTPSHLRDTSPIVRGPISSIRQPQFRQTPTATGDGGSLGIYSHANSPLRKSSSTNFD RRLRSTGDFFYRERGGSPMKKPSSPLKRSNVPGDASAIASGSRRSHLDLRRQTGRF AOR_1_950014 MEGHIPLPKGHLLNLQPRARNGRRTKFSDQGAENEQNGAHPTGT DQKSAGSKIGTPETPQTLLRRGKATKLPMPRAFADNGTEQKREASVTPQKKEQNIKVQ GQMKRSPGASDRESPNSTDKEREQYWRKVRGKFDRESPTASRNKDKQKDYCQEAYRKI ISLASSPKHEIAKHKQKTASPATRGQRVSEQGAKPRTKIAGPTIGCQGSPVSHTKGHS GNQDGSQASKDKLTGRETHDTPPQRSPVKTDNTTDSSIHSHPSISPVSGPSSSMTEWE DRFVVNMPSAKDPNPPTMSVEQIVEFQKSIENVHKDGETMLDPDALPSPRTTSPEDNP NLPDHERKQLSTLDGQDSRSSRSAETGEQPATCPSGHNRYYSPDEVGKQRFSTIWEES TSRIKQKVSDANPDGSFLGCREIKGPYDKNPDEILLFSSTNERPRVVDVSTPMAKPRD WKNIITPTHTTTAVSEEKTVAQEERKPAFQSSKHAQCSKQSPKTMCHETICQRQDKAE TPAHVSGKENTNHAAHAARTQDQRKSHGDDVFIITPTITRTMVTTGEARGATPKRSGI PPRIAGETIKDVRTKPQMHSSPSGLRRATQNSWERSNATWPAPSFSRDTPMKNAPVTQ QGRAELGHMSAERRQAIRGYIRMPVMVKSRTENLGQRVHNTTPPKAPATPPKNDYQTP ARSMSESSQSIPSSGHDISPAGSLTRDQRYPKAPAQTARIVEVAELDGLQVDDPKDER KTDHKEDDKSGGKYTHEEHLRSKVADLRADLQTSAVQADYRGLLNSITISLIMDIFIL SAAQAHSLFTQIIDNRHSRTVLFKIALNCILNMVEHCLHVFRNLLHACSIYTTTGVWP RPSEKDLARFLTDLCQVVIYLGVLGSIMMLLGRAVEYVILIGSWIVWFVRPLGWFLSA LGRVLQGMTN AOR_1_952014 MHIKEKLAQNEAAERVGISFEFFPPKTAQGVQNLYDRMDRMHAL GPSFIDITWGAGGRLSDLTCEMVNVAQSVYGLETCMHLTCTDMPTEKVDAALQAAYKS GCTNILALRGDPPREKEKWEAAEGGLRYAKDLVKYIRDKYGNHFDIGVGGYPEGADDN PDVDLLIDHLKEKVDAGASFVITQMFYDTDLFISWVKKCRAKGINVPIIPGIMPIQTY AAFIRRANWTKIHIPEDWLETLEPVKNDDAAVKEIGKVLIADMCRRLLAAGINHLHFY TMNLAQATQLVLDELKLLPSEETPIQRPLPWRPSLGLNRRAEDVRPIFWRNRNSSYIA RTQTWDEFPNGRWTDSRSPAFGELDSYGIGLKGTNEQNIQLWGEPKSIKDLSRLFVRY LEGKLSRLPWSDTPISSEAKAIKPNLIELNNRGILTINSQPAVNGAKSSHPVYGWGPK NGFVYQKAYLELLIPPYLLDELIARIEGNEDLTYHAVSKNGELRTNTHDSPNALTWGI FAGREVVQPTIVETISFLAWKDEAYRLGEDWAKCHAATSPSRKLIQGLVDSWYLVNIV NNDFHNTYDLFELFKDLNVRDFDVEVAADNADLKSQENETAETTAN AOR_1_954014 MSAATIPAPAAEQDYKETLLPLLMKNNVLSFGSFILKSGRESPY FFTSSLLHTAPLLRATSAAYASVLSAPPFVTVAADGTTTPNFDIIFGPAYKGIPVCAS VLNELAVRDSLSASAKGTWDNVSYSFNRKEAKDHGEGGNIVGAPLKGKRVVIVDDVIT AGTAIREAVSIIQKEGGIVTGIVVLLDREERVSDAEPKSAIGVAQRDLGENIPIRAVI GLHDLIEKLGDKIGESEIQRLKDYRARYGAE AOR_1_956014 MKWGFTGPLLALLAATAAGWPYDESLVDYNLNVNKDTTNPAEYT HAEWKGHEYNPSPKSWRFPFYTLFIDRFVNGDPTNDNINGSLFEHDLNSNQMRHGGDA AGLVDTLDYLQGMGIKGIYLAGTILMNQPWGSDGYSILDTTLLDQHYGTIQTWRDAIT EIHKRGMYVLFDNTIATMGDLIGFEGYLNTTTPFSVKEHKALWKSDRQYVDFRFDNEY NNTCEYPRFWNETGYPVDKDVTDELVGCYNSDFDQYGDREAFGVYPDWERQLAKFASV QDRLREWHPSVKERLIRHSCMIIKALDIDGFRYDKATQATVDALGDMSHAYRECARSV GKDNFFLPGEITGGNNFGSIYLGRGRQPNQYPDSSLASMNLTNTSDHQYFLRDDGLQA LDSAAFHYSVYRTLTRFLGMDGNLAAGYDTPLDWTDSWNIMVLSNDMINANTGKFDPR HMYGTTNQDVFRWPAIELGVERQLLGHFITTLHLPGIPILLWGEEQAFYILDSTADNY IYGRQAMSPSTAWKTHGCYSLGSSQYYNWPVSAGREGCHDEAVAYDHRDPSHPVHNII KHMFQMRQDFPVLNDGYSVVKLSKQTREIQYPGSNGTATEVGVWSVLRDLVSNIQDFG DSGNNEPVWLVYQNDNKTVEYSFDCGSNDSALISPFTTGTTVVNLFYPHDEHELKDGP KSLHLNGTNATNGCLDTLKLKPFEFRAYVPKANFVKPRPMITQFEPGHDVPQLSKVGP DESEDIDVSIYFSTKMDCDQVTKSISFESSTEAGKTPSISNNSVSCKDAKGDDPKWTG QIPNAWVWTAKLTGVYNGIHRLTVKNATSSDGHSSTQATDHFLIRVGQRDNPLVFTSA NYSTSLLNQYDNGTLYIQHRAAGANKYRYSTNFGSSFSDWKDYHGGNDTIEELPWSGT DKQKWQGKHVRVEYWNKLTGSSDYAQEGDSGYDHPRRFPHLFFNGPFNQYGYDAGLDN VVRQDSDGLWKFRFMAEFPAQGQFNVWGMNPDGQPDQSYVFGDVDDDGVLDRMPPSSL SSTIINITDIPPSPYLAWNLGVDDGTLRVHLLPTGSRTIQMVVYFLLWFVPLVTAIAC VYAFVKSFYQVKFNQVGVSEKKSILPLAFRRKLSRDGSGGSINPFMRLANKSGFLQST PAFGAVASRRRTTLIATMEYDIEDWAIKIKIGGLGVMAQLMGKHLGQQDLIWVVPCVG GVDYPVDQPAEPMFVTVLGNSYEVKVQYHVLNNIKYVLLDAPVFRQQTKSEPYPARMD DLDSAIYYSAWNQCIAQAIRRFPIDLYHINDYHGSIAPLYLLPQTIPVCLSLHNAEFQ GLWPMRTQKERDEVCSVFNLDLDTAKRYVQFGEVFNMLHAGASYLRVHQQGFGAVGVS RKYGKRSYARYPIFWGLKKVGNLPNPDPSDTGEWNKELPKDSEIRVDPNYEASRGELK RQAQEWAGLDQNPDADLLVFVGRWSMQKGVDLIADVMPAVLEARPNVQLICVGPVIDL YGKFAALKLDHMMRLYPGRVFSKPEFTALPAYIFSGAEFALIPSRDEPFGLVAVEFGR KGALGIGARVGGLGQMPGWWYNIESTTTSHLLHQFKLAIGSALNSKPQVRAKMRARSA KQRFPVAQWVEDLEILQTTAMRIHSKGQAKSNGGPLSPSGYNTPSEVITPSGMMTPTI ASTGTTTPTGMQTPPIAHSREGSYTNLSVNRDSAYGPQQRNTIVYSRDPSPGGNDEPR LSLGRQLSLGFRAGPGHINLRGRRLKRRSQMTNEESGTATEESSDDDYFRGEEEVTIT REQADEGRHQRNAPRSLASPPNSYFEEGITSGRPPWAQPGNRLSSASVLSVDSVVGEK KDYKLQKVDPFFTDGTGEYYRMFDQRLEKLNGSNSESQLCIEEYLMKSEKKWFDKFRD ARLGRNQSPASSIFQTKGENNTPMSSISHEDLGSNESGSDPRAEKDEFLLGRDYVPPS GLRKWMQIRIFGWPVYSFFLGLGQIIAANSYQITLLAGENGQTAEKLYGIATVYLVTS IIWWFFFRFFKSVFVLSIPWFLYGASFVIIGLAHFESNGSARGWIQNVGSGVYAAASS SGSLFFALNFGDESGVQVKDWVFRACLIQGTQQAYVIGLWYWGTTISSAVANGVTNVN GGIVNSWKMTAICMPIAAFLWAIGLIIFFGLPNYYRQSPGKVPSFYKSVFRRKIVLWN FVVVILQNFFLSAPYGRNWAFLWSSNHAEAWQVGILVVVFFGVIWVAVLTLFGYLSKR HSWILPVFACGLGAPRWAQIWWGVSGMGLFLPWAGSSVSGALASRSLWLWLGILDALQ GLGFGMILLQTLTRVHIAFTLLASQVLGSIATIVARACAPNNIGPGPISPDVTAGGSS VANAWFWIALFFQLLICAGFLLFFRKEQLTKP AOR_1_958014 MPAADKKPRTLYDKVLDAHIVNEQEDGTLLLYIDRHLVHEVTSP QAFEGLKNAGRKVRRPDCTLVTVDHNIPTSTRKNFKNVEEFIEETDSRLQCTTLEENV KDFGLTYFGMGDKRQGIVHIIGPEQGFTLPGTTVVCGDSHTSTHGAFGSLAFGIGTSE VEHVLATQTLISRRSKNMRIQVDGELPAGVTSKDVVLHIIGVIGTAGGTGAVIEFCGS VIRGLSMEARMSMCNMSIEAGARAGMIAPDQITFDYLKGRPLAPKYDSAEWKKAVNYW SSLASDEDAVYDKTIVLDGKDIIPTVSWGTSPQDVVPINGVVPGPDDFEDENRKLACK RALEYMGLVAGTPMKEVQIDKVFIGSCTNARIEDLRAAAKVVRGKKIAPNVKRAMVVP GSGLVKEQAEAEGLDKIFTDAGFEWREAGCSMCLGMNPDILSPKERCASTSNRNFEGR QGAQGRTHLMSPAMAAAAGIVGKLADVREHVVTSPVLGKVQPRVDVQPEAEDVDTEEE LDRILDQPADNEPHTNTSGGSSAGLPKFTTLKGIAAPMNRSNVDTDAIIPKQFLKTIK RTGLGSALFYELRYKDGQEDPEFILNQGVYRNSKILVVTGPNFGCGSSREHAPWALLD FGIKCVIAPSFADIFFNNTFKNGMLPIPISDEAALQKIAAEASAGREIEIDLVNQEIK DAQGNKLSGFDVDAFRKHCLVNGLDDIGLTLQMEDKIRAFETKRTLETPWLDGSGYLK RGNRGATMVQAAPVPKTNRGDVKTEPLEW AOR_1_960014 MSEIFAPAPEPSTELGRYRVLSSTAGIRVSPLQLGAMSIGDSWS HFMGSMDKESSFKLLDAFVEAGGNFIDTANNYQNEQSEAWIGEWMTSRKNRDQLVIAT KFTTDYKSHALGKGNAPNHCGDHRRSLHMSVRDSLRKLQTDWIDILYLHWWDHTTSIE EIMDSLHILVEQGKVLYLGISDSPAWVVSAANTYARAHGKTPFSIYQGRWNVMLRDFE REIIPMARHFGMALAPWDVLGGGKFQTKKALEERKKKNEGLRSLTGAGEQTEEEVKMS EALAKVASEHGIESVTAVALAYVMHKTPNVFPLVGGRKVEHLHDNIQALKIKLTPEQI EYLESVRPLDVGFPNNFIGPDPKVTGRASGLLAANAQLAFVPASKPITPP AOR_1_1638014 MCQKNDDAFTYGSYAAILSSMMNSSSLSRKETWRLLMLVGICAG ILLNTFQDEGAPLVASIAFTGIVFAVAYSLIKWLGPVFMKAGLKGRDMAKPKRPEIPE TMGAVCAVVYLLALIFFIPFAFYKDIVAATSGGGNRDVVLEVHHVETGRMLHRFPHGR LASYLSGLLSLQCIVILGLGDDLLDIRWRHKVLIPAFGAIPMLIVYFVDFGVTQVVVP VPLQPYLGAFVDLGWLYYVYMAAVAIFCPNSINMLAGINGVEVAQSLVIAILLIANDA LYLAPITPYPHPATDSHLFSLYFLLPFVGVSLALLLHNWYPSKVFVGDTYCYFAGMVF AVVGILGHFSKTLLLLFIPQIFNFLYSTPQLFKLIPCPRHRLPKFNALTGQLDASVTE WTVPPSPLIAAGLELLHQLRLVRVTKNDHGQIVESTNLTILNLWLVWMGPMKENRLAW NMVAVQVVCGLFGLFVRHRLALLVFREDNRASWYQL AOR_1_964014 MSGFADFDAGHRDLITVTKFNFYGNRIVTASSDHRMKVWDQKDG EWQLTDTWRAHDAEIRDASWNGPFTGQHIGSVGEDMKCKIWQEDVTQPPNSGRRFRSI FRMTAPYRHPFVSIDFRNIDLESWLAVITRDGFLMVMEPVSPDTLADWQPLDQFRVCT APQRGEETSFKVQFHHDPTDITHSVLPSSDRKSLSLVVAAMDSVKVYRTDASRRFYHA IELSSHGGLVRDISWANGSVRGYDLIASGCKDGFIRIFEIYTSAADNEPHGSNGEQSR PSTQSSTRATTQSGIGSALASRAPMSMASRSATGDAQFKHTYKEVACIDSKHLDVWQV GFSYAGDCLISSGDDGTVRFWKRSLSDEWLEYAEADMTS AOR_1_966014 MAIGPTLGTGLFIGSGQALAAGGPASLLEIAAHLPSQTGTMVNH TYRYASSHLGFSLGYLRWFSIAALVPFEITNAMVNLGLWNPGARLAIRISIVTAVVFF FNMLPEKAFKRSEAAFTALKLVTTIGLIIISGYLAVRGVPESAARGFRYWHEPGAMNE YLTDGHLGRLLGLVQCILCSTISFIFSPELIVQRAEQVDSESVRNALDMTRIDCFHLF ALYILSSLAITVSSPSDEPLLTNHGIGAGLSPYIVGIRRCGIPILPTVATALIFLSSV ASGRSFLYISSRTLCSLAETGHGPELFKVRNDYGVPYISVIISALFSGFAYLSLAMSA SVVFNLLMYFITTSGYISWLFSCVIYFQFRRTTALQGFTPANQTRIQPYGAYFGIAAC TFLPLANALLLAAPSWIVARNSIPAYIAVSIFLLLYFGHLMKSIVTQRRLQSEELRKQ GCGDMLEKCTARPQG AOR_1_968014 MTDTSPVVITHPATEPYPVTVSLNSPAVNGAVSDSAAPEEEEPY TIKCICAFEDDDGNTVFCEGCETWQHIECYYHGRDVPEVHNCVDCEPRPLDGRRATER QRRLREQSDGGDRKAKRSGTKSQKKKTKDGEQVNGTHNRSESSTRDQPPAKKAKTNHR ASGSVGSLAGVPSLPPDSRKRTTTSLSPTKPSGPSIPLYSNEFLHLYDQDNHHVDTDS NLFVNLELATHLATWVNEPGALARASNGRSAQDVFTWSGGALDRSHWPSLATETIRDA NVDIDGIHPTWKILKTRDPVRKDEIVGEITGKIGPLWKYCVEASNRWQELRHPEPFVF FHPQLPLYIDSRHEGNILRYARRSCRPNVTIRTFITNEVEYHFCFVAKEDIAADSEIT AMWYLDPQLFESTNGVVKQEFSDNAQDVAAMCISNVLSHFGGCACVAPPNCLLANVDR RRHPKALDTNSKQTSSKRKKTKSKSNISPPASNSRAGSEATKNLEEDDQTDTLSTSGS ARGQTRSRDLTPTLQTSTEGVVFGEAELSARDKRKIAAVEKKFQQLEQDQQASHRRKK RASGQSTQTTPVIGSAGRDRQSHSPTSAISPGSLPGGRHGSPRKASNHGTPSLRSRLG RPQYVDSATQTELDVCDSPSPSTTPSRRPNFVPFTQRLLKRCYADRIRLEKSSQQFFV SPSSQSKHATHLMSPVSSPRAGPLTVTTPSVTGDRDDMEMKDAVSPMGTPGWQQETSP LSGRSSIKPPLPPPWPSTAAHNSRIPGGKGNSHRVDLRVSLPATIPSLPPANSPGSGA PAVISSPSTSDTAIPGSSVTAPSPVKKKLSLGDYLIRRGTLTTPTSEKSQTQATPMPP PKSPTTQPHMNRELSTVGNNEHAQPRAIGEGGSTKSSDVPMKDVSGPTQASQLSSLS AOR_1_970014 MQSQQPIPQPQPSPPEGTHNASQFELEQPSLPATDRGKDAWLML ASCCLIQLPVWGFSLVYGIFQEYYSSHNDLQGSKGDLAVVGTTSTGILYLLSPVSFTL LTRYPQLQKYCASVGLLLSVSGSLLSSFSITVWHLIVTQGVLCAVGNGLVFSPTTLYL DQWFVRRKGLAYGIMWAAKSICGVVLPFLASACLERFGARTTLRAWTVTTLLSTLAAL PFVKPRIPPSLSTSARRLDLTFLKLVTFWMLQMGNIIQGFGYFLPTTYLPSYSTSVAG LSKTTGTLLLALFNSTSVVGGIVIGSLCDRFAVTNMMLFSSVGSALSVFLFWGLSTST SSSYPRAATGLLTLFSITYGFFAGGFSSTWSGVLTQIKRERPSLETGLVFGLLAGGRG IGNVISGPLSTALIEKGSVGGSDTGYGTEYGALILFTGITAFLGAWSWMWRYLSVCYH S AOR_1_972014 MQSDPSLQDPLLALRRAIAAGSLPTPTTSSDPSDQNATDNLAKA THLFFRNPSPQTIPLNAPTRFFSTASDSAVDLRSIFFAWQKKDVAIPDYIASAQELNE SLRQKERKEGEQEEQVQNLVFVERLDLITWLEGASDESEYIKPLEGAAAAAASAVDQA QASANIASGAAGGVSAVPSGAPGATAPSGGAQQGKTAKVVDPRLQEIYNGERKMGDRN SVLRGIKPTDFSHVRKTAETFLGRNRSRTGQYPPGAKPGSKPQSIIPAPSAGLPQPRK GSSKTQDPIILLSPSASSLIRMSNVRSFLQDGVFVPPDHPTLSMPSSNILYISRPLRI LSDTSNATSRAVGSQTATRKPTRFILVDSTANFRPDYWNRLVAVFTTGQTWQFKSYKW SSPPELFKHVTGIHVGWRGEGVPREVRGWGRGVQSFSVERWDEKGGVNGAGRWRDREV VEGIWTAIEEGMKQRGWGNK AOR_1_974014 MPTISSIPLDQGSPPSSHLRHSHHRLPTQSSLSNIPVPVSSSPD DFPSSTPPSASCTLTSATPAVAAPTTRTSTFTSTTSFTGSVIGSISRRNRRSFAALAR EKTSNAFANLSAIGGTTNATLRSSASSGSLSKHSRKLSQVSVSEATGASPLTPPLSDS STSSEQLSNTPIESPANPITPAVAEQVERRRQTLQHVPSLVGQQAQAVTPSKMHQTSS RLLRMTEDDRPFTKDFMDLFSTLMVSLKLDSHRVRFTRYDHSFTSEEAINNLGSLKFS QSNRMPDPKDPSRIVTTTTTTTFSMAKEMARSVCQRFVDARFIESVDGKASQFFPLKG ALYQLTPKGINILQRFCQRNGITARHVIDVLESPRNTMQLVNLERDSETDKLSHDRAT IEVIFRRFAGQDGPNVKSSISTSDSDSLSDYSNGLVGVKMAKERKINDKILINTFTGK AAVDWLMDCSTTIERRETVLIAELFVKYGLITMLQEDKLMPLPDNSIVGFQPSKNAIY GITERGQRVCGWIARDKSRETTAYDSRGIPKDSNNARLNHILHDPALRLLFREFLRYS LCEENLSFYLDVSEFTATYHKAEKVGTFKKSDSVRETLAAAYGLYNAFLAPGSPCELN IDHALRNSLASRMTKAVGDDESMFKSLQEVVHLFEMAQTSVFKLMSSDSIPKFLRDPK YAHILQEHDVELIGATRSYSPTPANVPERSMSRSARS AOR_1_976014 MGDGVAASPTPQKNARDDEHTGSPTASRSARRRASKGGSKVAEE EPDKENENEPETPSRPGLRSSGRQRKAPQRYEDEVTGTPASTRRAPPASGRSGATPRS TRKARHVSEDDDEEVESPQSEPQTERLTRTRSRRPPVRFTGTETNTNGDEEFGVRQSP SPDEYQDGLDDLVSMQLQQDLAQNDFAGKDETRCIPLKGLESEYDKVHQLVEQTVSVG EGNSLLLLGSRGSGKTAIVETIISSLKREHHNDFHVVRLNGFLHTDDRLALREMWRQL GRETNTEEEAGKVSSYADTMATLLALLSHPEELFGASNNTDTVTAAKSIVIILDEFDL FVTHPRQTLLYNLFDIAQARKAPIAVLGLTTKVDVTEMLEKRVKSRFSHRYVYVPLPR SLEGFSEICRAGLDLEDKEVSDYLEEANPETRSLITSEKFARGLWSDEAFQAHLRRIF FQTRSAKEFFTSALIGMTELHYSTYDPTGGAATLQIPTPTTFSSQSLSCPDPGPLPFS TSTTTSASPSSLPLSLLLAATRLAALYDPGLEATQPQSLAPLALSFPAAYAEYVRLLT FAKTSASVSGAAATPGRVWGRDVAREAWEKLISWGLISPVGGGSGTADGRMFRVEISF EEVVDMAGSGGSLGKWWRDG AOR_1_978014 MPVRAPARKLDEFEDLVFHYSNGMNMKDDEERWDIDSTAADLRF ESATQGPSARVSFLLNVTPKMCNYVGTLHGGCAATLIDILSTTLLLGLSKPGYFSLGG VSRNLRVTYLRPLPKGLEIRLVCEVIHTGKRLALLRAEIQRADDGSVCVVGEHEKANT DPEGGGRI AOR_1_980014 MDEIAPEYDVVVLGTGLTECVLSGVLSVKGNKVLHIDRNDHYGG EAASVNIETLFKKYGNVRPGEEPWKKYGRVNDWNIDLVPKLLMANGELTNILVSTDVT RYLEFKQIAGSYVQQGKSPKATVAKVPSDANEALRSSLMGMFEKRRAKKFLEWVGEFK EDDPSTHQGLNIAQCTMKEVYDKFSLEENTRDFIGHSMALYQSDDYIGKSGMAADAIN RIRLYVNSMARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTNIDEVLYENGKV SGIKATMKDRDDSGETMSFTTKTKKILADPSYFPSKAKVTGYLLKAICILKHPIDKTD GSDSLQLIIPQSQVGRKHDIYIAMVSSAHNVCPKGYYIAIVSTIAESDANHHIELEPG FERLGEIEEKFFGPPIPLYEPLESGENDNIFISKSYDATSHFETTTADVRDIYRRATG EELVVEGLREDQRLAQE AOR_1_982014 MHRQSVARLARQCGGLPLAELPPPYLAPSLHFSRIQCSNFSSTA VAAGHGRDLSKSRGVSAIHRTGPKFKLGVSKYPLPKPVSPESLDKRHPTPDHGLWGFF PPDHQALSTPKYDHAHGRSWSIQELREKSWEDLHALWWVCVKERNRIATSQLERQRLK AGYGEWELDNRDRTIRVTQKSIKHVLRERWYAWEDAQKLYNSGYRPQEEGAEEASSTA AOR_1_984014 MNRPGPGPQPLRGMSGFPAQQQAQARNATLASARLPNGKLGSGA AWNFNLPVSGTPGIQNNQQRNMGNIGSFAQSLSGSQPATPLDLSDFPSLSGAPPQSQA QNPGHLVWANASQRAMQQTPVQRQQHPTSQAPSRPIQTQSLPQQQSQPSHDDVFPSGA QFANRLDDYRNGGQGISGQLGSGAQPQTGNIDEFPPLGRNVAAEIGQDRRGSLMQSAG FGSYNAGLPLSGASQAQSTQNRNAISASINGQERIMSPANAGSGSIGTSRSPVNQASN GVSGQEKEDMNSAVLSNQRNYTEQQSVSGETQEASGAAQSAEQPPLGEMSELDKFGLA GLLRMIHSDSPDVAALAVGQDLMTLGLDLNQPEPLHTSFASPFVASMTGVPLEQDFAL PSCYNVANIQPLQSRIASFSDETLFYIFYSMPRDIMQEVVAEELMGRKWRYHKIERCW LTRDETYPGPVDVERGVSERGIYLIWDPATWKKIRREFILRYEDLDNRMDPNRGLARV GFPHHGS AOR_1_986014 MASNSINIAFRSAGPRARAIPSLRCSQRPSILHRQPVFRQTGLR YASDTTAEATEAVKQAPKKAGRGLRKTVISTSLALTLLVGFVYGTDTRASLHRYGVVP LIRLLYPDAEDAHHIGVDALKNLYKLGLHPRERGNQDGDGVLATEVFGYTLTNPIGIS AGLDKHAEIPDPLFELGPGIVEVGGTTPLPQEGNPRPRVFRVVSQKAMINRYGLNSKG ADHMAAVLQQRVRDYAYAHGFGLHDLAEERVLNGEAGVPPGSLRPGRLLAVQVAKNKV TPDADIEAIKRDYVYCVDRVAKYADILVVNVSSPNTPGLRDLQATAPLTAILKAVVGA AKSVDRKTKPYVMVKVSPDEDADEQVSGICAAVQGSGVDGVIVGNTTNRRPEPLPKGV PLSPKEQTTLKETGGYSGPQLFDRTVALVARYRALLDQAPVTPELAEAAQDATTKLVQ AEPDSENVPPVEAPSPANQFPRKVIFASGGITNGKQAQAVLDAGASVAMMYTGIVYGG SGTVTRVKQEMREEKKA AOR_1_988014 MASAEQTYPVLPSTLLIISLKMYFTPTRTLDYLRALLDPKNDIV RPENRSKLLLALIPDFLTIYPSNEIIKNYESSLAPQEAKALPPPFLLGAQDCFWEPLG AYTGEVSPLALRSMGVSIVELGHAERRSIFGETDDQTGRKAAAASAHGMVPLVCIGEV TAPGPVASQAVGLAVRECEVQVRAVLKAIPAHAPVIFAYEPVWAIGKPKPAGVDHISA VVDGIKAVIGERPGDVRILYGGSAGPGLWGAGGLGKAVDGMFLGRFAHEIEGVRKVIR EVEETLNVA AOR_1_990014 MSADLKPLRIVMACDEAGQPYKETLKAALEKNPLVESVDDVGVN STSDKTAYPHPAVAGAKLIKEGKADRGLFICGTGLGVAIAANKVPGIRAVTAHDSFSV ERAILSNDAHVLCFGQRVIGIELAKKLANEWVTYRFDPKSASAAKVQAISDYEAEFAK AQ AOR_1_1640014 MSGRAETRTPDPPDRDEQENSPPRLVRPKRTTRPPAHYAQEQEI ETEQRNTRSQRKKKNQGKPVAQDKAATSDDSSTEREDSDTSKLVKEIVKLRREIRRRD ELYKEELQRVKEEFGAALTEFRHELLANRPPTPQAHPESCAQSGHEEILREIQSLRVA VNPSGSPSYADVARTPPTSQPSNIRTLSSWNTTPTTFTDTLYCTIDTSKMADTESERP SAGPIRTAVETEIRTMENYTNWRCRAVTVDPKNTNRIRIACRDEAEHQLVKKVAETKV GAGARVLRDELYPIKVDSVNRTAVLDENGDIRVGAAAAFGEENETTVAKIAWLSRKEN AKAYGSMVVYLTKGSDARRLLADGFFHAGGESGVTSTFEHRPRPIQCYNCQEIGHKAF QCKSTQKCARCAAEGHHHTRTVGSSIHHIMNKTLRVIQLNVRKQGAVHESLMNDEETQ NTVALAIQEPQARRIQGRLLTTPMGHHKWTKMVPSTWREGRWAVRSMLWINKEVEAEQ VPIESPDLTAAVIRLPERLIFMASVYVEGGNASALDDACNHLLDAITKVRRDTGVVVE ILIMGDFNRHDQLWGGDDVSLGRQGEADPIIDLMNECALSSLLRRGTKTWHGGGHSGD CESTIDLVLASENLADSVIKCAILGTEHGSDHCAIETVFDAPWSLPKHQGRLLLKNAP WKEINTRIANTLAATPSEGTVQQKTDRLMSAVSEAVHALTPKSKPSSHAKRWWTADLT QLRQIHTYWRNHARSERRAGRKVPYLETMAQGAAKQYHDAIRQQKKKHWNQFLADNDN IWKAERYLKSGEDAAFGKIPQLLRADGTTTTDHKEQAEELLAKFFPPLPDNIDDEGTR PQRAPVEMPAITMEEIERQLMAAKSWKAPGEDGMPAIVWKMTWPTVKYRVLDLFQASL EGGTLPRQWRHAKIIPLKKPNKENYTIAKSWRPISLLATLGKVLESVVAERISHAVET HGLLPTSHFGARKQRSAEQALVLLQEQIYAAWRGRRVLSLISFDVKGAYNGVCKERLL QRMKARGIPEDLLRWVEAFCSERTATIQINGQLSEVHSLPQAGLPQGSPLSPILFLFF NADLVQRQIDSQGGAIAFVDDFTAWVTGPTAQSNREGIEGIIKEALHWERRSGATFEA EKTAIIHFTPKTSKLDREPFTIKGQAVEPKDHVKILGVLMDTSLKYKEHIARAASKGL EAVMELRRLRGLSPSTARQLFTSTVTPVVDYASNVWMHAFKNKATGPINRVQRVGAQA IVGTFLTVATSVAEAEAHIATAQHRFWRRAVKMWTDLHTLPDTNPLRRNTARIKKFRR FHRSPLYQVADALKNIEMETLETINPFTLAPWEARMQTDGEAMPDPQAIPGGSIQIAI SSSARNGFVGFGVAIEKQPPQYRKLKLKTFSVTLGARSEQNPFSAELAAIAHTLNRLV GLKGFRFRLLTSNKATALTIQNPRQQSGQEFVCQMYKLINRLRRKGNHIKILWVPASE DNKLLGLAKEQARAATHEDAIPQAQVSRMKSTTLNLARSQAATTKALPEDVGRHIKRV DAALPGKHTRQLYDGLSWKEATVLAQLRTGMARLNGYLYRINVAQTDQCACGQARETV EHFLFRCRKWTTQRIALLQCTRTHRGNLSLCLGGKSPSNDQQWVPNLEAVRASIRFAM TTGRLDAV AOR_1_1642014 RSLPIEGDYNGAEELYSQAIHKNPREPTFFTNRALTRIRLEKWA GVEQDARAAISLYGPKSASSLKSCWYLAQALLGLQRPQEAYEVAIDAYRASLAAKNVQ TENLSKAVLRAKQQIWAQKETARLREMNSTLASVEVLIESDLNRALAELQGKLDRKEI GQIGFVEDEKALREDAEKHTQNVRDAFRIASKGDIQERVVPDYLVDGITFEIMHDPVI TPSGTSFDRIGIQKYVEQAGVDPITRTSMTVNDLRPNYALKAACEEFLNKNGWAVDW AOR_1_996014 MSVDAFFENLSFAQSGAKFSDLQSEASKINVDLLKEAVKAVLAG GDDAKVDGPLADALKAGFEFATKLVKKLTKEPGQTEMLTFYKYFKQARNETVAEAGMF DFVGKAKYNAWKEIKGISAQKAQALYIQAVNEAINTYGTSE AOR_1_998014 MADDNPPKRIKLSSNTTENTTNNKSPKESPPIQDLTSLHRSITP PSPPSRSQRRSQSQSQSQSQSAPPQPVKSTEEEVNEKPRLIPSPFQLTHIRDLAASSD NNVDTVRLREILGDPMIRECWQFNYLHDVDFIMGQFDEDVRRLVKVKIVHGSWKRDAP NRVRIDEACSRYPNVEAVVAYMPEAFGTHHSKMMVLLRHDDLVQVVIHTANMIPGDWT NMCQAVWRSPLLPLQKTDDRVEDLTLGSGARFKRDLLAYLTEYGPKKTGPLVEQLRKY DFGAIRAALVASVPSKQKVDDLDSQKKTLWGWPALKDIMRQIPPAQKTTKATTPHIVT QISSVATLGQTDKWLKDVMFASLSPASTSTRQPKYSIIFPTADEIRRSLNGYGSGGSI HMKLQSAAQQKQLQYMRPYLRHWAGDHDTAEPSHTSKQDAGRRRAAPHIKTYIRFSDA EKMDTIDWAMVTSANLSTQAWGAAVNASGEVRICSWEIGIVVWPQLYVQDTESATMVP TFKRDTPEPLENKDSETTPDTVIGLRMPYDLPLTPYAAHDTPWCATAQHLEPDWLGQT WTLD AOR_1_1000014 MSAAQPQSGLALAARYAVPFVLLSIPIWMNRVNAVAPEPYLDEA FHIPQAQAYWHHKWTHWDPKITTPPGLYLWSYLLCACALLLRGSPTELNAEALRSTNV AAAAIFLPLRLQTLLDSLRKERNTRPSGAWLSHTVLNICLFPPLFFFSGLYYTDILAL LVVIEAYNWDLKRSAPNAFAGPTFLFILLGVAALAFRQTNIFWVAVFFGGLQVIRTLR KSSKTCQSPNVADIAKGGFKNELYDPPVSEASLADYFKTAISLGAAALGNLGQVVISS IPYVAILAAFGGFVLWNNGVVLGHKEFHTAGLHLPQMLYIWPYIFFFSWPILISPVVN MILPKASLPKFMHYGFSEKQMGIPKILTILAIVPVMLATVHYNTIVHPFTLADNRHYI FYVFRILLRTHPAVKYAATIVYFLCGWAVISAFGFSTSTPPPRFIQASQSAPASKPSV QKGQKAQKQTDSKRQPPKKIAQPPKTQQLTPEAFAKIQEAIKQRQMQQPDAPRVSFVL VWLAATALSLITAPLVEPRYFIIPWVMWRLHLPRQPTPFVYREQRPRDAKEALHAKIA TNFPLFLETFWFLVINAVTGYVFLYKGFEWPQEPGKIQRFMW AOR_1_1002014 MAFPLPRGITPPEISFLAEMEMVTILPRQRLEGLELLGGPVEPL LPPRRASLPLWLALLLKRQRRANILPPPWLHPESLSLILEIETQHHEYQHAFSPPPPL PGQPSLRDRGKRPVAMPRYTPDGGRYYPAPPFLPQNVAQDHVPSGEPPSLPFHWLEVG TMLLDAASDDLVDPDQTRRLLKELREVRTAKIRSGVDVLDAASTGGGGVALTGVGAME VGEGRGFIAGVVDGLRKIGASKEQARREQMAEDMANGGYDATQDDDDDMEF AOR_1_1004014 MGGSFSRLWSFLWTKKEIRILILGLDNAGKTTLLYRMKIGEVVT TIPTIGFNVESVTYRNLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVIDSTDIERLGT AADELAAMLNEEELRDAALLVFANKQDQPGAKGAGEISEALKLGELRDRNWSIVACSA IDGKGLNEGMDWLVQTLQSENA AOR_1_1006014 MVGKKSGKALLRDEGLERTDNNMELSSWPQIPPINQKNYYTDYL KRDDQYLAFRLQNEEARNRMTKTAKDRDRALAMAKANDLGIPEADADDGDTNMEDAEE ATAETVGSKVIVVHVGSQNLRIGLASDALPKTVPMVIARKSTTSESEDREEPCPKRLK TDDGSELEPEKMFGSEFSSQYTTMSAELKTHMRQNKRRTLPNSKEMVINYNRRTVPET ISEHNDPMRVEWTEIPDVAPEYIVGQAALRIPDESTPRYKLYWPMKYGWCNERDYKSK RLLFLDISLILEDAIKNQLGLTSKKDWLQYSCVFVIPDLYEKSYVTQVLEMLMREFSF ARVCFIQESLAATFGAGFTSACVVDIGAQKTSICCVEEGMCIENSRVNLKYGGSDVTE AFVKMMLYDHFPYADINLWRRYDFLLAEELKKNICTMNEASVSVQVFDFHLRIAGQDT RKYNFKAYDEVHLAPMGYFQPSIFDHSLKLNGRRTLISRSVDIYDGQPNDPTSAAQSE LLTAIAPPLNGQVNGDTQSGTLDVQSTPSRSQQVNALSRLQEAEATPRSSVAGSPAPD VTSTPQAGGAGTPAVGGQSQSTSQPRAPTVEERDDILPTFPLDSAILMSIAHAARSDE RKMRDFLGGIMVVGGGSLINGFHSFLEERLQALRPGFAKEIMIGTPPRDLDPQVVVWK GASVFGKLSGTNDSWIGQLEYDRLGHRLMAYKCMWAY AOR_1_1008014 MDPPTPKLSPIKSKDCDSADRAASDKKISDLILSPPLISPPCIE TQAQETADSDSSNMSKNKDGSSGGFHQEYIASLRYRNDLPPPDMPPKFLDIPHDGLER FLTPGFASNLARREEPNIDVDAEGGMPIDLVGIPGLHLGDESAIMAPENPDPIDPADL PLLMTLDQLKNPAPRNTNVSFLRRTQYISAGLRAPEGPKVTPMRSKSRPAEKAKSLDD PAYIKKYIQKGFDIAYPDSKHVGEDTPSQIKGHMPTKLEVDAWATPVHPDNPKLKPVG FYPLMPDLQGFPDPGGFVQFKFDKAPIQDVSGKRDRRMDTGILLPSAPEERVCEEHAT KVALHKTNPKLYPDPGPIPWDYDLFLPEKKDSIKKVLASMQIYNPDRDNEELYTHEGP DNSKFHRFDRMRTFATSAQTLGGDNKQKDIAVTLFNPSEAKEDYLSSKQKAAYYYPIL GKTRLKPERARTIAQAGLAPTRPKTKEDQVDQIQVVVRDPDEAEVYKRSMHRAAIDPK FAKTMPPAPEGANDEHESPEEGDKEAVSRDREQSVEEADRMSDE AOR_1_1010014 MRITEVVIDGFKSYAVRTVISGWDESFNSITGLNGSGKSNILDA ICFVLGITNMSTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDTAKSPIGFEEYANIS VTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKAVE ILSMIEEAAGTRMFEDRREKAAKTMGKKELKLREIEGLLKEEIEPKLEKLRSEKRAFL DFQQTQNDLERLTRLVVAHDYLRSNERLRVAGDEFESKRRKVQAIEDNAAKLKSEIAH LEEDVKRVRAARDKELRKGGKFQALEDEVKSHSHELVRLTTVFDLKNASIEEEKEKYK EAQQTVKDLEKLLKEKKKVYDKLQAKYDAAKAELDAQTAEVEQKEELLQTLQTGVASK DGQESGYQGQLQDARNRASAAATEQEQAKLKIAHLEKRIKEEEPRAKKAKEQNSGLLK ELEGLKSQAKKLDAELARLGFEPGREEQLYQEQTALQKEIRELRQRADGLQRKVANID FQYADPHPNFDRSKVKGLVAQLFTLDKEKLQASTALEICAGGRLYNVVVDSAETGTQL LQKGKLRKRVTIIPLNKISSFKASAEKIGAAQNIAPGKVDLALSLIGYDEEVTSAMNY VFGNTLICNDAETAKKVTFDPSVRIKSVTLDGDVYDPSGTLSGGSSPNSSGVLITLQK LNEVTKEIRSKERLLATLEETMRKEKKKLDAVRTIKQELDLKTHEIKLTEEQISNNSS SSIIHAVEEMKANIEQLKKDISDAKARQAEASKDIKRIEKDMSEFNDNKDSKLAELQE SLDSLKKSLAKNSNSVKTLQKELQASRLESEQVGSDLSAAEEQSAEAENALNAQKEEV KSLKREQARIKDAHDIAQAHLDDERAKLTGFDEELRELEQTMQAKNSQITEEGLELQK LGHQLEKLQKDQQAAAQTVAHMEEEHEWIEDEKDNFGRPNTPYDFKNQNIAECKATLR NLTERSQGMKKKINPKVMNMIDSVEKKEAALKNMMKTVVRDKRKIEETILNLDEYKKE ALHNTWTKVNGDFGQIFAMLLPGSFAKLDPPEGKEITDGLEVKVQLGKVWKQSLTELS GGQRSLIALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVVS LKDGMFQNANRIFKTRFSEGTSVVQALTPADLK AOR_1_1012014 MPEEEPSLNISSLLTLAVVSFFVIRWFLKRDGDGSDGSGRSRAR GVIDPAQVEQISQMFPQLSTRDIMWDLQRNGGNVAATTERILTGRGLETPPPSFQPQV AIPQASAPAQTAAASAASKSEGQDLISRYNLSGKVATEGAETTQSSPSSGWSQNKEER QRILQKRRDDMILAARKRMEQKVRESA AOR_1_1014014 MTTIPETQTAAVLPPSGATADALLQIRTDHPVPSPGEGEILVKI EYSGVCHSDVHSIRGETPMLTDVAGHEGVGRVVMVGDGVDEQEWIGRRVGIRWLYSSC LNCEICAVNNTACPYQKNAGANVPGTFQQYIVSPAIHVTKIPSEIAPDVAAPLLCAGI AMYSSIMKTRTRPGDWIVLPGAGGGLGHMGVQIAVKKGLKVIAIDSGEKKKELCLALG ATAFFDYKVDDIEKEVKKLTGGLGAHAVICTANSEPAYTQSMRLLRSLGVLVCVGIPS VPFRLPATPFDMIVKGLTIVGNSAGTAKEMDELMEMAVAGDVRAHIECFELDQINDVV MRLGRSEIDGRAVVKIPV AOR_1_1016014 MSSLSSSSLGGVANRMDWLYAYIAGTAFLVCGLVAVVHLLSSQK QKADFNKNGGLFTYVKFIYATFLKPHDKSGNGQQDALESFYKTQAGAYDATRKRLLRG REDMLGLVAAQLKFKTENKELKAGKAVWVDIGGGTGYNIEAMSAFLPVNEFFSHVYLV DLSPSLCQVARERFERLGWKNVSVVCQDARSFRLPEADSVDPRMKSTPGADLITMSYS LSMIPDYYSVVDSLPALLKPSGVLGVCDFYVQSIVDVSSRNYTGGAFNRHVNWLGRVF WRAWFDVDRVSLEAARRDYLEYRFGTVVSASERNYLLGGIPYYIFVGCQKDITSSQSG REAIEKLDASFTESPYLAPANHRQEMDKAIELSAQEVRSKAYESAVINLGSNLPLPSS FYQNHHYRIFYNDLLPKHTQFKNEYIYAFNWEDPRVDHRLLNIQRDDVILAITSAGDN ILDYLQKSPRRVHAVDLNPNQNHLLELKVASFIALGHRDVWKIFGEGKHSDFRQLLIS RLSPHLSSQAFQYWLEHAHIFTSSYSRGLYETGGSRHAIKMVRYLFKVFGLEGQVQKL CEAQTLAEQREIWPRIRSVLMSKPLHWAVVGTEWFAWKAAGVPRNQRNMIIDDFFKRN GLNQDMKQAKDISGQSIWEYVVDTLDPVVKDTLISNDNYFYFLCLQGQFSRRCHPTYL SPKAHVKLSSPGAFDGLRIHTDEINEVIKRITPGSLTIAVVMDSMDWFDPEGSDASVQ AQKLNHALKMGGRILLRSASIDPWYVRHFEENGFSARRVGARFPGTCIDRVNMYASTW ICTKTKELEPSLSLSAERMKVTTIASVLCLAATQLVAADVVVTSTATNTITKTVYRVT SETAAESLSATPLASSPSSTGSIASSHAHHASAASTSSTNTASPSATLNSAAGTFDAN KPIALVAGSLALLLGYL AOR_1_1018014 MDNEIPLPPPRRIRHRSPTKNPVASGSSLRKTYQLSRFDDRSSQ PSSDPALFSSDDIPASGLENYHAPVPSGSRKRRYRGTWWGEMVKDPKRKRADFKEKRH VDSGVWMGSDESGADSLLPSEDPSMWGEELLKDTGNSAVTGSRTDVAMHDSERWTAQG QPWTQRSGLKKVEEPKEHQAARNIVNECLESGQDSVDLSNCFLRVVPPDLLRPLQHLT KLPAIIEPPITEDVYSSLQPFLRLFLTGNSLQSVPGELFELGSLKVLSLRYNKLTEIP PAIRRLTLLQEVNLAVNRLQYLPWELLWLIKKGDLKHMIVRPNPLMQIHEAEIAQWHS PEGTELDSPEGTLKLRDYEGPAPEEAWAPIHVATGPVRRFNMEGMPVDAPASGMSASQ PNQQESRVPSLREISLLACTRSVFFDQVSDEEMADYPGLILRMLRQAKEVWNGGGRSC SVCHRSFVLARTEWIEWWDCSTYESGLKGPRCSGEKLRPLPFRRLGCSWACVPNAEAD QHSREELQL AOR_1_1020014 MTRNSDLPTAAQIPLQTFHLPVFPPEAANLKHLTLTSDIKPTDY ADFLSTPLSEDAVPSLPRGIESLTLELFSLGYPAPFLTRLGKALPNLKDLTLYSHLID GGVPDDLADVDEDGVRVGRKPEGIIPVGSGHEGTKLLVEKLGGSGTGDEPESGLKMLD CTLYALSLEQLGRVLKRQRRLAVLSVSVLIPEGGEAKRGLLETLRLGSEELEVVEVVG VPGQEGKDVADSVKSEELFRDVFPSSSDMLELTAALPCLESFSMTILRAPAFGSVSWV KKDGKWVDGTEQL AOR_1_1644014 MLQEVYEPLWVEIYHAAKKAGVQLRGIWIADAAHQGTSGILNEE KLGNDPSAFDHSRDLILMINQLRDKLPQPIFGLGHSMGATQLINVSLMHPRIFQGLCL VEPIVFPYSAENQGRDPPAQASMRRRESFDSLDMAISQFRKSSMFQKWDPRAFDMWIE YGLRRAPGDRAERVILTTTKSQELFTFVRPTFLSEFPTDRRLAFPDLALEAPSDTLFY RPEPVITFHNLPHLRPSTLYVFGEFSQLISHQLRDSLARRTGVDIGGSGGSTTGQVKD IVVGNTGHFGPMEDPRGLAEQTVDWLKVEVEQWALLVKSSLLDSNSKVSQDFLSSLAG SHQVGRQESRLRKL AOR_1_1022014 MKYTRMPIEVESPEEYGYEKIKYNLSESSIADQTLGSLGLQIPD LKLLYNEHKGSTALRTLIVKDHDNPTHDDVLITSGAAGALFIISSSQLAPTDHLVVVR PNYATNLETPRAIGCEITFIDLSFESGFQIDITAVEAAIQPNTKMISITTPHNPTGTI VDRDTLDRLVSLTKERGIILLVDETYADISYQGRLPIAASLGDHVISVSSLSKSYGIP GIRLGWIINKNPKLQETFLAAKEQISISGSVIDEWIAEQVLCHKDKILSATTKEMDQR REIVADWIKKEGELIEWVRPEGGVVCFPHLKKEPVGGMDAFYHRLLHKYGTYVGPGHW FEQPDTFMRIGYGWPSVEELKGGLEAISMALREA AOR_1_1024014 MFATETAPRLFPAAPGIFVHQLVNRALETPHLLHALLASASSHH GRLVGDTAATSRTTTLKFTNFAVSGLRTALSRAEEMPKAETAMTALTLCTNDICNGNR DIWRAHLSGATRLLAAFLERQAEASSVADPFDLCLVKWFATLDIMANLTGVGYVDDIS GYSLELIPMLAQLGRIAGRQELWKAAKYGVQLTLPGQLLEEAQELEYRIRSVTDRTVS DLTLKNHNSPLTVDLQHTHRAFVHASLLHLHRRVQLLPRDHSQVKEDITNIVNAVMSI DPFSPANILILWPMFSAGCETSFVSERDLIQNRMANMQRLGLGNYTRARDMLRIFWSS GSSKPWDIYFAEQGIQLVLF AOR_1_1026014 MVKIEEFAVERWMDEYENDAKYNLAETCCASISLNDLMSFSGQQ TSIIDYAQKQVYGAIRGSKALRSNIAKLYTTESSDNLSLDNVLVTNGAIQANFLALYT NVGPEDHVICHYPTYQQLYSVPQGFGAEVDLWRSKEDAGWQPDLEELKSLIKPSTKLI IINNPQNPTGAVLSRETLQGLVDIAREHNIMIHSDEVYRPLFHSVNTGQQEHPPSILS LGYDKVVATGSMSKAFSLAGIRLGWIVSRSPEIIEACASTRDYTIISVGQLDDSVATL ALSTPTVHNLLERNIQLARQNLAALDTFIEEFEWAIQWTRPQAGTTAFIKFVNREGEP IDDVVLCQRLQKQTGVMLVPGSQCFGGGVDFKGYVRMGYVPEHQVMVDGLQALREFMR NGYEQLPVATA AOR_1_1646014 MSSPSPINLSRGWPASDLFPTQILQNAAVSVLSNPIITEQGLGY GPDEGHFELRKNIADWLSRNYSLSRALSAERICISGGASQNLACVLQVFADPMHTRYV WMVEPIYHLVFGIFEDAGFYNRLRAVPEDECGIDVVFLEKALKKSAIDHQPVTLPERP YRKTYTHIIYCVPTFSNPSGITMPLSRREALVRLARKYDALIVSDDVYDFLNWEPTDA ADDGGPPGLFGNCVSNGSFSKIVAPGCRVGWAEGTPEFIRDLSAAGSSHSGGAPSQLM STFINDMFENGSLDRHIHGTLLSAYSRRARALTAAIKQHLLPLGVNFVSDSETYTVLG GYFIWLKLPVSLNAKEITEIALREENLVVAEGELFAVPGNNLPENELKHRLRLCFAWE KEEKLNEGVERLSRVIRTALGGI AOR_1_1648014 MAATVGPADELLDEQRRELMEQLADIIGKSRVTSAAWACLWFAD IEILQSLITHLIEDEAFRRVFKSMLRYQGTSDMLKIWCARSKKKSKDAESESDSEDNQ ISDEDSNDETPGRKRKAGAIGKQSPSKTPRLITIPNLQEATTSSVKPSITPTKTSTGR KKSARKLCVKRDDLTCLITGFKEPIEIAHIYPLSLGQKSKTEQEQFWETLSNFWTSEK IDSWKAEVLGPQGTEHCANLMCFSNIAHKLWEKARFALYPLQLSDDKKTLTVKFFWLP TMKYLKSQSITRLPSPIAPDLISSTKDGIPFAKLFKLVTEEKIRSGDILTFYTTDPVK LPLPSVKLLQLQWTLHRVLAMSGAADASDEDLDPDFHRPAGAGLCWENEVEEEEVEEE EDEE AOR_1_1650014 MSTITPESIPGFHAVGRTTGTTEDLKGLSFATTCPPDIGPRTRL IAVCGITDDEDDASPAKDGWFLSDFYLFHYLFSDLHGPTASQIWMTSEKPEDLVRKYK EYVHGDPRGERRVVLDKSMLPGIEQSGSLRVVSRKDLLERFLCTLREQSLLAKTNDQH LVVMVFGHGDERTYGVALGGYKPNLHIENVKRALQPDTSVTLFMTSCYSGGWIVQPNT MRSRYINATGITGAGPKAESKSWPESSSLRRACGSIIASAILQTSIAIEESQETVAVH TDPTYYSFAQSVYDSYKRLDPLADTHKIHFSAQDDQWALHFKCRSGMPLSQLKLRWES LRSVPLGDYHSEGSDGHGTGTSRYGTARKLSKVKYAALYYFHCANPGPHNNASNIGLH GQLMALLSGREKFSDEELDEMFETLRYRLDALAQADELAMVMGVANETFNAYSYVHET WKQSPEEDKLDFFAWRLLVEKELVDRPIEGHFWPKPVKFLCACLVMSGLDYDEIEQRI EIAESYKRTQAEAISELYGSQIMRDEEVIRGREKLLNKMTSLGRKVRAVFRH AOR_1_1652014 MYQTSENTFVLSSIKLRDSSLDSGGRSSKPRLYRCVLVGLSTCF IVWTVLEALFIQHRVSTVDRIPPTPPRQFERIFIASTHWNNAVIQLAKKWGPENIFVS IFESGSWDDSKGALRDLDLVLDRLGVRRNLTLSEIAHQDEISRPPSEGWIDTPRGRKE LRRIPYLARLRNLTLRPLEDLARNGIVFDKVLFLNDVVFTVDDVISLLNTNDGVYAAA CSLNFSKPPRYYDTFALRDSNGDETLMQEWPYFRSATSRDALLAMSPAPVKSCWNGMG YSLLDLLREEINHLLRHTKITGVHELQYGIIQQLTEMDPVWWLFNALTKMETQLYAVP QSAAVPARNIPLGGTAYISRESGKSYVSFRAKADTNSNIVLRPTLLSMQVIREISSND VSVSQVIEFLNTSRRERRPVDKDLTTGAMQQIVTCPSTIHLQGISPLSDAITAQRSYL DKEVQAEIDILLGHDRELAWKYIQQWRRAASETIRLRFALWKKSEE AOR_1_1034014 MKVVELDTTVAELHTTHGVEWPLAVDLYHTYTHIDLHDHFKRET RFIEDEDPEVYYQGDGNFSRFRQWALCFKTIRFLPMVGPGLVILHVPQDFRKNIERAL SQFPERQHPIVQYIDLDSSNFEVDRQSALQGRKLVYWRPKSWMSKEFSLVAPEVSYEL NDKRFLSHPGIPTPTMEIIQLAQPKQQEYLARRPLPFVVKFCRCSSGQGTFIVTTEEA RHQMLDAVSRYVTRGGEEVQLSELVRSQRPHYGVNFFVDDNETTEPEFLGATEQVSTQ DGVWVGGIIDYNEQGDLERSLRDTMSAVAHSLRQSSYIGWVGIDVIFDHHDRPLVVDL NARMAGGIALSLFSKHFLSLGLPLAQVDTVSFAGPASRIYDILSAKIESGQIIVTLAM EISDADSMASVVFGGQTRDDLTTTHQWIKDRLLTSLN AOR_1_1036014 MSPRIHIIGLGSVGVIVANALASLRQRPDLTLMFHRRLSCEGQL SLTVNGIYNNVRSGFDVEEFHDGHWKRLPGQSGRRRPSSYDDPHVSPIDTLIVAVKAN YTRSVLNTVKHRLSRNSTILFLQNGMGILEEVDESVFPDPEQRPHYMIGINTNGTSRT GQLSAHHTSLGEMPFGMAPRLSSPELSHGQWHQDPRLIRSARPMIDALSNSPNLNVRL VSVEDVLHLQLKKLAINAVLNPLTALLECKIGMLYSSQQPWIRRLIELMLEEISAVLR ALPLPFQTSLDLEAEFAAKQLTSMVEAFARRLPQHSSSMMQDVGKGSETEIAYLNGFL IRLGMQMGINCPVNNYIMQAVLEKQESQRYGGDKQRASTHQQRKAQPL AOR_1_1038014 MDDKAKKALIEEAKHAQEVAQEVALSGAYIYPFKGIVYFAYHKD LWRPLLSQVGQISSLGFSVTGAMFFFTYVPQVAIMSFTSGPFAPISAALLILTESSTI TNFLARSFLLEEALTDTFDGTLIACGHESLVAEGRQIKPQAGRDAIARLGKMVKRPLD RMKPQALLHSLILLPLNFIPVVGTALYAYAQGKKLGPVAHTRYFQLKGWGEKQKDAWV EKNRGAYTGLGMASFFLEMIPFASIAFSFTNTVGAALWAADLETARR AOR_1_1040014 MRPPRLIFLVFCFIFFPIFLTLFSVLTSSSRVTTPSSFAGRATG LHALFSFNIPSSLFPPSAIISLTDDNSTFFLARPAAFGPLLPDKGLSGQLWIGSGFGD RTTAGAEGELGCSDIPGWGEGDGHRQDIPAASDALLGKPVPGGADPATSNIHRTDPKP DIDSQASPNDGVVTPSTNDGTDDHLHHPLPESKVAESGVSEQRGDSHQNRQTEHADIQ SLQESAEITGKVVLLSRGGCGFLEKVKWVQRRGGIALIVGDDTRGGSLITMYARGDTS NVTIPALFTSYTTAHLLSSLVPPQARGDSSADDAPGPRHTKLFGQSTTENQEIVPSTT SAAAVSPTSTRYAASSGGKSTTATRKAGFIQSLVSLLGIGRNSGRLPEDSQRPPSSGN IDWVLKDPWDDMEMSEDGTDRVHNRAKADSGAGERRKSDVSSQEDDGDGFVIGVQDWR DPDLLVPISSSIPLPSSVPESDASKTQTTGKGSRPTGASLKGGSITPGSGEYRTLDKS KTSKAELHSSNHKSLTSDTQGYAKQSKGWFARHFSWTKRGEKDSSRPVRRDHIEDRKL HGAAAVQGLQNTGQLEHEGLWVTLTPTSMSTSPFFDTLLILVVSPLLTLTAVYALLLL RSRIRRRRWRAPKSLVDRLPVRTYHTINTSSSSTSSSSRSSSPGPVSPTSPLLGSRNR SGHRRSQETAEATVDLKSPKMKSSKKEKAGSSSALWRRKYTGRQVECVVCLEEYVDGQ SRVMSLPCGHEFHAECITPWLTTRRRTCPICKGDVVRSMSQNKAAETREPAESIDHTY PHELDSHPNASSAPVPITNNGEDEVSDLERRGGSDAGLLERHSSSAPPPNWRNFAALS FSALSGDTIWHQGRTDRER AOR_1_1654014 MSHLLHKVKDAVTGHHHDSKEHHPPINMGPIPATTDLTNMDRRR ARGSYGSSTNTYGSQTGQGTYGSETTNYGKLNPGNYRSGAGESGSHPAAGNYGSGAGE YGPHPNVRNYETNAGGYGSHHGTGHYGAGTGDFGSQAGTGSYGGYGSGPGRYSLGTNS YGSPGGAPGGSSYNTPGSGIGRHSEGAYGHEPSKLGSPTLESENRYPAGGAQRSLW AOR_1_1044014 MYQDDIYELRNLDDLPSSQAICLTKFAKAHPRNKGNKSWKPLQL ESTEDSGSKDSQTPMTLFGPGQSKPRSRLSALHRAHSGECNLNKHSEGSSASSQFHSQ SYNYSPVVQSGEGAWPTNADIIHYQENPLNYSQTYGYSYPYSHSQQHSCISESPFLTD DGYHSSEHLPWSTDLHSISSGLSFMGVSQPNLYNQNFIAEGNIMTDSSLNIYSASFDT PGNRSPYESVPVHYDHTVAHESFLTLHPSLSEPHEDRSRDFSSISWDPSLGAGHEHVS SSKPSTPVMECQSQTSELSRSPLSNDIDAPQMPRADTLYPELDSPFEDDEGYTNEEKL TLLKSAVYEQISAEQDNVSAAQMEAYDAHIQGTTTMDSSNDPLVTEKRLDEANEWFRK DARGQEQLRGQVTDIAQNYAEMIEGFSGATRALQESTAAKQMILLIGNVIVNLHFYVS EGSERDAADFANFEDVDSCYCEPSLGGRRSYFDRDPSAGHWKLRLGRAPSTMSYLPES NLSSSHTRAP AOR_1_1046014 MMLSSKSSICVGADGGWAPVSHLPYLQSSSKYTITAVCNSSLES GNKAISTYNLKSAKAYDAVESMCYSDTVDLVVCVVVFFTHYKFVKPAIERGKDVYVEW PLCTTTEASKELAQLTKEKGVKTIIGVQSRVGHVHSTLQELLDSGRTGRVLASHIVGS STTPETGDRLDKRYLYFKERHTEGMKA AOR_1_1048014 MCTTFKAAIFDMGGVLFTWNPIVDTQVSLKDLGTIINSETWEQF ERGKIEPDDCYHQLGSQIGLPGSEIAATFRQTTGCLRPDARMTSLLRELKGQGVAVYM MTNIPAPDFHQLREMHYEWDLFDGIFASALEGMRKPDLEFYEHVLKQIDTSAAETIFV DDKLENVIAAQAVGMVGLHLTDSLATCMELRQLVGC AOR_1_1656014 MHSWLAILTLPIAWGLWRMVYRLWLHPLSGYPGPRLAAVSNLPY FAWTCTGNLHLRLQELHKVYGDVIRIRPNALTYRTPEAWTDIYGHRKPGTLPFSKDPE FFMPAQAGSSHMINANEKDHTRQKRLLNHAFSERSLRQQEHLIMGYIDLFIQRLRGQA RMGAETVNMEEWLNFLTFDIIGDLAFGEPFGCLQNSEYHPWVATIFKSIKTGAILRAL NIYPILLGFIRRFLPKSLVQKRIAHYQMSKDRVTRRLQTETSRPDFISYILKYNDDRG MSTPEIEMNAALLIQAGSETTATVLAACLYFLQKNAACHRRLVQDIRSAFTQETDINF LSAAQLPYMNGVIEESLRLFPPAPGIGPRVVPKGGARICGRYVPGGVSVSVGHYSTFR SARNFTRPNEFLPQRWLDRDAESEFASDQTMALQPFSYGPRACIGRNLAYAEMRTILA KILWHFDVQLDERSADWANSKSYIVWEKGPLWLKLHPRNVPQETD AOR_1_1658014 MTRQSHYQAIILDLGNVVFEWDTSQNPPTAAPNQISLLRTSMKS PVYHSYERGQLSTEECHRLLGESLHVDPGQIKEAFDLARQSLRSNPALLDFIRQLKQT RGVAVYAMSNIPQAEIEYLKESRAGDMEVFDEVFASGYVGSRKPETEFYRRVMGEIGL KAERVVFVDDKEENVDVARGLGLYGVCFGGVEELRGHLLGI AOR_1_1660014 MTDISTGVQLKPGIGDGTVYNGNMSKDTLVNCSPDPENPEKGQA SSPRTQISVDDNEESTTEYPSSWKLAMIMISLCLAVFCLALDTTIMATAIPKIADQFN SLNDVGWYGSAYLLTTSALTLSFGKLYSFYSIKWVYLQALGMFEIGSLICGATPNSLG LIIGRAIAGSGSAGIYSGSMLIVARSAPLERRPLLTGILGGLFGVASVVGPLIGGAFT DNLSWRWCFYINLPLGAVTGLFLILFFDGAKATTQRATIRDQLSQLDLLGSLCFLPAI ICVLLALQWGGTTYPWHDGRIIALFTVFGVLLLAFAGVQWWRQEKATVPPRLIANRNV WGAALFSFCLNASFIIFTYYLPMWFQSIKGVTATQSGIMNLPMVLAVVIFSIISGGLV GALGYYTPFMVIAPLIAAIGAGLLSTLRMDSNNASWIGYQILYGVGVGCGLQQPIVAV QGSLAPADLPTGTVIVMFMQTIGGAIFMSVGQNVFQNQLMRNLATQAPSVDAARVLQA GATMLRKTVSSDLLPAALRAYNSAITEAFYVAVAMAVLALPGALVMQWISVKGRQL AOR_1_1662014 MGEQTEFEPIQLSPLDQAIPPVYIRILLCFSVVNVDRAISQLQT GVSSLLSALPFLSGDVVRYTAPGKTKWLYQLCPPTHQVQATGVLVVKHHQMRCMVDEK RFAPSSTSHIPLSPFAEPARPAPIFRVQANAYIDGITLGFAFHHIAVDATGMGVIISE LARHCRSSPPPSLLCPDYERATRQLISNSRATECSGLDHSGDYISCQALSPPAEGSED ASPSIEISTETRTFVFPAARLESLKNACIEMLPTLDQQQRQQNPCLDEPARTKVPWLS TNDVFVALLWVCLTRCRYQEDQNSGLPSDEHTRICMGVNMRSRIQPPLSADYLGNAIL SLSFKLNVNVFRRTQVTNESIEGVDSKDIEHKQWLATICRVARNIRRGVNGMDDSYFR SVVSFLEDSSDCRLFDYARCDFCVPSWRHLCVYHADFGEMGRPKSLEVFDVPGDGSFC ILPQHYGAAAPWEVCFSVNSQVLGRLRRDPILSRYISHEN AOR_1_1664014 MATFLLPVAIGTIILLFLYGKYVTSTLIPGPPTLPLIGNLHQLP SDDRRHVLAQWHKKHGPIISLKFGWSSVVILGNIAVTKELFGKRSLKYGSRPRMVMAR DCMTKQMQTSTLPWGEKWKIHNRIQLSLVGGPKIRSYQSLLDIESCKVLYQLLSTESL VTCFNRFKFNIIYTLAYGKDPDQNESDFHEILELADHFTQTLTNATWVVDLFPILNCL PRRLAPWKAVGDDFHRRAMGWFRRNSEAAVKSNSWNWTKHVQFNEDTGNLSVSEMQYL IGVLFEAGVDSTATVLHFFVLACTLYPDAVTKARQELDKVVGSARLPTPKDLPQLPYV KAFIQEVLRWRPITAEGLPHFTLEDDKYQGYDIPKGSTVIFNYWSGHMDEDTYQHADQ FCPERWIERPDLPLGVFGYGRRACAGRRLALMSLETLIPKLLWAFDFRSPAGTDHGKS RDPGTEHQGALIKPRSFPVSWHPVSNDRRLIIERLFQERDKDLDTVLDDIGKAFERY AOR_1_1666014 MTGNEQKFSLQGKVAIVTGAGSGIGRETALCLANAGANVVVAEA NETTGKETAAKVSAQTGSRGLFILTDVSRSESVQAMVIATIEAFGRLDIAVNNAALHP DASPIAELHEDHWQKIIGVNLVGVAFCLKWELQQMIQQGGGGSIINISSATINRPQEK MSAYIAAKHGITGLTQTAAVENGRHGIRVNALAPGGVATDLTMATMQELGLTEENEAA RSSLFKRFAKPEEIAQSVLWLASDAASYVTGATIAVDSGLSLI AOR_1_1668014 MENHNEDHSRHILQSIAIRWTDDMEALPTYLESFWHSGGGTMGI SILVMLSTFLALGTIFVYRIWLHPLSGFPGPKCCSVSSIPVAWAQLRGRNHEFVSSLH RKYGSVVRISPSELSFISGAAWNDIYSRSKGRPALERDRTFFNDMLVDPETITMANEA THSRIRRAMAPAFSPRALLEQEPIIQANIKLLMDKLEARAGSGGAPTDLRAWFNYTTF DLIGDLAFGESFGCLATSTCHEWVQFVLDHFYTSTLLHVVHRFHPFNRVLAALLPKSL IEKRKAHDSMTLTKVHRRLEVQGRRNDFTQHLLDAAEAGTLSSREVEKQASVLILAGS ETTSVALTFAIYLVLTNKPVLDQLNDELHSTFKEEQEINLLSVNQLKYLHAVIQEALR FCPPISNGFPRQTPPQGATVDGMFIPGKTVVNINHWAAYRSPRNFTLPEQFLPERWLG DPRFDEDAKDVFQPFSVGPRNCIGKKFAYDSMKLILAKFLWRFKPTLLDKSRSWLAHQ PTFVSFHQPPLLVDLEIKGSDAFPVRE AOR_1_1670014 MTKINPYKGILVELKDIVFTSSSDQIKLPINTFKSILCCGATAQ YQCGKINRAQYYSRLARDFALSLADVTALFDTVQATIRPEESFLAFLAELKSRFGEQL KLYAVANMSREDYAMLKSLPIDWSLFDGVFLSADLGMRKPELRFFRHVLESISMKPED TILVDNDTDNILCALSMGLKGILFGSTSVPQALTNLLEYDHISRAEQFLRSHAKSLHS VTHTGVTIRENFAQLLILEATGDIDLVELEYHPTTWNYFIGTPVLTQTEFPHDLDTTS LATTVLDRPKDIANEIMDEMLKYRSDDDLMLTFFTDFKNRVDPVVCCNVLSLFYKYGR GHELHHTLAWVRQVLIRRAYINGTAFYPMPEAFLYFFFRFLQHITHLPQLYDGLKVLL KERLQERVGVPVDPISLSMRLIACNGVGIHDRMGLNALLSMQNPDGSWDLGTMYHYAS KRLPIGNQGVSTAMAIKAIKQCQANQCAGI AOR_1_1672014 MMGLILAFAVILLSTCWFLWYSRKAVFDRLPPGPRPLPFLKSRQ ELRQTRQWEALDDLHRQYGPLVGMTWGGRPAVLIGKREIAKDLFGKRGSIYSSRARLV MGLDIMTGGDHVFFLPYGPKWKKLSRIQATFLNRPAVKHYRPLQELESLHTLQDLLHS DDYEACFSRFQASLTHALAYGTRLHSATDPQLTELENIARTFISAATNSHWMVDSFPI LKYVPACLAPWKRFGQQIHAQTVSLFQGKMAVAEHTRSWNWVKHIRALKHTSGVTDHE MVYVIGSIYQAGVGIITATLRLFIMACVLHPEAVKAAQDELDRVVGSDRLPTLNDLGH LPYVEAFVKEVLRWRPLVLAATHSVTQDDDYRGYRIPRHAVILSNQWAMDMDREVWDS PEQFRPDRWMSDRKRMPSAFGLGQRMCAGQYMAMESLLIMASRMLWAFTFEHAWEGGK RVEIDSWAFHEESLFLVPKPYRARIQPRDPHRLHVIQSTWQAAEKDIDPLLDQIGREI HTASA AOR_1_1674014 MDLEPWGPLYRKQDQDGSLDNIAVVSGDIPSPHPKNEPSQTSTL HIPRDSDLDSDIPSVPTALIIAWGLTLSSLTGDEVVGFDLLPFRGDYQDLGARPYHFL LKFGYREWSREAATTGMDLGSASSEGLPQGMGNRPQIAPSRFRNVLIIKQCSDAPGDS GSLESPSLGNVDKDEPTDAFRADMFISIQCGIGRTGIDVHCSFDPAFWTSENIRMILL DLSRNFKEVMRCGRDDTPLTCLEGMSPKGLDCVLRRNIIPPPPKMEACVHHRFQARCR QNPSALAIDAWDGQLTYAELDSLSSQLASRLVSSITICPRGFMGVLMEKSAWVPVAIL AVLKVGSAFVFLDGSQPLQRLKIICAETKSQLVLSSAHYREKANTLGPPVLLVEKNQS GLGQAKENDSCPSLLEDYPQPQSQPQDTLYAVFTSGSTGEPKGAMVDHGAFCTMCGPQ MAARPTTNVSPRVFQFAPHAFTVSILDYLGTLLQGGCVCVPSEEELRNNMAGAIEGLS ANIVTMTPSMARVLDPTQTPSLQLVLLAGEMMAQCDLDKWSQCVRLLSLYGQSENAAG SMISEKSIVPRAPNTFETLTPGFQCWIVSQDNPHRLMALGEVGELLLEGPALGQGYMN DPIQTEDKFICRSFCLEYAHSGSPQSYRLFKTGDLVRYTPAGEIELLGRKGAEVKLRG QRIDLTEIEHHLRCLFPSATRVVADVIIPSDDIDGLHPVLAAFVQVDSVSRTGQSAEA TFASPRPEFRAEAKAVLSGLCQTIPSYMIPMTIIPTEAFPFTATGKLDRRSLRQYASA MSRSDLLKYVTDDRGPVVTAVTPVEIIIHDACVEALGVSSDKVGMLDSFPDLGGDSLA ARRMVSICRTKGLELAVADILAHSSLTSLAEKCSAGGGGAKQISQGVEMLDPFSTAKE EFLSHLPSFLPNADMIADVFPVQGAQRRAARAIDTFIFRLSGPVDADRLRDACQVLQQ AHLALRSIFVPFYGKFMQVVLRVPPLDFTRRLLPDGTDLVKWAESIGQADKTQRPPSE EFVVRFTLAETAGTPDYSIFMMRLSHAQYDAGCLARIISDLWAVYEQKQLVVKSDFAQ YARRAVQQTHLLSMEAFWRDLLAGTTGLTPLPVTGISAEEERTIIVQQRVELKEPPPT GISMATVVRGAWSWVLHQQTGNTVVVFNEMLNGRDVVPLEDTEPVVGACHSIVPVCVH FPLPQSGRTPRELLSALQEQHLASLTFTTLDRDYLIQNCTEWTSHQSGFILAYQNFPE ICDLVIGEDLSCQWASQVLDLAEPGEAWVTATPLPGALQISLRVSTAAMDEQEANAWI SALGQTIIRFLDSPDSVL AOR_1_1676014 MPSKYLYRSHQEVARAHFNDLNAAIQEVELEVDGSSLTLATVVA VSKFSCQPFLTQKSDTLNGINNSVLTLKECLANRHPIYGVNTGFGGSADSRTDHVITL QKSLLQLLQSGILTSKDTASGNDGSNTVHGSQSMPSEWVRATMLVRCNSVVKGHSAVS LPAINGLLQLLQKNATPVVPLRGTISASGDLMPLAYVTGAMEGNPGIFVRVNGDVITA QEALKKIGMKAVTLGPKEGLGLVNGTAASAAVASLALYETHQLALLAQIVTALSVEAL RGSTESFHPFIAEVRPHDGQAEVATNVLSLLQTSQLARGERPTTVKTGLAQDRYSLRT ASQWLGPQLEDLLLADHQIGIELNSTTDNPLVDSAARTTYSGGNFQATSVTSAMEKTR LALQMIGKLLFTQCTEMIDPSLNNGLPTNLVADDPSLSFTMKGVDINMAAYMAELAYL ANPVSTHVQTAEMHNQALNSLAFVSARYTMQAVDLVSMMTACSLYVACQALDLRVLQL SFFKILQPLLLHSLTGAFCNFIREEELQEACQRLSEESPSAWESTSRLDLHDRCEKVI DILLPTILSYLTRVDRQKRSDVCLIQVLNGWKASVIPSIKEAYLLVLQDFCKTQNTAE YLGSGTRIIYAAVRHQLHVPFHRGFIEHPVEGEPSVNSIDGRDKKTVGGWISVIYQAL RDGALGETVNSAF AOR_1_1068014 MINIRSASVAILLAPLCHAILASGQQNVLSPPRRKYTLDDIKPL THAGSGEVKCCPEGTLFDGQSCVLGVPKCPVNTVFQDGKCVSVSKPTCPPGEEFNGRV CVTEASPYCPPPTVFQDKACVSKEPPTCPTGFELHGQVCVSKLHPTCPNDDRFNGQDC VSSQGPTCSQDTTELSNGVCVSKKQPSCPTGSQFDSTVKRCVSEQKPVCPEGTVRKGQ NCVSVQGPACPEDTTFNPKTQTCTLTQDPTCPPEQEFENGQCYSTIQAECDSGTQLSV DRLSNTARCCPVGLTWNGEVCLIPVDVDGNCPPGLIKIGNRCEKQTVTIPICPPTFKP QGSRCVSVEPPECPPDYTRDGSVCSSLESPQCPEGYQLKGSDCVSTETLACPEDTKLE GEHCVSIDAPTCEGDARFDGTNCVVTTPECETGKYFNGKDCVTINQPSCTSGTIFDGH RCVSSNRPECPVGSLPSHGTCITNSRPSCKSGSVLVGDDCVTGPPQCDKGLIFDGTQC VTIDHPTCPPSYKWVNEECVNTEVKGCEPGYTLRNGKCVSDVRPECEPGTSFNGTACV GDAPECPPDTVFDGTECASEEIPGCPTGLKFNGKKCVAETDPSCPTNTKWDAASKECI GDKPPQCPPGQEFNGEHCALVDGECMEFEYCPARSLGVVLFDGAKM AOR_1_1070014 MILNLPLLAVLSGAGVTWAASSPNCLSGSSFDTCCSDRDAVGKG TVDGVVMNYSCGTYAKDETVGFGTVHKGAANAKDCAGLCASDASCQAGFWSKVGSKCY LLNGNGYKTYHNKGTFLLFEKTTEDPGSVDECKDRVDSATSQCNAEKDKIKQQGSQLL QKCQDEKDQAITGAGSKCEAEKDAIRKENAQLAEQASKQCEADKDQLRQQAATAASEY EKKIADLQSQLTQCQANVPTAKPVPAIDPNCESNSWVNMCSSCSQDTFVIDGKEFKKK CGVRTVGAREEQWLYRASLIASLPCPHKRRTPSQKRACLE AOR_1_1072014 MPTSHGVFNGNVNQFDGHWIVDGNSVQLRGNFSQSVGHFQSSNA TLEYDSTEDLAGPYVIDNAQSPSHVGHTDVALSLVNQDGRKVKITGSLSFPIPESSTL FGHGAWVIAD AOR_1_1074014 MKDPNLIMEQKATMEPQETSGHAEPRKNTTKSVRVAFGPDLETH IPPRDKSPAPGPGHHRSFTTVERRHPPVTTPDRPTSSSAGEGPAVIDDNPRLTSDRAS DSARPSTLKRAKSDYGPRLGFDKSPGEDEEDFAMRHGWQEEYTSSEYLKILHSNFYMY FTEKRHETNGMPRDPVGSWPSQDWRMKDRLKTVSAALAICLNIGVDPPDVVKTNPTAK LECWVDPTSTTGGGQTKIMEQIGKKLQEQYETLSLRTRYKQYLDPSVDETKKFCISLR RNAKDERVLFHYNGHGVPLPTQSGEIWVFNKNYTQYIPVSLYDLQSWLAGPSLFVFDV SHAGNIVQNFHTFVEKHEKENIEAKKRDPNVITQNYGDCIILAACQKSESLPTNPDLP ADLFTCCLTTPIEIALRYFILQNPLQSNISIDDFRVPGRLQDRRSPLGELNWIFTAIT DTIAWNTLPRALFKKLFRQDLMVAALFRNFLLSERIMRTYKCHPISSPELPETHHHPL WKSWDLAVEMVLAQLPALIDHEEGRRQYEYQHSTFFAEQLTAFEVYLSSGPTEKTPPD QLPIVLQVLLSQAHRLRALILLSKFLDLGPWAVHLALSIGIFPYVVKLLQSAAQELKP VMVFIWARIMAVDHTVQNDLLKDNGIHYFISILNPSSPIPVGNASEHRAMCAFIVSIF CKNYPQGQNVCLSAELFDSCLRHLMDVENPLLRQWSCLCISMLWSDFPEAKWMGIRCA APARLCELNFDPVPEVRAAMLHAVTTFLGIPDLTDQVAQIEESLAMAVLPMASDGSVL VRKELLVFFSTFVRRYQNKFLVAAYDELQDEKQSLLSKVEQATPRGHSFEDAPNGSAN GSLSSYKTQKLSRNSTFGTIWKQLLILSVDPHPDIAQDAATIVDYIHLSLVQSPMASL TEKIRQEIMDLSNSLSQKTQIRERLESKKTAPPPAPPSVAPPKQEGYLSLSLKRTASV AASLKNLAFGGSLTEPQSPQLSASDKSRMPMTPRGRAPPEWTRPPEVNDQVAPATAYH QAPTPTSRGFEPKASSTPPTVPLMSRFLDWSTEYFREPQMKPNEPDEPGSADYNERLW RRSRNERIITETQPLKSKAGSSRWDTSIALLSNSSQPMKMCFHQFEDHIAVADDKDTI AIWDWQSHKRLNRFSNGNPPGSKINEVRYINEDDQALLLTGSSDGVLKIFRNYESSRD VEIVTAFRALPELIPSNRNAGLVLDWQQGQGKALVAGDVKVIRVWNAATEVCTNDIPA RSGSCITSLTSDQVAGNIFVAGFGDGAVRVFDQRIKPTTSMVKVWREHKQWITNVHMQ RGGLRELVSGSRNGEIRLWDLRMDNPISTLYATKDTLRTLSVHEHAPVFMVGTNRHEV KTFNVDGTFLSTFEPYSSFLHHNRSSPIASTAFHPHRTVLACAALNDNHINLVSC AOR_1_1076014 MAFLFKSKKNQQNTTLPPANRNLHTSEGASTNAPAAPNGVKERE GSNSQTPTPSSSYNNSLNSVSSINSPEQQRVRQRAESESQVQRPPQQSMNSNAPNTSP GASLYPWSQRRLNFSAPQTNPFPRYGAAINSVASKEGAIYMMGGLIDGSTVKGDLWMI DSSGGNLPCFPIATVSEGPGPRVGHASLLVGNAFIVFGGDTKVDEADTLDDTLYLLNT SSRQWSRAIPPGPRPAGRYGHTLNILGSKIYVFGGQVEGYFFNDLVAFDLNQLQNPAN KWEFLIRSSHDGGPPPGQIPPARTNHTIVSFNDRLYLFGGTNGLQWFNDVWTYDPRAN QWTQLDCVGFIPTPREGHAAALVNDVMYIFGGRTDEGIDLGDLAAFRITTRRWYSFQN MGPAPSPRSGHSMTAFGKHIIVMAGEPSSAPRDPVELSMVYVLDTSKIRYPTEAPNGD RAPPPGMRKGSADRQSPQAGRTSREAHNQPPDPRRPGASRESMMSPTGRPADVGPTSG PGSRLPRASIAQAPSGPPPPGQAPTPGPRGSTPQNVMNPRSKTPTKTDRSYGGPPVDT VRAMAADGDRESPIAKESPKEARPVHDSGAGSGDRRTPNQQSRMSARAMEAGEAAPLI SAPARQRSLRQHRQRSSMDSADESVLGRNASIDGSVDSRGYRNSKTLGDEPRSPRLTA HQEALIKELEAVKSRNAWYASELALAKKAGYTPNPSSSPTLDERAGDAFADEDRPLIE AFLAMRAELAKMQATVDRQAAIASKRVAEVEHQRDVAVNEAAYARAKLAAHGGSQRGT PQPDGRSQDPEEVMTERGTDISRRLALALASQNELKSKLDTVTSELEQEKRGRELAEE TCEATRRRLAELEMQNNALEAESLRAELHQLEASMREEALLRAEAESATKQLTLDKEE LMKKVEDSSIHLKDFGTNLGVLREAVSASSGKAALLEKQLEEERERREGLEKKLLQLR SEHEEQNAELENVVRRLHDAEELAESNAREAETHKNAFLTGLDRASSFDSDSSIRSLA DQRVAALEVQVERANRLAKASQTAADEAADKLRRAEERIAGLEAYQEQASREGLQLRR QLQAAMKEGQSHAAENRELKAQLENHQRESGALAIQHAALKDLLGERGVNYSDSRRSP QLDSPGSRFGTPEQSRLRELEQQLSTSLKAHEELKASFETREQEADRAYKEKLEQLEN DYQSAVHYVKGTEKMLKRMKDELTRYKSQNAKMQSELEAAQNDRAQTSDRTPMQTEWA TERAQLQRSLTDLQQDTSSSIAKLESEIAKLKEDLSAAEADHEKARSEYESSQQELIA SAEKSRAELDQLKRENALLEGRASDAEQKVSMLLDQVEASVGHYRRQSQHGQNMNGIS RTYSNASSNTISRSRANSAVSQEDPFPDNRGSMALDSLANELETLRSHWESTNRNYRL STQSDFDRTPTKETGLSDSLAEWRRRLDDDDTRASSPEKSKPRNTEGGPTTTNMI AOR_1_1078014 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVIDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGTLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFSIYLNYTRSLRFDDKPDYSYLRKIF RDLFVRESFQYDYVFDWTVYKYQKNAAMIVDASNKKDKEAEEQQRRQGVAAAAPMGTP GAAAKPGAISSQRRKVIERGTLENTPDTNRAVGGSDRMLRSASKVAASGAYGPTGSRS KRDDGYGAQWY AOR_1_1080014 MEYPYYPNPQSQPFPLYNLQGAPTLGQNDDDNQHRNPQTDDIQD TLASLGIQSYQSFDPSFRFQNPAASFGHPPHSPPESFSKHSASGSDIFGQPTEPTSLD GEPGFGRSSSEEKDSMTPAQSKRKAQNRAAQRAFRERKERHVRELEEKVNNLEQASSN LVADNERLKRELARFTTENEILRATSGSGDRTHNHSDEPTTTGPLKYTPTDFYSELVP KGEPSRLHRVSTCAKTGEKLLGAGATWDLIQGHELFKRGLVDIKDVSERLKNITQCDG QGPAFPEAEVLKAIEESAAANDDDLL AOR_1_1082014 MTLLPYRPAHIAGSSQKKTIIPSLTKRRGVFERDKLEHVKSLLV ELNADGFPERTYSKTRLSILEKEINTDDPNAYFDVPEEHIGEVENALMQVSSEGPLEN STIEAAKEVRVRRILDAEMQLAEDPDAILEMAEEQLNEADNTPLHGLVQSPSRMPGGS SMRNIVSEPVFKTRMQLLNGGRYYNGCRRQNLRVDIYLPMNIIATINVNKYPQHRLLV RAELKQPGERHPNCWARNVLDSDAGARLAITITREEGDHFITVYATNNGYWAPYKANT FVDWLNGMGYVQISQTPRRYLHFQPHLLEGLPEELMYFVNGGYIDDKGVKFKNESERE SRT AOR_1_1084014 MQVEVNPNEDTEWNDILRKHGVIPEKPQDPEPLIQEALVEAERK AYENRLEDKDLDELDELEDEEDEEFLEQYRKQRLAELSTLQKTSLYNQVYPLQKVDYG REVTEASNNAFVLVHLSSSSSGNVESQRLTELWRQLATKFGDIKFCEIRGNMCIEGYP ERNTPTILVYKDGEIRRQLVTLRELKGPRTMIEDLERMLLDLGALKESDVRLKKRSDD SDDVRPSKIKQSRVDDDDDDWD AOR_1_1086014 MSYMKKDEDADQTMIKLDRTSVFQDARLFNTSPISPRQCRTLLT KIAVLLFTGEQFPTNEATTLFFGISKLFQNKDPSLRQMVYLVLKELANTAEDVIMSTS IIMKDTAVGSDVLYRANAIRALCRIIDATTVQGIERLIKTAIVDKTPSVSSAALVSSY HLLPIARDVVRRWQSETQEAASSGKQSTGFLGFGGSSTHAISQSNFMTQYHAIGLLYQ MRSHDRMALVKMVQQYGAAGVIKSPAALVLLVRLAAKLAEEDQSLRKPMMQMLDGWLR HKHEMVNFEAAKAICDMRDVTDAEASQAVHVLQLFLSSPRAITKFAAIRILHNFATFK PHVVNVCNPDIESLISNSNRSIATFAITTLLKTGNEASVDRLMKQISGFMADITDEFK ITIVEAIRTLCLKFPSKQAGMLAFLSGILRDEGGYEFKRSVVESMFDLIKFVPESRED ALAHLCEFIEDCEFTKLSVRVLHLLGVEGPKTSHPTKYIRYIYNRVVLENAIVRAAAV TALAKFGVGQKDPEVKSSVSVLLTRCLDDTDDEVRDRAALNLRLMAEEDETASLFLKN DSMYSLSTFEHQLVMYVTSTEKETFAAAFDVSTVPVVTQEQALAEERTKKLTTATPTL KAPSTGPPKSKANGVAEAATVAATQKYAEELMRIPELKEYGTLLKSSVPVELTESETE YVVTAVKHVFKEHIVVQYDIKNTLPDTVLEDVTVVATPSEEDVLEEEFIVPAPKLATN EPGIVYVTFKKLAGENSVPVTSFTNILKFTSKEIDPTTGEPEDSGYEDEYQVEDLELT GSDYVIPTFAGSFDHVWEQTGANGEEESETLQLSNMKGISDATEQLISALSLQPLEGT DVALSNSTHTLKLFGKTVSGGRVAALIKMAFSSKTGVTTKITVRAEEEGVAPAVIASL S AOR_1_1088014 MHPSRHIHLPTEVVVQIVSYIDGNELDRQRTLHACCLVSHQWYS AAIAPLYERPRVDSGVSFQRFTETISPPINARKNKLNLGSFVHRLNLSHLVHHSSNSL TSRLLGRIKENLEVFVAPTLTFSISSLPALSKCTNLRSLDLGLVQEAIPFPNLKQAIS RLHKLVTLRLPQSTILTDSESTKVPWPPALRRLQISGRFNPLLIPTFSWPPALTSLTL KNCSELSVSNLSSLMSSPQLGESLQRLTISGSNRGLTPESINAIPAFLPNLNFLSVPG DMVEDSFFIILCHVSPPLALEVLEFGFPCNDLKLSFETKTLISALDTGLASLRSVGFL EDLVSDERWEEDEEIDKALQERVKHRGSQPGAESRDDEEAGVYYI AOR_1_1090014 MRPHGLYRSFGLLHRAATRSFLETGAGRRFVQTTTTSPPRVPDF AFAFDIDGVLLRSSKPIPGAAESLALLKEQGIPFILLTNGGGKHETERVAEISEKLQL PLDPSVIVQSHSPFAELVRGPDEQSSLENKCVLVVGGDGDRCRQVAERYGFKNVITPG DIIMANPTIWPFSNVFKDYYKSFARPLPNPQDPKDPTKGLKVDAIFVYNDPRDWALDA QIIMDFLLSSQGVLGTLSEKNGRSDLPNRGYQQDGQPPLYFSNPDLWWAAAYHLPRLG QGGFREALEGTWAATTGGPSKGVELKKIVIGKPYQGTYEFAENQLLRNRSRVFGAEAN IPLRNVYMIGDNPESDIQGANTYRSPYGSNWHSLLVRTGVYSGGEPTWTPESIHDNVK KAVEYGLKSSQW AOR_1_1092014 MADSELPTRPKPEETPAAAPAEGAEQSKSASKNAAKKAAKEKAK AEKAAARAAQEKAQAAAAEANDTAKDLYGKIPESEDVLPTTKFDDITDDHYEKEITVV ARVDNARVQSAKLAFLMLRQQGKKVQAVIAAAEPISRQMVKYTGGLNVNSIVQVTGVV KKPQVPIASATLNNHELHIRKVYTIAEAAQQLPMQVKDAERPPPETTEEGNEVDADGV PIVTLKTRLDNRVLDLQTETSQAITWISSGVAELFAEYMIKSGSRWIFTPKLVSSATE GGSNVFEVKYFKRNGYLAQSPQLYKQMCIAGDMESVFEIAPVFRAEDSNTHRHLTEFS GLDFEKTFHGHYHEVLDFAEDLLVFILTQLKERYKDQIAVIQKSYPKAGDFKLPKDGK ALRLNYMDGVALLKEAGVDVSEQERFENDFSTAMEKQLGQIIREKYDTDFYVLDKFPM AVRPFYTKADPKDARFSNSYDFFMRGEEIMSGAQRINDVNELMESMRAKGINPDQEGF EDYLNAFRQGCPPHAGGGLGLNRIVMFFLGLPNVRLATLFPRDPQRLRP AOR_1_1094014 MSSIRDKRKQPSSHTGGIPYAKRSRPSYAEDDDEDEMAPTVTPY ERPRNHPIYGQKSAFPGLDTAGDDELFYGPAEDGLEYLRMVRSEANSLPFLFTAPQPT DPPVEETKQSETEQDLNEPQPEEEKKKTDIAQEGFYADGVYVAAPLTTSKTQPDTTEP AQSDAQSSYYNLLHHRFLLLRSILKCTPPSTAIAALDESHPISLPRRSRDARKEWRRL LLAVDPQTVQLACMDMDSVLGVLEVMAKLMSENIRSGDAERVRRIGAWAWGLLGKCRD VGQLASEEVGEIRDLGKRAAKILRKMREEDEKKRSAEADGNVSSGESDDENPADDQPR VEEETKENAEAPSDPAGEALDHDMPDAEQEPPAEELEMAKARLQAKIEQGDGSKSTEP EAQEDESKTEVNAVEVVMQTRAMLDMIITVVGEYYGQRDLLSDREPWNESTTLF AOR_1_1096014 MSPNLEITIPTTSLSPTSPPYTVYNLTLRLPLRSFTISKRYSDF LNFHKTLLTQTNIPPPAPLPQKTWFKNTVSNASLREDRRQALEAYLQAINDADDPRWR NSPAWRAFLNLPSAANASHTSTRLHAAITDPGSGADNPISDPTLWLDVYRDMKSHLHD ARLHLTRRDQETTPQKQHESSARAKSSLVRAGSLVAALEEGLKVMGEAANRAQSPSGK GRGGSLGDGELRRRKDLLINARKEKDGLEDLLNAMAAKSRVDHAVASVQDKEALVGSA SRKPARSGRVLGKETERTRELDNQGLLQLQRQTMEDQDQSVEELLKIIRRQKELGIAI NEEVEIQNALLSIANEDAERVHRKIDIGKKRIGKIS AOR_1_1098014 MADKEATVYIVDVGRSMGECRNGRSVTDLEWAMQYVWDRITGTV ATGRKTAMMGVIGLRTDETSNELEDDVHFSHIAVLSNLKQFLMPDIRKLEDELKPSKT DKGDAISAIILAIQMIITHCKKLKYRRKIVLVTNGQGRMSDEDLGEIVKKVKEDNIEL VVMGIDFDDPEYGYKEEDKDPHKAENETLLRTLVEDCDGVYGTFEQAVAELDIPRVKS VRSVASFKGYLQLGNPEEYDSALRIPVERYYRTYPAKPPTASSFVLRSEPEAGQEEAE SSEAAAATQKGSQSGDAGLTTVRTMRTYQVEDKSAPGGKIDIERDELAKGYEYGRTAV HISETDENITILDTFAGLELMGFIQTDQYQRYMHMSNTNIIIAQRANDKAALALSSFI HALFELECYAVARLVVKENKPPVIVLLAPSIEPEYECLLEVQLPFAEDVRTYRFPPLD KVITVSGKVVTQHRNLPSDDLLDVMGKYVNSMELVDADEDGDPVETFPIDDSYSPVLH RIDAAIRARAIHPDQPIPPPSERLTKFSHPREDLIEKSQKHLEKLIEIADVKKVPPKA KGRKRTRETEKPLSGLDVDALLHHEKRVKISPNNAIPEFKQTLAQAENIEAIKDATKQ MMVIVEDQIKHSLGNANYDRVIEALGTMRDELVSYEEPASYNDFLGQLKDKLLQEKLG GDRQELWWLVRRNKLGLVTQRESDQSRVTDTEAKEFMSAR AOR_1_1100014 MKYVLVSGGVISGVGKGIIASSCGLLFKTAGLTVSSIKIDPYLN IDAGLMNPLEHGEVFVCDDGAETDLDLGNYERYLGVTLGGDNNITTGKIYHHVITKER RGDYLGKTVQIVPHLTNEIQNWVEKVAKVSVDESGREPDVCIIELGGTVGDIESAPFV EAMAQLQRRVGKDNFLQIQVSYVPLIGSEQKTKPTQRAISDVRSAGLRPDIIACRCET PLEEATIQKIANSCQVERNQVVGVHNVSTTYQVPILLAQQGFLSTLSELLKTDSISKD QKLIDSGKLIWQEWQGLAMNQVHSLETVTIALIGKYTSLHDSYMSVSKALEHASMHCR KKLNLIWIESTHLEDEHKTNNPAEYYSAWHNLTTANGVLVPGGFGSRGTTGMVLAAQW ARTNNVPYLGICLGMQLAVVEYARHVCGMDKASSAEFDETCEQPVIIYMPEIDKTKMG GTMRLGKRATVFQPGTEWSRLRKLYGEKQEIWERHRHRYEVNPELIGQLEQGGLSFIG KDEAGERMEVIELKDHKWYVGVQFHPEYLSRVLAPSKTFLGFFAAAAGCLEEITEAYK DRHDLSSKLPMV AOR_1_1102014 MIDPRPYHILSYGTLLGVQVYQTFVSGIVAFRALPRPQFASLQT ATFPIYFSLQTALPVLVALTASNNGQPLGISGLLENPKTLLPMAAAAVTGLVNMVVLR PLTVNTMRERKHQETRDGKKSYDPPPHSKEMVALNKKFGRLHGFSSLINLVCLGATIY YGALLGKRLA AOR_1_1104014 MGLSYEAIVVIVLIGCIAAVLIGYSIHSLSTNGFQDDEQKVEIP YEQRKYMREYRLRNVQWLAREARGGRVDRDVEAAFPNGEERHS AOR_1_1106014 MFGIGDRLRMVKVKGAAKLFPPDEDREIPILAQFADYLSPEVCA VTVDDDGLLAGVSTDPKEDDTPFVAYLPISVVESLGDCRTVQYSKLQEVDRLGPGVGL LSYEDEYGIPHKVAFKFNPLDKPQRLQMAWDELNLLKSLPPHPNIVPFDRIVLEDVES QVIGFTTKYISGGTLDNINVPFRFEWLQQLTQLVDFLNLELGIMHQDIAPRYLLIDSD THKILLFDFDWAANGKKRLLEGRDDVTAVVFTLYELITNDTQFTSIPHLNRTLDMVQS ISEWACSLELDCDVSKFRNFLNDWVATRKSDGDLGTISQRTQLAYMAGTINRARVQCT FRAG AOR_1_1108014 MTVPRVLCVAEKPAIAKAVSQHLSGGSFQTIPVRGNQYVKNYVF DFNFGGPWGTCSVTMTSVIGHLTTLEFERQYKGWLSCPPGALFEAPVHITVDSDKAAI AKNIQEQAKYCKALFIWTDCDREGEHIGTEVRKQAKEGNARIVVKRAKFSNTEKAHVL NAARSLIELDDLQANAVAARIELDLRIGAAFTRLQTLQLKHISEALSEKIISYGSCQF PTLGFVVDRYLRVKNFKPENFWGIKVMHTRDGIKVNFLWRRVHLFDRAAVTVMLERCL MAKKAKVTKVNQKPTSKWRPLPLTTVDLQMMGSRYLRMDSQKIMKVAEALYTKGFISY PRTETDQFDKGIDLKKLIEKQFPDTNWGQYARGLLDGGYRTPRAGRHNDQAHPPIHPI CWVSPTALSADEKKVYEFVVRRFLACCSEDAKGQTSEVEIQYGDEMFHAKGLIVLERN YLDVYVYDKWESSQQLPNFQMGELFEPTEAKIFDGKTTPPNYLTEPELIGLMDANGIG TDATMAEHIAKIKEREYVAVHSRGSGRNAVKELIPTRLGVALVEGYDNVVTGLPDSPS LSKPFLRKEMELRMREICAGSKSRTEVVQQSLEMYREVFIHTQRRINMLKDAVRKYLV EEAAS AOR_1_1110014 MALPLPEVQSAVDCASFNHTVLPFLSQLITLPERLQVAAVAKDV DSLKDIYLSTNPFVTALGFSLALAVFFLLFSEINRNYSQVDRFWPFLPAIYNVHFAVW ARLSDLRTQHLDTIAVISVLWSVRLAFNYWRKGGYQIGSEDYRWAIVRSKVNNRFVFF IFNIVFISLIQSLVLLLLAAPTYNFLLLSRLPGGKTFEVPDLVFSRIAFFFLIIEYFA DQQQWHFHCAKHEYQKTARIPDQYKGQFTPEDLERGFTVSGLWSLSRHPNFLAEQAIW LTLYLWNCYRTESYAQWTGVGVLVLLLIFQGSTRLTESISSSKYPEYSEYQARVGRFI PRFSAKPKYKAKAKKKAKKTEKVEQSEEEEGKKHQ AOR_1_1112014 MATLLRRPGNLARYSRRAADYIYRAGPRSAHISQSRLSSLITTP RLRTYATQSPKPPNENDGQHQPPNQPGNGSGKDGEGKKPEEPQSKLTKQEQESVDQFI QHLKSKVPQSQHQMLDDMRSIMMSEGLPPEVRDFIQKHLKSGKPTSLMDYVNLTRYMA KYLENYASKLNELEEKRTRDQEKSGDGQSQKQQGQQGKGKNEWKPPPNARVFEFRFDP ASFLITSLLSYYVYRSFFPGENSKDITWQEFRANFFDKGLVEKLTVINGNRVRVELNR DAVSRVYPDSPATQPLFHYYFSIGSVESFERRLDEAQNELGIPGSERIPVSYTEEVSW GATLLSFAPTFLLIGSIFWLSRRAAGGAGGQSGIFGIGKSRAKRFNHETDIKIKFSDV AGMDEAKVEIMEFVSFLQHPEKFQKLGAKIPRGAILSGPPGTGKTLLAKATAGESGVP FFSVSGSEFVEMFVGVGPSRVRDLFANARKNTPCIIFIDEIDAIGKSRAKQSFGGGND ERESTLNQILTEMDGFNTSEQVVVLAGTNRPDVLDKALMRPGRFDRHIAIDRPTMDGR KQIFRVHLKKIVTSEDMEYLTGRLAALTPGFAGADIANCVNEAALVAARVNADHVTMK HFEQAIERVIGGLEKKSLVLSPEEKRTVAYHEAGHAICGWYFRWADPLLKVSIIPRGQ GALGYAQYLPAGGDTYLMNVNQLMDRMAMTLGGRVSEELHFDTVTSGASDDFNKVTRM ATAMVTKFGMSPKLKYIYYEEDPNQFHKPFSEETAKDIDTEVRRIVAEAYQQCRTLLT EKKKEVGIVAEELLAKEVLSRDDLIRLLGPRPWPESGEFAKYFDGAKGATIAPPEPTQ SSEATEGKDGRDQTPSPP AOR_1_1114014 MDGMGEGPDGMGFDMPMLMNQQPHLFGGYSRDSSRGSPLNNVLS NPTYNEEPGMAGEDNNDAKRRRIARACDMCRKKKIKCDGKMPKCSHCINYRTDCVFTQ VEKKRNPPKGAKYIEGLENRLGRMESLLRLSGLLSEDDGKTDLGTLEKRLADRSLGNT ALNSLKSPTNKFNGSSATSQSQHTTASRHSTPRMDSHSSPHTAATSPNSPKESETEVE GLSDMMCSLVTNNCGETRYIGSSSGFSIFSPKGIQWVNEKTGDTSFQEMISSAYVDDN KWMYWKPEIFSDIFARRVFKPLPPKEEALSLFRDFFENFNCMFPLFHEPTFMHLVERQ YSRDPYEGSGWWASINVVLAIAHRLRVMSNLVPQEEDKKAWLYLKNAMGVLTELTMRN TDLLSVQALLGMSLFLQGTPNPQPSFFLVAAAIRLSHSIGLHKRGSGFGLNPVEVEQR KRVFWIAYLLDKDICLRSGRPPVQDDDDMNVELPSEDPPDNIGNVPLSDGKGKFNLFR TLCRFATIESKVYKRLYSAKASKQSDGELLNTIGELDRELEEWKDSIPIDFRPEHEIK ASHTPLILHVVVLHFSYYNCLTTIHRMSVHHGYWTSRLSNYAIQGLNARPLNPRVFLS AVLCVTAARASINLIKYIPHGDFACVWLILYYPVSALVTLFANILQNPNDARARSDVK LMNVVVNFLSTLVSDESNGSIKRMLGLCGEFERIAQVVLDKAEKESHSKKKRKAAPDE PQDLRQKTPDENSVPSPSTKRPTGAPPTATLFPSSSYPINLGNTGPDMSNPTRAFAPG QTVLGTNGVPTSMQESMHTMSGMGHDFPEMLSPNNMDSVGFGDQQPFGTPTETPMTSF QQPFVPQDLWQMPMTIEWDWADMSSNFPVFEGTPNTGP AOR_1_1116014 MASTLAMGLGVATAAFLGRAGYVALRRARGGVNAAGKAFYKGGF EPRMNRREAALILELPERTLNKDKVRKKHRQLMLLNHPDRGGSPYLATKINEAKEFLD KHT AOR_1_1118014 MRVETCHFCSRPVYPSKGISFVRLDSKMFRFCRSKCHKNFKMKR QPRKLKWTKTSRAANGKEMIVDSSLVLSQFAKKRNAPVKYDRNLVAATVKAMERVEEI RQRRERAFTKRRLAGKLARDRKREEDRRVVAEGEHLIRKELRDREEGMPLVQEGKQNK IHSEERPRQKKKTRVLVDGTTQEEMDVD AOR_1_1120014 MFVPFIGLFVTLTFFGARLKVPGMKELTDRREPLFMRISSAVCI ASEANTILDIAQVATWAYKKATHDKNLLPYDWDNLWCSVNQEDLFTEKGLIVINNTET SLIALHPVCYEEDKQTWWNDVHGDENADKNASTTLPSFKEFLDIFIVISCITLHSWTR MSSWGRSAAKDSRPGTTSKTSDGSDEDTSIFIQIHPKVDNAISRNQRGQVLSAQSLDH STATEEDPEVDVVSTENTLTQLTPETCYFPERLWALDLYRPQNRALVNQNLPNLHNQG LVVKPDITYQNKSN AOR_1_1122014 MASFKELSLSRPLPQSNPRHHAHSISLGAVNANHRVTRRKSVTT AAAANAAAAAVAASLKDSAGESVGVSMPSHRRGSRKGLESSSVGAPSGFGSYFSRSMN SPSHEPPVARKASPTNSNDASSTNLATVDGNNSANKSTNNNKNRNRRASEGSHLIKSE GKRSMSDLRCDRCGKGYKHGSCLSKHMWEHDPAWAITSKLLISKHQQVQLLEAASVLV NMNQDGPPEGAEAESEASSASPGASSELRDGLSSAETTPPPMDEDDEDDEDMSPEPTM EKRFSVSTASGLFSHSYQSIPSSSFTGSAPWHSPAFSHYRHSSIDTRPSTAEAKLHED DEADLAAAIGLCTFNTPRTRPVPMSPSVPPVPPLPSRFLDQAGASGNESQSLDQSATK EADALFPNSTPNLFLSLSYNPSLSYKVSDEREVKMGDADRTTRQSRNADVDFGHRPTA ADEDDDGVFGRMEE AOR_1_1124014 MLHSRLRPLPRRRTPLSSSPAAPPPDFHDEDSVEDESAEDIFGA FLPHLFPDDAPSFHGDPGQHLLYSSPRYGTLQIMVPSYPNQSENRSEEIAAGQRSDVD HGRKLFAHFLWSAAMVVAEGVEKAEHLASLRQLDPDTAMWKVTGESVLELGAGAALPS VVCALAQASTVTITDHPSSPAFAGAIAFNVDHNVRKSPSTTEVIIKPHEWGTLDSDPW AVDKKGTFTRIIGADCYWMSSQHENLVNTMKWFLAPGGKVWVVAGFHTGRTIVAGFFE TAVNNGLEIERIYERDLNSGAEDGKEVRRDWVPEREGEGPENRRRWCVIALLKRKGE AOR_1_1126014 MAEESHPSHLSPSELGTKDYWETFYARTLTHISTKHAANNPHEE TTNADSDSDAESVDDDDDPGTSWFSEHNAPDKVLQFLTAEDFPLAPCNTVPAGINHPS ILDLGTGNGSMLALLRKRGGFRGVMVGVDYSARSVELARELQRLKIHSAYLTDEEDEE CAGDGNGNGVGEGEGEIRFEEWDILHSAEEVGEQGKLDWFPYGEGGFDIVLDKGTFDA VSLSEEVVEGDADASVAGKKVQRRVCEMYPGVARRLVKKGGFLVVTSCNWTEEELVMW FTREKGEGDRLEVWGRVEYPRFRFGGKEGQGVCTVCFQRV AOR_1_1128014 MPVQTSPPSVPDSFPSFMSVFGGRPPSIADKEEQATAVKPLEVP TNVTVNTSRRPLPFKHRESISSMTSASTDSSPTTTISTFDSPSGAETSPSSSPESPTS MPLSYTKFMPPPRNVESHSLSTAHNNLSKLSSDTPNPVRPDSPGRRARNLKNLSLRMP PPSQSSRPPIATASVVETTSQHHLSAPPSPVHIPPRSSRRKPANLTIRTPGFDRSFSS NISEIPPTPLSRQPLRHTESSPSLNSIFSPSFGPKGGMQLPRPMTHHGSRRLSASEDN PSPLQPVPDENSVSGGVLHELEEEDDHLDSRESTRRSERGYPNGPIQIYDSGVYLYLE PTVQEASQFDVVINVAKEVVNPFTNTTAKNGSVMSVLRNTSTNPKPSPIEVPGTAMSD ISFKSAFEYPPNESSPGTPRHDASKPEYIHVGWDHNSEILDDLYPLCELIDSRISQGK KVLVHCQLGASRSASLVIAYGLYKNRQLDFNSMYEIVKGRSRWVGPNMSLIYQLTDFR SRLLRGGPSKPAPEEWFAEGPRRGSEPQPSRTEALNQPEIVTPAGPASCAGLSQASTS SLSVPSTNQTTPTSADSLSFPKTPSHKRSLSPRPLTLRKSSFQVGDSAVRSIRPESVL NPWRTESGPYSLAKTDFFVRDGPVEPPALFSPRTTGFLAAPMSQSISSQLENNGLHGL RFGSRIADPRSPPPGNERLIMRNIDEFL AOR_1_1130014 MQMSHDLVHGMTPASALPPSAENHHSNSRPQRRQTVSAVFPTIH ATQRDAPLNSARISRASVYGSGVNHSSALASPTLTESPARRPRSNTLGVQTRFLPAQQ QSHLARLDQHCPGAMFVDDPRDAGTATALTSASTSDSPTITTPTAQDMISSPSKTPGA SASQPHTSVASAPKLPQSRFNFEYQLPHRRRTLASHSSVIDTPSSLNPTPTPQRTFLY DRPRRSIQGFNLSHSRAPKESSSLPPSLRLAIDDDSDSALATDPDPTIKALSPNATKR RKDSFYAQQTLPSVKRRMPSYTATYTEGNDRRRTVNGVNKYATEDGNRTPVADDARSD IFLNIARSDSSRRESLGRSELRRSRMRMSGSGFRSSTSRANEHTSSPDQLRLNTYESP LHSNNGSPSQPRSSLAYSYSASAHPLDDHSRSLHSGFISSSNRQPSSSEVTERPRAEP DRSRQDGTESTLSTTAPSTVWDELEDLKSRIRKLELTGKLPPSSQEAISSASAERPRT ATTTVTTVSSSPKRGHKTSTSSPGSDSIPPTNPVHPLLQSALSKAKTVLNKDVFTALE ATATDAISLSTTLSTNKAPSGGISVVNGYGPTDRLSRRKADSVCRGLTELCLALSDEQ LRRQQASSKPDEDTITQQPIGADDETLTPTTPYRRSTTQEPEGLSRRQSTRAASRRSS FANPSGNTPSENNKEVNWGNDTTFDAKQTQSPGSSLPTSRLSRLASLRAQRLQTDDEP AEHRSPHGRSISRSMTDISNQSSAYRAPPRQRFSQGFAASQAPQPQQDQTPRYSAQSQ QSQLPQPRTPTASQSGIPLRRTLMTPATSRSNIQAGSRRYGLPSGISTPGKANDDAPI SPRQDPSQTRIIAPSAKLAASYTPISRPRTNSFGTTRRFGIRQRPMAISDGAVNSFDD NID AOR_1_1132014 MDSSELHDTQVVDETMTDAVNMVDEGDMDITPKTEEEYAQSMLT LRAIVSSKEAGVIIGKAGKNVADLRDETGVKAGVSKVVPGVHDRVLTVTGPLQGTARA YALVAKGLLEGAPQMGMGGVVSNNGTHPVRLLISHNQMGTIIGRSGLKIKHIQDASGV RMVAQKEMLPQSTERIVEVQGTPEGIEKAVWEIGKCLIDDWQRGTGTILYNPAVRASV GTAPVNQNVGNGYSSRPYNRTGNGADFSDQSGGYGRRSNPDTSNRGYPLVTEDGEEIQ TQNISIPADMVGCIIGRAGSKITEIRRSSGARISIAKAPHDDTGERMFTIMGSAQANE KALYLLYENLEAEKTRRSQLPQE AOR_1_1134014 MSTENKMRAVVFHSPYKVAVEERPIPKIQDSGDIVVKVTYTALC GSDLHTFRGIEPAGTGFVMGHEVTGEVVEVGSGVKSIQKGDMVVSAFTTSCGECFYCK QGFSSRCEKSVLFGCDHLDGAQAEYVRIPNADGTVMKAPEGVEEKYLVLMADIFPTGY FAASNAFKGYTPEQISEQTVVLIGCGPVGLCALINALEFKPKHLLAVDSIPSRLELAR SLGAEPWNFQQDREGLDKRVKELTNGRGADAVIEVVGLSPALRTGFDLLRPWGTISSV GVHNGEIPWAGNDAYDKNLRIQMGRCPVRSVSPQALDVLKKNQHKLGFMADKIMPLSQ AVEGYELFNAMKVQKVIFKAGE AOR_1_1136014 MGLAYNVYLTSNKIFGCKQCKTHLADYDDIISRNFRGQHGKAYL FNNVVNITQSEAVERSMTTGRHIVRDIACRQCRETVGWKYDKAYETSEKYKEGKFILE EELLCVVC AOR_1_1138014 MTDDHPSAAEFDPPETNLPTTFAKEIVSLPTSMSADLFAEFGLG APANQSSGATAQQGARPAGTTSSLIPELEIFDNAPPPGVSTSHTIQPQALSTHRNTSE KSNVSHLTHYGNDSNVLFDATLEDPPDDNSEDWGEFETADTPSCQAAPAILSDTEGNA SRRPMKGPNEPKKLAVSPGGLDLLDLLSIEDKPPQRRSQSSRTLVNKSSSHKNSKVVS TASGVAEDEDAFDDWGDFVDGPPTEPPKSVGGHRLASAQVPTQKAKIAANLEKKISPT FNISSSAVSPAQIRPTNIPPPSVLLELFPRLFDQLRKEATEARKNAQEKQNIENVALL ILSTLKAAARVVAGRTLRWKRDSILSQSMRIGPARAGKSGGMKLNTVNKNEDIKEQQE AVDVISMWRDRAALFNSVVQASGRRPISAIPTNIRAMTATPEQGALKASHACALCGLK REERLPKIDDQVEDSFGEWWTDHWGHTECRQFWETNVALLDQR AOR_1_1140014 MRSIEEIRQRWSVLFRDNNNTSDLRATLRSEQGENLCNDGLRSI CWKAFLHFDNLDRTRWPQKISESRSAYGALKAHFMKYIEHPDDLQSTVDPLADDEESP WQTLRQDEQMRADISQDVDRCLQENFFFREPTTKAKMTDILFIYAKLNPDLGYRQGMH ELLAPIIWVIDRDAIEATSWEGVDDTEEDDSSMLQLLDASYVEHDSFTLFCSVMQTAR VYYEHNRQRSASGQMDVVPIVNQCEHIHNDLLTTTDLELADHLQALEILPQIFLTRWM RLLFGREFQFQDVLILWDFLFSEGLRQELVEFVCIAMLLRIRWQLLDADSSTALTMLL RYPSPHPHEPYSFVLDGLYLEQNPTSDRGTFIISKYSGKPPDSLKRASQPGTRHVSGR KFHTRGDARNMSEASSPSRSPARNSPKSLEALFQDVSEGIQRRTESWGVAKAVRGAVS EAKRNMQTIQSEHNPRIMRHGDSPLSRTSDARWAQESDTVAQLKTKVQELEERNRMLA RSLGQALNDIRSRMMKAEGLDHATADAMKQGLAKIQSVQTCLESTSAPLRSANRSPSI AGDTGSAKLRDQSDVRGDKANRSPSVTSRVGESGTSSSSSVEGELKAHDRARIPTSLP LRPATRPSLAESEFSWMLGGSRHISSFVSPASVPPEQTRHGTKQNVLFGNGDEEQRPY AEPNDLALRSLRGPKSKQ AOR_1_1142014 MGSASYNPDGEPSPLSSPLGPLSESPETDPFIGLDPGLETLSSY DHLSRSPLIEHEHGLGPSGLDRIRQPSRVLTLPNMSTSSLIPVTSRSHSPSPRSRSTS RTYSTRISLDDAGALEDLHRFPCESLHSFSFSQQSEELLHSRQNILKRSIDFMRDRFG WAANSPGIVNAQARISGDAEVQSVVDLLSKANVLGRDDRYNYARGPMTGPAVVDTGDV FEKAFFESQRPMAEIKELPRGCAPRTEQADTVSDLAGPQVSTQRRGRRSTPSSRRVSL KRTYTDVSSGTLQGRLMETLAQPFSATEPFSPTSTTFGFGLPIPVLHTHSSKWTPVSQ AVFRTESRAPWTILAANDLSCLIFGVTQAELRRLSILEVVQKDRRQWLESKLRDPSTD VAAKLPERPRMTPVNPKSMGMGNGVTAQLLSKPPSRSRRAQTDDGYGSSKRMPQPLNH PSTKSRGVLLCGDVVPILKRNGARGSASVWVMEKRGGLIWVIEEIQEDVAYIRCDKSW NITETDGDTDRFWGRDMVMPGKPITDILPRLPKECLEGPLDQGLAKLCELKHFAAQTT AGTCFPVTVAKDTSSDSLRISSFPHVAGMMVLASSTLKVISSNSAFSAALFGHERPEG LHVNELIPGFEEYLNVLTEEEDVSLEDGIVIPEPSFRRARTLSILREGKANVASVFLE PNGLTANHRDGSTITVDVQLRVVKSGTIFPKQDEQSPTNDGDADSENDDTFAVAELVY ALWVTYSRQIHTAGLSSPPRTDSPRVRSPSVVPASSLRSARTPHLPSLNTEQTSVETQ IPTSTLSQQLTEAASEPLTDKPVQPVPEVKPVNAKEPPKKRTITDYVIIEEMGQGAYG EVKLARLKKNAAKKVVLKYVTKKRILVDTWTRDRRLGTVPLEIHVLDYLRRDGFKHPN IVEMEGFFEDDINYYIEMMPHGLPGMDLFDYIELKANMDESECRNIFRQVVDAIHHLH TKALVVHRDIKDENVILDGEGRIKLIDFGSAAYIKNGPFDVFVGTIDYAAPEVLQGKS YRGKEQDIWALGILLYTIVYKENPFYNVDEILDHPLRIPFLPFSEDCIDLIRRMLDRD VDNRLTITEVVEHPWMTDSE AOR_1_1144014 MRNTRPSPMVRKFLRANASADPPRPSLLPSSDNSAENSWPQDPI RDEYCFFYGTLMDPNTLCKVLGSSKPPPIMRPARIIGYEIKLWGPYPALLDKPLHPVD GMVCGLLSPRQLDRLAAYETDKCRLRSCLINVLNNDGGAEKTIEGVSFMWNGRQDELR EGTFDLKQWGKDRQLRELG AOR_1_1146014 MAATSTDRITPVSGVASPVSPASSTGQIPLDQPPKLKGRHKLLQ SLQRISSSPSLKRGRSHSTGYRRDGKASLSCVSLGHSAYAPCLGNGSSSQLYGGLNVR PMTSGQAGPVEEHEGNARIRLVGSDSPNTAQSRSVPLPTELRPGPLGSPLGSTDPASK PKKTFDFWGSMPGELRMLILSYLTPREIVRCSLVSKAWNKMCFDGQLWSAIDTTDYYR DIPSDGLVKIIASGGPFVRDLNLRGCVQLREKWKSEGKRITDLCRNVVNFSLEGCRID KTSMHYFLLRNPRLEYINVSGLSSVTNSAMKIIAQSCPQLEILNVSWCSGVNTNGLKR IIKECPKLKDLGASEIRGFDDEDFALELFKRNTLERLIASRTDINDVCLKILVHGIDP EMDVLLDRPIVLPRQLKHLDLHQCSDLTDNGVKSLAYNVPYLEGLQLSQCPELSDDSV IAVIRTTPRLTHLEIEDLERLTNSTLLEIAKAPCAEHLEHLNISYCEALGDPGMLQVM KNCPSLSSVEMDNTRVSDLTLMEASYRVRKRGYGEDLPRVGLRLVVFDCANVTWAGVK EVLSSNAYVPRSRKSLQATSAISVVAQAVVPDESTDTKTVITSSITPPPQPSVYPNEI IQLKCFYGWQMTVNEHNKRVLRGDLAAANRLDRKWADYMMATEEAGAAGAGARRRRRR AREAERIYNADDEGDDAYGIGGVSALGGRRRRAHSGGSCLVM AOR_1_1148014 MPREDSRSQSPVSRPSGDRHYRDRSDPPKRKHNSDGASHQPYRK KVQLPKKEHQYPSVNELKKRIRDVKRLLNRVDLPADARIVQERALAGYENDLEEEEKR RERSKMIKKYHFVRFLDRKTASKDVKRLERREKEVSGSDLDSAAKEQKLAALAQKLRV ARVNLNYTIYYPLAERYIALYADAKKKKEMVKDGNNDEDGDAGYTLVHANAADKPAMW HTVEKCMKDGTLELLRDGKLKNGESGAVTKEKSNVDKKKTSIRDSVQQKDTTKTSVKP QRREDKNAKDSRGFSSKNDSRHSRARQSSPDDNGDESDGGFFEM AOR_1_1150014 METAIRWSPSSTTAEQRFLSVDVVGKAFRLCKVTSFDGQNLEHE VLAAHTKVPAFRAFDWSPADESLVAVGQSSGDATILRLNSESQESTHGLLAAGLDRVR NDFCLNVWDVNQRLAMRGGKGVVEPLRKLASSEPITSVKFFRDQPDTLVAGVKGQYVR IYDLREGPGNPALQFPTRCVHGLAIDWLDENYIASCLTSNDPTICIWDRRVGSRYTTP GVGPANTLETGQPGPALEFKNVIAPKSTIWSLRFSRTKRGCLGVLANTGHFKTYDVAK EYLSEEYRSSMDETLGQESSKNYPEQIYTKYVRDVSSPFNHPTRGYPESERIVSFDFL NMSPSNEPTALTLSGNGQVNIITTKPPSPPVRLSSQGLLIRGTSGDDADFRTISPLPS QGLRVSEVIEDLRERILPSHDIQEVSRENHHTKPLSSREARERALSLGASGNLITAEE ALTLLTINRLRCKEGYLFDAARNRRILADDHRLQGFWGWIERARNDSSNDSMIANGLD LNYVGVCDIWNNDLGDTLDTRHLEPDARLDISKVIVNLVREQLNLPETRGCETDYPEH RRLCLRLCGAAQTHRELEELVRTLSADSQHTKAAALAVFQDEAKLAYLALRSHHPTQA HKLLAMAIAGAAKGDTDPDWEDTCAEIAKELTDPYARAILALVSKGDWNSVIQETTLP LKYRIEVAVRWLPDDELTEYINEMTAEAILQGDIEGIVLTGLGPSAMDLFQSYIRKFN DVQTPVLAMSHSVPRFINNNPNRARFEAWRETYRWQINSWKLQLERARFDVGSRKFAV TWDGRKLIEPPRQQVSLTCNYCKPSGGRDVTVSKALSLLLRHAAEKEGLKLDAQGYAN VADVLAWRKLKSLKVTFPEIVAAVATSDKKRFALLHIPSTEAQAQQSTSAEASTEHGI PTTSAGQDSATETALAVSESDLDPAHFLIRATQGHSIKSVDAASLMEKLSLDEEAKLP DTVVHGTFHAAWPAILASGGLKSMGRNQVHFATGPSVESVLAQGAQGSKEVTGDHGEK VISGMRRDAQVLIYIDLKKALAAGCPFWRSENGVILSEGMVVEGSSGIVPVEFFDVVV ERKHGLGKIWEGGKEVQALPEELVKQGNPKGRRNANKKKEDTTQP AOR_1_1152014 MATHRFDPNFTDNVVNAMGPKTNPRFRKLMTSLIRHVHDFAREN EVTVDEWMAGVQLMNWAGQMSDDKRNEGQLVCDVIGLESLVDEITFKLAEEAEDAPTA TAILGPFFRADTPYRKNGDNIVKGVPDGEMAFMHGRVIDFTTKKPLVGATVEVWQAAT NGLYEQQDPNQEEFNLRGKFKTDEEGRYNFYCLRPTPYPVPDDGPAGKLLKLMDRHPF RPAHIHIIATHDGYKPLTTQIFDSKDQYLTNDSVFAVKDSLIVDFVPREGDPQAGLEL NYDVKLVPAPSNSTNDV AOR_1_1154014 MPSLTINNHNLHYADSHPNGAPDQGQTIIFIHGLGSSQNYYFPI LPHLTPNHRCITIDTYGSARSTYTGQPISIASIAADVIGVLDALNIPKAVAVGHSMGG LVVTLLGAQYADRINAVVAIGPTHPSSTLTSVMNKRSETVSEAGMEPMANTIPYQATG SGTPALTKAFIRELILGQNPEGYAALCRAIASAPVIDYSAVRVRFLLLAGEEDKSAPL EGCRIIFDGVSSENKSLEVLEKVGHWHCVEAPEVVGGLIARFVGGV AOR_1_1156014 MATSEDIKNEIYKLSVLPFILERRGDYDKAIEIHKSAIDILSAA AEKFRKSSNVRKINRKMFERQVDLHCERFAYLESLKRKGSFEGIILPPTVLDVMQELE RDDGDNSPWTLSQIRKALHDYGKEAPTNTEPPSQLKPFLDAADSVKAPFFAPTLSSSA EPVIYRLSHSSELVDMGVRSHWWFVKDSANKHTLYALQAVWSQEVPIVEAILRRAGEF LPQMGAVSIKMRKTKGGSFRLVTSTVPQAGDIVEIPDGEAQRKDWSPRRFKYGGRNFV WKSGRADGKSADGGLFRSFSWETLYETKRVWAKEGSRTGKMEDETIGPRLCWGEKGGG NGAAHSIYMVGGLDLQFREHLLASQLARLVRCSNPPQKDSTGAETVSAGGESILSLVE WLS AOR_1_1158014 MPASPKRVVLEKSRTVRRRYQRSNKRLKFTASQIARIERDEERE RKAQKLREKEKKRIANKKKKAEKELKAREERRRLGIPDPNAPTVPSSQPSLFNFLKKS PQAPAEQEMTCEDTESDTISTEVDTSEDSNSENDDLDDGESVGLDISLDNVGGAMEPE KVNCGGRDDDEFSDCSIFYDEDVIKEAETVAVLQGTIQAEPEKKEHKDQVAAAVPISL PAGESFRDDTAILLEEFADEFDTDEEFEQELLRLDAG AOR_1_1160014 MAPLSLQSTLKLVSGYEIPVVGFGVYQTPPDITEKVTLKALETG YRHVDSAKYYANEAECAEAIRKSGIDRSKIFYTTKVPVSHMSYEKAKEAIEASLADAA GIGYIDLVLLHAPFGGKEGRLGAWRALVEAQKTGKVRSIGVSNYGIHHLNELEEYINS GVGGQISVGQYEIHPWCPREDIVDWLKRRNIVVEAYSPLVQATRMQEPVLQSLVKKHN KTPAQILIRWSLQKGYVPLPKSVTESRIIENTQVFDFELSEEDMQSLKTGVDAPVCWD PARDSRL AOR_1_1162014 MRLIKNKVELNGSGTVTLCPEEPEDMWHAYNLIRPGDLLRASAI RRVTTTQETGSTTSARVHLTLEIRVKSLDFDPQLSQLHVSGQIVNETQHTKVGQHHTL DLELNRNFTLEKEIGSDGEGVGWDSIAIQMLKDAVDDGGKRRAEAVAVVMQEGLAHIC FIGQFQTILKQKVEMSVPRKRQGGSDHDKGLTKFYQVTLDTLLRQMEFNTSSTSLTSN ETVRPVLLASPGFVAAGFQKHIQSVAATSTPALKRLLPNIVVVHSASGYLHSLAEVLQ SPSVKTILADTKYARETKLMDDFQDQLRKETNKATYGPREVESAVDQGAVGRGGGVLI ISNRLFRSQDVAERKRWVSLVDRVRDVEGGEVRVLSSDHESGRRLDGLGGIAALLTFP IVEDDYDSHEEQS AOR_1_1164014 MFPRTPGDYTGPTPYGSGGPHPEEGMDNFYETYQAPYPGVEASP YGGINHPYSTTAAFPSNTILTPISLPDSSFVHARPSPVLSHHSQEYAYCMAESVPSHG LGITAPFPNDFPRTVTAGLGPVPDPDYVFSGAALSPPPPPVKRTRRSPKPTAAAREGP VTILPHPEGLQRLEQERRREQVDPHSHQRPRAPGRGRRDPQAEEEDVFVERLREQNLA WKHIREMFREKFNKDASEARLQMRMLRRRKDRSARWEESDIRLLIRARDYWEREKYNL IAEKMHELGAKKPYTARQCEAQLRYLDSRREGDTSLSRIVEARKRAPMKSPRGIARVT TT AOR_1_1678014 MDPKWNIFRLIGDLAHISSKGILLWAIHRNKSAEGVSLLTQVLY MLVFLSRYLELFIGRGWGTFYLVFFKLFYIFSSAYIIFLMMKVYPRTRERERAWKLAL GSAAVSLVSAPILMLIFRHPWPQRWFTEIWWTFSIVLESVCVLPQLLLLRQTTVPTVI DSYYLLTLGSYRAFYILNWLYRGFASHHWDPISDIFGIVQTAFYIDFAWVYYTRQRVK LRNGGVVDSEDFRNSWLVNKVLSFRQRRSVDEEQHLHDEGAEAEHGADGERPRNNRWG ARGISISADDTLNETRNPKPSSAGDDRLEGFLEDEEDTWEEHANQQTQHKHSPDATGN QK AOR_1_1168014 MSAPPNSKRVKASPSTSAPSHLLAPQQVNPFQRVPSYEGIPMPQ SQLSPPNPKKRRASPQISTATTMSTSGTTAAAGEAALEPGPGVPEAPPRKKGRTNTPW TAEEEQRLKTMRDAGRSWSEIAKTFPFRTEGSVKKHWYKDMHYAEFDEDESIALREAI KEYEASKWKVIGQKVGKPAKACEQYAKEHFKDL AOR_1_1168014 MSAPPNSKRVKASPSTSAPSHLLAPQQVNPFQRVPSYEGIPMPQ SQLSPPNPKKRRASPQISTATTMSTSGTTAAAGEAALEPGPGVPEAPPRKKGRTNTPW TAEEEQRLKTMRDAGRSWSEIAKTFPFRTEGSVKKHWYKDMHYAEFDEDESIALREAI KEYEASKWKVIGQKVGKPAKACEQYAKEHFKDL AOR_1_1170014 MSSEPPLSTLGVNSEKEPSKTPFTDHPSHTAEYDGEGRDAADGP FLSPRIAAYVRRFENQLIEYNLEARGIERVQQHERMKKLTWVSYLQAFLLWVSINLAA NNITLGMLGPVVYGLSFLDSALCSVLGALVGALVASWMATWGPVSGVRTMAFGRYTMG WWPSKIVVILNLIQMLGYSLIDCVVCGQILSAVSPNGNMSVAVGIVIIAVSCWVIATF GYQVFHYYERFAFLPQIIVVSILYAVSSSKFDLSTPSSGDPRTLAGNRLSFFSICLSA AITYAPLAADFFVYYPERTSRVTLFSLSLVGLIVSFTMAFLVGIGLASGISSHPEYGA AYSRGAGALIVEGFGPLHGFGKFCSVVVALGLIANTIPPTYSAGVDFQVLGRYAEKVP RAIWNTIGVIIYTVCALVGRSNLSDIFTNFLALMGYWVAIWFAIILEERFIFRFRTGY NWNVWNDPSKLPVGVAAFAAFVVGWVGAILCMAQVWYIGPLSRLVGEYGADMGNYVGF TWAGLVYPPLRYVELRMLGR AOR_1_1172014 MFLQRTAFALARRTPVRAVAARPFSSSVIRSNKAKYAVEKDGKI LSFEEIKTEEDLVPPGAKAGTVPSDIEQATGLERLELVGKMQGIDIFDMRPLDASRKG TLENPIIVNGAGDEQYAGCTGFPADSHTVNWLTVSRDRPIERCGECGNVVKLNYVGPL EDAHDHDHGHGHGHPAPEEPKTFADYVKPEYWYR AOR_1_1174014 MSATLAEYRDYAIDYAQHASCKPEEHTSTLVYHTHQPQLQHPKP PDTPFQQGSSRSTAFEHHHANATVLPTANPHGLHQQVVLTPAQMLSRQLPVQYTPTSF EIPSIQRGKKRHLSDTEGESDDANHGIRPQLSILPSESSAEPTHHSPEMLFSVHGDAG QHHQMQGHDLGPPDSVALPQHHHHHRLPPHASLRDSQRHGMNMESSPFPSGPPSVVGQ PGMPDPAPRPRGPKLKFTPEEDALLVELKENKNLTWKQIADFFPGRTSGTLQVRYCTK LKAKDVAWSDEMVQRLQRAIQEYENDRWRIIAGKVGNGFTPAACRERASQLENPQ AOR_1_1176014 MASLPPPPPPGWGASAPPSMPLAPPPPGYQPPADPTVAKFAQKK NEWLRTQRNRFGEKRKGGFVETQKADMPPEHLRKIVRDIGDVSQKKFSNEKRSYLGAL KFMPHAVLKLLENMPMPWESSREVKVLYHVNGCLTLVNETPRVIEPVFHAQWATMWVC MRREKSDRRHFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQMELDENEDSPVYEWFY DHRPLLDTPHVNGPSYKKWNLSLPQMATLYRLSHQLLSDVVDENYFHMFDLNSFFTAK ALNVAIPGGPRFEPLYKDIDPNDEDFSEFNAIDRIIFRAPIRTEYRVAYPFLYNTLPR SVKVSWFSHPQVVYVRTDDPNLPAFYFDPVINPISSRSVAPKNITVSHEDEIFGPGNN EDEFELPGEVEPFFADEELYTPETASAIALWWAPHPFNKRSGKMVRAQDVPLVKQWYL EHCPQGQPVKVRVSYQKLLKTFVLNELHKKKPKAQNKQNLLKTLKSTKFFQQTTIDWV EAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLMR EILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLTGMYRYKYKLMHQIRSCK DLKHLIYYRFNSGPVGKGPGCGFWAPAWRVWLFFMRGIIPLLERWLGNLLSRQFEGRH SKGVAKTVTKQRVESHFDLELRASVMADLMDMMPEGIKQNKVNTVLQHLSEAWRCWKS NIPWKVPGLPAPIENIILRYVKSKADWWISVAHYNRERIRRGATVDKTVAKKNLGRLT RLWLKAEQERQHNYLKDGPYVSSEEAVAIYTTMVHWLESRKFSPIPFPSVSYKHDTKI LILALERLREAYSVKGRLNQSQREELALIEQAYDSPGTTLARIKRFLLTQRAFKEVGI DMNDNYSHINPVYDVEPIEKITDAYLDQYLWYQAEQRHLFPSWIKPSDSEVPPLLTYK WAQGINNLSNVWETADGETNVMIETELSKVYEKIDLTLLNRLLRLIMDHNLADYITSK NNVQLSYKDMNHTNSYGLIRGLQFSGFVFQFYGLMIDLLLLGLQRASEMAGPPQSPND FLQFRDRATETRHPIRLYTRYVDRIWVFFRFSADESRDLIQRFLTENPDPNFENVIGY KNKKCWPRDCRMRLMRHDVNLGRAVFWDLKNRLPRSITTIEWDDTFSSVYSKDNPNLL FSMSGFEVRILPKIRNQNEEFSVKDSVWSLVDNTTKERTAHAFLQVTEEDIQKFNNRI RQILMSSGSTTFTKIANKWNTALIALFTYYREAAVSTVNLLDTIVKCETKIQTRVKIG LNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPASDKRWSKQTDTGITHFRAGMSHD EETLIPNIFRYIIPWEAEFIDSQRVWMEYSQKRQEAQQQNRRLTLEDLEDSWDRGLPR INTLFQKDRSTLSFDKGFRLRAEFKQYQLMKSNPFWWTSQRHDGKLWNLNAYRTDVIQ ALGGVETILEHTLFKATAFPSWEGLFWERASGFEESMKFKKLTNAQRSGLNQIPNRRF TLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKISLIQIFRAHLWQKIHESVVMD LCQVFDQELEQLGIEAVQKETIHPRKSYKMNSSCADILLFATNKWNVTRPSLLFDTKD VYEPTTTNKFWLDVQLRYGDYDSHDIERYVRAKYLDYTTDSMSIYPSATGLMIGIDLA YNLYSAYGQYFPGLKTLIQQAMAKVMKANPALYVLRERIRKGLQLYASESNQEFLNSQ NYSELFSPQIQLFIDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKIIHT SVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQLIVTRKGLLDPLEVHLLDFPNI SIRASELQLPFQAAMKVEKLADMILRATEPQMVLFNLYDEWLKSISPYTAFSRLILIL RALHVNIDKAKIILRPDKTVITQEHHIWPSLSDEDWIKVEVQLRDLILNDYGKKNNVN VQSLTSSEVRDIILGMEISAPSLQRQQAAEIEKQQEEQKQLTAVTTKTQNVRGEEIIV TTTSQYEQQSFASKTEWRTRAIATSNLRTRANNIYISSDEVRDEGYTYIMPKNILKRF ITIADLRVQVAGYLYGSSPPDNDQVKEVRTIVMIPQVGNTREVQLPHQLPQHDYLNNL EPLGVIHTISGNEPPYMTAMDVTQHARLMNEHSSWDKKTVTMTVSFTPGSVSLAAWGL TPQGYKWGAENKDTTSDQPQGFSTSMGEKCQLLLSDKIRGYFLVPEDNVWNYSFMGSS FGSVEKRPVYVKIDTPLRFYDDQHRPLHFQNFAELEDIWVDRSDNFA AOR_1_1178014 MANQRLQYRRRNPYNTRSNKVRIIKTPGGELRYLHIKKKGTAPK CGDCGIKLPGVPALRPREYSQISRPKKTVTRAYGGSRCAGCVKDRIVRAFLIEEQKIV KKVLKESQEKAAGKR AOR_1_1680014 MDRLLVSQDLCVDSTPSPQETTLQYALKRTETSASSQAVIDGAP LGTLRHHYPCPAVEHWVSSGPSSPTSMMPCQNPWAMETSAFTLPSSHTSTPSMPDGLP RFFLSCDSDWPSSSLTSGPTPGQLRPGPSRTNDSRTSSEWMREPDNDVSYALKPDRYT ATSEAFGPHQRPSGILTTTYPTAALTPPSPAFSASSRQMCSPVIKSEFGRADTRSTPA NAEGEASIDPPYSTLIYEALRNAPGKKLSLQEIYGWFEENTTKGKDRSSKGWQNSIRH NLSMNAGFEAVRVEKAPGKKALNYWRLTDEAITHGIQSTTRYRKQANYKKTVGSDPPA PQRQRSGAKGGKATKITAKFRGLMNQDELRKERYHQRLVSQRRPHKILHSQHHPSPVT AAVVSRFHASCPATPLTRTSAEPFDLGSIVGNADPPSCTAIFCDMAGPGSDCLAMETG FLGWSSLPPFPHGLLTGSDISAEV AOR_1_1190014 MSSRFPDRSGSTKRSRSRSPSSRRPQKLPRRYDERDYQSDGRGR PAQSQSRNMKDQMRLNQLQEDEQVREWVAQEDVFVLKQAKKKAEIRVKEGRAKPIDWL TVTLRFIDPTRNPLDDEIADSDLDIVDPDGVFEGLSQSQLLDLEKDIDTFLSLEANSQ NRDFWKTMRIICRDRQKITAPEGRALNSVKKKLDSNEPIDTDYWEELLRSLTVWKARA KLKKVFQAVIDERVRGLRQQQRDEADSVRAKLAPLAPVSQPPTEGKAQAGSDEEFRDL DPDPLLQIRPEDKVLEIVDESNFLDQVARERQKVLKMGFVPLRQRQAEKSSLVPVNQT PNLPAATGSSRFSAIPNEDFSQATKALYERELARGVSENEEIFTGEESVSTGSQPQWA NKYRPRKPRYFNRVQMGYEWNKYNQTHYDHDNPPPKVVQGYKFNIFYPDLIDKTKAPT YRIEREHGRKRGQSFAAAGEEDTCLIRFMAGPPYEDIAFRIVDKEWDYSAKRERGFKS TFDKGILQLHFQFKRVYYRK AOR_1_1188014 MPVKWQRLRLSNREAIPPILFKYSSTSKGYELYITDLTNIWSER LTRQDILRKADEDDTTIDPSEDMEQFGVLLQKIEDALRSQPGSTVTLNHSSGDLLELT TSTKLPAPLQPLKWAARLSKEPQSVATGQLLLPLIKAEADWESHQKSLIDQLHKKDWI LAKLFDKIEAVGIDLSTIFPGISGLRAGQKGTTLAQAAKHIKSVAPFDEKAWLKEVSA SASASGLAANILAEVSNCNGPNRSRGLGPPPDKWWEKLTVTKTTVAPIREESEPKKGR EPSKDVLEADTDTPTETEDDEFERQETPPRLKHVKSREKTPINIGQDDDLGKAPLNKP WETAPQTVKEYDGNYEKPPEILSEYEIVVQVVVCGSEQWWCGQSVVIYVVGSFFVYSI ILVSLLDTDPSPTFAQFVPWLVAVPIELAILGISSSINAGNHHEPVVGDPTGGRLQKG VTSWELLELICNCVRVLILSILVALWWEYLSGYSLFFPYLWPSKSRRLQIVVVICFIL IVLQRVVNVLVPLQVGVITRKLTKTGDSFDVPWFDICLYILFRWLQGNQGLIGSLRSS LWIPVSQYSYMELSTAAFEHVHSLSLDFHLGKKTGEVLSALSKGSSINTFLEQVTFQV VPMLVDLCVAIVYFLIALDAYYALVVTIVTFCYLYVTVRMAQWRAEIRRQMVNASRQE DAVKNDSMVSYETVKYFNAEDYEFDRYRGAVSDFQRAEYHVLFSLNLMNTSQNTVFML GLLIACFIAAYQVSLGQRDVGEFVSLLTYMAQLQGPLNFFGTFYRSIQSALINSERLL ELFREQPTVVDMPSATPLPVCKGDIAFENVKFSYDSRKPALNGLTFRCEPGTTTALVG ESGGGKSTVFRLLFRFYNSEWGRILIDGHDVKNTTIDSLRKHIGVVPQDTVLFNETLM YNLKYANQNATDEDVYEACKAASIHDKIMSFPDKYNTKVGERGLRLSGGEKQRVAIAR TILKNPRIILLDEATAALDTETEEHIQGALSTLSRGRTMLVIAHRLSTITTADRILVL HEGKVAESGTHDQLLAMKGRYASMWRKQIRAQRAAAEAQVLQDRAQRLRSASTSGAVG DDSSSQSDEDRNGNTHASAVRQTQGHHWPAHDQKA AOR_1_1184014 MSDSSRLPTRGSSSPAPPPPPPPVPVQQSKYSNRAASALARFAQ PFFSGSRPPSPQASGVRADLSAGPCSNRSKSLPGASQTVTHKTGIPIAALDISPQRTH AVVGGKEILKTIRVSPDHSSEEFNLRNAIIGYSSTQNDGSGLSARYKDQLTVRDVKWS HGNYDTIIATAVANGRIVLYDLQRTGLEYCRFQGHSRQVHKLAFNPHWPAWLLSGSQD SSIRMWDLRMASAVRPCSSKELYNGNSDAVRDIRWSPSDGITFATATDSGAIQLWDYR KTTAPLLRITAHDKPCFSVDWHPDGKHIVSGGTDRHVKVWDFSSSAERRQKPAFQFRT PQAVGNVRWRPPSWNNEYDTSGGWQSIQLVTSYDKEDPRIHLWDLRRPHIPFREFDRY ESHAADLLWHSKDLLWTAGEAGVFTQTDIRYAPQVVNQRSMCSLAWSPSGEVLAFVQK RPRHSTVNLSTTEFVGHPEEESSSGEALSQSPADDLLDEPSFISVRHRRTKSSSSRAA KSLGSTPPGAPELNPVLSLEKVLSKIKPSGQCQLGAVGSIPGATMDQETFRFLARHYS PLLGTFNGMPSDLLRSLLESLSQNADCAADASLVKLSQTWRIVKFAVVQELQLRAREQ RRAPGKGTRGIQKRLSAEGHNSEKPRTMDEGKPEKMKNRLFKGVMETEVARQSPADVE NASNMTTPLAQPLPDSTVLSSDSSNSHTASLDDPTDIQPLPPSLLSPNQGTMNSNDWS SMSDVEPRMHRQSNASEDIHFPGESLPSDPIPGLVSQSLEGDQRSAPRAISGRGDWRA RSRTETSEVDEYDQKMEDKKAAIRDYKQYPKKILSLESHVESVRPPGFRRRESSESLP MFSASTGSSHPSKSIGTSLSSAARLYNVSESVDTTEGPESEAPRIPYSRARSDSVIGP VTAQNEELQNSGPFVEGVPDTNVHLERPSSPLPLLKESSPLETPCFEKNDCRDDPAGK GTHMIDEDLTGLTIPISSDGTVDKPWSAEVLLKEAIRHYHSSSCVDVQSAAHLLQKLR TLFQSYEDILPREECELIFKTYNEHLIRQCMYTEAAELRLLCVPAYPAVYEYAQIDTT MNVFCFTCKRPYENPSNDNTRCHRCDTSQAPCAICMSVDPPAEWVAEQSVSCAQSNLE LDSETTSHFLSSSRSSIKTEPIPTSELRRLDETYLDSYNPSGPKGSALWTWCQGCGHG GHLACISMWLNDTSVSEGGCATPGCMHDCGPGPRREHNRTILLEESKRRDSAGRKAGV GFVKRDPWTRGESKAVEKVRGMLSIGTSGGTSASTTSAGPSVSSNLMSPKKVRLVTPS EQGKRRGTSSRTSLGGSGLST AOR_1_1186014 MLGRSVSLATVLLTLSGSFANAHGSHSSEQNPSSDWATQHMQEE HHIDSFDPASFFTFHDYDNSGTWTADEVRKTYGLDDESNAGVSEERKQQAVREVFGLF DPGNTGFVTRDNWMRLISEGKRLPDFGFGPGHHGDIEYEYEIHHFEKYHGDDAKEEDL THPEDIEHFRRHDEEDDAAYRLEQLERMQIVEKNIPQKFLKRV AOR_1_1192014 MQSLWSRAASARSSCHCVSCLSTATPGLTSRAASAASKRRLRIG NSVTALYTSIFAAAALADAQAKDQRRHEWQEKIAAVKEEVNELVDEEQRILANISARR KRRSLFNGVLTSRQYSTVAGPSPGQIRSSIRDIPTRSLAADTHWQDTYETRLRDGLET YSNEKDECDSKALEDLVAESEDSLFSDSDEYGFRLGDDDFPEWLSNDIVRQKVIRKLA VKQLAIRLLLRPTIAHSYMGLRMNYISDGSVPKLNVAELLHELNQCRIRLHELKSIRD VNIDDLASDLRVRNIEEMTWENRKLDEDVRRDTELFLEGTMSLQELLLRLSSNLLQAT DPDRPYCLRMMLLAFTKCRQNDLGDLVLKTLLPNKFPLNSSLVLSIITFYRKSKNVKG FDLFMEMLCGDSYPVDMLNMGYFKRTVINGVEISIPPTHTTNAIMFSTLITACLRFNQ PDRANAYQQAARAAGYMDDFATLFGYLRFYGIRRDWTKGVATIKRALAFMASSTEHEL HRVERLVVLMVHLCDMCERYDVSEAIIVAAVENGFDWRSAQRQVDVKFSFDPHFRRWH VAEDVSTVGAIKEKPLWERSYAFVNTVGEQLNDLSLPEEESSARKWHKLMGTYSQEVL SAVLSGRPAKHKKPEEDGQQNLLKTIDVENNRFYNAEVTAKAHQKEIIALKDQVAQLK RMVFDLTKQSALKHSQTSPQDLGQIKDKLRHTNPRVVEPTVNIRYVGN AOR_1_1182014 MVDRRSDAEDGSRAKRQKMDKTGTDPKDNPYLAHMYADASPNGN EWAADKDSPFAKVKRHQSTAAQAQKIEDGDINPFNGQPYSSKYFSILKTRRDLPVHAQ RDEFLQLYQKSQILVFVGETGSGKTTQIPQFVLFDDQPQSQRKMVACTQPRRVAAMSV AQRVAAELDVKLGEEVGYSIRFEDMTSPKTCLKYMTDGMLLREAMNDHNLNRYSTIIL DEAHERTMATDVLMGLLKEVVQRRPDLKIIIMSATLDAQKFQRYFNDAPLLAVPGRTH PVEIFYTPEPEQDYVEAAIRTVLQIHATEADGDILLFLTGEEEIEDAARKISLEADEM VREVDAGPLKVYTLYGSLPPHMQQRIFDPAPPPRRPGGRPGRKVIVSTNIAETSLTID GIVYVVDPGFSKQKIYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTEGA FKKELIDQTYPEILRSNLSSTVLELKKLGIDDLVHFDLMDPPAPETLMRALEELNYLA CLDDDGNLTQLGRLASEFPLDPAVAVMLISSPEFYCSNEILSITALLSVPQVFVRPAS QRKRADEMKNLFAHPDGDHLTLLNVYHAFKGQDAQENPKQWCHDHFLSLRSLQSADNV RMQLLRIMEREELEMVSTPFEDKKYYENIRRALCAGFFMQVAKKEAQGKSKYTTIKDN QNVLLHPSTVLSYDADWVVYNEFVLTTKNYIRTVTAVKPEWLIDIAPTYYDITSFPKG EIRSALLRAAERLSRKEKMRSDSSRKR AOR_1_1194014 MEGFSNPEDMGPAFCIGQHESKRTVPITTQVVQAAHESNYDMLT APITTSHFHSRVLSLLSSHLSTVQAASPDAHGTMGTTQNTRPLVIPPLAPSDTHLTPN EAMTQIVGVTSSWIDLCSPDPLIADISRQVLMLEVAYAAFCGIGYLLIPGPKLHHKGM HSEGVVYYARAIQDAINVGPYIQFNIWLGAVDNPDLEIDEMGDLAPLAREEFLVNLDE GQAPKEDPFGTWEAWDTVRRTCKYHSRLFVALSLQKHLPSMSVQSRWHSEPVRLLTFN ANSFIKNQKGYPVLSKAHQSLIARFMRLRSPPWILLCDVGTIPGVDADNSHVTNIEGA EYPSLAQAAVSNKKHFDPTPHLSYIRNLQQRQPARTAIERFGVGYQDYLQAPLQPLTV NLESITYEVFEKDPIKYEWYERAIAKALKDWAEQKKPTSNPDGRVVVAVVGAGRGPLV TRALKASAETGVDIDMWAVEKNPNAFVLLQRHNATIWGGKVTLVQSDMRSWKGPRVEK KPSSSQPSAPVGQSLGIEDSMLYDAEADPNNKTKAPEAAAPNPVPELMPTTIDIVVSE LLGSFGDNELSPECLDGITHLINPVHGISIPESYTAHFTPISAPKLHADVMHQTISNP AAPETPYVVMLHAVDFLSTNQPAMLGNTTGGGSYHGNVRSSISTLPGSETPAPFVQTA WSFSHPNRHIPPQSPSTSTISNAHNVRRTRLAFPTQNRGVCHGLAGYFETVLYRDVEL STNPVTMDSKSANMISWFPIYFPLKTPLNVPDNGEVVVTMYRQTDDRKVWYEWMVEVF ALEGHMEPPAPEFIAPVMSGARGGSPSADSKPQQRKSSGRSGLRRVKVGMSELHSSIK EGCLM AOR_1_1196014 MVHSTGEERAVHLTREAIELVDAGHREAASRNLREALSLAPDNP AVKEAFIKIQEEEATGHHLLDLCRRYTSRKDESAGKDAALYLRTDGLKPPEDVALECA KLLLTHKAHALSSLQDNLISGLVRQNASVRQFFSDKLQISVTTFFDEMYDRGDGAAVC LDTVVLDPSVWPSEAARLHCERELFLLFIAKLMESGHDLDGRSLKGIARLLAVDASKL QDQVDDEELDVILSSLDHRLPLEWRSQATLATVKYLEASKETGQSRFTKLISARLTKG RVDDHIIAFSATAAVFPVVPEIAATLFLSEEFMASLKPVSARDTKRRRVEVSMLELLN AACINKACREAIAKNFSDWLSHTLTNGSDESSELAAVVLAKIRVSDKDAGSNGQVQGD DASVAELVERFKTIMSSRRAENIQNVIEGLAYSSVKPIVKEQLAKDSTFLRDLVKVLH ENLSDSSVLYGGLMIIVNITQFLPNLTEEQKKMSQLKSYAEASPDARAGLNPLEKDES VIARCNAVIEAGIMPLFIECSKTNLPSVQGLVSKITLSLSRNQKTRGTLAQQGGVKLL LNIGTSRQGVSGSIANDAVPNASHALARILISVNPSHVFPPSGFPQVTSAIRPLTALL VVPETSADQPRDLLPIFESLLALTNLASHPDESAPDAIVRQAWSVIEDLLLSNSPLIQ RAACELVCNLMTCEAGIVKFADGSKRAGQRLHILLALTDADDIATRRAAGGGLAMLTE FDSVVAAVLDKPRGVPLLLRLCQEDDEGLLHRGVACVRNMSCIASGDIGRRAKEALKK GGAVDILSNVLKKSRNPAVLQTGVEALKPLVE AOR_1_1198014 METSPPPYPGPPEQTPVVHTIKTTTTQPEDPDLETHIHPHTLLV SITRKDAQILPTVLHYWNHDSSIAILTKLTAAQLDHIRGFKEVGTFPPPVEGVCDSLA LHRCFASLVEGKGNREAVDEVISQLRGSGDITSSKDCEVEFCVFVITVFGVKSEGLLT GGLAPVWKWAKPESVYYPRTGFWEAEVESVLADAEWMAGRGLQLLMQGVSEETKQELR RARSKITSIDWDIDCLGFLR AOR_1_1200014 MKFGRNLPRNVVPEWSSSYIKYKALKKLIKSAAEDVKAGHEADL AGFFYSLDRNLEDVDYFYNKKYSDFARRLKLLEERYGQSLDAGHRLDSEEVEDLLAAL LELRGQLRKLQWYGEVNRRGFIKITKKLDKKVGVHAQRTYLETKVDPSPFASNARVTE SLKKINDWLSVLGEQKVSDDASSTRSSLSLKRGPARPHLNLPSSLLVAVDEALRKDDT HVLLELLEDLKTSTDNLGGNIFPKVLESLLQRSLYYHSKSCISVLLGRMDSLDDEDDI NKRNCIHRLVISIGRAQSTTDSEESASMVLDFPLETSNYITPAALPTLQPPRNVVKES DHPQHLDRSDPSVSLLQYLLDQLRREQRSALLSKDISGRTPLHYAAQYGFRVVCEVII EHLQAWDMFDVSGGIDGPHWQDNDGWAPLHLSVVGGHPLTTRTLLDAENWRDASLEKS TTRKQVSKSSAVLAMATKANFVDIVKLLVDAGVDINYQDEQGETALHVAARFGHHMCA KILLEGSDDQKADTELAEHTYSWTPLFIASVDGSLSVVNLLIEAGANLEKADSSGWTA KEHAALRGHLDIARRLAELTPEPEVTEAEPVIPIPVASSSPPAPSSLIERRSNTSTPS GSSSTRDVEPVKSFGHRYLTDEAMILVSLGTMDMHRPVEAVNLDRIPMENAHSTQLDT ALSIVVSANGAHGEPEIIDLPVQDNISTEPIVFHTADATKVRLLFDLVPTYAGSKDQV VGRGVALLSSIKQSVGSNRINLKGDSTVPIVAANTLEVIGTVTFNFLIVTPFKHPNMT ITGDQTYWKSMSSTMVIGHRGLGKNMATRNSLQLGENTVQSFIAAANLGASYVEFDVQ LTKDHVPVIYHDFLVSETGIDAPVHTLTLEQFLQLGDSGSSRRSGSPSQALDALGKDA ITYAPRQRSMSVGGSEYDPSELNEKIKHTRDFKKKGFKGNTRGNHIQAPFATLEELFK KLPKSVGFNIELKYPMLHESEEEEMDTYAVELNSFVDTVLTQAYELGQGRNMIFSSFN PDICLLLSFKQPSIPVLFLTDSGASPVGDIRASSLQEGVRFASRWNLLGVVSQAEPLV LCPRLVRVVKESGLVCVSYGTLNNDPANVKRQVSEGIDAVIVDSVLAIRKGLTEHQSN TVTPQRSPLVQPTPVALKDTLQIPILNQAEQKQDHLHVKPDAVI AOR_1_1202014 MNAVDATEHYETSARDPAPALLTVVLDTNPHAWALLEDSLPLSK AIANILVFLNAHLACNYANEVAVVASHSQKAAWLYPHENPATKISHDADGDVSMNGSS TNNNTTEGPGQVNKYRPFRIVEEQVTHNLRELMASTSGPDVKANNSTMMAGALTLALS HINRRSIAWAEAHGADTAAETSGGGPPSGGHASGTDTTEGLQSRILIVSVSGASDSAH QYIPIMNSIFACQRLHIPIDVCKLSGDAVFLQQASDATKGIYMSLTEPRGLLQYLMMA FLPDQRSRRHLVLPTRVDVDFRAACFCHRRVVDIGFVCSICLSIFCEPLDNGTCLTCG TNLEIGDYGAKPAVVARKRKKKKRVNGPSGTATPTPTPTPGP AOR_1_1204014 MSRPRRDDVSAIFVHAGAGFHSPHNEKLHLETCENAAKVAIHML KNGGSAVDAVEIAIMLLEDSEITNAGYGSNLTIDGTVECDATIVNHLGRSGAAGAVSQ VKNPISLARVVLEASTRPLTLQRVPPNFLVGQGATNFAWEQGLIVMPHDGLISEEARG RWLRWQQDLEAAELKEAQQHPARYERHKASVRRPVSVNPTHLLSTPSSIRPASSLSSS LGDTRLRDSGSSSPVGSNDTLMPPPRARDTGYMDGIMSQTQKSSSIPGSTSLEASHAL GPNMDVETTPPSVATDIHYAKMDQISDTVGAIAVDSHGNIAAGSSSGGIGMKHSGRIG PAALVGIGTAVIPVDPNDPDQTCVATVTSGTGEHIATSMAASTCASRVYYNQRKCEDG SFEEVTEDEALRGMIASEFMGHPGVKDSHCRGAIGIMAVKKTVDGVYLCFGHNTDSFA LASMSSEDKKPVSVMSRSNGNGSIAQGGRAYRSRR AOR_1_1206014 MASQTPAVVMDNGTGYSKLGFAGNDSPSFVFPTAIATKSGAGSA KPPVANKPGFLSAGGGAGSHLSSKRGTEDLDFFIGDEALAAANGPGYGINYPIRHGQI ENWDHMERFWSNSIFKYLRVEPEDHYFLLTEPPLNPPENRENTAEIMFESFNCAGLYI AVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSIPIAGRD ITYFVQSLLRDRGEPDSSLKTAEKVKEEYCYVCPDIVKEFARYDREPDRLLKHTVTSP NGRSVNIDVGYERFLAPEIFFNPEIYSSDFLTPLPNVVDGVIQSSPIDVRRGLYKNIV LSGGSTLYKDFGRRLQRDIRHLVDARIRASEARSGGARSGGLDVAVVTHKRQRHGPWF GGSLLGQTPEFRSYCHTKAEYDEIGPSIVRRFALLGGPGSS AOR_1_1682014 MSSPTPKLLKADLFKSSSENLTDDERIDLSNQRAYAVAKAYSLE ISDILDLTPKFWQIHQDMALSLDHAAHTLISIQYNIAGAIFAMFVSDQPEYQPLLDRI LRFEVSMQLMLTEVGHGLDSRNLETTATLLPNGDFDIHTPNSNAAKFTPPSWPRKGFP RVALAFARLLVSGDDWGVKPSIVWLNDGKNMCEGATAKLLPKRAGSKPLDRAITIFTH VRLPRSALLVPLKKSDNMQESFMVMTRRGAVGSLAFTTVLVPLMKRAVFVAEIGTELL LNRYQPPAPMNPTCLLAQHEKGLFDESRSILKGLKGGHRHAEFNSLILPRCPALVEAI GHRRAYEAAAKAGVDSDLLALYEIHAVLLDPSWYIQHTDLTREYLFQKEARLLDTLLP RLDTLLDSTGAGLYCTAPILSLASWDAFVDRLETLEAVGMSEDKARL AOR_1_1208014 MQNPPKSPDVNAKRRKKTKVDREKSSGFDEVLQADIDNLLRKHK PESEIGVEDASTPPPASSLPETFTEIEVKVAEISSTGDGLALSEDANHVYVVPFTVPG DKALVKVIRHFPSLSYSLTDFLKVVEPGPQRNDAGIGCQYFGKCSGCQLQMMSYEDQL AHKKRIVEKAYANFSGLIPELIPAIDDTFPSPLQYGYRTKLTPHFAGPGGNRRSKAPK QPHTEVPPIGFTMKNQRRDLDIEDCPLGTDIVRKGLKSERTRVAENIGKYKKGATILL RESTARIPKDDVDPGSAVKDREIEVGEDSGDVIHIEREKYTEEKRCVTDPNGTSVEYI DDYFFSNRAGAFFQNNNSILSGFTEYIRQLALPKHTQQDSKPIKYLLDAYSGSGLFTI TLSPLFKSSLGVDVSGDSIVSARENARANSLPNTGFAAADAATLFKDVPYPPDQTLLV IDPPRKGCSDDFLRQLLTFGPRRVVYVSCNVHTQARDVAVMVQGDKEKNIRFEIESIR GFDFFPQTGHVEGVAILNKTTF AOR_1_1210014 MSFARPARCVFCSFTRGVSAGTRVPSRQFHPSSTQFANRKPKFP NFKARDEKTLEEITRDMKPKHFKPYTEEEKAALKEEYTPEQLAAIEAGEAAIDPKDMA EQFAIRRDPMKLHYLDDFSTIEPGVDKHVRAPKSNSDYNATLKSDDDFVEDFARFFQE MPEDATAADWVRFAETLRVTLGKEENELNPHSALVPDLFSPGESLTEEAKSVKPFEMQ SSARFGESEEITEALKRLLQSTGYTQAFVKGLATKTLVSHSVVNQTRLGKVRRMYCLS IAGNGNGLLGIGEAKSEESADAITQSKYRAIRNMQPIPRYEGRTIFGDVEGKVGAVEL KLMTRPPGFGLRCQHLIFEMCRAAGIHDLAARVGRSRNPMNTVKAAYDALMSQRNPED IARARGKKMVDVRKVYYSGRY AOR_1_1212014 MSTPMSDGSPAQESENPLLRASNPMVSDLEQEVLDEYSRLLGNV NKLSEKLADLSGDPSSLTLDGLRLLERKTATVCTLLKASVYSIVLQQQIFNENEEQQQ MEQQQSDQMQYHDQGYDYQDEDMSFEGRYA AOR_1_1214014 MRIQLNLLLASAITTILAVNPRDAPKEPTGVKTITSPNGSTIRY KEPGEEGICETTPGVKSYSGYIDITLDIHVFFWFFESKRDPKHDPVTLWLNGGPGSDS LIGLFEELGPCTVAENMTTVLRDHSWTEVSNLLFLSQPVGTGFSYSTKEVGSMDPTYL TVESTTNKTEEGRWSVVNVTALDTSRLAAESAWELLQGFYSALPNLDADVESTDFNLW TESFGGHWGPSFSTYFYEQNEKLPEDGSKGRKLKFKSLGIINGIIDEPTQTKYLLEFT KKNTYGVQLINDTVYDHGAFSLNMPDGCQDQLDYCNWMKRENSIVRRSACAAAQYICQ TTVEGLYYRFGDRGTYDIRKPTGQDVPPSYWRDYLNTAPVQNARGVDLNYTSSNLIYT AFSLSGDFAAPYLPDLEKLLELDIQISLVYGDADYICNWLGGEEISKVAKWSGQEAFN NAGYTDLVVDGTAYGETRQYGKLSFTRVWEAGHEVPYFQPAAALQIFNRTINGFDIAT GEVEVSPDSDYATNGTAETTHTTTLPPLASSTSG AOR_1_1216014 MIKAIKEDGIIQPPKSVSADKDEVGHVAEYNEQDHGADSELSRS LESRHLLMFSIGSSIGMALWLGSGTSLINGGPAAIFLGYCIAGSIAWALSQAIGELAV LYPLASAFPQWATKFIDKAPAFTVGWAYWFSASITLANELQGVVTVLSFWTQSVPTAV WLSVFLVVILIIVICAVKIFGEAEAVMSTIKLFWIVVVIISCIIISAGGAPNHHKTGF EYWNSMPFTNGFKGFLSVMGTCIFAMGGTEFSGIAAAEARNPLKSVPKAVNSIWLRLT LFYVVGGLMVTITVSPKDPDLFGGKGINASPYVIAFRNAGIPGLAHAMNAIIFISVVS SGNAQAYAATRTVVGLANIDMAPAIFKKCDRLGRPWAAIFITFLVGGGLCYLNVTNSG ATVFGWFSNLTALCILWLWGTIFLSHLRFRMAWKAQGRSLDDLPWRSWAGLFGTVYGL AWCMLLVVVEFYLAVWPLNKKSSAENFFANYISIVAIVGLYVGSKIYYRGPLWIHANN IDLNTGRRHYMSEDLEARTKSSSGIKKIAGFIMGESKV AOR_1_1218014 MASAKLVSFKYGEIPVGAIKPRGWVKDQLRLAADGLAGHMFEFY RYVKNSSWLGGSEEYSELNEAAPYWYNGIVPLAYTLNDERLKAQAEPGRRDEIINSML RFTRLAHIMIQNDYQGYLSHEGDRFDPLKFGLARAHELSTTLQWLYENVAEENRSVIW DTMDLMWTGAEIGGRDWSKFFVPGAFPTSASIKPQPNFQHGINVAQGLRYMAQKYRMN HDEKLARQTREAVDMAFRYHGTPSGSITSDEFLGGLGPQRGTELCMAVELMFSLSWLH RLFGDNDYADLTEQAAFNALPGGISPDWWTHQYVTQSNQPWIKRLDGRPFYDVSPYGN IFGLEPDYPCCLVNHHQGLPKLVCSAFVRKGGNGLIHRFLIPAETSIELDGGHASVTA DTHYPFDQIISYKFTTTKSFDFYTRLPSWATASSRANLPGGRVIPLVREHDDVFHFTV PTGSSQVTVTLGTEVRVVNRPLSSAVSIYWGSLLYALDIAYTETSTAPTHWKKNVDPL PTDSTYPQLRDRMLIPKEEAEWRVAIDPSQIVTHWANRDTDPESPLPNPIYARGAPPM VISVAATRIAWPVVNGAAHGVPTEVTTEGEPFVARFVPFASAPLHMAEVPTVSLPKLN LPGQSH AOR_1_1220014 MTQLFASSPSNVSNEKRGSFPSLSLPSKKHTNESSHLPTKIKNF FRINSSSSNSSHHSHSHSGDRDREGSPHTGAKTESKSAFRQSRFLPTIGRNRSTTVAS EGNPLDEGVSPTATANPYFVHQGQPSLQHRNDGSEPSSPPDTPELQVDGVSAAEQATT ANKTELARKLRRVASAPNAQGLFARGDNDGRPQTAETGNQPPVEETDSQITIAEDTVG SLAVPKLGADGKIPSPGEIRNQVAFRRTYSSNSIKIRNVEVGPSSFDKIKLIGKGDVG KVYLVREKKTSRLYAMKVLSKKEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSE DYLYLCMEYCSGGEFFRALQTRPGKCISEDAARFYAAEVTAALEYLHLMGFIYRDLKP ENILLHQSGHIMLSDFDLSKQSGPGGAPTMIPGRSGNSTTSLPTIDTKSCIADFRTNS FVGTEEYIAPEVIKGCGHTSAVDWWTLGILIYEMLYGTTPFKGKNRNATFGNILRDDV PFPEHGGAQQISNMCKSLIRKLLIKDETKRLGARAGASDVKTHPFFRQTQWALIRHMK PPMIPHQSRGTDTLNFRNVKESASVDIGGSNPTKMKGVPMDSGLATPNGEVNDPFEEF NSVTLHHDGDM AOR_1_1222014 MSQGMPHLKCLDEAKKQYESLSSQLSRLDRYMAIHTWDIDSRSK KVMVEQRKSLVRELDVVRMYREQLELIFGKPSMNGSSDQQRVNADLLVPPITYLTGSM ADYQGFLGPVSSSSSASCAGQALPTYLTPPTLPMLYPESEASIPVFPWQNLGNPGSAV GVKDMSANGTLGNLNLSQQNDETRTNLNINQRDKQIHTSTFSDESGSTGDEQASSRLP SPSDLQHLYHKIEEATERGEPVGRLLKELSVVTTQLVKQKREEGRISHRSTRGKQNLP PLPSGKPDSTRMTTNGRPMKPASHLWGSEAHFREAVRSCGDTSSTSDNEANGKLSSSC VSTTDSWATVHERSKRLVSMGSLEDPKCGRNPETIWESPRSLPKYKIMSAEIHASGAP HNVGWIQGRLEDRKEVKPSKNTTLHRTHRARGGDSGMQDVKLPISSLNTQLLSRNRGL VFQKTAALAVPQNVNVQAYVPSFDGPGDAPRNETGQRTMETGDRQTQETACYDSVQEA RPWYMPKQRPKPSRETLRDFFRQVRDEERREMRDSHNEGQSNDR AOR_1_1224014 MAYLTKHLKDQDARQLFHGPRRDMPYLPDIPSHSTISNFLALIS TLKWLMYQCFQTKRWSYVFYANIGPATLSCVNSVF AOR_1_1226014 MLISNFHVITAAAAIHTSLASAQNLEEKVWAVVAYNLYGDSIPS ALPRPKALTPYGANELIQNLSPYLIDAEEVDVFSTTDPSVVASAQAFMQGLYPPLEKS LNATFSDSPFQLANGSIATAPLGGYQYPQIVTLDAMDPRSIKLDGDTGCLLHQVADTE YKYSPEAQEITQDSAAFYSKIYPFSLSGVLDPSSANYANAVMISEYLDYESVHNESLL HNVNQDDIDRARSLADRYVFATNGYMDSTGTNVSDRIRTVAGRTLASSILDAFNNNID YRGTNGKIALLFGNDEPAVALASLMQLASPKYENFYGRPTRGASMVFELYSLENNSSP AYPDPSQLYVRFLLRNGTHSADFQSYPLFGHGPSNIAIPFTEFQAEMEEVSLGSTKEW CLLCNSQATFCSDVFDGHQNRPTSDKGLSPAVGGVIGAVVTLVVIALISILGFLVCGF RMNRTRRSSLGGFKGNSKMASDSDVTFKNPTWEDVKPADTKETHSSGAGITVVRGHER TGSWEMKSQQPADNNNHTGGEQAVSPFNEPEEEEWQIHSAIRPVTARESV AOR_1_1684014 MVNTRAEGPSVDGQDSSELPPTSIPQDEDLNVAPKMSRPLEEDD GAVSPRASKKPRLKSEPGDLSLLPQPPTVVDQGPGNQIEEELASALGPSIVDTVERQD DKPSHIGTPAESAVAPEPNQDIDTDIATVISSIMNHAERVEEQCAIGQQQLADNSGQL APKGMVFVKANSHLKIQSLPILDNLSTQILSLLAKSTYQDITSFVSEPDSENGQAYAT MRSLFDHTKKVYSTKKSFLSATELELTESSQVDIIRKANLASFVSSIFGTQEIGFSEL NDNFLDVFVPEGGRLLKVQGALFLELKTQAFIASMNNTERSRTELLYDLFPDDLEQRL LERRPGTRQLAPSETDFVNRAKSRRDILLNDINNEEAMKALPDKYHWEDFLRDLSSYV TKNFDTISNQQSKKITKGRQPSSSSGDTQEPSNAPLQGQFSVASQPPDVPVDRNMHGD LVARAARAAQIALQGHGLRRSQQQSQQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQTQ QQQAPQQPQHPIQQQQQPQQQHVPHHPTQQGAQIYHGYAPAQPSGQLPPQQPPHHQQY QPSPTPPGYQPQPTQPTFQQGPIQANFQQYNHGAPVSMPGRPNSAAANHGYMPGIPHY SQSQPTQVLYERARMAASAKSSPSSRKSGLPSQRRPWTTEEENALMAGLDRVKGPHWS QILAMFGPGGTISEALKDRNQVQLKDKARNLKLFFLKSGIEVPYYLKFVTGELKTRAP AQAAKREARERQKKQGEEDKAHVEGIKGMMALAGAHPQPVALGHGHDGMSASPSLPPD AGAHAAFDQTAEQNLMQTLGQEVHGDQFGQHQHHQHQHQHHQHQHHPHHQDPVDPNMH LGQ AOR_1_1230014 MADQAPSQTPVPPSSQPPPLSQTGSQEPSASSASTSGEPATSSG ATALPKPDPDATDGPALDASIEQDIDLNASNDTKMTNANDAEDPTVGNPIQTATSVDA FAAAAAPSKKETSLREFLGKMDEYAPIIPDAVTAHYLTLAGLPPPGNGPNQTPPHLAR LLALATQKFIADIAADSYQYARIRASNSSSASNPMGSLNAASGLGMPAGASGAGAASG AAGASGGDAGKGKANTHLGIQRPGFGGGGSGGSGQGRTVLTMEDLGMAVAEYGVSVKR GEFYR AOR_1_1232014 MFPTRALLGRSVWKGPNIVPLPLPRKLPPPPNTPPIKTQKRGAT ILPNFVGLRFSVHNGKNYQDVLITEEMVGRKLGEYVATRKRFTYKQSKNK AOR_1_1234014 MPSSSRSESSKAQKLDNDSFVAFDHAAAGHDGVRCTPSGSFIAK PCTPAEVAFYESCALHPAFAEFIPTYIGSLTSADGQQQPIALASAQPGAIVLPSSDSS EVSTAVATPQPNGEANAPDAAATTEKDWVPSGGKKIDTGLSIVLENVACGFKRPNVLD VKLGARLWADDAPLAKRTKLDNVSKETTSSSLGFRIAGMKVWTGVNGENDEGGKTDPY ATKYEGSEGAKGEVIEKDGYRRYDKWYGRSFSDKNVKEGFETFLAGAKAGSVDRSKLI ARRLADELKNLQEVLESEESRMYSASVLIVYEGDPEAMEIALEEEKKVKENPKEDSEE EDDESFELQVQQDGAYQVVDLPVGKDGQPQQAINISIDPETIQLGDTDIEEDEEEPPK VHDLRLIDFAHASWTPGQGPDENVLMGVRNLAKFLNELSAE AOR_1_1236014 MHKAPATAGNEQNAQLVLVEVISVVPLKALEKGKRVVAKIYDPL YLNDDGGFYDPFVCVDNHYTHEVAAYMTLSDVQGSMIPEYYGSFSLKVPVDASNTREV RLILIEYIPGRSMWDISPKEVPQRDRQNIMKAIIQFETLAYTRDILLPDLKPRNVVVA NTSAHEKAVCIDFGDAQFGRGSIFNSPVIDAYLLPGTYISPLLRWHAVFRTRMLDFAG WIDWDWQPWLETEFKHTAFTITPKIRETFLPTQMLEAWWQSRKHGELY AOR_1_1238014 MASKDIGPGGLLSLPLRLRQDVKDSHQSIVGSGKATLTASDQAS GNELDFAHQAVVEAEAPTVADPMEIAENQANPSHKPLLDDGDATVTDPFENADGASAS KPQAAVDNGKPSLSTPVQAADDQPDSTSQPAVSDGDTTLTQPSQAADEQAKLANSVHS SAVDDGETTDMATVDLVAPKKKKKRKTKSKAKHGKGKPTGFEEYYVDVPITPKEYEEE KALYDIRIEAAILRYQNSRRMEPDRREVFMRYLAYGGVDVGPKMFTGVDEQELQKLDS EQALIAKGQASIRHECTKLTVDFNTVVKGYLTSYFPYFFNAETEDMVKLATVTIRNFL SYLLYHEVCPEYKENIDEARRSCDIAAKELWQNQEFATSSPRDFNKACSTLFGGFFYD VNAEENSWNKRKNWNFLMKKDVARKVVKFAIAGSGTNTMALQFQTLANQNALHSALVP DIHGFEVIAVFPPTPEVREFYRHHAPDLNPVGRMVGKAYRDPGKPRYDLSGEERLMWE TGAASMPDFQFFLEESLLKLCYPKMKVITPVWELNCGLNFFEDVHTVYSSIYTVLCND LMLGYKQSVDLTEKEPDDVEEIEEPNGDGAGKKETTL AOR_1_1240014 MSVPSTMKAVIVEKLGGPEVLEFKSDHPVPTPQEGQLLVKNNIS GVNYIDTYFRTGLYPSAKPEILGREGAGTVVALGSGPNPYGFKVGDRVAWMTTGGYAE YTAVPAAKTVKIPDEITDEDAIAGFLSGLTVITLAKETYAVQKGDWVLLHAAAGGAGF LMTQVLKSLGAKVIGTAGGPEKVALVKSLGADVVIDYRSEEGKDWVKKVKEVTDGRGV DVVYDSVGKDTWEGSLEAVKRKGTIVWFGNASGPVPPLPLQKLSPKCVKVARPQLFGY IETREEFEFYVNELFGLLKSGQLKVKIHKVYPLEQAAQAHTDLEGRKTTGKLLLKP AOR_1_1242014 MFFWNKRPRWPPSPSVEGEFESLSRELHGLTKIGDKPGIEGVCA RGSIDQYPVIIETLSLTSIVQTDTLGGYGHGSTSSVISSGPPTPPSESKQPCLFDAGN ATQQGSQLRPAPLATPPMSRDTSPRGQPRAPAPQPVQNSRPATGHQKLASQPVNSGSQ GSKEQKDSVPALHKANSSPIPLKPSKPSAPVRTSSLPSKPASSILKRSVSGRPTGPPG TKIQQPTQFTPSPVNATKSPPPIRTSSLANKSASSVPKPSGGVRSNQPTGTKVQHPLP VSSTPQQAVKQPSPMPTSSLPSKPASITKESRGVKPDQPTRQSTANVKQSLPANLSPQ KVTKPVPQVHTSSLPNKPVSSSVPKRPASINTSAQQPNSAPGHQADLAATKEKDHGIK PGPSPAPQGSGKPSVGSPKTLSLAERLEEKLRRKHEQRESGGSPDAQKTNPPTPVSDP KPSGPIVAKSDPTTTQEPANQAPRPQLAHRSATVAPPSVKKGSGPASLIEMPVPRLVP SDEAQSAPVLPKRTFSFQTEALKPASLKSLEHTLEQLQSLQVSLPQPVRPPSPSRFGQ CLLPCPRSVPVAGYQDWYTIKGMTHLNICPSCLNQMRKSKFRDLLILGTPRPRSEKVR CAMSEPWARLAWMQTINKQLDHLHLLCQITQPPLGTKPCTGRVVSEQHWYRVVDPATG AFLPKFNVCSACVRNLRLLMPPHQDTFKLCTTLQERVCDFVTDSPRFVRYIDLLDIAA NRAEQEHSPQPDLSEFMAYARRKVVLRDCRRSRVALNTWHYMPQLPELTVCEDCYDDV VWPMVKANYPIARKFSAMMRLPPGDGLARCREASCQLYSPRMRLKFREAVEENDLAYL NMIALQRYEAEQRYRKHRAQLLEDEERGYDCDAELRRNLEEWKRWE AOR_1_1244014 MLLPRRKLKRLLITMLLPFLLTLTLLTPFYLIYKPPSLLIRYFQ HRWPDTLWHVPLPSNRKLIALTIDDAPSVHTPELRSVLADNAAKATFFLIGSQIPGRE GELHELVRQGHELGNHAMRDEPSRSLSDEELREQVRVVQDRIRAAYSAVGGREPRERW FRPGSGFFSERMRGLLGELGFRIVLGSVYPHDAQVKWWWVNARHILSMVRPGSIVICH DRREWTVPMLRVVLPELRRRGYEIVTVSELVRAGVEAGTISGT AOR_1_1246014 MINTVTSAVSSDNDLQAPRTSCRGSVDPSNAMSLPGLDLTQPSA DNQFAPAPPTQVSLSKGSEWRFEVAFGTVIRVKLLAGTAELFGTELAPSQTYTFSGTK GAIYTWHGCTLEVGAGDTGPSYTAEETPMVEYANVHFALETMRQEAKATGKDGPRVLI LGPENAGKTSVAKILTAYATKVERQPIVVNLDPTEGMLSVPGTLTATAFRTMMDVEEG WGSSPMSGPSAVPVKLPLVYFYPMQNPLEAEGSVYRPIVSRLALSVMGRMAEDEEARE TGIIVDTPGALSQGKPGSLEMINHIVTEFSITTILVIGSERLYSLMMKNYDNKPTSSA SAVASDERITVVKLSKSGGCVDRDAAFMKGVRESQIRTYFFGNPIPSTASAALSLSAS STTNVTLSPHAQQLDFNTLSIYNYTIASLEEDEDEYDPSQLGAGDSFLPGGGNDAEAS QAQQDEPARATPLPGIVSSIESATPPVASNVPLKKVLPPAPSTLANSLIAITNAPTTA SAAEVRDASIMGFLYVAEVDSEKGKIRALAPVGGRVPPRAIVWGKKWPGEVVGLVG AOR_1_1248014 MARSLPKKNNPHILPSETPSYEELLRCRRLGKTNLTVRPTVIGT SNATKPENLGPFEYAHLRVKLPRDLKGSEIFPSHNTQQQPETYFLMRRSKDGYVSATG MFKIAFPWAKLEEERSEREYVKARKETSEDEIAGNVWISPILALELAKEYQMYDWVRA LLDPTEIVQTPSSTKKHMQITPPPRFDLPPIEAPAQLTAAPRLRRGRSASPSKKLASP QKLASPRKPRSTREVKEASIVATSEANANLQSALDATAEAGSVNGTIQPSVEHDEERV APTPKKSASTPKSTKTPRAELKEIEEVEETKETKEENVKVDVETNADEAKDVQTTKTT VSVELPVSFLPDAPSAEDTEKMIAKAKEMVEEAAKLQSTEEEPVPSSTKAAKKRNIEE ALSDDEEDEEAKTLRTKRAKVLEEKLKRERVRNRALVGVTAAFALAASIPYFF AOR_1_1250014 MPEHEEDLVASKTEGFKVGEKKTINEYTELDKNDESLNRWKASL GLATGATIGDPSDPRKCIIKSLALEVEGRPDVVIDVSAPGAVDTLKDKPFTIKEGAHF RIKVVFQVHHEVLSGLKYLQVVKRKGVRVSKDEEMLGSYAPNTTDKPVYEKKFQEEEA PSGFIARGHYNAVSKFVDDDDHTHLQFEWSFDIAKDW AOR_1_1252014 MPGLPASIDLDECIERLYRKELLADSVIEAICAKAKELLMKESN VVHIAAPVTVVGDIHGQFFDMLEIFKIGGFCPNTNYLFLGDYVDRGLFSVETISLLVC LKLRYPHRVHLIRGNHESRGVTQSYGFYTECVRKYGNPNVWHYFTDMFDFLTLSVVIN DQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDTERDEFSLSPRGAG YTFGAQVVRKFLEVNSMSHILRAHQLCQEGYQVLYDDRLSTVWSAPNYCYRCGNLASV LEVSDTGERFFNIFDAAPENDAHRNEQQAQQNKDGQNPVIDYFL AOR_1_1254014 MARSSLSEILTAASLSLAPYEDLYKYFHAHPELSRQEKSTSEKL AAHLAQLKVYELHTNIGGYGLAGVFRNGEGKTVLLRADMDALPVKELTGLPYASSLTM RDTEGNEKPVMHACGHDMHITCLLAAAETLVKMRDEWSGTLIVLFQPDEERGGGAQAM VDDGLYSKIPVPDYVLGQHVMRMRAGSVGSRPGAIMAAADSMKITVFGRGGHGSQPHQ TVDPVLLAAHIVIRLQGIVSREINPSDLAVLTVGSLQAGQTENIITDRAEIGVDFRSV KLEIREQIISAIKRIVEAECAASGSPKPPVFTPTRRFPPTLNDKDAASQVAATFATHF DDFDDDVPRTNVSEDFSTLATCRGIPSCFWLLGGIDPELWDKAQADSRTEEIPGNHSA LFAPVIQPTMRVGVDALCLAALTFLKN AOR_1_1256014 METISRISSMLETARELTLEAAQSAAMTRGSSTGLTSRNVTTAH IKKLLDSRHDREVLDGMRRVITLMYRSEPSLPFFSAVVKNVANANVEVKKLVYIYLVH HAEAEPDLALLSINTIQKSLTDQSPQVRAMALRTMSGIRVPVISQIVSLAIKRGCGDM SPHVRKAAALAIPKCYRLDPNTLPQLIGYLSTLLGDTQYFVAGPAVSAFLEVCPDRID LIHKHYRGLVKKLVDMDEWGQIATLRLLTIYARKCFPPRTEKVKQAVSKGFYDDEEGD DESGREYEVPIVDPDLEIFLRSCRLLLQNRNSAVIVNVVRCFLYLAPPEYLAAVVGPL VALLRSPQDVQHIVLYNIVAVCLRHPAPFRKYVSHFLVRASDPPHIWRLKLEILTILF PHCGLHLKGVIISELEHFSQGTDPELVRESVRAIGRCAQSDPSTAGHCLRLLLNQIIS LDDNLVSESLTVIRHLIQQDPASHEQTVIELVKHLGLTNNPDARATIVWLVGEYAGIE PERNFAPDVLRILVQDFANEAEAVKQQIVLLGAKVYLHHLLRNPPKEEPPASPKVEQQ YKNEWTDDQDEEKNEENNHDEQKPEPQEDRIALLWRYLLLLARYDTSYDLRDRARLYK SLLASPSSTQLANLLLLAPKPVPHAPSPSETRKDLLIGSSTLIVGPDAGPHGLMGYQN LPDWVELGKEPDPSLREPDVKSELVEKAPATAGERLDRALREHQNTAAATSRQPNGRM PAAASAMKNKTLDQWLEDDESEEETESEEEVTDSEEETDEETEEETDEEDEDEEEEEE TEEETDSDDGEEARQLLTQSGTHVQGSSRDVL AOR_1_1258014 MAYNHRMSQQFRGSQQHHGRGRKKEDENDALMRLPDKEIAGCIN DIGIPFTAADLIKPNPQQIQMVFEWFAELLMNITHEAVEPAMRAAADDVGGDFPDIVP TDTRNLMGFFVSLRKLMMECGVNDFTFTDLTKPTHDRLVKIFSYLINFVRFRESQTPV IDEHFNKSEKTKARIDTLYAENQEMEQRLEEMRRNLRANEAQVKEKVRRNDELKARLL ELRRNQERVAETLERVKADKTRRQTQLEEKTEKVVRTRQEVEKLRPYAMESPVSLQAS LTELSENLLREKAQIDAMEKRARALQTSSDTFTVVSNDVQACVKLLEDISVELQKEED EESRASRNKEAISERGNSVREVEQTEKLLQRQLARWNERIETLRKNAQEKAEAAQARM EELREVQKQLREERAEKQRDMERRRIRIEQTEKKMVDLKENIESEIQSAHDEYLRLES HIKLYITEMEKCI AOR_1_1260014 MASTTPAPSESAKPFDIVATYNELLRSDPDLTMPIAAIEALVLL LTHSPSSTISETLDLLEKSTAHLKKSIPNPIGLSAGTDLFQRYLITTLQRPGQLGPAG DFNAIRAHLLSNGRLFIRRAKESRDKIAAFGRGFVRDGSTVLTNGGSRVVASLLQKAA DDKGGPSAVRFRVIYVLSPAKDSSAEPEGMETVRALRAKGVPVATIPESAVAYSLGKA DIVIVGAEGVVENGGIVSRMGTYQIGLLAKAMGKPFYAVAESHKFVRLYPLGQYDLPI EQRVIDFNTEEDITDGHKPQSVAHSSDVVDFTPPHLISALITDSGVLTPSAVSEELIK IWF AOR_1_1262014 MAIWPFGRKNKRHTVQLDTVAAADVHIPQEPRLSLDDAKLGRKP SRKKSKRQKNRHLQPADDLSGPVHDAPPLSSQPTPYPPPTSHSEQVKGARGSSARTGL TPDGYMSRTTVGQDQPSLSRNASLRRQNRSENGPAVLKKKLSKRKAYEIAREREIRLM SSSPIDIPRRSTLPGEQGSAYTRRGPRAQSRRSDRHFSDVSLPLRDSTSSLSDYSESC TFKVNGFAAWTPRPVIRYVEAPRVPTARSLKPSEASTRRETATAVSVSEDNLHSKKRV DNLADDLDAGALRELLERDRRRRERKKAEEYEKLQRKLQRAAERQRLEEIASGTHDHQ GESQDMAENQRGRSSADHPAGSRECIAEDASGLNETRYNAPGVSTGSWLRGLSKDTER SDRQSFESVHVIGNIDDSSIRERKLGMRRSFAPSQEMGMSRSTLSPSHSPTRREVYSP TSSQAYNLGRESTSDVSRTIDSERRLSDHSSGRVNTITSLFRRGSSRLKRRYRERFQD RGSEFSSNTSHESFFKVPTQSSGPPPYVPPKTFLHSGTMKRSQSKFREHFGDEPLSPP DSRLQSPDIPEEPFEQSEMEIEKSELHEKTQYPIPGSDSEPQDARGNRHYSWTGDSME GDADNIPLSQSLASIDSEGSWMSGQFLRRISQRTSTPARPSLSSSRNRLDEEREELPK EEEILGNEQLVKFSTNHDEATRASHVLGEQDNDFEMVNAPEPTEETWHDEIAKRPVLV NPAVRPKSNEGLLNNVQSMCAISMEEEFSPIEEHPAEFYFETSDDYDGRHGH AOR_1_1264014 MSEGNVVKIESKKDFQEKVIESKDLVVLDCFAEWCGPCKAIAPQ LARLSEEYTGAKFYKIDVDDLSEVAGELGVRAMPTFLFFKDGKKVNEVVGANPPALVA GVQSLVKQ AOR_1_1686014 MALAFQEELLERYTDLELAHYIASSPSCTSSSRVFNLSSNLIAK IYRTSEVEDALKATEVASQLGIRGPSVRKVLKNRGRAYTIMDRVEGTTLDVVWKELSW FMTIKLGLQLRHFVKILRSVTSPTAGSLTTGECRSFWLEDRYGLPANSGSAAFAHFFR FWTNFTSIASDASIKATSSTRLTRFHWWGANDHRTIRLDSS AOR_1_1266014 MGHSTTTCFTPEELITATTPAPNGYANSKCLAEHLLAQAAKQSS LHTFARVGQIAGPVRSPRLRNKTEWFPRSCPELLHVGSLPNTLGSALDRIDWVPVGLL AEVLVDLALSKNKTANESVDVFQPMNLYPLTWEALRPIVEDALLKTSAKKLKSFPSKT SGKNPAVNLLEFLKDIMSKSTRENVLDTQLIVQRSGKLQAVEAVKPEWIQKCVGELIQ AOR_1_1268014 MSNADAPVERAPIGVSYLAGFIFLSYVISMMGCTTTLELLHRRT ARAGLYNWYLLLTSSVAMGGVGIWCMHFIGNRAIVLGNGESDIQILYSVTFTGVSFVL PVIVLLAAFYAIGTSEKAGYIRIITGGVLTGCSVCGMHYIGQLGIANYQCSYRAANVA AAAIIAVFATVSGMHWTAAVGTSYRNHDPSVNKGGQLSRTQTVIICAVLACAACGVLS ACAVVAGGHRRKLRAQAQQLVLTCAFFDPEGRIMVTPHALLPSRKIVDRYIGRTFNED DLTRTHPAFLWAVRASRNWKVVRDAVPLMRGRIESDEATLAHYISKGVFTEQETELQP HFDDLFKRHFCVTAQDLADEVRQPLQDMGILYDHVLATSTPSSRFSRAMGYSMIRAGK GQLLFTVRQLKKNDASRMAAAGFRFTTIENVTTILSRRIHVPVLSLGTHLKDMRDYAS SHRIFEPGVHLISFIMRPTIHDHFEVLTAKGTGNPLPSSTLSTKRLQIKHLEMIAHME GWTMSTCLNWLKSDNARAYKDIDEFREQLIHAITTLSSSLPPDVNLASKFSARPLIAP CRINRISDGQNCILLPFCVVGSLDTQISNTDYSFTPLRLFRVQQQTNDGLSESDGFAK ELSEDLLYSKTRPTSSTDSDFPDSIRSKIRFWNPRKVPDSMLKTDSQESLSENTITPE IMVRKEVKVDVAKLAEPTFEPSLGRHASQTTVVAGEMAFNTYVDELYNLCYSPGVRLR PDPAFSRKSIR AOR_1_1270014 MTDVPTGGRIVPVIEAHQHYSISPADEAVADQHPPTQQLTTQPP QPQQEEDSDGRSSQKLPSQSQAKSSKSTRSKNAETECLELPCLSKLGLYALPTEGDGN CLYYALSDQLYGDFTHADEIRVRLADHIAANKDYFMNFIAAVGGERRAPRRAAASAAR YSYCSSSSASPAPPSSKDKERSFDSKVAESRKKGVWGGAEEIQAFCQSYKRDVNVYTM YGIQNFRDVHASDDEEREAVHIAFHDFHHYSSTLHKEASSTGPTVVDMASPWKISAIQ EGLGGKYDRDTIVGMLQQCRGNIDRAFENLLGEDTSAHPAETSASKAIMKSRLQQPSS RSSSPFSTGSKRSADESELEDNPQPATRRSRARDQKRRILPDVTVGIAFRDDQNDLVS LRLRVSPDAVAEKAMTTETPSQTESDSFESGSGSGSDRTGILEKNNKSRIKQKPASSE PVTQKNEPKEEPKPRRSQRLTKSRNAPKPV AOR_1_1272014 MSTNNIVILGAGVSGLTTAYLLSKDASNSITVLAKHMPGDYDIE YASPWAGANYLPVGKASSSHGKWERNTWPALKEITEKYPEAGIHFQDAIVYNRTKDQG SATGDWFSELVQKEPWYKDVVPDFRNFPDNELAPGIDNASVFTSVCINTAIYLPWLIG QCRKTGVVFKRAVIKHVADAASLHHSGKKADVVVNCTGLSSRKLGGVNDDKLHPIRGQ IVVVRNDPGAMFSISGTDDAEDEVTYMMTRAAGGGTVIGGSYQKDQWDPLPDPNLAVR IMKRAIALVPQLVGEGQGIEGLDVIRHGVGLRPFREDGPRIEADKVNGVSVVHNYGHG GFGYQASFGCAAEAVELVNGVLKQKGRAKL AOR_1_1274014 MTYKPPKVEEDYSDTSEPQKRKRDEKYPELEPATPQQEFETEKQ QPPSKAPRPDETPVEEGKINAEGEVNDDDEEEELSDVYEEGEDEFEEGNDKNEDDEEV EEEDKEDVEDNQVEADDEVEEEKEEKEKEDTGKHEPKVQKAVDKLGRSPLDGTKIAQK PLTASPETLLAMVIDAMLKSRPISHDLTQRAITKVIEAGYHDIRKLGDSSWEERTMIL KDGGYNRYREQGATNLGELAELVDGKYGGDLNNLLEEAHHNRDEVRKLIKEIKGLGDL GADLFFNNVQSVWPEIAPFVDRRSLQTADQVGIGTDLDTIYADLNHDSMKMSRLANGL SAARLDKRQGELLSI AOR_1_1276014 MKPHPILLLFLEVTVCATILGFTIPQSPIRLGGLLIIFLCGYAV SIFFHYIDIALLSQWSFETNMPATEPLQLKDEYEFVRRWKSPLAKKGSSWKGKLRFGL SSTFTTRFCGTPHEVRNVPRFSYSDPKYAPSRPRFIRDTALTVLLCYLILDAMDEGAD PAMVHEYFSEQNIPFFRRFHDISGNEILMRASGGIGVILGLMCSQGGFYNLFALISNV LGLSAPKDWPPFYGSPLEAYSLRRFWGVFWHQTNTHKFNSISNFVLRNVFKIQARRGF IPKYARVIIIFAISAVMHFLIDISGGIPVHKSGAVHFFCTQAFGIVIEDVAIKLYSYI TVYTNARLPLLVERVLGFTWVGLFLVWSTPMYVYPMMYRSAAGPNDVIVPFSIIGLLK AOR_1_1278014 MDLSNIFRLVNIAVGVIMVLGGISQFFPPSMSSIIVGGYVIIFG LLVAGLEFLPNVPDYVYRYASFLFSFLGRGIFYIFVGSILLHDHVLRYIAGSIVGFIG VGYLALEFIPSIEPPSNMRESDQGWGAEQV AOR_1_1280014 MLASLPQMETVRSLPRNPDVVARHPSAEDLDAAQQLISSAQAGR EHPVDRPRDETGSRRYEEMPSRGLYEADKFLEGSTPYPSEIGANQSEKASSPKSQKDT SFLGHSCSNCGTKSTPLWRRSPTGAMICNACGLYLKARNVARPTKRNRVQTSPETTQP PSNPSHPPHDSTAPSSHEGGGCHGSKGSCPGGGNCNGTGGAEGCDGCPAYNNRVYKST PRGTVPVHAWNRATTSDSEKPPLQEPDLSVKNGTPATTTTEGNMLVSCQNCGTTVTPL WRRDENGHPICNACGLYYKLHGCYRPTTMKKTIIKRRKRVVPALREHSPTGATQSSNG SSASPEASPAALAPHDDHYRYYSSEPMDHYKHMPGDRISPQAPRQFGFAPPPVDFTGF GSATVSLPHHPPPPRLLEPERINAPSHSPVSQFARRSLSPNPANPKKRTLAETASSAE AASIPTTLESGSNQLPPIMSAANPSPPGRLSSISSILNHPNTRDESRLDPSLAALSRQ QHQHSHQALAPPQPQSLPGVTELDSMREERRAQLQREAEEMREMLRAKERELAELGRQ AOR_1_1282014 MPPPKKAYTLTFTGDVMLGRLIDQLLPTHLPNQPNWDTTITNIL KTTPSLNTYSYVSPWGTALPFLHASDLNIINLETAVTTVDTPWPNKAFNYRMHPRNLE VLQKGRIDYVSLANNHTVDFGVTGLQETVEAVRGVGIKFAGVGDRPGEAAVLGMGDVG GGSRCETKEYKIHIYSASDHPRVWADIPQFNFIDYSPATRAKLKTLLTSGEEPALKIF SVHWGPNYAWRPAGEIRALARFLVDECGVDIVHGHSAHHVQGVEVYRGRLIMYGCGDF VDDYALNGEFRNDLGALWRVLVSEDGRGGLSLGRLEVVPTRCRLFEVEVLGVEDEDHA WVRERVGELSREFGTVVEGELGRDGQVIVDLG AOR_1_1284014 MDELLPHILLTRDADDGVSRGQRALVVTAVLTAISILIVGMRMY ARIGLLKMIGREDYTILFSLVLAIVYLALVAAEVHFGLGEHNSAISEEMLKQQLKRLW AAIPMYNASLAFTKFSILFQYLRIFPDRRFRIACWVMMGIVACYGTWAVVSGYVNCVP VAKFWDRTMPGSCLSFEGVWFFNASMNIVTDLTLLIMPMPLLSQLQLPRLQKFALMGV FAIGGLVVVTSILRLSSLRTVANDPDTSYSNVGAAYWTAAECNVAIICACLPFLRPIV SCLFPKLLSTHSYNRYTRNPTATATTRSRATRMHLPSQYDDFGMCTIDIEHGERKPDA FKGIEVTTEMYQETSKYDESTSQRRLVMES AOR_1_1286014 MRSYTNNLRKQFFEEALRPVSGFNWAEDVEEALQQQYERWKSND ISDGEADDTSEEHGGTDTPISSQHGFDISPVWGEHRHADNSYGSKAPVRGTQLETPFG VAPASERCRLTTSALPQIGEGQEDKLYNSYENHYETVQMRSEVEQEFVFRNYCMEHDE EGQIHHFNWLGYPLRVPSGTPAVISLLFQLSDPKVPKPRDELRFQSIFARAMIFVDPV IVLLERGLHDLDRRGFNLVRWATGRVSRYYTLHGRWTEDQFEWQECRLPDEGIIEEYQ SGSVACGNGFISLCNIRSRGEWAAHKAHLQEKYDKAARHAADDFHRRRHCRVYKPSLL SQSISQRDVECTAGETVAFYQKGFMLIYDLTEDHIETLSDSSSREQEYSGIYINSRES IEGYCDECVEMVANDRREGRQQRRPRCFGIHSAADRTTQMYENWQAIITSQHGTESTD TWEALSRTPNGGRKVASRKKSRLGRWKHNLNAWEVTLKRMMVQRGRSICTNAQKVFRK RGFSR AOR_1_1288014 MKHFRVCCNSIRLLRHLASSPSSTTLSTLTPFARKNPFSCTVQP AITQRTMATAMAKRLEGKTIVVTGASSGIGRSTAKEFARTAPKNLKLILTARRIDSLN QLAQEIKEEVGDGVKTLAVKLDVSNPAEVQNFVPSLPAEFQEIDVLVNNAGLVKGVAK APEIAPEDIDVMFSTNVTGLINMTQAILPIFKKRGDGGRGDIINIGSIAGREAYPGGS IYCATKAAVKSFTEALRKELIASRIRIIEIDPGQVETEFSVVRFYGDKEKADAVYANC EPLTPDDIAEVIVFAAGRRENVVIADTLIFPSHQASPGHLHKK AOR_1_1290014 MASKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKQCVIDEEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITSRQSFEEIMTFQ QQILRVKDKDYFPIIVVGNKCDLGKERAVTVEEGEALARQFGCKFIETSAKSRINVEN AFYDLVREIRRYNKEMSSYPSGSGAFGNRAPEGKMDVSEPGDNAGCCGKCIIM AOR_1_1292014 MFSRLSQLTRHLARPSLNYASTSPVALPPLSISQARASNMTSSA VHNYTKTIHTAACLIIGDEVLGGKTIDTNSAYFAKYCFSLGIQLKRVEVIADDESEII EAVRRMSNNYDFVVTSGGIGPTHDDITYESIAKAFGLKLKLHQGAFDRMKKLSKPHPM QPQFDWDTPSPGLTAKLRMVELPHDDTLSEEQQATFVADDMWVPIAIVNGNVHILPGV PRLFEKLLEHLKPTLLPRLTDPEGKGIYRYLFSTPLPESAVAPYLTDLATRTSSRGIK VGSYPRWGKKRNTVTLVGTDKDFMDSLVSEVEENVQGTRVSQEDELDPPSDAEEGK AOR_1_1294014 MASESRLYAFSPETKEKLRKFRLGTSRANDPQAIIYIIDQKNQE IRPEDGEVYTKMEDLADELPDSSPRFILLSYPLTLKSGRPSVPYVLLYWLPENCNPNS RMMYAGAVELMRNTAQVNRVIEVEEEGDIISIESKLQGED AOR_1_1296014 MSNQGAPKQPNEGKQEGFARYLQRMRTVLRKSSSSKSESASNSQ ETSGQASPTKSAPSKTTAPAKATAAPKTTTAPAKDTTANSGPEPTVFKHWGAIQEEKA RALFAKYGLTLEPGEWRSTTDIEVQRVAKPIRMRVRRTCHRCQTTFGIDKLCTNCQHV RCKKCPRYPPHKSSHDHQTESALQTILSQKGKEPAGAPRKVKEPPLTLPSRTGGQDLI RKEVKQRVRRTCHRCCTTFAPDATECENCKHTRCKKCPREPAKLDKYPDGYPGDAEPP AEPPARTWKKPRLRVRYTCHKCSTMYRSGEKTCANCGQEKCSETIRDPPKKQKPEPDP EVVRRVEERLKVTLSAG AOR_1_1298014 MQLAVFVGKTFPSEFSTGFACELPVRNATGIVTGMGLRVIPEIL VDNFPDINNISFSWTAQQIRNQGAAFAAHGIAHI AOR_1_1300014 MDDQKLKGTLSDGSSSPLTPWSSPLSSLSSMTPSPLTPRSPFHE PESPVQKDGIRPCSSVSREGYVQGPRLGLPFRGNNSPSPCVAKSAMDIMQDIESKSNN FFTAMKDVVLPLLGDKERFYQNKETPFASVRPHKSLDPQPTGLQSQLKPYQLRGLSFL LYLRDNGIGGILADEMGLGKTIQTLALFQHIKKHDNTVRVDEPGPFLIVCPFSVMETW LSETIKWTPELTSIKFHGTPSKKEAVMKLLSTVRGKNRRSSKSAVDIVITSYETLTSD IKWFRKFVWQYVVLDEGHRIKNNQSQRAQAIHKISAEYRLVLTGTPVQNDLRKLWSIF HWLYPHVFIPSTAEPFEEAFSLADGKFNPEFFEQVQKFLGLIMLRRVKESPEVGLSIP LKTEIVLSVPLSEFQRSLYLRILTGIENSILGGHDGIPFNHRRRTDTENQSNVIREQD DGSIEGPFRRSSAEYSEKKKYRILSNILMELRKCSIHPYLLDDAIPDPYELGAHVITN SGKYIVLLKMVQHFVLERGRKIIIFSNFNQALNLCEDLLLTIQKNGDPVRYVRLDGST SNARRNLSIYLFQNDPRYMVFLISIRAGGEGLNLVSSSTVIFLDEDWNPQVMRQAEAR VHRIGQKHPVRIFKLQSKGTVEEQISRRIVKKAYVATKIMEDINAAHDMKAFANMIDS RGLAYVMDTEDLSALVHSRAVLTSIQSSATELNWFGWKTILKIVFCVRSSA AOR_1_1302014 MTTQENFPGLPPFPDNIPTAPLTRLSLSKLLAYDELEIDRLMRA CCDIGFFYLDLQGTEIGDTLLATADKLFQTGTELFQLPLAEKQKYDFSAQNSYHGYKA QGAMIADKEGNLDRNEFYNVSKDTILNLTPTPHPSPAILTNPKTHTNLQTFTKTSHSI TTLLLTHLNKTLHLPDSTLPNLHNLHTQSSDQIRFIRSPPQPPRDPHTASMGEHTDFG SVTILFNRVGGLQVLPAGEDAEWTYVRPLPGHAIVNLGDALVKFTNGLLRSNIHRVVA PPGEQGTCTRYSLVYFARPGDEVVLRRLESDCIPVVEGEDDEGVSSKEWVLRRALGRR GKLGTVDYEKSAGTEMVSRRIRV AOR_1_1304014 MTAPKPHIAQTLLSRAHSPDTASQLFKERIKQKPLYLRPTSPTP EDNRDRRRRHRLQKKAYFLRKQKPRPLSAREKRVSGIYDLPKEECKHAIFKGLHAMWV EYMRDVLDIGGRKAEEVNVTALSHGSKLVSADFHGAEVEVVRSRCAGRVGVRGIVVRD TKFTFVVVTEGDEVKTLPKEQTIFRFRVPLSTPRQDEMDVTEDAGANAGSSARKELTF ELHGSQFLNRPVDRANKKFKWRNVDYL AOR_1_1306014 MTTEPTLPIPISYIAGNYYLFSIDAVTYLRREHHICGVLIGTLP QIPQQNVFLGLPLELMPEEARLLVEKGVACIVDEVKVQNQGMKALMEEDRKKYLRELE SQGLQAMRLQASRKEQQREKTLKKLEEKKAKAAKSKKSSEDPEQAPAVADAPKDDPLV DLFADSQPSSHSQTTSRRTSTTVAPENAMGITPATARPPLPAEPSSEQLLPMPQVPSS YPLFAHLHAEGYFLSPGLRFGCQYLAYPGDPLRFHSHFLVVSAEWDEELDLMDIIAGG RLGTGVKKGFMIGGAEKKDNTADEESVRTFSIEWAGM AOR_1_1308014 MNFLLLLFLVFFAPLLLFLAWLALSSCLGSRLRSRFTRDSLREG MDAYGRDYLRGMAGSGPAMSEQIELENMLRDSDHEE AOR_1_1310014 MSFRKRNIGLSAGVDRASALNSTAQPQQAAAAPETNPGIRPSPD DGRPTTSTGSRSLDNLLAGHGGLPIGKTLLIEENGTTDFAGALLRYYAAEGVVQDQKV HVVGMPEQWGRSLPGLIGPADVADEKPAKRKGERMKIAWRYERLGEFGAGVAGSRAPV ATTGDQASSAANGDQAQQPAFCHAFDLTKRLTHPSIANITYIPLARTNEPFFVSIHKR LQASITQSPPNTVHRIIIPSLLNPTLYPPEASQPETILPFLHSLRALMSAPSARVTAM ITMPLSLFPRSSGLVRWVELLNDGVIELCPFPHSADALATSGAVTAGEEPPQGVLKTY RLPVLHERGGGSDQNVGQDWAFTLSRRKFEIKPFSLPPAEGDKEAQEGAGSSAMPKKA DLEF AOR_1_1312014 MSDPLLFEDTFTITGVNQQKYDRVSRLTCTSTDHSSTFTLDVNT ELYPCTTGESVSMALASTLSLDGKEDTGSKGWREVGMGEQTLANDYDYVCHGKVYRFE EGTTQGNMAVFISFGGLLLYLEGPYKKLAPLRIDYVYLLLKK AOR_1_1312014 MSDPLLFEDTFTITGVNQQKYDRVSRLTCTSTDHSSTFTLDVNT ELYPCTTGESVSMALASTLSLDGKEDTGSKGWREVGMGEQTLANDYDYVCHGKVYRFE EGTTQGNM AOR_1_1314014 MGKSNLELVDECDKFPYYEDNPAFYAAHLKKHHAFKVNGCDAVL GYILNSAVAKFHWPAEHWAVDSTNQTVTLNTAADATPEERSKVMAETLAAEAKRGNFE ILKGWRNEKYPVYAPGGKFLLDMERCASPLFGIVSYGVHATCYVEDEQGMQFWVPRRA KTKQTYPSMLDNSVAGGMSTSERPFECLVREAEEEASLPGDVVRATAKSVGCVSYFYV RDSRAGGEVDLLQPEVEYVYDIKLSRDIVPKPSDGEVEEFKLYTVEETKKALANGEFK PNCAVVFIDFFIRHGILTPENEPDYFQILTRMHRRFEFPTASHFAN AOR_1_1316014 MFSVIIPGRPCLTDIVPVDPQPNGQATKFAFTIPLTPDLSDLVV FLLPGTVLPPDTAAAIYIQFPSDPNGFRFIGALANEKPSAILPTSPPPDLQPGMTATL GISLEPIATVAPQLEALEAEKGASGQLVRQTRQITTKVLAQRIIGNAFNFLASFASSD QDAVPLKAFRDWWSKFERKVDMDPSFLEREDPSASG AOR_1_1318014 MRPPLRIAILECDTPIESVDKRYNGYYGLFSQLFHECAKSLGLD PETGLDITRWDVVHAQEYPKLEDIDAIVHTGSKHDSFENDPWILKLVEYTKKALEDHR VKIIGICFGHQIIGRALGVKVGRGDAGWELAVCNMDLSEQGKKLFGKDKLRIHQMHRD IVFNCPTNVIPLGSSSNCAIQGMYRPGKFITVQGHPEFNGFIVSEVVNKRARAGVFPK ELSDDALARVELAHDGLDILVVFLRFLLGEIE AOR_1_1320014 MHENPQPSRVGVDESTPLLDNGQSHANYSSDHCNNALETGDSST PYTSDDEEPVITLSRVTSINQGLEIEPNLERIPSAGTTTKKAAIDPEGKSPSESTGYA SRFINVSPTRFWLIFGGVQMGYIIGFFDSTLMASSHPVITSHFHASNSASWLSTAFLL TSTAFLPLFGRVSDTFGRKPVYLFAIAVFFLTTAWCGMAQSIGSFIAARAFCGLGAGG VFSMGMILSSDLVRIEYRGVYQSYINLCLGVGGCLGLAFGGFLCDHVGWRGAFFVQLP FIFVYFLVAAWTIPADLGLKKAKAERMTFLQLLRNIDLVGSFILVVAVTSLIMGLNLG GNVLSWSHPLVISSLVLSLILAVIFVRYERTVERAVMPISLLSKQPCANLIFGNFFGS IAVNTMFFNAPLYFQAVKLASPTDSGLRLVASTLAVTASSVSTGFIITWTKRLKPTVI IGDVCLLLGGLAASTLGMGTPDVVAMLCVSLASFGQGFSFPSLMVSVLATSDPNEQAV ATTTLGLWRNLGSVMGVATSSWIFQNSLVYQLEEMVTGPEKESIILLVRKSVQAIVKL DPVHQEQVVGAYAAALRLTFFSAALWGALMLLMHWRVRLPRLGSKA AOR_1_1322014 MAHRGETLHKDISCIADLKVEGSKKLPRMVRDYYNEGAMDLITL RENEASFDRYKIRPRILINVDQIDTSTEIFGTKVAFPLGFSPAASQKLAHPDGEVAAS RAAAKYNVCMGLSSYSNYSLEDVAAQGSGNPYAMQMCVLKDRSLTLQLLERAEKAGYK ALFLSVDVPLLGKRLNEYRNNYTLPEDMSWPNILSHGLDTSNRTDYDPSLDWETTIPW LRKHTKLQIWLKGVYTPEDVELAIQYGVDGVIISNHGGRQLDGVPATLDALRECAPVA QGRIPLAIDGGIRRGSDIFKALALGASHCFVGRIPIWGLAWNGQEGVELAVKILLQEF RITMALAGCRSVKEIRKSHLSVLKSDGVLSKL AOR_1_1324014 MTRIFLTGASGYIGGDVLYVLSEKHPDYDITVLVREADKGAKIS QAYPKVRVVQGELDSAVVEEEAQNADVVVNAASAQNNKGAEAVFRGLTSSKRTKPGYW IQVSGATLLSAVDIEKGVYGEPSDKIYSDIDDEEDIKALIHKFAAKRLIDHFILNLPE TPLSPKTAVVYPPIIYGRGRGPIKQRSVQIPELARITLQNKTGYQVGRGLSTWSNVHI TDISQIFVTLVEKAVTGEQGPFWNENGIYFAENGAINFGEIGRLVAEEAAKLGLSSAS VKEISDSEANALSGHGSVLWGTNAQEGAQRARKVLGWTPTGKSLQEEIADTVRVEAVS LGLLSA AOR_1_1688014 MAVVLVFGGGPNRELLSFHYWKHPAPVNEYLVPGHVGRLSAFVA TICHSVYAFGFAPELLIVTGGEMESPRRNLPTAGKRYFYRLVLFYIIGAFAISLILSS DDPKLLGGGSGAGASPWAIAARNAGIVGLDSVINTVILLSALSAGNSYFYMSTRALYS TALIGSAPRFLMKCTKSGVPYNAVACTTAICLLSYLNVSSTGATVFNWFVNLINTGAF QSWICVCTMYLRFRKAIDAQGVTDLPYRSRFHPYMSYISGGMFSLLLLLNGFKNFIHG HWDTSNFLTCYIALPIFLVL AOR_1_1326014 MPPSRKSKSRRTHTLGGCQTCRRRHVKCDQMRPTCLTCRAFGVS CEGYSTEIRWMSGKHQSQQQRQSSSESRSADKSATSSGGHGTRRHLYTEKSRASMSTA LAADLASGTVDALLTEVDTKSKEVEGSSTGDVAVGPFGVLKFDLCPDTNNKRQNAQSE KTSPSPATNRLPYDEALEFDPLLSSVTDPLLGADEFLHWADLFGLGFDLTSGILSDDF NHGELTHGLYSSIYRSGLDDMSGQTHGQDLTVFERDEEQRGMSALTPQQSPIELVSPT SDILTDAPFLLKHFQDNVIGQMMTLPVGQKSPWKLLNIPTAVLTLGDLTYLEGHNLNH ARLANFYSLLACSAHHLSLNATIESPHSAEHWKQVTHYSYHKAKGHIQQSLKIEVNGP KKAKYKDQLMAISAMAAFAILSGHQKDARCYMIDAERLMRLRGLSKREISRKARVLHH IYTWMRIVGESTYVLHDYNPSASFIEALNHCFQPREDMLEYDNSRRSGLDARLDDFLL LQPRQSDSDLDIDAPKEREVALHDIHLEDSRRWADTLYSEIYGIPETWLSLVSQTTRL ANVMDTLVVSGGTKRFMNSEAWEALQRRATRLENMICSFNSDKSKGSNTDSAGGPARP HTHMLRALNTALVIFFYRRIRNVHPSILQSHVDEVIAALKDFDTALAQHKVPGPGTAW PAFMAGCEAMAPSKRDALLRWVEKGGAQCGFASFVVAKDVMTAVWKEQDGYFRFNRAG GPLPTWMDILRQKKLWPMLS AOR_1_1328014 MSTQELTGVMVALITPFTDDGSKIDEGRLKSHIDRLLQAGVHGL VPGGSTGEFTVLSLAERKQLTELCVKYAAGRVPVVAGTGATSTQEAVELAKHAGEVGA AAVMVVPPFYDPVNYEQLTEMMSEIHTESKLPIMYYNIPSASGLTLTPQQIADLSKVG VKYLKDTSGNAPAYTELVFALSDKITAFNGWDTLTFYGMAAGAPGCVWGAANVIPELA VQLWEAIAVKGDLKLGRELWAKAFPICKFLESHNYAAAVKTGVELTGQPTGGLRKPFA LLADQHKAELASFMQSAGIKTV AOR_1_1330014 MPELDLFLDITAPNGRQYKQPTGLFIDNEFVKSTSGQTIASIDP ATTREIASVYAAGAEDVDKAVNAAKAALKDDSWKLLPATDRGMLMGRLADLIEQNKEL FATIDAWDNGKPYHVALSEDLVEAITTFRYYSGWADKTFGQTINTTPQKFAYTIRQPI GVVGQIIPWNYPLSMAAWKLGPALACGNTVVIKAAEQTPLSILVLGTLIKEAGFPKGV VNIINGYGREAGAALVQHPLVDKVAFTGSTATAREIMKMAAGSLKNITLETGGKSPLI VFNDTDMEQAVKWSHFGIISNQGQICTATSRILVQKDIYDTFVEQFKGAIQTTSKIGD QWDESTFQGPQVTRAQYDRVLEYIQVGISEGATVASGGGPHPANSNGESGYYVQPTVF TNVKDSMRIYREEIFGPVVVIVPFETEEEAIRRANDTTYGLGAAVFTKDLERAHRVAA EIESGMVWINSSQDCDPRVPFGGVKQSGIGRELGEAGLEAYSQVKAVHVNMGNKL AOR_1_1332014 MASVSTINGNVLPSPDLDVSVEVAATDQTADTPGLEVEIATPDK IATDDNARESPFGEGGALTPNSTTMSVSRTPGSDTGRRKDTARKPRRVRTGCLTCRER HLKCDEALHQCQNCRKSGRICRRGVRLNFIDTQTVAPPHYIPRPPGSRVTFRDESRHI ASEYVGGFERYPPPVADPPLKADNLTSPPLPSAYNPHAAPSFVSGPGAQPASMNATFG SHPHPPYQPLQDQSASYAPFNSTGKGDVKTNNYPCLQDPDDVFLMQVFVEEVGQWMDS MNDVKHFTHILPFHALGQPMLLKAFMACGARHVYLKTPSYGEEKASYFHDAASRDLLS ALHDPDRDSALCATTAVVLNVYELMCSKSFPSIHGMNHIAGARALIKECHWDARTQGL GGACFWLNVSMELLSCLHFNWALAWDPDTWGVNMDFERDQPSVAGNEELWTHRMVYLC AKVANLRSSMSQLQPLDRSTNDMEISHRCQEWTMYNEWCDKWAKAVPRSMVPLAFLPS WQTNSKSSFPKIWLIKRASIIARLFYHTTRILLTKTHPLENEFSPEMQSVQQSHAHDI CGIVANVKDRGVASLSIRFLAVAAECLATREAQEEVFGIFDDIAKETGWRSEQVKEGL QQAWGWNPTQQHQPVPTDPNALPLLNDHHAFDIDPTSTLLKMPPGVVNPIMAFADFSM DNHPYQDHYVAPHQISDYQCGSL AOR_1_1334014 MLLFLSLLVTQMSTPTQLQPPASPTYILRGHASPIHGLHIFHQN LRLISGDADGWIIVWDLVFKRPVAVWKAHEGAILEVKGFTFSNQTVTEVYTHGRDHKL CVWRFRAQDEDLLQKTLPVDMSEQNQSQATQPWLVHSLPVNALNFCAFSMLFLDEEES PDTGEPEASDKTSTQSPGKNPPQHHSLFAVPNALNSGAIDIFHLPRERRLCTIPADPT TQTGMVMAVTLFYSSTRELYIASAYEDGHVMVFALRGQLTTQDFSGKASSDSWKWERV YVARAHSQPALSIDVFPAGGYFVSSSADALVVKHPVPGFAEVGTVKKVDTKHSGQQGV RIRSDGRVFATAGWDSRVRVYSCKTLRELAVLKWHKEGCYTVAFADVEGSFDSGGGAT GDGDGAQVTKSGEFSLATVRRQRNQKVQKTHWLAAGSKDGKISLWDIY AOR_1_1336014 MADDGLLLNFSIGDTNIIKPETKLKGGTWRDRLSAKKIAQHRTK NPRKPGEERPSSGKGPQNPNRIQVSSSRPSKRQKTDADGDNEKSRHDNKQHPRQFVSS LFSKNPTPRNAEEEPEQEPVEDAKPTNAPLIDGLDTFTNLGLSPSLAAHLLTKLELKA PTGIQKASMSQLLKEDSDAFIQAETGSGKTLAYLLPLVQRIMTVSNPKNMSTNSKGEP IVHRDSGLFAIVLAPTRELCKQISVVLESLLRCAHWIVAGTVIGGEKKKSEKARLRKG LNILVATPGRLADHLENTQALDVSNVRWLVLDEGDRLMELGFEKELQGIIQKLDARQR PSRIPGIPTKRTTILCSATLKMNVQKLGEISLKDAVHIKADPADEDGETKRKDDDGFR VPAQLKQSYAIVAAKLRLVTLTAYLKRTFMRKGSVMKAIVFVSCADSVDFHFEVFSRR KQYRDESEDEDEEKEDDDEDNSKTKSEASPHGTIAPAVAFSNPSNPVKLHKLHGSLPQ HVRTATLNAFSREREPSVLVCTDVASRGLDLPNVDLVIEYDPAFSADDHTHRIGRTAR LGRDGRALIFLMPGCEENYVEILKQGYRDGGKALTRTTAEDILKRGFGGNITSETKNW EEKATDWQMDLERWAVDNPQYLEMARRAYQSHIRAYATHIASERSMFNIKELHLGHLA KSFALRDRPSKINVPGLRPGDKEAKKDYKAERNTVGKKRKAGGRDDDFQPSNDATSAA QKMRAKLKEHMAGASEFNLA AOR_1_1338014 MASPSLRQYSFQVFRDVPPLRQMRRQLVLDKKTVGFVPTMGALH EGHLSLIRQAAAENTDVFVSIFVNPTQFGVNEDLSSYPRTWDSDVAKLEQLNDEFARL GGDTGRITAILAPTSKVMYPASPPSSEVDGDGSFVTITPISRKLEGASRPVFFRGVAT VCMKLFNIVQADRAYFGQKDVQQTVVIKRMVQDFHVDTEIKIGDTVREHDGLAMSSRN VYLGNRRRSVGLVLYNALKAAENTYNSGKLARSDILDAANCVTNQVLSEQQALSPSER ALYEVDYISLADPDTLDEVESVDPAKGAIISGAVKMLPLEETKPGEDCGLGDGQVPVR LIDNLIFKPRA AOR_1_1340014 MPSEKGPVDQSPPDLEIVGDKVTIHPSGFTGGPEPQDDGITERN LVHHMARFRENPFDFLREVSLYMSGTGWRAYDDVIGQPIFYSGFSDRMKTNILASPLL RGKVKELAEARLSVEEKEGLLDVKPGPLPKKKAHRRNEIESNLKEVVDSMLDNMICKM ESKRFIRGAYYLCTQLLTRAYHQGIHVSSEEVLRLRSVAEEAAKKKQSIVFLPCHKSH VDYVSLQLICYRLGIGLPVVVAGDNLNIPLLGPFLQHAGAMWIRRSFGNDPLYNTVVQ AYIDTLLQQGFNFECFIEGGRSRTGKLLSPKFGILSFILDSVLSGRVEDTIICPVSTQ YDKVIETESYISELLGQPKRKENLADFLSSSSVLSLKLGRVDVRFHEPWSLREFVTQQ LTRLPTQIDANSGRKLNYEERGRILRTLGYRVLSEINDVSVMMPTALVGTVLLTLRGR GVGKAELVRRVEWLCERVRMKGGRVAHFYRYPTDVVVDRALEVLGSKLVGVVSGLAEP TYYAVDRFQLSFYRNMTIHLFITEALVSTAMYTKVKRGGGPSHQRISYTDLLNQVSFL SQLFRGEFIFPPEGLTTNLEKTLQGLEKDDVITVSRDSSGTPTSIELSDAERQCGREN YDFYCFLIWPFIEASWLGSVSLLGLTPLVNEQKETWIDLKKAQDSAQLLGKTLYHQGD LSYFEAVNKETLKNSYQRFAEEGIILVRKGKESRAAPVMKLAPEWTPERDPSTGKLVP RGRLWDFTELIAQSRREGKNRRDGATVSSRVLIMTDLVGRKLFEGAASPDLEDSVDVS ARQQRRKDITPSSKL AOR_1_1342014 MAPTVLVTGATGYQGFGTARHLLAAGIQVNALVRDPSKPKALEL EQLGAKLCVGTFDDPDSLRAAVQGTLAVFLNVMPTFPDFSSELQHAKNVVNAAKEAGT VTSIIYSSVTMTGKHETFPGWGADYPMAWYWTSKAAIESLVRASGFRYWTILRPAFLM YNYLSPTADHMFPSLPSEHVFRTAYKPDSPMTILDPDDVGKFATAAILDPEMYNQHEI DLGAQALTPDEIARALSQASGKDIKVEFYTADKAASLSSVNPRITAQLWSNDVGYQVD MEALKKYPIKLTTFSEYLHREKCAVQKTFG AOR_1_1344014 MWHTNKVSFLNLFTLILGAVESSAQSSTQWPQQTFKSTPLEAPY LNVTKNGKTELGYLFFSPSNSNWSYPTIYQDDGQLVWRGPLVNTSAEQPQMLNGEPVL AYWNGANIKGFGFGSISILNSSYDEIYKVTLPGAEEKFVTVLDPVTYPSYIDIHESQI TDQGTILVTAVNVTQMDLSSIGGPKDGWVQDGLFYEIDIKTNDVLFRWSTVEHLSEIP LSNNELPLDGKGTNKTTPYEYPHLNSVAKYGDSYLISSRFMCSFFFIDKNGNVTWHLH GQKGGDFTLTPGTSFCYQHDPRFESQTEDKITIHFHNNENADFTSETVMTTGMTIALD MKTKIVTLVDKLWDAEQPVYAESQGSYQNLTNGHVLMQHGAVPKLEEYDENGALVMRA WFGYHGGTKTYRGYRFPWVGKPRTKPDVAACSTEGKMVVYVSWNGATDVQEWKVLGGS EEGQMKKVTVVPRNGFETRIAVDEVVEKVVVEAVGGVGDGRRSEVVTVGQSC AOR_1_1346014 MVAQPVYNASMPEGGDPTRVDVNAQYAGLEYNYIYITACTFIVF LILPGIAFLYSGLTRRKSALALLFQGFMILAVVTFQWLFWGYSLAYSRDGGPFIGTLQ NFGLMNVMVAPSPGSAVLPEIVFCLFQLLFCACTVMILAGGAFERGNILPSLIFSFFW ATIVYCPLARWTWSSNGWLYKFGAIDFAGGGPVHIASGCAALAYALVLGKRLHHGEAS PRKPHNTTLVFLGTVLIWTGWLGFNGGSSLNASMRAMVAVFNTNTAGCTGILGWVLVD MIKHRGRFSVVGACEGAIAGLVGITPAAGCVSLWLAACIGFITGIVCSSLQNINDWLR VDEGMDVFKLHGVGGIVGAFLTGLFASESISALDGASLTGGAIDGNGIQVGRQLAEIC AIAGYSFTVSYILLFILKYIPGMRLRVDEESEMMGLDRAQFFDEQIGDWSIAHGTSSP MLMGVSKEPSASKDEQTVKTPGV AOR_1_1348014 MGKWHYGVVLDAGSSGTRVYVYRWLDNAVARKGADSKDLRSLPE IKTKPEWTKKIHPGVSSFADRPEEVGSQHLAELLEHAQTIVPPDAIKDTPIFLLATAG MRLLGDVQRNLLLEQICSYARANSDFLLPDCDVHIQVIPGVTEGLYGWIAANYLLGSF NAPEQHDHGKGHHTYGFLDMGGASAQIAYAPNSTETQKHASDLTLLRLRNVDGSTQEH KVFVTSWLEFGVHEARRRYLESMQAASAVDSAKELPDPCLPSGLRTTLDGKPFTSKQE GGMHLVGTGKFDECLRQTYPLLDKDAPCLDQPCLLHGIHAPAIDFDVNHFIGISEYWH TTHDVFEMGHKDKAYDFNTYQQRVESFCSQDWETIAKGIDDHKWKKLNHEKASEVCFK ASWIINVLHNGIGVPRVGLEDTTGSGHNGTKEVITHAQEKGYLDPFQAVNKIDSTEVS WTLGKMVLYASSQVPVEIEEKALPVGFGSNIAGIPNDFQYPSTELLPNSEGLHGANWH DALLNARSSRRVPGLVLFLLIIIMMVFFLCGRRSRMFHKISNLFGGRSHPSYPKKRKF FGGKLPFFGPRSPSYERVLEDGANEFDLGGIVSGRSSLDVNRLSDTETASFLPPKRAS SWGSPTPSLKFGLDNSSSGTIGLGITAGSGINAMDRAGLVVRTESRDHLAPIALGPTS NGRRSRAGSPSRSHPHRSPIMTPLSHDE AOR_1_1350014 MRLTVELIQNSLSYINPLKDRELDLRGHKIPAIENLGIAKDQDA IDFTDNDISTLGNFPFFPRLHTLLLARNRVKHIQPSLATSVPNLANLVLTSNHMTELA DLDPLRNLTKLTHLVLLENPITRKEHYRYWVIWRIPSVRFLDYQKVKDAEREKAQELF GTAEEPSALASKIMGIKSRTFDVPSESLADRAPADKAVRVQLTEAERKRVEKMIREAR SLQEIARLEKELNEGRIPGGALDAAEDPDQMQT AOR_1_1352014 MAPQAPWRSLFQSHLTQNSSTSFTLSTVDHDSQNRPVPRSRTCE FRGFWPSPQLHDKAVEALNSQGIGQNPAVYESDMISLTTDVRMEKVGQLDSSANVVEG IFWLTDVGNQWRVKGEAFVIGDPKGGAHEEAARKEIQTGMNVTGKDADVSEWTWERQV TAYFANHSPVMRGSFKNPSPGQPRTQEPADPNLKLGQKVDDLQDSIARGNFRVVVIRP NEVERLDLSDLQNVRRVRWTFVPADNTGGQGEWVETELWP AOR_1_1354014 MPVASFDSQAGSPTEPNTPVPDTQFEGFQGGDGHSGKGKEPADL DEPILVPDPESVPVGCRPSQIETGTGAVESMSAAQSESASRGGNRLKRKASALNAEEP VLDSESDKSVGSVSPKDTSNIGQGHDPSSHEQTINAPASNPAKKVKMEPACGSCRRSK VRCTHRKPVVNPRDDAFQSEAQRPIQPKESDQLSRDDPAQDDPGEGSSKRAGLRPKSQ PADTPDGKIPPKPRGRPRKHPEETQAVVNKGKAVEEPESPPKRPRRGRKPAQRIGSSA QGKSGQATAPEPAAATVPTETMAANIYIATNMALNNVLAENFQETVRECEVKWQAVSD SLGEAMDSFREAKRKIDAWLDMWKKGEV AOR_1_1692014 MRKVIASRKSQGHPLEDMERELELLQANSMDERAIKYFKRMCEG DEEALGLWKKFRDLSIIKYQASFARLNIHYDVYAGESQIKNESMKEVENMTKEKRVSE VSDGAVLVDLTKYSKKLSKEIVRKKDGTSNYMSRDIGAVFERDEEYHYDNMIYVIASQ QDLHMAQLIKIIELIGRKGLADKLEHVNFGLVHEMSTHA AOR_1_1356014 MASLRLALTCFLTPSPPKATENFHAANTEPPQKKQVFHASEIPE WMQWDPYIQHGYRTQLNSFKQCFLSLFYMHNESVNTWSHIVLEISFLILLLAIDYWIA QLPFKVPFSDMLAIQSYVAGTAGCLVFSAAFHATNAHSPEVARAFLKLDYFGIVLTIS TTCISVAYFTSTLQLAYILFTVLCAAMVFCITLDVGMDGARAGPWRATVFLLLAASGL APIFHVGWNEGKCGLFRIPLDSLTVTCSSYAIGTLAYVTRFPEKYWPGRFDLIRYVQG ASHQVFHVLVAFGQIVHLFGLREMLVSIHMVRCTM AOR_1_1358014 MPPYCKIAVIQLYVKPLKPADNFARAVQFIREAAAQGCHLAVLP EFHLTNWIPTDPRFAPLCDDWESYVHRYQALAKECNICIVPGSIVRPVSASPQDTSTS STEPDGKPAPALENVAFFISNTGETLGSYVKKNLWGPTERAYLRSSGDSPHEVISTPF GPVGLLVCWDLAFPEAWRELVSRGAKIIIVPTLWTRSGASEAGHRQNPSAPSLFLDSM LTARTFENTCAVVFANAGGPPGRNYCGLSQINIPYAGPLVRLGTAAEGMGVATLDLAV LEDAEANYAIRKDLADPSWHYKHTQRIPAEPSKGKL AOR_1_1360014 MKVTTIIWLWLITAHCYPGRMDWDYAVQRHQQVLQGDTDRGFGL NEPFIPTTAHILPEKKPFPIDESVHYYERHFNGSGPDGTYRRSSCPAVNALANRGYIN RTGRNISYSELTHAVRRVWNFADDNVTPPSLSSIRRPFPEGDQNLGRDICPMKARFQR EDCGQGELEHRKCIV AOR_1_1362014 MLLLTFLVTCYAAGLPVFPNQAVLRPSLALPGDNSHRYSLPMFD LQPWERVDEIRLARKGYLYGSPLLGNTSFFPTGALGDAMVARDRAQWFRDVGYVTSNV YHELDQAAAALMKSLSSYEVLYKDQWVSTIPDGVAPGMLTNWTQDLLFSMERLSINPY VVRRLHPSNDHLPLDVDDHVMRDLAGGRTLAVLHQEGRLFLANHSYQAAYPKTPGRWT AACTAYFFIHPRSGDFLPLAIKTNMGSDFTYTALDDANDWLFAKMAFNMNDLFHSQLY HLANTHDVAEPIHQAALRTMSARHPVRGYLDRLMNQAYAVRPVGEEFLFNEGGFYDSS FALPNWAGKKFTTDAYWEHAGHFRATDFYRDLATRGLLNCSYGPLLRSFPFYDTVAPM VKAIEDFTSVFVETYYPESGLMGDDHELQDWIREHLFHRPSFMRSSFEYT AOR_1_1364014 MPPPLKRVATRTWLLNSDKPVPGQLIIICTWLGASPKHISKYID MHRAVAPHARLLLIESEVSILVSSYARQRRLIRPAVDVVLETLVETENGSTSDAPPRM LLHTFSNGGTNTATQLLITLRGIVSQPLPLIGLVLDSTPAKGTYWKSYNAMVFPSRRR HASWAQSSSTVY AOR_1_1366014 MATKQPEQPSVATALEPPNGGVASWSGVFASFLLFVTTWGFSTA FGAFQSYYQSELLSTSSPSRIAWVGTIQAFFLISTGVIAGPLFDRGYLQHLMIVGCFL TTLGLMMLSLSTEYYQVFLSQGVCCGLGSGLIYVPALSLVSTRFTTRRGIAVGLVTSG ASIGGVIFPIVFIRLQPRIGFPWTARTMGFIQLACSCIAVPLLMATTKTRQAPPRQII HWHAMKEWHFNAYGIANFLMFMAYFIPIFYVPAFAQTALHTSTALSFYMVSILNAGSA IGRIGSSLLTYRLGASHILLVSVIASAVLLFGWTGIHSVAGFIVFCVLFGIFSGVLIS ANLLVIAHPVVSPTPSVIGTRMGMQWFATSLGVLIGAPIGGVLQGHGGSDGFLGLQLF SAVGMIVGAGFLLVPTMAIWRYDQP AOR_1_1368014 MDLYKAVVLDLHLSPGLISAIHKPTVLVGIACALFFSQLLFWTA KYSHKKTKGLADLPGPSGWPLIGMGLDLPVRPRELLNRWAAQYGDVFKVRVGWYNWVF FNSPDAVKEVFDRQAAVTSGKPPLPIAQDYCLRGDGVLPMTYNAKWKRLHAFLKQLLS AKASAAFIPSQEFEIKQLLADLSHEAGKNSTDFYMHIRRMTFSIVMTSAYGLRIPKWD CQEVRDVYGNMRMLSIILSPGMFWIDVFPPLNWLPRFLFPLWPKAKFMAN AOR_1_1694014 MADGDVHYRDHLIPKGTILLANLNTLHWDPERFPHPFHFKPERY LNHLHRSAVYAAGGDILARDNFTFGAGRRICPGIHLAENGLFLAVANITWAYEFKLPL DDQGEEIPLDITDEGFMEGAIRVPKQYTVRILERNAARSRLIRSEWDQAQQAGYVLRG SHVDVNGGVGGGSKTAAS AOR_1_1370014 MALRLVKLSDKFSCYARGENEARFIYHEIFEDHEYDKVELPEAP FMVDVGANIGLFSLYMKEKYPLAKIIAFEPAPENLEALERNLAFHMVSTVKAYPYALG ASAGFAPFKYFPNMPGNSTLNVEEKEYQIQLFKENYDQTFADDMFKDAKQIMVPVHRL SLFLCLPHSNVEVIDLLKIDVEGTELEVLRGIDDRDWNKVRNIVMEVSNVKGGLDKVK QLLETKGFTVTYVAVRGIPELFKLFIVTACR AOR_1_1372014 MTRKAPPALGKGLYKRRNSFVYSIFTRNNFQPAIRTVSRLSNMS DFTDHLRPSQPNGPEILARKRAQTHIPVDELAHHLLAQDGYLERQARILRIVEQEPLF DKKRQANLSREDLFKLALARAKLLRRLVDKHGWDIDDYKMAETLVDDVSPYYLHLHMF ITTIREQASDAQQAYWLPLIESFKIIGAYAQTELGHGSNVQGLELQARWDPGSKEFIL HSPTLTASKWWNGSLGRTANHAIVVAQLLVPSSGGEYQSHGPHPFIVQVRDMQTHQPR KGVVIGDIGPKFGYATIDNAYMLFHHFRIPHSALLSRYAKLDPDSGQFSKPAVPAVVY GTMTYVRSLIVLQSRMALARAVTIAVRYTTVRRQFRDRDDLKGPEVAVLEYPTAMQRT YREAREQIQAGDFSGLAHMHSLSSGLKSFCTDLVADGIETCRRALGGHGYGGASGFIR LSPDYLSRVTVEGDNWMITQQVAAYLIKRMHAAVANIDSATGNETEDLSFHRRTIALL YDIYVERVTKKRRWTSLMTQLHRLSHAQSLALLVEIFHDTLQNDRSLPPPAKNTLRDL YHLFAFFHMETHAYDFFRSGAVPQRDLDELPNRVRHLMARIEPHAVALVDAWKIPDYL LDSALGRFDGKVYEDLFHRAHRLNPLNEITFNPNYWEDELVKGDGGGWSSVLAKL AOR_1_1374014 MTEFQAVGRFENLSLERRGCVFMITMELGAENRLTAKFCQELIR AFNTVRQTLGSNSEGAVITRGNNAKYFCTGLDLDEAEQNPHATTEGFYPLLHTILDFP FPTIALLTGHTFGGGCPVAFAHDYRVMNSQRGFISMPPVDLGMYFPGVGVLPRLKLRP QIARKVLLEGHRFTGEEALRDGLVDFIAQPDDMLAVAFALAAKWAPKAKAGVYALLRS ELNGEMTRAVQQISHVYAPSSSIVNVSSLYGIKGAPLLGPCCMSKLAIIGLTRAAAYE AGPSNTRGNAVCP AOR_1_1376014 MPAKDDKYTDPELREQVKEEVKQSDKGGKPGQWSARKAQFMASE YKKRGGDYTTSKEEGQDESQKSLENWGKEEWQTKEGSGTAKQEDGTRKRYLPKKAWEK MSDKEKEETDKKKQEESKKGKQFVSNTSKAKKERKQAAEESKGEDQQESENEESGSGE ARPEESDGSDDVDEMDNEEEQEGEDEADEDEEEQQEGEPQDEDDHSTEAGKKRTASQE EGANKKPKTRQDSHAEREQED AOR_1_1378014 MAIRNQYWGNVVAVVPTVGAALATLIYVLRLIACRMSTVGWRLE DLLMGIGLILSYGVTAFVIYTAFNGVGVPGNELPQDERIRLQFGSWMIQKFWAPSMAF VKISILVFLKRLLGTAKVVRVGVTCLIVFTIMWAFTELMGNIFQCHPVQYYYDTTLNG HCMPGQTKLFQTSACLSLVEDVIILLLPMPVVWRLRITVQQKIGLTIVFSLGALVCIF SLLRVIEFNHFHTDDLASSSAKESVWTALELNVAIICGCLPLFRPLVHRFLGKAKAQS SRSLRTPRYLNRLSQDPDGFHKISDPHGLRASKSVVVSTDPSGRHSLDVELGGITVHT AIQQDVESRPITGSSDAAMETWPR AOR_1_1696014 MASSVSKTLLVLLAAHLLGVAAADNCTLASFNITLGGRLQSLKP FSFPCFSQYNGIPMGPDEAACKVIQSNYSDPFLRANSVNGYMYNQAEICASEPANQCL LDNSDPTNPPALDASCNQGNMPSFSLEVQGPKDVVEAFRFSSCSGTRLSIKNSGHDFL GRSSGQGTLSLWTRHLQSMHYNPAFVPIGCNATSKYDTITVQAGVNFDEVYHFANAHN VTFIGGYSPTVGVSGGWTQTGGHSILSPVYGLGVDRVVQYKIVTPDGKYRIANECQNQ ELFWALRGGGGGTFGVVMESTHRVEPRVSIVSASIKFPPKANSSNVLPFLDILVNNAV KWAHEGWGGHISGNSLINVTPLLSLSEAKDSLSEAIAYAKSQGGTAVIEEFPSWYEFY QKYVVPNAVTVGNAHFAATRLIPKTVFETATGRADLMKFFSVLLSKGGSVYIPVVGPL LYKDVKPNSATPAWRDAIWSVGADGFWAWNSTLQTREQKVAEMQDMTAVLEEITPGSG AYSPEANPFTKDWQEAWWGSENYGKLLKIKEKYDPNGLLSCWKCVGWKETDAQSSCFA AFD AOR_1_1382014 MNMGSVYQPRHQQVCYSAILDKKQPVPVSDATEVDKKQDEVVYE KVDQSTPQLGIDPNQQDISAFPMLSDKGFLAQLQEFHEALVKAIVNIVERWWDDSVSD FPSRMPLEPQAEEILKWIHERSKNNSILAFADCPGAGFQICEINSRTPYNAIIHSAYK HGIMKQILGSNAIVEPTGDFKTMADGLFDHFDLDLPIHLVRGRDYLERQEFALLAERK TGSRPRLVNVSDLQLKVDASSSTGLALYCKKPGAEDEQALEKVYQVALALFPEEYSLL SLDMLRHLARISVNDFRTNLLVNDQRFLGIILQELDDLVKKHKVLTPDQARILQEGIV PTILPGSPELKKIFFNQGNPKVTLKDDFMLKAARASRGNGHLIGEELSTEEWEAALRG MQSPNIHTNQTSYVLQPYVRQPKFNILADRNRTTGYALYCTQSFSASDPPSSPSSSPK KEETLELIHQVGLQLLDFSALSPEIVRHLALSGANDARTRLLVHDKRILGILHQELDG LVTKHRVLTEEQANLLRRRIVPTIIPGSPEAKQLLDLHREGKLSKDDFIIKPARDARG QGIKFGDELSESSEWGEILAGLQAPALSSDKTTYVIQPIIKQTEEDLFLDEKVGVQRC QRVGTYYSVNGSFVGLGAWRAIVASERVCNMATGKAWKMGSVFVSHE AOR_1_1384014 MPYKPEEEIYCNDGREQELLKFIQNHPRYSEMKGSPEAVLAAID EFGCTKDFLMNVGQEKGRVVTGLIAQEKPKTFLEIGGYVGFSAILFGNEFRNSGGQKY LSLELNPTFASVARELIALAGLDQTVEIIEGPCRESLRKLHQQGAGAFDVVFIDHAKV LYFNELKLCEELGFVQPGTTVMADDMVRQGNAQYSAYVRDSPAVKRQRYEEEKANQEN GDVSLGNPSLIYETTMFHGLDPCGTEDGVEVSWCRGASD AOR_1_1386014 MQVDVLIVGAGPAGLMAALWMARAGVRTLVVDRNSGPTEAGHAD GLESRTIEILDSFDLGHTIWNESNHTIDICLWCQSSDGSLERQSISANSTPGWSRFQE STLGQSRIEAILLEQVLASSHVEVRRNTVPTSLYIDQNLVQNHDQHCFPVRVGLIPVP GPEASKAVNGDISIESAREVIEAKYLLGCDGAHSWVRKQLGLKLEGASRDVDWGVLDV FPITDFPDIRRRSIIKSQYGNLMIIPRERKLVRMYVQVSSSLAEKYRASDRDPDMIMQ AVTKIMQPYHFDASRVEWSTIYSVGHRYCRELSRYDRVFLAGDAVHTHSPKAGQGMNV SMQDSYNLGWKLASVIHGVAPPSLLRTYHQERLPIAKRLIEFDKRIYRGMLEAENTFD EDHRKALVEENTSMSGLGITYEPSMVIAKPVGFKTNGEVNGKSSLSIQPGQFKTIRLG ARMPSALVLSHADSQPHELQRIFKSTGEWNLVVFGGNIVNEEQWQRVENVAATLSSPK SVVQRMNARKHLDSDRVTGSLAICLVHSASSTEVDIGNLPDIFRPPDDDTGFDYGKVF VDKKSYHVGGGKAYEEYGISPYGCLVLLRPDQHVAFKGDLEDVGELKNFLDSIQLGDI S AOR_1_1388014 MGSFHHTARNWRIKVDNGVTLFRVEVKDLHGNWVERTIRLDDHI GNTDGWFIWGGKNFTQSARDIRLEDTEWGPKLVAVMRSNDGGDRGLQGMLLGDKIENR NGELHFTGP AOR_1_1390014 MEKAPLQIYFSALVFAPSISQIRNRFSHMPMQWMAKLPTIRSHW SSLQQTIECNPDSVLRIASSPDGSVLASTSGHKVRLWDPSSGQCLNTLEGQDLTIAFS PNGKTLASTSRSSSRVWPWDVLTGQCLQVLHGHTRAVNQVAFSSNDDMLASSSYDQTV RLWDPCIGNCLQILMGHTDCVRAVVFSPAPRFANILASVSDDRSIRIWDSTTAKCLQD IKTYDEEIRVLAFSCDGEILASAFGDKSNNVTNFRITEVQLWNPMTGQNLHRLQGPSD KLTAIAFSPNGKILASASWDDSVRLWDPLYGHCLAILWHPVPFYDVAFAPDAKTLAPS TSHDDSVWLWDPLTKQCLQKMEGHSSWVHSVAFSPSNYSEKLLASAALDDRVVLWSTS AEQGRVVAPNKSDDRFQAFTVSRDGGNCYHG AOR_1_1392014 MGDAVAPNALADTTRVEAPVTFKTYMMCAFAAFGGIFFGYDSGY INGVMGMSYFIQEFEGLDPATTDSDHFVVSSWKKSLITSILSAGTFFGALIAGDLADW FGRRITIVSGCAIFIVGVVLQTASTTVALLVVGRLIAGFGVGFVSAIIILYMSEIAPR KVRGAIVSGYQFCITIGLMLASCVDYATQNRTDSGSYRIPIGIQIAWALILGGGLLML PESPRYFVRKGQLDKASHVLARVRGQPEDSEYIKQELAEIVANNEYEMQAMPQGGYFT TWLNCFRGSLFHPNSNLRRTVLGTSLQMMQQWTGVNFVFYFGTTFFTSLGTISNPFLI SMITTIVNVCSTPVSFYTMEKVGRRPLLLWGALGMVICQFIVAITGTVVGDKGGNNAA VSAEISFICIYIFFFASTWGPGAWVVIGEIFPLPIRSRGVALSTASNWLWNCIIAVIT PYMVDQDKGDLKSKVFFIWGSLCACAFLYTYFLIPETKGLTLEQVDKMMEETTPRTSA KWKPHTTFAADMGLTEKDITDKVHVAHREV AOR_1_1394014 MVQLASTLVAGLAGLASVVSAHPGHDVKAEAAERAAFLKNAPLR SRSLDQCATKLRARGQEQRNIARREHAVKNLRRSMGLESRSHFLKARDLDTALNTTHH STQNVNLETDPNVLFASEATCILAPDVTQGPYYVSGELIRNDIKEDQEGVPLYLDIQL IDTNTCEPVPQVYLDFWHCNATGVYSGVVANGNGNSDDETNLDSTFLRGLQKTNKDGI VQFQTIFPGHYTGRATHIHVLTHPANETSVLPNGTITGLYDSKTSHVGQIFFDQDLIS VVEETSPYSTNTQELTTNAVDSILAEEAENIDPFVEYVFLGDDVSEGIFGWISVGIDA TEDTSVSAAAFYTEEGGVENENSGMGMGGAAPPSGSGVPSGAAPSGAAPSASASA AOR_1_1396014 MMDYPRVMLYNASLDAPIAVPEDVKSQNEKNGIELGLESVTDPN ERGVDLEKGAQPTSSLEKSNSPQRDSKLVTWTGPDDPDNPKNWPTKKKWGAVLIVSCF TFISPVMSSMVAPALQTMKTDFHIEDEVTSQLMLSVFVLAYAFGPLFLGPLSEIYGRV IVLQLANLFFLIFNIACGVSRTAAQMIVFRFLAGLGGSAPLAIGGGVLSDCFLPEERG KSIAIYSLAPLLGPAVGPIAGGFIAERTTWRWVFYATSIADGVIQVMGLFFLRETYAP KILRTRAKKLRRDTGDTSYETEAERQNKTLPEVLSTALVRPFRLLATQPIVQALAIYM AYVYGILYLMSSTFPALWTSPEYYNESTGIGGLNYISLGIGYCLGSQICARLNDLVYR RLKARNSGTGRPEFRTPLLAIAAILNPVGLFIYGWTAQTHCHWIAPNIGAMLLAMGNI VAMQCIQTYIVDAYTRFAASAMAAGSFLRSIAGFGFPLFAPYMYQALHYGWGNSLLAF ISIVIGIPAPIFLWKYGERMRKMSTYAAG AOR_1_1398014 MPVFTEYSAASRELRVLPSFAPPLPRLSSPFTRDDQAEKYEVVI VGAGPAGLMLNLLLARYGLSDDSLLCVDAKPGTLKSGQADGLQPRTLEVLKSLGVADE ILNDGCHMEEVAFWNPSANKEEIIERTSIVPDVAVPARYQHEVTIHQGRIERILETDL LRYSKRGVQRNTKLLDARIDEAGDPEFPVIADLETDGQRRTVRAKHLVGADGAHSMVR RCMGLQLVGESLDHIWGVVDLVVDTDFPDIRRRCAIHSPAGSVMVIPRERIATGDYLT RLYVQVPEEAMPDQDQVPVNGTTTPKADARARRSKVTLESIFQYAEDAFKPFYIRPKE NGAVDWWAAYQIGQRVSDNFTVKDSKGVNRVFIVGDACHTHSPKAGQGMNVSMMDSYN LAWKLAHSINGLTPDSAYPGKPDSLLDTYHVERHTIAQELIEFDRAFSSMFSGKIGSG EDGVEGLTHDQFLEVFSTGNGFTSGCGIEYPENLTVEKKLGQGIKSPVTGTDYLSGIL RPGRRLLDVRLKRHADGNRRHLQDDFLSTGRFRILCLTSSDLLDPQGTSAKSLTTLGT SVLPHFPASTLEQVVVHPRLDKTFTWRDVPQELKQHSEMRFHSGYETDDIYAVYGVDP AQGALAVIRPDGYVGTIAALDDFKETMLWAFETVVFNA AOR_1_1400014 MRKIRTSEGPGMKTDTRAVHAISLADIPAHTYQATFEPNKEWSA FYASSPEIHRYWKRVAHKYDCMKYVKLQHEVRGAVWDEQKSKWQLQIKDLNGGSTFSD QCDVLISATGALNNWKWPNIPGLHDFKGKLLHSAAWDESYDYSGKKVAVIGNGSSGIQ IVPAMLPKVAHLDHYIRGRTWIAPTFAREEIDKRNVEIENFSFTPEEIETFKKDHKAY QQFRKEIELELQSVHGTTILGTPEQVEARDVFLENMKRRLSRKPELLSGLVPSFPPAC RRLTPGPGYLEALTDDNVSIISSTIVQVDADGIITADGTHHPTDVIVCATGFDTTFAP RFPITGRGGISLADRWQKTPETYLSMMVDGFPNYFISLGPNSALGEGNLLLLIEKAID YFTFCVQKMQRDNIRAIAVKKEAVDRFTRYCDQYFAQTVFGQKCRSWYKGGAEDGRVT ALWPGSSLHSLKVFAQPRWEEFTYEYVNDNPIGWLGDGWTDNEKNKTIHVNYLDDDQI DFPTQLLNAASVEVGA AOR_1_1402014 MKSSGSKHFCCTICQRGFTRIDHLKRHHLRLTIYGTTTRTAPNE ETERFPKLDKEAEGVMRANRSCQKRNLHCDNERNQVKEPELGGSASSKNDDQEPSSDR GSIKFLLNGGTDTFTEHWNLPPSNDRPRTLNDYQNSKSFEEADSSILEYSTKENYLEY TPTYVEPDPSALSFFHDTFLDFFNGPFGDPHRASNDPYTSGMAYQSMAPSTQSSDLRL TGQQPAYEHEAPFANAMIQAILTKVWSLRLDAKTQEEISEKLNFLLTPTRMRKYMALY FKYWQPNCPMIHVPTFDPRTVSLSLLTSVCFMGAKYTEDKRELDAAQRLVDFAELFVF SNGILSGENEISSTYYGVQNVDDDPSCWVQFQNLQAAFLMVICQYWSGSRTSRNRAME NRFSEVIKVARRMGLPTFQHGPEERLHEYLWIQRECRIRAINLISLLDCAFSFYSNYP CRLSHNEMECALPCVESVFESAHPFQEPNFELSREITLSEAFQKLFEEESSRASSPST SGSTVAETLAKLTILDTFMLIHLLYAFINTHMTLLATILPKSRVPHSQQLRNSRASSI NSAIPEDSTLASIRIALSRWHDHWTRLRSTTSSHDWAAMGFYKNGYHFWLVSQLLITK KESVDVVMRMEVKCEDKLEQLKVLLKDDNE AOR_1_1404014 MKLTQATTLLLSLGLSLPVEGFSLSRTNAVGPKPPFRPLPASTP RNKTCQVQSNGDGTDDAPYILAALKQCNNGGKVVFAEDKEYTIGTALDMTFLKHVDLE ILGKITFTPDTDYWQENSFKHTFQNATTFFNLGGTDVNVYGGGELNGNGQVWYDLYAE DALILRPILVGIIGLHGGTIGPLKLRYSPQWYQLVANSSDVLFDGIDISGYSSSENEA KNTDGWDTYRSSNIVIQNSVINNGDDCVSFKPNSTEILVQNLHCNGSHGISVGSLGQY QGEVDIVQNVLVHNISMYNASDMARIKVWPGISSAMSEDLQGGGGLGSVQNITYDKMY IENVDWAIEVTQCYGQKNQTLCNENPSNLTISDVYFNDLTGVTSGKNDPNVGTIICSS PDVCSGIHATNIDVKSPDGDSGFVCTNVDEEFLDVECASSS AOR_1_1406014 MSSRVGLRFFQNSRAAFRNAQHRFGAGRRFQTSDAAAAEPQSVF QRLWNSPVGVKTVHFWAPVMKWALVIAGISDLSRPAEKLSLTQNGALTATGAIWTRWC LIITPKNYLLAAVNFFLGCVGIVQVGRIYNYHRSLEQSPAEAVKSMEHEVIDSAKEAV AKSEAAVEKSA AOR_1_1408014 MSGKYVFTKGLKELRFLFCQTSEHSAPTRSFLQRAYPTMKKHNP HVPILMREASGTQPKVYARYAFGKEKLEPLNGLSDAQIEEKLTKLVKESS AOR_1_1410014 MSSQPTTPPTLQQPTKKPSTLTALSILTVLIAVSFAFFIGYNTN SNTTEEQQPHSETANLFGLKRFFTSTPAVSREISKTASRKAGSEKMSRTPIYFLSHGG PNVMYQTDHPAYKKLGQIGKEITTKVKPKAVVVFSAHWQAGRDTIQVNTAEITDLIYD FYGFPSHFYKEKYPNVGSREVANKVLDLLGKAGIKAEGVKRGLDHGVWASFKCAFEPE SNPLNVPIVQVSLFKNEDPVAHYRLGQAVSSLRDENILIIVSGMAVHNLRDLWFSMND SRPLPYTTSFDEALKKAATAPPAEREQALTDLLKRPDARQAHPTFDHLLPIHVGAGAA GDDVGKRLWTMGEGSMSWAQFRFGQVANSSSSL AOR_1_1412014 MAYPQRPAQRPPPMRNYGPRPSRPAGPPQDGYFDQGYDYGYDNG AYPPGSGGYDDAGYGYSSDQAVPRSYGPPRGAPRPPRGGYGPPMESRPDRGYAPRGRP PPNRYDAPRGPSDRRAPPRQDRSRPPPRPMPPPSNATWDNPFPMFPSQEPRSRSGSTA TGIETGMARMDLNSPMSPVTVPDRPHTSHGRRQEMPRQPPPGSAGRGRGDYPGGPVRS ASQGRPSTGQSDRSERSYTDPNGPPPVPHINRSATMPVTAAPPMTPPVAPPVAKPMYP GQATYQDPSFATKANAHKSLHVDALLDSYYSTAHADEPDMPNFDAMPDGGQGGAIDES LFGLEQPKPKTPAPSAPQGQYAAFNPQPTEVHHVQSQPEMRPGVVPNQFENAGFHFDL PASAPAAQHQERMEYGFGHEDPMQTPHQQQHGSWGSQMNAYPDGQTGYVGRDGSIRSN GPPQSYRANQPAYGNEAPPPVMNQMDPVDPEQNPDALPHHPAPFRPGHDQGNKPAPVR QYNSATDSAPPVVAQQGPPVDPPAGPVTQEELQQLQRVAKSNPSDKKTQLLLAQKLAE ASVVLVESSRLDPKSKAKAREKYAMDAYKIVKKLVSSGYADAQFFLADCYGQGILGLQ VHHKEAFHLYQTAAKQGHGQAAYRTAVCCEIGPEEGGGTKRDPFKAVHWYKRAASLGD PPAMYKMGMIMLKGLLGQAKNPREGVSWLKRAAERADAENPHALHELALMYANAGPND IVIRDEAYASQLFHQAAELGYKFSQFQLATAYEYGLMGCPVDPRQSIFWYTHAAAQGE HQSELALSGWYLTGAEGILQQSDTEAYLWARKAATSCLAKAEYAMGYYTEVGIGVTAN MEDAKRWYWRAAAQGFPKARERLEEIKKGGARMQKARLSRSGANQQKQNEGDCILM AOR_1_1414014 MSKAGTWIKMFVVGTVICVGGPALVQAIRPTDEELFKRYNPELQ RRSLEEGDRRAQEFDDYVNKLKQWSKSDKSIWVAAQEQQEQMRTQAAVQRSQAKDEAK AQREEMRKELLGEK AOR_1_1416014 MMEVNSDQPVADAIRRQATSSQAVDDAWNFLDKHGDVQHTDLDL VAIRHKVDRRILPLMFCCYFLQFIDKVMYNYAGVMGMKTDLDLKGNNFSNGASAFFIA SLVAEVPNTYLLQKVPPAKWLSINVMLWGVAAAAAAGAKNYTTLLVARIFLGIFEAPV APSLMIISGQYYTKSEQAPRFTFWFLGLGVAQIVGGLISFGFQHVHHAFAGWRIMFLV MGLITVAVGFATLLFLPDTPMQAKWLSDDQKVTLLQHVRVNQTGIRNGKFDPKQLLEA VLDPQVWLWALMLALVTVSSGVVVVYSSTLIAGFGFGGPISALLNTPSGIVSIFFTLL VGIGVRKASNRWAWVFICSIPGIIGGGLMSFLPKSNRAGVLIGIYLVNSIVAPTPVIY HWIAANCAGYTKRAFTSAMVAGFFCVGNIIGPQTFQARDAPEYRPAKIAVLVTQAVAG ILAVVLFGYYVWENRRRDRAQTTQEGQGVVTDEKAWGGLTDKQNKDFRYVY AOR_1_1418014 MPEKTLHLTAFMRPVSLHTGAWRYPGAYPDANFNLTHLKSFIKK LEDAKFDAFFMADHLAVLNMPVEALKRSHTVTSFEPFTLLSALSVVTEKIGLAATAST TYDEPYHIARRFASLDHLSSGRAAWNIVTTGNPESAKNFGLDAHVEHADRYKRAREFY DVVTGLWDSFADDAFIRDQETGIYFDPEKLHVLDHKGDDLKVRGPLNIARPVQGWPVI VQAGQSEPGKQLAAETAEAVFCSPRDLESAKALYADIKGRAVAAGRDRNHLKILPAAF IVVGDSVEEARAKRLKLDSLVHYDSAIASLSIALGTDASGFDPDGPLPTDIPETNASK TGRAGVLKLAEDEKLTVRQLAQRYGGYSGLAFVGTPESIAEEMSVWLDEEGADGFTVV FPFLPQGLDDVVQRLVPELQRRGIFRQDYEGTTLREHLGLPRPNNRFFS AOR_1_1420014 MLLLQLITIIITFFFGLTLRRLYNAAMEKRASEASKKRPLPSPG AELLDSNKRNEENDSEIFTMDSFSTDLMLRARYVAAKARVGASPPTAERETVAGAPLS DDAVADILKKVKPDCHKAQAWVKAQELSKVAMEQIRANSENRDGLRKKLVRQSHGRAR QAEAQFDAPRYQATAPAPNLQAPDDRTVEEELEDARVVYNRVSLAHDRLLKTIADMEE FRKTLS AOR_1_1422014 MQPTQDNDSNPNDRPGTPPRPPYSPVTPVFAHLAPVQNASTSNG APSHPIVPPASSPSPTSRTGGATASLPTTPAVFAPEPVAVPISESENPDAIALRSTIS ILQLQKQQSLRDIRTLERLKQAAAADPEGFARELAAGNLTAKDPGGFVNFTHDEEDED DEMRDSKEGGALGLGTIPTPQNVVRMPPINWNKYQIVGEPLDRMHEDQRRRPSPGEPR REEPAQRAPEHVLASPYRPLVDKLESPDKVKGASKNKKT AOR_1_1424014 MKRKTEGRESLGGSGQPDSKRRALTSEEAAARFRDGLFEPSEQQ KYTDQYAESAPYKHGVIHPLIEPSLLRAVRNEIQENLDFTEKETDIYKIFQSGDLANL DGLDDASLSRLPSLLKLRDAMYSARFREYLSSVTGSGKLSGRKTDMAINIYNEGCHLL CHDDVIGSRRVSYILYLTDPDTPWQAEWGGALRLYPTTTKKDAQGEDVKIPSPDFSLS IPPAFNQLSFFTVQPGESFHDVEEVYHFKEGEDRSKKRVRMAISGWFHIPQKGEDGYE EGLEEKLAERSSLAQLQGRGDIYDLPQPKPVVCENESQEVEGKGKGKVEEQPNDTEFT EDDLNFLIQYIAPSYLTPDIAEEMSETFTNESSLNLEQFLSEKFSARVREYIEEQEKK TLPQSSDEIQAQTGWTVARPPHKQRYMFLQHSTAAQDEKTPFQELLNDVFPSPAFRKW LAAITGAERLTSYDFMARRFRRGQDYTLASGYDGEEPRLEFTLSLTPTPGWEKEADEE EEEEDDEEGENGESEKKPKADPKEKEPMSDAEEPAVGGYEIYMAGDDEEEGDAAIYRS AAADEDDGILFSTAAGWNRLSIVLRDSGTLKFVKYVSAAAKGDRWDITGEMGVEFNDD DEDEDEDDEMEADEE AOR_1_1426014 MEATLTHRPWEPATTGPQTPTVSSSQTLPSISTLTASMTSTAAP PAEKSPGNVSLNTIERDSGNWSMPQSTRSSTYSTATNGTGNYPSLSFLTSSQPSPNRV STVSDRSPYPNDHSNANTPSSSGAQPSPNFGSAQPNPALPSINQNYDAPSQRGSIAEP AESRRSSIDSRMNQGISSLAINPASPYHSTNASQTSIVSGLQRERGISMDVNMNNTYR GPRYSGGQPLSPLGPRAGEHRGFAAGRTAPAISSNPRSEIYNAEAPTAGLAYAFPDPD VARSNSISSTTEKSNAQFCRKGSTAESFSSSIYSDSRLPRGQHELPQNVHHHSLQHKQ VRGLIGEADLHSGSTPYSRTPELRVTHKLAERKRRSEMKDCFEALRMRLPQSQNNKSS KWETLTRAIEYIGQLEKMLSNARRENDLLRTEVDDMRAQLNQQQQQQQANGQSRPQSM FEHHSMATPQANGQSHGAMFPSYAPGAGMTQEQPRTLPPLMNGSVAPMQGVQYTDERR AOR_1_1428014 MGWKRQKPQAELRIPTIPTFPLSSPITEEVVTPISSSPDERDME KLRPFDHLGAKVSSQNPFARKVEYGQRQEDREPVREANSYYFVQKAAESADNPKPAVK KNTERPLGLNLVTDFSLAAPKPRDNIPEEGFVDLNDLKVLSKERAEERSAQKVKGILK KGTSHGLQQLPNEPSNLAKRGSSLFDWRPSSSPKQRGKDDLSPSDRPIMIGFSMPREE TTTSRKRYSKELDVADIQQTPLTPSIVVTPAKDDDFWAGFSQVCNPPRVASSIYSQPT PCIEKSELDIPPVPAIPAEHAVAKSEVTDQETLKRQSVTSRKQRAYSSGTVFEEDVQS HPGLRSRSYSNGSVKRAFDRLSGIDNMSRLSVNTEVNRHQSQGWWTYLLSPLLGRSNT ITSRRTLIDAHPPPVPSIATDLTGSSDEWWEKEVSYFSPDTPETTIASRGISDWQPSQ NNPFADDKAVDCQVQDPSDLNNAAFGFPDQTIQGAAAEYYHACAHEVFTGKPYFECIN HVCSITPKDQIPVPNVDTTEGSGSGGRGVLIDVDDAPKPVDKEPEGSKSIVIPVTTSR PPSTVIDKQPYTPVENSKEDSGSKDDMRAPPEENSTKPLSEEAIREPAPAAGNQAETN PFVQPAQPSSTVPPPVTNVYIQYTPVPSSAPGATIERVVPQYIVVPPSSEGTQKHEEQ PQAQPQSPGPVSPGFQRATEKTGSIPLSDIHSTPAPAYTSHRNTPATLPPRVDPLPIT REATMNPVTESNRIESRRRRLEKEDAIGRKAGGLWRGRGCFSNKGCFGRPGREGRLRR RWYAAIASFFIIIVVAAVILAIMLTRKGDETPVQSQWLNLTGYPPMPTGIATVAGPEP RVQNSGCITPSTLWSCALPNEQQSDNKPYAANQPNFRVEIRFRNGTYSNSTTVASKSS KAKASRRSDNLFSPSPSPPDMNDQTFLGNTTDKNSVPYAGEETPFYMTILSPLQMSTS KLSRRSDSAFPDIESLIPSPDLDSDGTAAAAKLYPLPESQPVRLYNRGQDTEHYGFYT YFDRSIFLESSAPLTDSKNDDSTSDGNGGPSKAHARVRCTWSQTRFLVQIWTRPGKTL LSNSSSGSTTPTPTHTGTSNPTSSNSATDFVRPGSFPYPITITLDRHGGAIKKKMVYC YGMESDQHVNSTEVKLQVEDRGFGGNTINPAPGIFNMSDTALMDELDF AOR_1_1430014 MATSSFTDHQPWYQRIQWFNLSMLVLIPLWAFIQSLWVPLTLKT LVLSVVYYLFSLGSITAGYHRLWAHRSYTASTPLKWLLAAFGAGSLQGSIRFWVREHR LHHRYTDTDLDPYSVNKGLLHAHILWVLLRQPRNERKQRARINLSDIDHDPVVVWQHK YYFLAAFGMGWLLPCLVAGLGWNDWTGGFLYAGVLRAFFVNQATFCVNSLAHYLGHQP YDDRHTPRDHLLTALITLGEGFHNFHHEFPSDYRNGIEWHQVDVTKWFI AOR_1_1432014 MSTLTPQERVLLETATKTITSIKPSDTHSVASAILASDGRVFSA VNVHHFTGGPCAELVALGSAAAAGAENLTYIVAVEDTRRILSPCGRCRQVLWDLCPGI RVIVLGEEGPRVVGIGELLPFAYSWDGEE AOR_1_1434014 MHISNHVVTALAIAAGTASAWDKNGEHTVTITSCEEAATAAPVV PPSAPAVQPSAPAVQPSAPAVQPGTPAQSNIPSAPEAPAETGAPAGTGAPTAPAAPAE TGAPSAPAGTGAPAVQPSAPAESGAPAAPAESGAPAASGAPEAPAGTGAPAAQPSASG VQPGGPAESAAPAESAAPAAPAESSGPAAQPTAPAETHVPAIQPTAGGSSIPGIPAQS SVPLIKPTVPAAQSSVPAIQPTVPGANTTAPAVTPKPSASSGFPKFANSTIPKTSTSS SSHTTGGGNFVGGGGSPAKPTSTGFAPTSPGSKLIIPGLTAVAGIAIGLMFMA AOR_1_1436014 MPTRRDIPSRSLLSPSDYDDDAESLRSPSEQDSDSEDDEFLRRS RTTLELAEHDRTVLNDEEETEKLLIRGGPTHGLRRIFSPNSPSVKIGKRERRRRRREE RRDARRGQHEKMTDSGELMFEMEEGHRDDESSLLSRSSSDLDRQLKEYGGDERPQRVS WLKLALVFAAIFVLFLIFLLGAYKASTPFRTTKAPQTLLSNGTALFAPTTILISLDGF RADFLNRGLTPTLTKFIAEGVSPQYMLPSFPSVTFPNHFTLVTGLYPESHGIVGNTFW DPELQEEFYYTHPSVSMRPKWWNAEPLWMAAENQGVKTAIHMWPGSEAHIGGVDPTIL DKYNGSEALPRKANRILELLDMSGLEEEAGIVSERPQFIAAYVPNVDADGHKYGPNST EIRSTISEVDDMLGSLFAGLQDRNLTDIVNIVIVSDHGMATTATERLVQLDDFVDLSL VDRIDGWPLRGLRPKRPEDLETLQKQLESIAVNYSHAVEVYTREAMPERYHFTNNDRI APLWVIPKTGWAVVERPDFDAQSALEKGEVYHPKGVHGYDHEHPLMRAIFIARGPAFP HQPNSRVEVFQNINVYNIICDTLGLDPRPNNGTLRLPLKPVGLHSDEDTPALENPSDP PVSSTAISASLSMTSTVLASTSPTATSTTAPEAPPEAESDDEADSENDQPSTWWGTLW DKIEDLKDWAGDLIETVKDNFP AOR_1_1438014 MESATLSNLINKTNMNSTTPPLLSPSDTALLEAFIPGYSFISRF LISYLQIDLSLYLPYILTYIAIAAVLKYSFSKLKGVLETHCIATAEIRLDDEISNYLL YWMAQQPFANRSTRFVAATRISTQSYYYDSEDEDEWGEEDEYDEDGNVINNFDEYWAR TRNRDKFKRLRFTPAEGTHYFFFRGQLLAFVRAKGDKKSSSSSRWGARFETEKLYLSC FGRDPAILKELLAEAQRVYVARDGNSTIIYRAQRSPGDYVDWSRCMARSPRPLSTVIL DQAQKDAFLDDIKEYLHPRTRRWYSNRGIPYRRGYLLHGPPGTGKTSLCFAVAGLMGL PLYLLNLSSKSFNEDDLMSLFQELPRRCIVLLEDVDCAGITQKRVSDGGEDSTAKPAE GKEGDSPEDADADSSKQGISLSGLLNVIDGVAASEGRILVMTTNHPEKLDPALLRPGR VDMSIQFGYAEPGDIKELFSAIYSTLEGDVRSSRTKRPRGKKDQVTSEVPWHQFSREQ IQNLADQFLALVPGGQCTAAEIQGYLLNYKRDPEAAIEGVEEWVRSIRSKREGQTEAT VKAD AOR_1_1440014 MWSSQLLPWATGLGLISTVLASPFRVQEVGAVLAIDSDFPDPSF VQAADGTWYAFGTNGNGKRVQVASSVDFKSWTLLDKEALPTLASWETEIDHWAPDVIR RNDGRYVMYYSGEAKEMVRHHCVGVAVSEGTDPTGPYVPNETPLSCRLDQGGSIDPAG FLDKDGSRYVVFKVDGNSIGNGGDCNNDIPPLKSTPILMQKVADDGFTPVGDAIQILD RDDSDGPLVEAPNLILHGDTYFLFYSTHCYTDPKYDVRYATSKSITGPYVKNGEKLLK SGDYGLTSPGGGTVCGCGDRMLFHGFCRNNTRCTYAADISISDQQVTLL AOR_1_1442014 MRWSQGHSTRLRFLGTGAVCSLIAVTFLFFSTKYSAEVAKYALK APVKQSPLGSPPACRNDSSWEFQVEKDGNDHGLSEEQCHAAFPKLFVELDKSASFREN NPIQFKDVDSLTVENGMVRGIIDHGELYIVDFGNMPATFTRGKATLNSLHRALASFPD RDRLPNVEFVLTTEDYSSGEGPIWSYSKREENTNVWLMPDFGYWSWPEVGVGPYKDAR RRIAAIDDGEVTVDGQVIPGMQFQDKKKQLVWRGNVATNPQVRGKLLKAAQGRSWASI LAIDWGDENDIRFNLLPIEEHCRYMFLAHTEGRSFSGRGKYLLNCRSVVISHKLVWRE AHHAALISSGPEANYVEVERDFSDLDHKIEFLIDNPEAAERIANNSVKTFRDRYLTPA AESCYWRHLIRQYASSSEFEPVLYTTREDGKKEPRGIPFETWVLGS AOR_1_1444014 MAPGGGGNIKVVVRVRPFNSRENDRGAQCIVQMKENQTVLIPPP GAVDKLRKAGGGKGAVEGPKTFAFDRSYWSFDKNAPNYAGQDNLFDDLGVPLLDNAFQ GYNNCIFAYGQTGSGKSYSMMGYGKEYGVIPRICQSMFERITEIQQDKNLGCTVEVSY LEIYNERVRDLLNPSNKGNLKVREHPSTGPYVEDLAKLAVRSFEEIENLMDEGNKART VAATNMNETSSRSHAVFTLTLTQKRHDAETSMDTEKVSRISLVDLAGSERATSTGATG ARLKEGAEINRSLSTLGRVIAALADVASGKKKNASMVPYRDSVLTWLLKDSLGGNSMT AMIAAISPADINFEETLSTLRYADSAKRIKNHAVVNEDPNARMIRELKEELAQLRAKL GGGVAPGAAGGAVAAAGGVPPEEYYPPDTPLEKQVVSIQKPDGSITKVSKAEIVEQLN QSEKLYKDLNQTWEEKLVKTEQIHKEREAALEELGISIEKGFIGLSTPKKMPHLVNLS DDPLLAECLVYNIKPGTTMVGNMDQGSHVEIRLNGSKILANHCKFENVDNVVTIVPGE GAAVMVNGLRIDKPKRLKSGFRVILGDFHIFRFNHPQEARAERVEQSLLRHSVTTSQL GSPAPSKTHDRTLSKGGSEVDGDSSRADSPMPSQRGRESEWLHARREAVSAVLGPDHI SHMPDDELDALFEDVQKVRATRRALVEHEEDSDSLSSFPVRDKYMSNGTIDNFSLDTA ITMPGTPRPQDEDEGQNGGDTALQSLDQQKEEYQEKLRNAELSSGQGVENIRSEKARM EEALRAAKEEFEEQLRKQKEVFESHIKDMGQPLPKIYENGFAKLDTRELEIARSVFLH WSQQNYVRMAEKVLQHASLLKEAQVMSHIMDKNIVFQFAVIDHGHNMASSYDLVLNGI SGDEDIVLDEAKKPCIAVRVIDFKQCVIHLWSIEKLQRRLQAMRQLHQYIDRPDYIQH FKLENPFSEPCSPQYSMVGDADIPLTAVFETRVQDFSVEATSPYTQNVIGIIRLSLEP SSAQAPSSTLKFNVVMRDMVGFAEWEGTDVHAQLFVPGISEEGGATTTQMINGFDESP IRFESVHSMSLPLSSPRSAALKVCVYARVTQMHLDKLLSWDDMRDSAEPPPQKRKTPR IPESEFYSEERHDVFARTQLLELAETGDYLPVEVVQSNNLDAGTYQLHQGLQRRIMIN LTYSSTESLPWDDLINIRVGSVRLLDPWGKIPDQDLQTPDVPLKFVQEPVRKDNADGT SNVTIIGQWDSSLHGSLLLDRVTADKYRVQVTVRWELISSRLQDSVPFEVDLTLQIQG RTYVRPQSMFKQFFNSTRIVHSTVRMFSLAVRPVSAKRAADLWRMNTQNDYVKGEELL TTWSPRKVSLVRDYVAARKRRRRIAELNAAKGALSAHCLAPSTPRSGRSTPLRKLELT DRKTKLLQKYVELWATKTDPIETILVRSNTEPPPGGAAFASRAKQTPSGDDSSSVSEE VPLKPRFVATIQTLPKNTSSLKSGYLLTPDDTNSHWVRRFVELRRPYLHIYSAEGDEI NAINLRNSRVDHAPDFARLLDGPGERADQGTSPKGRPNVFAIYGSQNTFLFAARTEAQ KVEWILKIDESYFSNNAPKAVGNRSR AOR_1_1446014 MMEYPPQYQQPHGQHPHAPSHIAAPYQTAPQNPGSTVGSMTSPT NPQAHMQQAHPTHQASPIVPSQSHYQQAQNAPGSVHQQMNFPQSYGVTTAMPQTYGIS PTQAAAMATAAASGQFYPLHQDSMAGQMQQGPRGSPRMAGVQQVKNERNPRSPPQMPG QMPSMGSQVQMQQNAQMQQRRMSHVGSPHVQAAQPVLNHVVRPSVPPPMPPPPQPAVQ QSQPSPDMAVGAVEESPLYVNAKQFHRILKRRVARQKLEEQLRLTSKGRKPYLHESRH NHAMRRPRGPGGRFLTADEVANLEKKNNAGGQENVDSKPTGENPPSAQKRKSSDVNDE NTNSAKKAKTSVAKTSTSAEESEHESAEPSDEDG AOR_1_1448014 MVMGLPEGPVKGTLTRLGRQLILIDADRLDRRRGRGRSRSPAMI DRYEPSDRRASRDDYYTASREHTVRDRDERRRAHSPNVANIDRYVPGQDSGKRPIPTN PLPNPLNLDFQVGFNWFAEWWRAEQSIKEEKERAKHGGRRPSDRVKGEREAREDREKE RAQIQAAYDAYKVDLQIKMARTFVQQHRNEEWFKERYVPQVRDPLRRRLMDFRAGAYE QWERDIDGGLFDEFTLEGIYKSESDGAGGVIEKEEGETTAVGETLGVLDLLPTRGGEL RDEALSQPALLIKTLAPNVSRDNIEDFCREHLGEQEGGFKWLSLSDPNPSKKYHRMGW IMLHPAPDVAVVERGDGREEEGEDMEHDKATNGAVSMTAAEKALEAINDKTIHDPIHG DFVCHVGVHVPPSQPRKKALWDLFSAPERIERDLELARRLVSRLDSEMGGNADGYAKI EERVEELRGKGWLQPPVTGPVSVKKRRTEYDADDMDEGEAEEGEEQEGWEDDEVDDEE LLAKKKKLDLMVEYLRRVYNFCFFCVFESDSVHELARKCPGGHLRRPRAGLTTQSKAV ARASALGQPFPSKKKEPSEGEEQSSVEEKRPQRFSSKSEQQLQRAFNWVKTFEDKLLQ LLEPENVDIRKLGGKPVDEALEEELAKHVKQEDESKYRCKVPECTKLFKADHFWRKHV EKRHAEWFENISSDLSLVNAYVLDPARIAPSRSDANSNGHFPLSSGQSQAGTPRGFSL ASMPPYIGHNGNVPGGFQSLPGAGLPSMMGVPNQAGSWSGNGLASGDHSGLHQPGAMR RNNRYNRSGPYDRRGPRHGGAGNGRLSPVRGMSGMYGSGGRLPANAGTPYIPPGHPAA AAFAGAGGFADAMGAGTAQQAMGPREAVQGRSLKSYEDLDAVGGAGSGELNY AOR_1_1450014 MEKSEILVHISAPCGVSDDAHYRAQVEAILGFQSTSRQVLTLKP DDNNNGHDYTISTATSDGLSRPQLPSNNPPLQGPVVPTNDTSNNPAIIISNKTIEYEE RELSNGQSHDSSPKDYLDTPVSVIPDSQAGRPPSDTANLQDVDHQLSTIRAPSFPCSA DSIRAKRYQTHSPPLRSGRKKLRHSPCRNDKANGTQIRDPAVQSTALSTFVSTSFPVE IRPPLPPVSKEKFTTHITPTLEMLAARLKSRTYRPLEQIRELDKLERGHWYLRINVVE AEQSNGACIWDLSTFCRFWSFLSEFIKEGRAGWGVWCILEDLLSAQLPHNSQESALRE MDIRQLTLKTYAWGEIASHIYLLLFLASERRIRKMGAQWRDSRDDVVIQMP AOR_1_1452014 MASLNEGVLPLEADALNRQNDELNGRQSQGSLMKASMGTEWFRF FGSGQKKVTRDGQPAKRRGPKPDSKPALTRRQELNRQAQRTHRERKEQYMRALETEVS RLREAYTQEISAANLTVHQHREMVRSLSEENNILKEILAAHGISYGAEVERRKAERTS PTNATYQSSPFASSSVGSQPTAVAQSVPSTQHAYTTPPTTISAPSSSLSPIVNGIEHI DVSPTQELSPQHQNYSAAPCDALATLDRIAPASRQPNQPPGIFENDPQLQIDFILTLE SPCREHTDYLCRRSITEADDEDMPFSGHALMASCPPPSYIANTTHEQAYPHQTYDLPH ANLTTLLNLSRQLVTDGQITPIMALQCLKNHEMYRSLTRDDVKIIIETLNTKVRCYGF GAVVEDFELMDCLSSVVGSRVDMGFSRAGDDTLYS AOR_1_1454014 MDVGTSHKSKPRATASCHPCRTRKVKCNRLSPCEACITRGIQEE CRYSAPNEDRQAIAQAEMITELRGKVNQIREQVGQRLAGRPSFDDLEEEEEAAAMEIV YSALRLGSEDLVWHIVGRIRNGEDVRDLAKDVARDIGIEDDFSV AOR_1_1456014 MAPISIADIVAALPAEDTWGPATSTDNMLQGVPYAPFSKGDKLG RMADWTSESKDQRTGRQAYNRNFRDQQVYGAGSSNLFSIQAAEDESSFSVVDNTRTSA KRTFGRGGGTVFRGRAQRGAGQRGGRAGFQRVGAGRGQGDRFYDNRGGRSNRGRRFGW KDYDKPQRTREPSVNVRPDWTMLEEVDFSRLLKLNLETPDGEDVDSYGFLYYYDRSYD KAPVKGAERRLQSLDRAAYNVTTSQDPVIQELAEKDAATVFATSDILSMLMCAPRSVY SWDIVIVHQGNKIYFDKREGASLDLVTVNENAIDAPLELAESSAKQESINTPSALAME ATFINHNFALQTVAESQDAKVDMKNANPFYNASEETEPLASKAYKYRRFDLSLERDEE PLNMVVRTEVDALLKNPVNGEDQQLIVKALNEFDSKAQGSGNALDWRSKLWSQRGAVV ATEMKNNSLKLARWTTQAVLAKADGMKLGFVSRANPRSAAGHVVLGVVGYKPRDLAAQ MNLNLGNGWGIVRTIVDRIRALDAEEDEEKVKKYVLIKDPNRPVLRLYSVPANTFEED EEAAAEEEEQKAEEDEE AOR_1_1458014 MRFFTALSLFISGAAIASALPSSSETVEANCVKPYLCCGELKTP LDSTLDPILLDLGIDAASIVGSVGLLCHAWDETCETGPKCCTEANLLGGTVALGCSDL ATED AOR_1_1460014 MKIQKLILYKFANELTASGLIPSKALTCLNGYAIIDLNSIHRHN PSPENLSIYPYKAKSDAPYSIAENTLRAAIHIPRSFSHKRDKKIPVLLVPGTAVPAAI TFYFNFGKLRRALPESELVWIDLPQASLDDIQLSAEYVAYALNYVSALTSSKIAVISW SQGALDIQWALKYWPSTRSVVNDFIAISPDFHGTIVKWLQGWDANFIQALRSQGGDSA YVTTTTIYSSFDKIVRPMSGENASARLLDYRGVGVSNNHLQTICANNAAGGLYTHEGV LYNPLAWALTVDALLHDGPSNITRIDTQKICEQVLPPYLELTDMLGTEALLLVALAKI LTYSPKVSGEPDIAKYAY AOR_1_1468014 MIFFLALVFFCFRSIVSASDDDFMSFVTLPQVRALKFDITYYDR DSVSPGYWFVAPYGVIDPEPPTKQWKPCQVGPYIYDADGTLIWAGSCLYDNRNIFDFK AVPNIDGGSYLSFILQHAYRNDGNDKGTGYVLDQHYETVKAVPVTNDLGAFNMHEFNI LDGGKTALACSYRNKYMSLGDLGRPDEYGWVVTGGFVELDTATGEVLFEWDSEGYIPI DESVRVGPSTPASGEPGWDYVHVNAVDKNAAGDYILSARFTSTIYLISGQDKSIIWRL GGEKSDFVQDFTFSKQHHVRFVESNATHTTISFLNNASDEAEQEEDTSAALIVQLHTS VSPMTAKVIERYSRPDGDLTRLRGSVQKLANGNVFVGWSERGYQSEHSPEGEVLMEAS FASSRFSTYRSYKFDFTGRPSAPPDVVSSVYGTDETDLTTIFHVSWNGATDVASWNFH ARVNQDGMPVLVGNTTKLDFETMYIADGYLDWVSVEAVDEDGNVLGTSEIQRTDTPSN WRLAGFQGDMTPTPHDPSTLYGDRTSADDDDDDDDDDDDTDEVYLKAQEAAETIYKAW EVIRGVGGLLIFVLVLCSTGGLLAAIIGIGSGSYVFLVKSRTGIHKPKPDSQSSDS AOR_1_1466014 MADTQHPTPRFSPSEVTVVFLLGGPGSGKGTQSANLVRDYGFVH LSAGDLLRAEQIREGSQYGELIKTYIREGKIVPMEITVALLSNAMADALKNGASAGEG KKARFLVDGFPRKLDQAIFFEDTVCPSELTLFLDCPEEVMEKRLLKRGETSGRDDDNA ESIRKRFRTFIDTSMPVVDAFKKQNKVVSVPATGSVEEVYALIQEGFKARGVHQQ AOR_1_1462014 MPAPTTTLLIEGSFSELADEFAQYLDALRKSEGTTTIQAEISPL LEPLRQQEQSDAEPDRKQRDEVLKKLVSAASVLNNAPEKEIISAYNLLVHLIHYASDP DMFLSRICSYLAKPITSSAQFGPSLAISILSTIFNTLAPTDSSRFHVFLGIVAVIRQS GSTVAFEALKPQLTAQLPTWLSSWELDEEEAQRLHLAVADAAQAAGDPELAQTHILQA LQTIPAAQASSKEARDLAIRALTSALTHPAVFDFTPLTASDAVQALRSSDSTLFELLE IFTADTLDAYEAFVTATPLAGISGGVLADAGEALQNKMRLLTLASLAASTPSRSLPYA TIAASLRVPAEDVEKWVIDTIRAGLVEGKLSQLRSEFLVHRATYRVFGEKQWAEVQGR LMVWRRSLENVLGVLRTERERFVRESLQAAAAAEEAAQGKSNDKGNKSGDRRQRHGNN QQSQQQQQPQEVAAAE AOR_1_1464014 MLPIVFLSTLVASATAVTTASNYTFPEGFDLNQVKPADKSAWCQ AERNACPKICGGVADKNTCDPQTLDFTCTCSNGTDADVAPYAETVPFFVCQENYRQCI QRSTDLDGDEKCKEAQSQCGSKNASDASGSSSSTTTATSLPTSTGSSGSSKSESSSTG TATSSGSTSSTTANVAVRMAQDHATGILATVLFLGLRLVL AOR_1_1470014 MNRSYEHTKKEKMVDVKPPSIMNAPLTEEASLDSFRSHPHANRL LNHPEYYPIRTWSRLPKPSTGEDGYFAGTLATSITIPHCLTLRRRWLPPLAAARPPWP SPTDDPVSSEPSALPPDIFMLLDLATPGVSGHPSTAHGGIIATCIDEAMSLAVTLYSP PPELDASDHKGSEEDQTPRGKLYTSQLDVRYKRPVAVPGLLIIRAKVVGRVGRKFWVR AQVLQADEENPDQLVVTTDAMAFWLQTTSTL AOR_1_1472014 MTALPANSPAFEEAIKVTPLESHRYSAFLRDEWCIGTVPHGGYT TAVIYRLALTHFAHTHPTLYDAPATPISLQLSFLRRTAAGPAILTVQDMKLGARTSTI HINLCQPSEKKAKKGELEIKVAGYITVSPSTAEVGISSNTGWDLQPAAQPGTGPNGSV NLAALGQTGRDGKWVLLQPPYPKFRRATLQLELYGPDPALGKPKVIDQWSRFRPGGDR EARWTNEAVAFLVDMFPMALGGLDQMASASTPSQELAEKTARFWYPTVTLNIDFKKRL PAQGAEWLYSRIHTKVVRDGRTDLNVVILDEQGDVVALSTQVGLVLSASRNLGQRQTK I AOR_1_1474014 MTIYIASLFLPYTVNFHLNESKDRPLHTGSEPSETGAEASASTA SAAVSLFERQNRDQKVGLTPGATTEHERIFSSDLAKAEQEHSGYPFPDTTQDGSLLTE SEAHSPAWGSTLSLNQPRPRATIPPSPSILKHQEPFAPMTEPSPKKSDKEQKPLYTQR PSGVHHSREPSRKGSFSSAEWTIETAEQGNGGLRNAVRSATDAGQLEDKVWVGTLGMP TDALSKYTKSAIAEKLEDEYDSLTVYVSDGDFDGHYTHFCKTILWPVFHYQIPDNPKS KAYEDHSWVYYVKTNQAFAERIARNWKRGDSIWIQDYHLLLVPAMLRKLLPDAQIGFF LHIAFPSSEVFRCLAPRKELLEGILGANLVGFQTEEYCRHFLQTCSRILCVEATNEGV QLEDRFVNVNKFPIGIDPTSWDKRRKATDVEQWVKTISERYEGKRLIVSRDKIDGVRG IRQKLLSYELFLNTYPEWRDQVVLIQVATSTTEQPELEATISDIAMRINSTFSTLAHQ PLVFLKQDLAFPQYLALISVADALMITSLREGMNLTSHEFVYCQDGKYGNKKYGSLIL SEFTGSASVFGNHALLVNPWNYRQCAEAIHTALSRSEEERKQVWTQLHSAVLQNSTAN WVKSFSETLNRVWNEQSSREIMAVPRLSVGKLEEAYRRSSRRLIIVDYEGTLASWGSP KSIIVTTPQRAITTLTELTEDPRNIVFVMSSRMPEEMERLFRMVAGLGLIAENGCFVR EPKTDEWFKLTNKAQTDAWKEAVRQILGYYQERAEGSWIEQRHCSLMLHYGSAEDQAA ASRLASECADHINDSCANQGVHAVMMNGALVVEPADTNKASAAAMVWRHCLERSKSDH SGRPDFLMAIGDGRDDEPVFRWANKLENAKGVDYAMTVTLGSRSTEAKATLTQGVTGV LSCLERLAASSLEQ AOR_1_1476014 MPLRITSAPVSGVKKSKKPSNTAFRSSPFASHARRKATAQSFTD VKPNDPSGGFEEEYGQGPLPDIGMSRYIPETTPVEDVIQAICHIKDNVFEDLPARTGM NSTRVAELLNHRRSLPPLASVAHVHTLLDAPTKVERDIMDFVNSGRIRRLIVPGRGND AAGLGDCLVLSEDWERLVRDSSIGSHLKDKFLDVLSRPGIMFAVPDTLFTAPECMALV RAGFLVSSSSANGSPGIISLPTFPPTPSSGNSASRGGPVAGLTLDF AOR_1_1478014 MSSYLRLAPNPFTILPFHPSLDNVQSRYPPHGFQGFILADADSF LASVSTTFHKQRRPRHSPPATAPVYVSSRTIRNAHKEEFWVCRKSVHQNAPVDGSASW EEFQSGLKENHTKNEMEYTPSVTGVERLLDWPREREIEGGWQEVDMSVNLITHTFHPK ALISPRSFIVLVICACLPLTRGTGFMTVQIPLTSEPGYLVPNLLRERITALAPRNTVF ASYASVEHVVVMSDRVEWTMATTSNAGGAIPQWIQRSWMLGGVPKAIVADVGLFIGWM AQRRSQRNQRAQKQTNAT AOR_1_1480014 MSSAEVASSFFRQPFQAGVKHPLSMAALMQSNNEPVTISNSLPG PSASIISSSPESVAFLKHTKPDSSLTSIASAGLNVSRSRDSLPPMTTTTQPVGSVDRL VEHEKDPEQNSSQVAREALGATEKQQLNTINDSVHMSDQMQVDSHSTSGNTADAFGTA DNSTSLMNTSTVASPGPIEDSASQDGDRPRQRDEMDLQDASNKSFSYPMPTAGLGDPR RGLSLPGSGFNKAGQRSPSAKKHRCPYCSTEFTRHHNLKSHLLTHSQEKPYVHTKLHT GERPHICPKCGRRFARGDALARHNKGQGGCAGRRASMGSYAPEDEYGDAGGHPGAEDT MDGLVYAEPERMDEEDERRMSMPSIKKHDIPTESITRSNTANSYQPRQPSTYPPIAAG RPSPGGLFPPPASHGGSSASASPISQPGNLTFPPPGQHSGASIFQPSNVTESPKPLSP NALPSHQLGHGPELHRAHSPGMSQSFQQQSYNRSGSSQASVANHTAGSLGLPPPQPGA PQLPPPPGMNTSDSRFTIHPQGSVQPPPAATKHTPSHSHSSNHNGPLASKPGPEVTAN NNGHLPGPHDSNYADQNREREDKLWAYIRSVHEELAGLKTEVAALRAQLASANVNTLT SSTPGATQPQVEPSTVGASQR AOR_1_1482014 MAPTQQPTVEERSSSDTTASSIKSPRMARFVEATTVQSPTGPAD TSRSPFADPPGQSQTHPDVSDVGFGYVAANDSVQHVSHHQLPVSPLKSALKVPGTPGR TLNPLSPTFREEFYVEKEEKSAEKENARDLRIKLRVRVAKIFLRFVNFGCSLIVVTIL ALTLFVFHSTKSLPSRGGFPAWANGTNPWTQYLLLSVACVSLFACLIVFWGYWKGGHK RAEKLTVYYQTIAVCFFMFSLVMWIVAAALYQNEKANGNSQDLWGWSCKKNTRETLFH NDIDYALLCRLQDWGLVCAIIEVVLEVLVILIYAVVFYRFWTKRRLMKSMDRRDKARS DLYLAQLRLQSAPNTPGFSLSQKTPIISTTVPQDPYSMAENGEACSTQFATPRSPTKP QPTFQLQAPPIRVQQATPKTDQMEFLGPISAPTASGPASNVNQHMAAAPGERTYDAVP IPNAYSSPMSPTFPRASR AOR_1_1484014 MPRVANPKHRRSGGASTPHKNSPIKIPLNDDMGEKAARMEARQA RHDRQMDQIKAAVKTPMPPRRYTGHERGSSMSPVTPRGSGHRGRESDVDGRRAVTPMK RVPILANFEEWMKMATDNKINANNSWNFALIDYFHDMSLLKEGDGVNFQKASCTLDGC VKIYTSRVDSVATETGKLLSGLADSRDRKPHETGVDDDGAEDEDEGEEGLGRKSRRKA QRSHEATLAPSFASLQLKKFELEFSVDPLFKKASADFDEGGAKGLLLNHLAIDGQGRI VFDSSDDATEMSSKDMDDVRQGSEDPQRPRSPSPPLRQSSDDIFEDNVEIDMTSLANQ FFPDLERLEMQDICPSLKNFDLGDPSGSLDIPFLKAPEDWRNDKGHDEGHNPNDASGI MLDDDNAVGFDDDDATLAGFDLGGDTGFGDGGEAWAREAALEPMLKVHRVDRDNDEIQ DGEEIDHDDAYAISLTHQPNKQDHENILSYFDNALQKNWAGPEHWKIRRIKEHAAANT ATAAPKQRKEKEPFEIDFSAPLESSVAELMYTPASSNSTISLPKTQWKTKGRNLLPDD KHFNSRQLLRLFLKPKARMGSRRLVGTRQFNQRKEDRTAGNGEMDEAFWANHKPENNP APDEEGAPGAYDANFFADDDGLAFPNGLGLGDDDDDNLPFADAREMLSPPSDGQPGNS AGDAGGASGLTALLNMVGATPGSALQSGAGGFGSQLVTQGGRRARPDYVAYARVAKKV DVRRLKVEMWKGIGERLIASTSFDPSQQGPHREQPTENEADESPAPTPVTKSPGQLPV NEMQQENGQLRFTQIMNSLKSVYPPETLRDISTSFGFICLLHLANEQGLMLQNDDGSS GLGEGRLEDIFVIKDANAVLEEGAI AOR_1_1486014 MSEILSPVFSRPSGRRYSVRPFYWTLMVITTLAVLSWVWGLYED EGIALPVKRFLRGGGSDRQGIFKRGTDLECRMVRKAHDKCSYVRMNCPDHEDGLFSYL QFYYCALAGAKPFAFTILVLWLSLLFSTIGIAASDFLCIDLSTLAGALGLSESLAGVT FLAFGNGSPDVFSTFAAMKSNSGSLAIGELLGAASFITSVVAGSMALVRPFKVARRSF VRDVGYFIVAVSFSMLLLADGRLHAWESAAMVALYCFYVVLVVTWHWYFVRCRRVYER DIAARSHFHIPENQELEIEEADDDDPGIVSESTSLLHGVSVEDFDVLERGGEASWKDG DDDETRNRPSLVGALEFQSVVSSLQRSRSTHQNVPISLPRYTDGYDGSHAAHPERDNI SVASHPWITTEVVSLLKTLGVILNISDSLLGLTVFAVGNSLGDLVADITVARLGYPVM ALSACFGGPMLNILLGIGLGGLYMTLHAKAETVVTDGVPYEITISKVLIISGATLLST LVGLLIVVPLNKWRMDRKVGWGLVILWCISTLTNVIAEVLT AOR_1_1488014 MYSSSNSFMGGANSARPGQPPFMQQPSYGQQTTQQQQQHQTGLA PQPNGYGSQLSGFGGSHLQPQPTGFSPGQLQSQMTGFPQLQQQPGFQTSAQPPQLTGY SIQSQAPQLQVPSSTGLPVRLAPQTSSEIADSFRGSAGAAPPPPPKTAGSKIPNIRLS FITAQDQAKFEQLFKSAVGDSQTMSGEKAKDLLLRSRLPGSDLSKIWVLSDTTKSGQL FFPEFALAMYLCNIRITGRGLPDALPEKIKNEVSSMVDIISFQVPDTQPEMAFPTNAP KFDAPLLENKSAPPAPQQPQPQQPTHSQLLTQLTAQPTGFHTQPTGIQSTQASFPGQS SSLVPQATAFPGQSQQQFLQTQPTGLMSNPQPTGYSGLRPPVPPMPTSLGPNLSPAQT GGVSGLVAQPTGVPGQWGFVNAPSSGLPNIEALKQQLMPQPGREGGFSAAGLSGNAHI PWAITKEEKKIYDDLFRAWDGFHKGFIGGDTAIEIMGQSGLDQKDLERIWTLADPHNR GRLNMDEFAVAMHLIYRKLNGYPVPNRLPPELVPPSTRNLNDSIGTIKSMLSQDAESR KASGAFLQPQKTGVSYLKDHSFRGGSGVSPGFGRKDATLFKNNDEAASGYRSSARRRV GNNGRTPSPATSQTSEEELSVGQLRKKIRETQIMLDAVDFQDENRAEEEDALDRRDRR EVESLLDRIRRVQDDIDTHPDAAFRNLDNGAERRSLRRQLQSYEDQVPQVASDVRRVE REIAEAKLELFRLKDAKAHPNSASNIVGTGPGGAVTEADRIKARARARMQARAAELAG RPTPSSQEDDGAAARRVEAESAKVKADREKNDAMTRDVEDSVKDFARSLEDTLKDASE NSTREHERRRWEDALGVEDVIRDFIYDLKRNSRTAYVRKEEASRSMHEEHERSRYDEA PATRPSPPPSTGSTGSLPGSTHEDRVAAARERAQKRIAERMAAAGLKPHNNTAETLLQ RQEREKKEREDRLKQAEEEDTRREQERQRRLAEEQGGSMAQPAKPASKKPPPAPPSRR GRTDSAGQAEAKRAAEESAIVEQAAREQTIREEEEAQQERKRLEDDARKREEEFQREK EAQEARLRALQEQVQQGKIKKQEAKRRKEEADRLAKEQEAKLTVQRAELEMAKERERQ LQLELEALDEESSSDEEGPENITPQHSTPGQSQILPEVDIAAPVAPSALVPPVPGPEP DRPTSATSSPTSDRTGLAHLPLETESKNPYFKKISLPAESQVATPQPISKAPVTSPKA DVQSTNPFHRLAQQQENAKPAFTAAGPIERVSRARPEVDDDWSAAGSDFDSSDDDERP GGGSAKQLASILFGTMAPPRPLSAMDDKSPSKPSTPAPDTPVAASTAPEADGTLSIPS ASIPPPPPPVVAQVPSIALSSGPPDAPPPPPPPPVPHMAPSAPPPGIPPPPAPPAAPA GAPNRSALLASIQAGKGLRKVQTNDRSLSSVAGRVLD AOR_1_1490014 MNAPDRYESFVLANGENKVEMEIDTRIPSSAIFTFNKEDHTLGN LIRSRLLQSSHVLFAAYKVPHPLVPKFELRVQTDGEITPKEAVIAACHELVRDLGILS REFTKEYELRKMVGATQQQQDGVQDGA AOR_1_1492014 MPIKLPKGFARRKSSGNALEEGENPPAQSFRVFERPSVDKKSYS EGNLLAKRLSDGQRFYPTAEDSDNIFAEVDSPGQRSPGGMHERPKSARFHSATDRSTD GLTSNTPTSHSRNLYDIPIPPLSGALRAAGRTFSFGGRFSKASAPTPPPQPSTPGPSR SRAMTASTTSTATPPKLLDTDLQLGQGEDEFHNMFDEVGKMGASRERSGELFPSSPEL VARKQEKSPRPTPINTDRSKEIEPSPYSWDSRHSGEGLLMASDSPQDHTPALQQTLNS QPVSPEGRRKSLPLSGAVPRTTTHRSLEQPQAMSDKSLRRSVLYPSKRASTPVEDEDA KLIMESLYTNKRSSQILLTSEHENSDAEHDTPLFSNSHAITVEGSGRSDAKPMLTHKS LGVAEDHPDPSIAAHARLAAQYEERQPVPTASSNKVMTPSQFEHYRQQQELRRSNSDA SKSEDSAESEFDEEDEAEKNREVERQRRKQEAHLSVYRQQMMKVTGQESPAPALRSEF DRANNSTPNLTVTPLNSGHRSGSGKSSEGDEDEEIPLGILAAHGFPNRNRPPSRLVPS NSIPNLRASFHQPYISSSSSVADHEPGNRSSLPVFARNLPRDPYFGASLVNPSNRESL AFGGGSSVYGGPAAAAGPSPALPPGGLVGVIATEERARAMRRGSPNTQAMYEYQGGPS TVPTPPSVIPRPYTMMSMNPPGPSGPQSGISATEQAQIQLSQQMSSMMQMQMQWMQQM IQMQSGQVPPQQLAPPGGFPPTASANANMRPSSMPSAGGVTNSPVAYRNDQRTLSMLD PNVSSRLNSPATPYNVAGNRPSTPAGQGYAPSIAPSERSNVGLAPRYRPVSMLQPESG PAAFPFMSKSWNDENQKSTLSVPPDRSLQTKPTIDQISSGDKSAIISTTVHGADPDDD DDEGWAEMMKKREKKRNNWKMKKETSSFGDLLNAVH AOR_1_1494014 MYCGQCLCKSSFLNTISAPRVRRNAKSPRVLANRRQTRNQIRGP HSALTDFLASNNISAAQIREDYRRRLEEAEHQGASEEPSEPAENDEAEQSLDESSEQR KNRKRKEAANLAKIKQSKEFARRKARRIGEPDDDDNRIAREIIYQRSRPMPGQLENCE TCSKRFTVTPYSKTGPNGGLLCTKCSRSLEDDGKKPKAKKRGPRTGRRQNQSNLLDGI AQQGALSLAEMCTKKVADNINDIEEFGDLPSPLLHRLSQILSKRRALTSRTLNLFLRP DLDSINIYDSAKLETNDFQKIFAFMPTLTNVNLRFAGQLKNTVIEYLLGRDLRLKYLQ LDAANLVSDSHWRRLFEKLGPQLEALKLSNLDFSLDDETVEVLCFAKLFTGWPNPPLE YVDFSSTRDVENSNLDGSRDAIGLASEGLIALMDHSGSAIQKLNISSCRHVSRAAFEE IFSDGKVYPNMKELDVSFHTVMDDYLISRILQCCPVIKKLVAFACFNVRDVRIPVGVA MIGGLKAQDTIVTEGRS AOR_1_1496014 MGKKTTKVGAQTASTADTSALPRRTSSRLARSSVSDARKSDATV TDKERGLHNLTTLRSLRGNKLAAVEVAIPLKKQSPSRSTSSSDLIEDISGDGINAYST PESSVAVTPAELDMTKPRKRVSASARARELRSSIMSINVQKGSKRDFATIAADNPPTE SSEAALAQALQLQEYQESSPKRRKARNGVSFALEDSTDNDSVLTGLRSNEGKDTGVKT RKRCPARKTRNSVRGMVSDSESSTNLEDESWDEQEYISESDSMSSVGIDPVSQSIMDS AGSRAGARTRAQASMASLPTEPLVRRPGMSYRALRERKKLERQHPYIMKMWDELRNNP PIIPVAAEQPPGISRNLKSFQLEGLNWMTRQEGSQYKGGLLGDEMGMGKTIQAVSLLM SDYPVGQPSLVVVPPVALMQWQSEIKEYTNGQLKVLVYHNSNSKVKSLSEKDLLTYDV IMISYSGLESIHRKEWKGWNRGDGIVKADSIIHSIHYHRLILDEAHSIKQRTTSVARA CFALKAKYKWCLSGTPVQNRIGEFFSLLRFLEIRPFACYFCKQCNCQELHWSQDEGKR CTHCKHSGFSHVSIFNQEILNPITERNNPEARTEALSKLRLITDRIMLRRIKRDHTAS MELPPKRVVLHNEFFGEIERDFSRSIMTNSTRQFDTYVSRGVMLNNYANIFGLIMQMR QVANHPDLILKKHAQSGQNVLVCSICDEPAEEAIRSRCHHEFCRRCAKDYVQSFNTGT VIDCPRCHIPLSIDFEQPDIEQEEEHIKKNSIINRIRMENWTSSTKIEMLVYELYKLR SKKQTHKSIVFSQFTSMLQLVEWRLRRAGFNTVMLDGTMTPAQRQKSIDFFMNNVDVE VFLVSLKAGGVALNLTEASRVFIVDPWWNPAAEWQSADRCHRIGQRRPCVITRLCIED SVESRIVLLQEKKANLINGTINKDQGEALEKLTPEDMQFLFRGS AOR_1_1700014 MKGTLTGGSTEQKPAGGFDSTPFPHAPPGYTLKFTFHRGINLPC ADFGSFSSDPYTVAQLNVDIPKRHKQDNNLTFRTPTVRKNRDPVWESEWIVANVPASG FQLKCTVYDEDAADHDDKLGNAYVEVNSISGHWNGIKEQSFKVKKRTGSKRVYLFGNI AALASGRLDVGSHLVISVEFLGKTPSEEGGQVYTVGPNYWFKHFSPLIGRLAGTKDEV QSQNGKKTITRYNFQAIQMQLKGPVPAELYHRYVEFKPFVAGMFTSQSLRGRILNRAL HHQHQRIYNFDRSTLHGQSGSPCTELTQKFLEFTHYAQGGRIFTYVLTLDGQFRFTET GKEFGIDMLSKHTMHSNVSIYIAYSGEFFLRRRKHHRRHFSQISQPSDTEIPVEEPLQ DQEISTNPEDYELFIDNDSGTYRPNGQKLPLLKKFISESFVGLHITTLDCTEDAERME NLKDEQREFKNKEGGQMAFLQQSSASSFSISSSDEEELDERSGVVSKKRGEFAQKVHD MRDVKGQVMKWAQAEHKSKGVPAHGEEIPGHRPSKITQENTALDRQQENSSTRL AOR_1_1498014 MGNVGSRLDDSGSLYFKDQNKFTIASVTILDSRRRVLLNLTPNS FPASRYIAKRDISDDTPIEYIQDPDFSPSSPAPTFLLRLTNEDELNFNFTFILRQTKT GNVANSTVNGVATSLPEVADTVLTGLTFAHAPNSKELDNLITREFHANPNLQNNSNVQ FVGDYSTNGSPSVQFEWSWRWKPPKPVEDKGGGWRNSCSFLEYDQRANRLNTLAHFSF WVQNTMRALPSPQILSPSDADAASYPQMTPSTPPEVDSVFVPPPLSAPPPPPVKIDLP HSRPGEDKSIVEDGPLFRATMKALEQKTGNMRAKIKKVLKKAEAAQQAQTTCNDAVEA FLSALNDASTPDGNAIQPALDHYFEKIARQILDYERFNTRQLQRLVIDPLIKLYNNDI KQAEAKKKEFEEESRDYYAYVSRYLGQRQDSLKEKKRAESDSKYQAKRRNFELKRFDY SSFMQDLHGGRKEQEVLSHLTKYADTQAKRFLEAAQKVDDMIPQLDALIHEVSQADKE FQFQRTEREEKRRALEKSSNMYLEPDSLVNSNVPSTLSGNGSGAQRSENELGRADSTG SQLRSVISNTSTSTQANASGITPSAGPTIAPTPTSLSGQQRKEGLLWALSRPGSHIDP KGINKQAWHKFWIVLDQGKLSEYSNWKQKLDLHMDPIDLRMASVREARNAERRFCFEV ITPQYKRIYQATSEEDMGNWIRAINNALQSAVEGRGMSPPLSPTADNSSIGRDIGSVL TGKSSSYSGQHSHSTASNASNNSVTRRTTVGARPSYNESMLVKKIVDSTYSSPRMPNR YFIVKSLSMDDLELSRQSGIWATQAHNEGNLNHAYQTADNVYLVFSANKSGEYYGYAR MVSPIQEDDGLIMEMLPRPNHIQAESEDLDLTPTPATSTAPNGRIINDLARGTVFWEA DSSEDEGGRKIAKSVAEVAEEVAESGFQSIGKPFRIQWLSTERVPFYRTRGLRNPWNA NREIKIARDGTEIEPAVGERLVQLFHTPYVG AOR_1_1500014 MGSNPASLEDAVIEDNENGPPSVTPTSPFARRVSFGAQALRDVR GGSTGNGEGFNWSEALRTRAERAPSIGNNSSNTPQAQHTANPAQSGQHQRAASIASME QPAREMPKQPRQNKPDFFQEKILRGDFMD AOR_1_1502014 MDEFSASIRPSALGKLATLAVRSPESDEKSDIARISRQFQLSTS YPHDLNGVLRNQAPTSRVPMGIRELDVLIALCKASPSVDKSEHASRLVAQLSRYLPEA HSQLFRPSPFLHHIKPSPWEALTNNVTLALLSLGSESRYPLLRETVLDAVEKYLEHCA KSVQAATPFTHHDSGTDYPVTAYEAVSILSITVSLVGFLEASTKFASFWTAIEKLRII EKMRSILSEGFKVAVETASSIVRNANMSDNILRDWRRRYTRRYAADGRPLGAMLLQEG FMRFVKSCTTSLVGAHNMSEDELLDDYMSGVGIARSHDTDEIALINRTAEVIDDQIKL LEDDSDYLQLGSHLQQRLTYSVKAFAFIGYLHCVLSGRANSEDFLPWLENTLMDPNQM SCPELATATLKSIAIVARMSLNSASSGSRSLLRFIVEGGIPAGPTASVAAKCLAQVLG VMSQDAVITTLYSLGNVLSPGSGTEKAYRGQSVGDVPGHSNASASFSQVKQNSEISLL VTGEEGSIHYRNVVHAIVTIAAHSNDEKISALAQSMLLQKIGKVSASVDAYIIKETAA LSLSTAQGEFHLLLKFYDRAYWDGVTKGYSNVATAVESAMAYLSVTLQRNTPLYRTYL THLLESIVNKGDATDFENERQKDITLAPDDLSPLLKPLALLVSDRATPRNCADIADYD QDISTLFRDAWFNIAVHGISLTSAVARTHMKELRILAKHSPPLVSEDRMEMLESDVEL NTILRRGMGPQRLVEQKKILITELPSRESEIKRLNYPKAVFLNAALLVESLRASSGNC TKVLSYFRDPALTTIEMASCMTTIADKVVNTYVSLTLSGRHEDFSVPFLSKELGDFLV ACCHRVERVQNVAVLCANKIIKECPSALCEKDSLFALLELLTVMWQSCLEEELDEFEW KPSRKTLDVFLERARAWVTAVMDIAPLDIKGLLQTYLSASEDSNGFGNISMGRSFALD MGSLISKSDQRLGSIEPYGINRVDVASEFMAQYTTRQKYRYPDTQLSKFLGWENSPRI NAPVLFQLKSNDVVENRLSFLYEQISLGNEVSFLEVRDWLRKAAALLCCGGDHHPSIL HYLVSLPFEIFSTESINLGISLWLGAIHENPIVEPKILVEVAEAWEKTILRKKGLFDP SFDYVDPLYAKIELLPSDKALMLQKQQKAHKVLSPHSHVLQFFESHFSAIQLGNLQDQ QLFCRLVDKTSVGLMQTSGHPLSRELHFRIILFGLKVLKHFSLADPASSWKLKDQLLS AALSWFRHPPRWSFGGNRLQIKAEDKVLSDVASALANVSNVACHTLGPYKSLQAKQDL LYTLLENERSRLKVWLYPLDQERKHYIPQSSGSRNFMEEATSLLRLAWVEHPGLAIQL GARFPSVKLKNDIRWLLLNFPEKVIDEPSSLEIMLGATLPADISFQLKYLLYWAPVNP TEALTYFLPAYGNHPFILQYAMKALESHSIDVRFYFVPQLVQALRYDALGYVERYIME TAKQSQLFAHQAIWNMKANSYKDEDSQIPDPLKPTLDKFMDSLIASFTHEERDFYEKE FSFFNDITGISGKLRPYIKRSKPEKKEKIEEELRKIKVEVGVYLPSNPDGVVVGIDRK SGKPLQSHAKAPYMATFRIQKTRTRFDPGGVISSFGQQTLTQDQQARLDLEQETYEVW QSAIFKVGDDCRQDMLALQMIAAFRSIFSSVGLDVWVFPYRVTSTAPGCGVIDVLPNS ISRDMLGREAVNGLYDYFVSKYGGEDSIKFQEARTNFVKSMAAYSVISYLLQFKDRHN GNIMVDDAGHIIHIDFGFCFDIAPGGVRFERAPFKLTSEMVAVMSGTQHTHAHASGGN SYNPTSTQPYRWFESLVVKAFLASRPYSAKLSHIVSLMLDSGLPCFKPDTLKNFRDRF VLEKSERDAAEYMRELIRKSYMSVSTKGYDQFQLLTNGIPY AOR_1_1504014 MAPQNEKSSLKRSRVSTDHDSQDLKKQRRSQRTTDQDQSQTTPV NQSYLPTPLTQQNSTTTDVTKEVTASPGASSQVRCQTPLNSDSLQTFSSPPGDTQAQS QFVYPPRAFADEVEDEAAEGVWGYLIPLDEKVRRPLVLRKRDSCEGHADAKSKGKAGK ATRRQDKSSEDKQAKIHPPGGYLVGRHPECDLVINVPTISNRHFLIFPENRKGGSVAI MEDLSSNGTFINDAIVGRNKHRELEDGDEVTILDEARFVFRYPRTRETSGFRQQYRLL QQLGKGHFATVYLCAERSTGTQYAVKVFEKRPGDSQKSQVDALQQEIGLLMGVSHPNL LCLKDTFDESDGVYLVLELAPEGELFNLIVSKQKFSETETRHIFVQLFEGLKYLHDRG VVHRDIKPENILVADKELTVKLGDFGLAKIIGEDSFTTTLCGTPSYVAPEILQDSRRR RYTKAVDIWSLGVVLYICLCGFPPFSDELYTAESPYTLAQQIKMGRFDYPSPYWDSVG DPALDLIDKMLTVDVDKRITVDECLEHPWLTGKYPSVSDSTDGLTGALGKLDFSKRKI ARERTLLSSVNYVHFSEHVEEGAIPIKVFHKNNAGKRVHNRPAKAQKREVSPDENSAP YDFVNLGERGDPVLFKEDSVSRF AOR_1_1506014 MGILAVILDSVCERCSGSSLWMLSTVALLSILVVSVVINVLRQL LFKNYKEPPLVFHWFPFIGSTISYGMDPYRFFFNCREKYGDIFTFVLLGKKTTVYLGT KGNDFILNGKLRDVCAEEVYSPLTTPVFGRHVVYDCPNAKLMEQKKFVKFGLTSDALR SYVRLITEEVEDFVQKSSALQGPNGVFDVCKTIAEITIYTASRSLQGKEVRSRFDSTF AELYHDLDMGFAPINFMLPWAPLPHNRKRDAAQKRMTETYMEIIKERRKAGSKKDSED MVWNLMSCMYKDGTPVPDEEIAHMMIALLMAGQHSSSSTAAWIVLHLAASPEITEELY QEQLRILGHDMPPLTYENLQKLDLHAKVIKETLRIHAPIHSIIRAVKNPMPVEGTPYV IPTSHNVLSSPGVTARSEEHFPDPLEWKPHRWDEAIAVSSEDEEKVDYGYGLVTKGTN SPYLPFGAGRHRCIGEQFAYVQLGAITAALVRLFKFSNLPGVQTLPDTDYSSLFSKPL GNSKIQFEKREPVTKA AOR_1_1508014 MTMRSSFLLSSRLIRPLAIGKKCVRCFHKHASTPSVPSPTPFVP DVETFLTLIGRGMTKHASKLPSWEKLFTLSSTELRDIGIEPTRQRRYLLRKREKFRNG VFGPGGDLEHVVDGTAQLRVVEVPLTPRDTTTDNQASRPSTSSATLSPGMRKVIVNLP PDASEYTHDPSKPLKKFAHMKIHRGSMLSGPFLQPIKGTDNCAALLKVQEGMWEDKLG HKVDGGERRRAEVRAKKRSEERRKGTA AOR_1_1510014 MAISPLPPQSSSTATAASDTAVKFRAAQSSANHQGNTSSSFITR STDRELTEQLNDDVRHKYIKDKKLGEGTYAVVYLGHLRADPSSLVAIKKIKVNAEYKD GLSMDAIREVKYLQELSHSNIIALHDVFSSKDQNLNLVLEYLPRGDLEMLIKDGNIHY GAADVKAWMGMLARGVWFCHENFILHRDIKPNNLLIATDGEVKLADFGLARSFADPYL NMTHQVITRWYRPPELLYGARQYSGAVDIWSMGMVFAELLLRVPFVAGSSDLDQISKV CEAFGTPTEDNWPGVTRLPNYIPADKNHIVPIQGREFFFRQFPTAGPIGADLLMSMCT LDPRKRSTARQVLQHNWWFTEPRPTNKEDLPKKSDGIQKMSNDLARRGGDIDDNTFKN AARQLDFNAKQ AOR_1_1510014 MALINESADKKLGEGTYAVVYLGHLRADPSSLVAIKKIKVNAEY KDGLSMDAIREVKYLQELSHSNIIALHDVFSSKDQNLNLVLEYLPRGDLEMLIKDGNI HYGAADVKAWMGMLARGVWFCHENFILHRDIKPNNLLIATDGEVKLADFGLARSFADP YLNMTHQVITRWYRPPELLYGARQYSGAVDIWSMGMVFAELLLRVPFVAGSSDLDQIS KVCEAFGTPTEDNWPGVTRLPNYIPADKNHIVPIQGREFFFRQFPTAGPIGADLLMSM CTLDPRKRSTARQVLQHNWWFTEPRPTNKEDLPKKSDGIQKMSNDLARRGGDIDDNTF KNAARQLDFNAKQ AOR_1_1702014 MSTLPQPSRPAANPSTGSLPPLSAPKSRKSLPVSSDHARAPSPS QISSKIRASPSPRPSFNKTALSNSASNLSSSRSISAGRTPSSPDKSLRRTISIAAFPQ PPKTGSRPSTASSISGIQSLRSSGSVKVKRNSRLSVGTNSSYRSSQTASLLNGGDNKS IVGAETRDPEASPSQSRSSSAQGSYSTSATTFEDADDVTGALKPHSKPKEAKGNVIVS VRVRPDVHSIETSKINSEWAVDARRSLITFSGKEGGDYYYDNVFSPHEHNAKVYDSAA KRLVRRVMEGYHGTVFAYGMTGTGKTFSMQGTATSPGVIPLAITDIFSFIRETPHREF LLRVSYLEIYNEKIHDLLSASASGSGGLPLQQEEIKLREDSKRGVYATPLKEEIVQSP TQLLRVIARGDHARRTGSTQFNARSSRSHAVVQIVVESRERVPAGATQDRRSGITPGG VRVSTLSLIDLAGSERAADDKERRTEGAHINKSLLTLGTIISRLSETKDKAGNPTDRE GRHLPYRDSKLTRLLQPALSGNSLVSILCTVHLGVTGNSNPGETLNTLKFAARAKNNI VSHAKRADEALGSGSSDAGNRVLLERYRMEIQALRSQLESQTKAQAEKELKLEEQQLE KEAQARHEEQMLEMQLARTALKERIEHLNRLILCSKSTGVNSQGAVSTLGRLSRMSTA ESVARSLRSSVSQSTLGAYGTPSVRPTSFLSVNSNEALPFSSGSFGNDEEEDIVGEFA DGKASAQRQIVALQARRSSHSRMSTGVKAGSATSENPDMVALLREKDMEINELRQQLD DKDRMLAALRSAARHRDLAHLATDTDLKGKGEYKGADPDVSDSLASDHVASILPSHRE DEVNGRKNIDDEMSRILNEMIQDRVDSGHLIKGSHGSVRIATESRRPSDTTTPVQGLT PSSMFHDNIDHDFQPGVTDLASLVLHP AOR_1_1514014 MAVTLDITDQPRPTSITLENSGNARHEISNGNCQCQDSNSSSDF RGKIPRASVGDGVHKSQNEPNMTMPSNNQSAVAKSSNSNTSGEPGKFKKMGIRWAPLN IGLERRLQTFVVLCHTLTIAIFLTSFFFACAIPLSWPILLPYLIYISLFSTAATSGTL SGRCNYLRSLRIWSIYASYFPARLHRSERLLPTRKYIFGYHPHGIISHGAFAAFATEA LGFSKLFPGITNTLLTLDSNFRIPFYREYALAMGVASVSRESCENLLTRGGTDGEGMG RAITIVIGGARESLDALPHTLRLVLKRRKGFIKLAIRTGADLVPVLAFGENDLYEQVR SDQHPLIHRFQMLIKHTMGFTIPLFHARGVFNYDVGLMPYRRPLNIVVGCPIEVVQQQ NRDKIDDDYIDYLHAKYVQELARLWEQWKDVYARDRTSELEIVA AOR_1_194 MTSDTEKETSALELEAQPNSKPGPVYDGDEKVDYDRAGALEAEQ AEHNMTVLEAVKAYPAASWWAFVMSFTIVLESYCVFLMGQFIATQQFANDFGVWSDNT GKYIIEASWQSAFQCSGPVGAFIGVFIAGPITSRIGYRWATIGGLMFLNAFIFIFYFG NSNGMFFASQILEGLPWGIFIANAPAYCAEIVPMRLRAPATQMLQMFWAVGSIIVGGI TYHYQSRTDPSAYRVPIALQWMFPTPLAILLFIAPESPWWLVRKGRLAEAEKAVKRLG RASATDNPAAAVAMMRRTIELEKTEKKPSLIELWKGTDLYRTLIVCGVYASQNLTGNL IANQAVYFFKQAGMADNTAFALGLVTSALQLIMVMLSWILTTYLGRRTIYVYGQLINC GFLVALGIAASVGSSIAASNAQASLGLIVSVLFCLGPAPASWVIIGETSSVRLRPLTT GIGRGAYYVVNIPCIFLSSYMLNDDKWALGGKSGYVWAGTAFICTAGAWWWVPEMKNR SFREIDILFRRKVPARKWKQTVVDLRDDE AOR_1_1816194 MPRSAVTEAYLVARPRDNSLPVGLYAPHLPPKRRGAKACLECRA MKVRCSGATPRCHNCHRRGRQCTYPSSTGPARPASTRVEELPSPTTSASSTITSDDCT VSQSNPSIPIPSAPPSPSDEVVSSLLRDYFDHLHPLPSFNFLHKDTVVRRCSEGTIDE SLKLAICAITALYFSQYRSEHGAWAQQSEQLILDRLERPSIFLIQASLLTIRYRAGVG QFPRAFILAGLAARWAVSLRLNYEHSRLGLIAQEVRRRTLWSLYLLEDSFCVGLKEFE LFDPETIHLQLPCEDEDFHNERPVLTGFLHPGKGLEPEFLGARAAFVKLAFIRRGIMR LNRRIFAKELSLSELFESVERFQSDLHCLRSRLTSTDQYPPANPGELHWPAPYAILHM SWHQCHCDLYRIFLSGYPESTPHPAVERMTLPERVLMKEKCLGHAEQIVKVLSDFVHH KDEQQMLDFDAAVCAYHAARLILFVTYTGRSDEALPMQLAIYKAQLCLDVITRYFGFS AQLKSMRQILERAIQQHKKWLESSDHHPIATADPSPHPPAGISRDAYMRQRLAIHSLL RQSDFVDDSCDAAPEPNRPLLTWTASTEDDQIPPPASDWDDRGTVDPVTEQIGSEPNL FCGLEYGLDLLGWPGEGTQEALGFIGGLDEQFMY AOR_1_4194 MAVPVSRGRDRRPNIIFIMADDHASKAISCYGAGINHTPNIDRL ATEGMKFNHCYVTNSICTPSRAAILCGTYNHVNGVMTLNDHINKHIPNVAKHLRTGGY QTAMVGKWHLGESVDNQPTGFDYWSVLPGQGLYWDPDFIEPTGERVESGYVTDIITDK SLDWIKSRDRDRPFFLMCHHKAPHRSWECDDKHKHLYKDPVRLPDTFTDDYKNRAKAA KIAKMRVAEDLTYQDLGLVQPDGGRRVGEPVLQEFGSSERKVPVPGSIAELQSMRLID KDDGTVFTFKSHAELAEFKFQRYMQRYIRTIQSIDDNVGRMLDYLDSEPQLAENTIVV YTSDQGFFLGEHGWFDKRFMYEESFQMPFLIRYPKEIIAGSVCDDIICNVDFAPTWLD YANLPAPSYMQGTSFRPLLQGRTPESWQQVAYHRYWMHNDIIHHAYAHYGIRNQRYKL IYWYNEPLDVPGARPGGKEHKEWELFDCDKDPLELFNVYHEGEYQGVVRQMTTLLEKK MAEIGDEPVHPKPQWLLGSL AOR_1_6194 MVNLQLTLPAMALSSTALAASVHSEMSVGTLHRERAEALLSQMT WEEKVGQMGGIRRLLNTGPEIDEENYEYRQAEYQNGNIGFGATLNWADGILPLTNEVR QRQINESRLHIPFITVTDSINSLYLSGGTIFPSNLAMAATFNIPLFSEGVAALREEQI AIGVSWVLSPPLDIAWEPRYSRIGELFGEDSYLTGEFGHAYVQTMQDKDDSGNIKVAT TVKHFVYGESRGGINAASMYGGINHLYNDQLRPYLRALEADPAAVMVSYASVDLVPMS ANKYLVRDILRQRLGFEGIVMSDAGGIAHLYTESRLAGSYAEAALLALEAGLQMELSP QSPAVFPTLVAAAEDSHVGQLIDEAVLNILQLKFATGVFDKPLPDPAKVNETLRTPAH LEISRHVTRESIVLLQNDGILPTTPSKVALLGPFADIRNYGSYAPVNSSDSRYGNSLY QSLQAKLGTSNVTLVQGVDFIDIDTTNIATAVSAAKEAGLAIIVLGSLSVGTTDPLVT KRTDGEFFTHANLGFPGAQQQLLDAVLDASIPTILVLSGGQPFVLNNSTLRSNAILHS FLGGEFTGDALAEIIMGDVNPSGKLPISLPQDTSATPVFYDYLPSDDTGTADSILGFH STYQFPLLSRSPPMPFGFGLSYTDFTISAPRARASNSSVEVRVNITNVGPIAGKEVVQ LYHRPNTTTGIEFPVKRLVRFEKVDLHAGEGREVRFVIPHKDLGYYVDGELRVKRGVY SFWAGTSSRTEDLKRVNVTVL AOR_1_8194 MVAVPYSRGCRTCIQRRVKCDETRPNCRRCELRGIQCPGYRKPL TFVVSTPSDSRTPAGPTYRSATRSAQSQKSNTSRSITKRGGCSHNTVDELIVPSLVEK PLAAQGVETLGFFIDAAVPGLYYMYSTRLSVNWMNFARRHVESTLDPFIWSLRCLGTL HLGMKHQDQDTIASSRSMYSRGLQGLHSLLRRPRFVRSDMTLAIAVMLGIYEMMDPIT PQSWLTHSSGIATLIRLRGPNAHRGGFGRTLLISFKSFIVADALIRGEACFLAEPAWQ LALTDTLAAESGNGKGSQLCDLVELLFAEITKCPGLYARACAIIKNNETDHSMFETLR QEATQSKQRLQYLKDQLESVVPVSLDSEALRDKPDLIGLIPVSVAQKSRTFAGHGAQS ALALLDQVIVITESDHHRLFTDSTMTTLPVWDVLPAPANCVTMDKEETLANRLPAWPD QLALSMGMLAVKDN AOR_1_10194 MAMLFTPIAAASLLAVVGTQPTGVFAQDAEGWYKAHPGMSRIKD VNQDTHQIVDEFGRTRFFHGTNVVMKEPPWHRPLEWVPGVSSFGEQDVQNLHDLGLNI VRLGHSWAGAEPVRGEYNQTFLDIMKKQTKMAEDHGLYVLVDVHQDCLARQFCGNGVP DWFAKKDWVSSGKMYPFPLKTTPFPVDENGFRSPQSLCGSVDWALSYTSVALGNAFGR LYNNYDGLGDAFAAYWKKLASEYGKTTNVVGYNLLNEPWVGDTWADPTLLVPGVADHK VLEGLWNRAAKQIRTVDNDTLIWFEGATLDVLSGFNNVPLGDGSKSVHSFHYYNPPQL GSISTTLSNRHKDNERLRTAGVLTELTFWMGDEKQMQGLADAMSATDANMVSWIGWAY ENLYNGTSGQPYPELAKHYSRSYPAAVAGTPKSFSFADSSATFKLQFTSDPNIQAPTE IILPPSTFPNGYSVQVSPDGSVLQYAVDKRTLAFFTSTSIKNATDITITITRK AOR_1_12194 MELSNRPRKACDLCYTRRIKCDGQKPRCSNCINYATDCTHTALS RKSKPRAQRRSELKKADEVKSLQAEIQRLETQLAQNRDHDQASHATQEPVRTTIAAHV ADQHIDEDGDHTISSMKLPPLHQAMAMVGIYLNTYNSVLPLFHADTLLRLVGECYARQ PRQRDPVVWAAINVVFALACQQVPENPRNGRSQHQTDQTTEYLNKVQSIISTVMLGET HLLNIQVLVGMVMLLQTAHDLTPSLLLISATMRLAHKLGLHSHAASTHLDPVERRQRA RVIWIAYILDKDLSLRTQQPSVQLDDDMDVELPSSLPTTNDDNDNTAGIVVTADGNAR MNYFLARVKLAKIEGRVYDSLYSTRAVNRSFEERRNARESVVSALDEWRASIPLEFSA SIVTSSTSNKPANGGFFCVLHATSLQCMALVSRAHAWDRQWDDLLSLYDRSGAVDGQH SGESVA AOR_1_14194 MKVGIVNAGNIGLRLGFAWIRLGHDVMLSKDTHPERLQKRVRQF AMEKGIGEDEIARFQYGSITDAAKFGDVVILSAYFPRLAHILKELQNDGITLSGKLVI DTMNPLNVDANFNHYHDLKYMERTSVTQDVQRAFPEAIVFKAFNSMPATLLEVQKWAP RRVPPIIFIGGNPSSIDTVRKLIKDTGFKPQFAGYDLNHSGLLERLGVLLHLVAENEY EGNFDVVFDVMEGKA AOR_1_16194 MASIKKEQYAIAPRSRVLVTGANGFIGSHVVQQLLSLGYVVRGT VRSQKPWLDELFRSKYGPNSFESVVIPDLSDYDTLRKAMRDVSGVIHVASDVSFSPNA DEVIPKVVSATETVLEAAARQPEGSIKRVVLTSSASAAALPQPGVEGIVITEDTWNEA TVKAAFDEGTPADAKPFTVYVASKTEGERAAWKWVKDNKPPFVFNAILPYYTLGQVLH PEIAGSTMKWAANLLDGDTTAFGFPGQFVDVADLARVHVVGLLHPEVKSERLFAFAST FTWKEFVGILRKLRPGHTGIPSPPENELRDLSEIVPARRAKNLLQALFGGSGWVGLEE SIRAGIASLGY AOR_1_18194 MTSRRQYDLILLGATGYTGMLTTQYIFKSLPLDLKWAIAGRNRG KLEQLAQSLMPENSSRQPPDILVVNLNEKELNGLAKRTRLVISTVGPFLLYGSETFAA CARNGTHYLDCNGEIPWLKNMIQQYDRTAKETGSINFDFHAWFKIWGPVAAILWHFGG LMLAPLILLRPIRKLLPKLWYEPGGGAGQSDIENNWFEYRCVAEADTPTKPKQKALVR MRYESDPYIFTAVALGEAARILLWQKDTWAHKFGGGVLTSATLGDHYVSRLRAAGVTM EVQADDPVYKGKDPFTKV AOR_1_20194 MQQNQPQRSATSAQTIEPLNVESTPPDTEPNYPTGTKFWFTVIA LCVILILGGLDANIVATAVPSITNHFHTLADVGWYSSAFRLCTCAFQFGFAKLYTLFS IKIVFMTSNVIFLVGSVLCATAASSTMFIVGRAVTNLGFAGELAGCFAVLAHILPLNR RPVFAGLMACVESLAIIAAPIVGGALTQSLGWRWCFWINLPIGTVSLAVMFFLFSDPR SRQEDDLTLTQKIRELDLVSNCLFIPSLTALFIALSWAGTKYPWSDGKVIGLFAVFAV LLTVFLLNQYRRGDSAALPFRIIKSRNVIAGFIFTTCTNSMTNVLEWYLPTYYQVVRS RSPSESGYLMIPILAGMMLGLLIQGIGTTTFGYYAPFMIFGSVCMPIAAGLMTTYNPH TSLAQIIFYSGLAGFGGGIGFQGPQAAIQTTLSSADLNLGIGVILFGQSMGPAVFIAI AQVIFTNQLSSTLEDVVPGLTPAYIAERGLGDIKNMVPMPRWDEVSRSIDRSLTHTWY LSVALGCTTIVGSLLIEWRSVKQKQS AOR_1_22194 MSAIVASIWDTCPNTKPFLITADYWSKYLLGSLDWDACAPYLQQ YDCAGTLGFGAADAGGTQNFYEPGNIPKNGTGMLTNTGGVISTPVSGSSFTWTLGSTT HPITAVVSSTATPSTTRECTATACQGRQTTGGGESIAAGQSRPGILLAGTLLGLWAAW IGFCM AOR_1_24194 MSDPERQQDGCLDVSLDTGGTTHSTNTDPHCVGNCQLWSIAAGL RSTDVTMHKINSLISSSIGQDAALGSVEYLSHALHYFLLSRIWRKIKARLHALLRVMQ RHRTTPCHGQSTHTQIKTPAWSPLLSLSSLMFDTRCTLRLLGLFSIWTWGSETAKAPP ADRIVRELTRLQLVATTVYQFLENVAFLMTKNVLPEKLWKRFDSEKLYAWSLLSLCVH MMLQLGKLWRESVLRKRADQKAVASTNGKIKMIDKEVESASEADDNDTETSARREEVH AARKSLVSSVTWGALCAHWGMPAGIGIPEPFIGALSFVADAWELRDTWISIEVP AOR_1_26194 MVWRELCPASQGPRVKSGLSASRKPNSAIELLAQRPEPSAILVT DEVLTLPENRAVWKAVLEYVRRGGTAVIMGHFPSFVRPNHLKPFFSQAGLNWESGSYQ RTTLALNPAAVSVANAEKLPQRYSQKAVFVKNVAPGDMWYKTDDDSVVQSMVFPATKV NIAGETAVALAKVGTGKLGYVGDVNAEEGSNAVVLAMCGLL AOR_1_28194 MTDTKTAVPPSEEGPPPTSPTHITDQSQGVSMDAVPLWKRVWRH SLTQMMLLSVQAFCGPAMSDAITGLGGGGLATPQVSNISTAIRYATLAAVNKIGVKWA LVIGSMSFPIQGSAYYCNSKFGNQWYLILSGAIGGIGTACWYVAEAGAIMTLAPSGAR GKYLALWIVSRNLGQLVGGAINLAKNHEKGADGGVTPDTFIAFVIIECLALPFALLIT PFERVIRSDGTHIVTSETLSTKEELRRIAKTITSRLIVLSSLWALWSFFYTGTWTTYL GTYFSVRARALSSLISPFFCIIGCFGLGYILDMKGLSQRRRAQIGLYTVVILNVGVYI WSIIMQTKFNRHDPGHIDWDDRLYASSFLPYFFVQTTDAQENVRNGAAFRCIEAIGQA IAYGMNTQTTSDPLTGFCVTFALLGASLLPMIMLVNTTPDRIPADVIAEQQDVARDKL ESA AOR_1_30194 MRTWYGRGTSLQAAITACCLVAFVLFGYDQGVFSGIVGNDDWRK QFNYPDDSEEGIIVSCYNLGCLLGCLVNFFIGETFGRRKTIWLAMGVVIVGAVLQTTA FTVPHLIIGRLVTGAGTGLKTSTVPMYQAEMCEGKTRGRLISSEVLFTAVGIVVAYWF DFGMSFVGGPIAWRLPIAMQIVFAIFVIVLVFGLPESPRWLMNHGQEQEAMEVLCAVY NKEQDDEYIVNERRAILSAIELEDAVSKQSFWKIFRNDEVKTGQRVLLAWGIQLMNQV GGINLVVYFVPTVLRNNVGMSAQLSQILGGCIQIMFMLGSLLPAFMLDRMGRRKTMMI GSFGLGVCMLMVAALLSQVNEPNGKAYASASVTFFFLYMLIHGMSINSVPWVYVPEIL PLEARTKGTAIGVSSNWLWNFTVVMITPVIINRIQWKAYLIFMITNLLFIPIIYFFYP ETSNLRLEDIDLIFSRGGDPVKQARKMAAEIKAYGYIQTEQQSNEKEISGMEVERV AOR_1_32194 MSRQKRHSGRPGALLPPRQTQEALINIYFRRIHPVLPLVHEEDF QVQFRNGTVSPYLLQAICLVASKEGEAEPILRLGDRAETMSPCRFSNLLYDDLCHAIT MRLERQRMTLIQILALASLHASGPKSFEDASLYLAQAIHHAHTVGLHFLKCGPERHEK PLVTLFWCLWSLDRWIAAIHGRPLVIHDRDLGQQLTDVIDLFDSPFRVWLSLASIMGE VMVVYRPTLDAPVDENKPEIPRFEELIAKCQAEDISLDQTLSFELAYHAIALLASRPW GLKAQPRSHALYLRQDLAVYRLATLLQMCTISQFVPLPTVAYSISLGFSISYKQLKRC QLPSTQYAAKKHLQTFYKSLEALSSTWWSAQVMTRLGQRALGGMQRVAGRASQLSPSH KKGPAATTSSNCEVYNIGPFHSEESLEEVAEVAHDQQICADEAVAMTDHQFLFDFEDL DTSGFNNFVTDPMFQDIDDQLGNFLNINIPKCPSDSAFINPDTMWNPDEFDFSCL AOR_1_34194 MIGILAVFNKFIFPIQPDSDIPLVSTPTHESRTTIADKKYDWVQ VTDKTMDTCIPPLGSAGSPGSCCDAETPTGGRDPRVVAGEY AOR_1_36194 MPHIYSLDKSCIFLPTSNFSESKACISGKLRLSLAQAITVKGIS VQVRGIAKLPYDEGTFFRSYHEENTFAATQPLASSHQQNPFSLPAGDYEFPFSIPLNR NMLETIPGPETNYHSYQVHAMIERRLNRNIVVSEPLRIYKRFSVEESLNWMSALNSID KQWDNVAQYSISIPDVNIPFGATFPMKLRFAPLSKGIKLHALTIDVIEQHEVKISAPA AYSAQFNVHFLSSKREHIIFNERHNLDDCIAPESEEPDLEWCITKTISLPQDLAACTQ DVSSKMMTIKHQVAFTVELLGVGKGLSMVGI AOR_1_38194 MSDRGSAGEVAESAKAARFWLLMFNLAAVLILSSVDMNIVVTAV PSITTYFHTVADVGWYSSAFRLSQCSFQFVFGKAYQLFSIKRVFLFANAISIAGCFVI LVQSTPLRRRPIFTGIMGAVEGLATLSAPLLGGAIVQSIGWRWCFYISAPLGAVALVL TMCCFSDLPKSSDISRLAIREKILQLYLVSNLLFIPAITALFLAIPWAGTKYSWGSGL VIGFIIAFAVLMAAFIYNQKR AOR_1_40194 MASISETISKHEERLWQVNQEIHSNPELAFEEVHAHDTLCDLLE SLGYTVTRHAYGLKTSFEAEVGTGGGLIVYNAEFDALPEIGHACGHNLIATSSLAAFL ATAEAIKANQIEGRVRLLGTPAEEGGGGKIELIKAGAYKGVDACLMGHPGPGMEKDGV VAPRVMARRGATVTFHGVSAHAGNAPWLGHNALDAAVAAYSNIAMLRQQVAPNQRMHA IISKGGDKPNVIPHLTELQFFARAETDAELQETARRVTACCEGAATAAGCTVEFEWLE NYKELQCNNILIDTFYKHSQEQKQYYMKALPAVSGASTDQGNVSYELPSLHPGFLIEV ESPKIGPHHPGFEKSAGTRQAFESSLRFASIMAATGLEVLQNPELRSRLWAEHRERFG KAE AOR_1_42194 MSGRNAPRSRTGCATCRTRKIKCDETHPSCVRCVNAQMQCEWIH KTPRKASRSRPVLKASRVPGLQRTYHPLRPRNIDGSMHFTSLSLPDSHCLSSSEREYF AFFPHTSMVRWLGKPWQWASLHYVYSHIAPHSSVVTRMILAISATELEGIRHMERLRS DQMASYDQWPGEAGTSHYQSALREFQLILSNSQGSLSPHEVNEISTAFFLMVTYEYLF GQDSSAVEVHIQGIYTFLKAHDLVPRLGEPGQRVRLPVLTQQLLLFVM AOR_1_44194 MSNIQEKPDAFDKEGEVGLDHATDLNRPSVGYGEQLMDPSASTG NKSLLRKIDWRLLPIMMLSYMLQFLDKQSLSQAAIMGIIEDLKLTGTEYSWSGSIFYF SYLVFSYPASMLMVRLPIAKVLATTLFLWGVVLACHATTHDFTGIMVTRFFLGVTEAA ISPGFSLITGMWYTRSEQPFRHGLWFAGNSLATAFGGLIAYGVAHIAGSIPAWKWLFI IYGIITVVWSIVFVLFIPDSPLTARFLSRSERAEAEERVKVNQTAIKHDRIQWHQVWE ALADYKIYILFFFQVANNIPNGGLTMFSAIVVKGFGFTTLQTYLLAIPTGAVHAFFAL GSLIGNIIVYACESTGVRLFGLYLFVAYAAGIPMTLSMVSSNVAGFTKKATVSAMMFI AYCTGNIVGPFLFFEREAPGYKSGFIAMMVCLAAATVLILVLGLSWRMENSRRDRVYG PATLAPTNSPKEPAQGIAVAREDLTDVQNTAFRYVF AOR_1_46194 MSNMSVSLAPNGLQQLAHKTLDYHRARRVKCDETPGACKQCIST GRNCDGYDLPRLPVGMKRQRQDLAWSGPSMRILLDLPGTNPDERRCFNIFQTYTVPMM VSWFDSDVWQQIVLRMSQAEPAIYHAVVALSAIHEDSEKAGLPPGAMDIRNTYHRFAL AQYSKAASMLCRRLVSNDPQVRAVALMCCIMFICFDLCRGNYKAAFTHLQNGVQILEG QITKANRRHTSPQSIDSGSPTESALTRVLLHLDVQSAHFGDSGPLLHLHPVVLGVGSR DNLSLNSLREVKQRLDPIMNNILRFRRSCEPYVRGEISGPFLFDIAEASAEQRRIQAH LDDHIRAFEDYVLRQAPYLVNSKDARSIDLMRLQNEALTNILETSLVTSEMVYDDYLP VYKKITRRAEKIITSFQSDYGTHRPCIVMDMGVIPSLLWVCLKCRDFPTRHRAVKLLE RWPHREGAYDSHLLVQIVKDHMVLEQPIAGDGATANVPEYARIDSVMRVNTSGEE AOR_1_48194 MANRDEEILVDSGDDYDSHYVATDSEVTSMRSSIVDYVYENGRR YHAYHAGSYWGSNDEKAIDAMEICHHLYGLLLHGRLHLAPIKNPLRVLDVGTGTGSWA TDFADLHPGATVIGTDLSPIQPSFVPPNVYFEIDDCCDEWVYKSPFDFIHVRGLYGCV ADWGKFYQQALKNLHPGGYLEQAEMSVTPVSDDGSTAGTIYEIWGQKSLEAGDQFGKT LRIVDESKQRMIDAGFVNVSEHRYKLPIGPWPKDPHLKTLGRFFRIVMEESLEMWAMM LWTKILGWSREEVEVIFARMRDALNNPSIHAYLEISVVYGQKPEI AOR_1_50194 MAAQVLTENTYLAGARNSLKKEDCMELYEKWAASYNEDLADASQ NYIAPLLVAQAALASSKDPEATVLDAGCGTGLVAEALAKGSKWTIDGMDLSPAMLKVA EQTGVYRSLFKVDLTQPIDQPDQKYDIVTCCGTFTRGHVGPDPALRELIRLLKPNGVI AATVLEEIWVSGGYKAEADKLEKEGLAKVVSRDLIDYRKGAGDKATLLLMKKTASA AOR_1_52194 MQSPGITLQSSSDSERAREGETSLEGHAEESSSALSLYDIRTRT PVSVLPALNYASNFVHIPCDTSTRLLEQFRNENLNYLPCIHIPPHVTPQELMQEKPFF WYCLTAVLTPNLIERESLFTKVHDTIYQKLVVETTPSMDLLLGLMTFMSCELGINKAP RKDQSVLQGFNRAAGLKTEPAMKRTLEERRAVLGCFVITSSIASSLFKSDALRWTPHM EENLEILASTKECFGDELLVWLVRIQLVVDKSYHLRRDGENCHSSPLVTDVLQSQLEL VKRQIPAYLKNNRMSDALPFQMGVVNSSV AOR_1_54194 MRLTSPMSLVMPMAILGISTIQGAAAKCSDGLVNVVFNIGHGGY TKQRWEKIHSASNWLTFNFGFDEKQIPMLGNNKTAVDDAIDAVNGPNPPDFMLTFNEP DNLYGSHPRKVILQPEEAANLIKPLLRRRGNHTKFIAPVPAFEKLTWLPEFFGNCSCQ DAFSAYNVHIYNKTVDEAITRINAFHQKWNDKPLWITEIAPGQYTGACPNPVPWNHTT EFMRDIFAWGERTEWIHKIFWNSANEISCGDTNVAASFLLDFNDNPTPLLDPFNKLTC S AOR_1_56194 MSQLTPNVTGSLQARVDEACADQEKGIPGAVVVMVGKDGLEYFA HASGKRGYGSSEPMTLDNIFWIASCTKFITGIACMQLVEQGLLSLDDSAQVETICPEL KRVQVLQDDGSLVDKRQGITLRMLLAHTAGFGYSFFNEKLRDYSKPVGYDEFSGSSYD MLQPLVNQPGERWEYGVNIDWAGLLVERVTGLSLNDYFHQNIFEPLDLHNISMFPNAS MKSRLAHMNSRAPDGQLSPRDHLLRRPLIVEDARDIACCFNSGGAGCFAKPQEYCQIL ATLLNDGISPTTNKQILRKATVDLMFENQIPQFPNFAAQEIPPAKADLTNRIAHLYPS ATPPGWGLTCMLTGGSTGRSAQTGHWAGLPNLWWWCDRPKGIAGMVCTQILPFADAQV LGLWSDMESLVYKGLDL AOR_1_58194 MPVRRELADNATRGPTDGNYADELDVDVLIVGAGFGGIYSLYEM RKLGLKAVIYEAGNDIGGTWRWNCYPGAGVDSEVPEYQLSIPETWKDWTWSTNYPNYE DLRKYFDHVDKVLDIKKDCAFNSVVVGAHFHTVEGRWHIRTADGRTARAKYFIIAAGF AAKRYIPEWPGIEKFKGIVHHSSFWPDEKIDVRGKRCAIIGTGASGVQVTQAWGPEAG ELKVFQRTPNLAVPMRKRSLTVEEQEGAKAFYPELFRYREKCFAGFLYTWCERGVFED SEEEREQFLEKLWSDGGFRYWVANYKDYLYDAKANRVVYDFWRKKVRERINDPKDQEL LAPSEPPHPWGVKRPCLEYDYYEQFNRPNVDLVDIKDNSIVDFTEKGIKLQDGTEYEF DVVCIATGFDITTGGMTSMGLHSIHGDSLKEEWKSGAFTYLGMTVSGYPNMFHLYGPH GPTLLSNGPTTVEIQGRWIADAIKQMERQGIKYINPTAKAAKEWKAKINELSDKTLFP TTKSTYMGGSMPGKVFEQVNYAGGEYPYSKEIRAVLPKFNGFDIVKR AOR_1_1818194 MTLNGDRHVNPNQTPQMSSQWNSVGAGQAWQSIGQTSLLDTMNS SITSSQFKDTPDSRSFETWDISSELPLHGLPPTEGRGVSMPTNTTLCVGSNQLAHNYA HSMMTRNLIHIYNDSMENALSCWLTERNCPYSARGYVDKTGPKTGPYTTNRIYRRICL LDRACSSIPGRRLTSVESRTATQTLHAVIMAFACQWLERPSADKDIPIPSSSAHHESG MREGLWNEARHALENSRAIPSFRVAFANILFSLAQRPLHVEEGMELDELLDHDPAPMY LETALRQLFTFRSRLIKLRRQGPNRALEQCCKESKGDKSTHQLSQIDLMLKDSETHHT FDLLFWLGIMFDTLTAVIYQRPPVISDEDSQIIRPRSRFSFPDAVDLDGWDISSYSAS RREESVWGDLFLRKRNMLHNLNQARWPCSYEEAAEVLSDAAPVKVLLFRRINHINTLV CRGGEAGAIEEAIHSALLVYEYWNSTYKQFMLDCLSHHTELPARIQSWYLVLAGHWHL AAMLLADTVEDIDQARLGQNSQAEHRYTTGLISVLRHENAFAVGGLAQYSYDLQGSSH PKLRNFHDSVNQAASLTEPWTAVLIHSFRKAGTILIREIGKLQCGYQMQQESFMLAYQ RCEHCVKALQCLGRKSDMALAAAQSLSDSLNMTLFRSSPIDSYHMCAL AOR_1_62194 MVTHHASQDSLQAVTADEPRISKNEALEQPTAEENEHSTINKGG APVMRSKEDDLSIWQTVRRYKLVSIMAMAAAFSAALDGYQINLNGGLVSNTGFIRQMA KPGTTVIEGQYVSAWSGLQSSGQAVGQVLLQYVTESYGRKLAFYIIWVIFVVSIFIES FATRWDHWLVAKLFSGMGVGMLQSTLPVYLSEIAPTQLRGFFINAYSFWFVVGQIFAS VALNQLHAMDPLDFRTPIYTQWAMVGATIIIFIIMPETPWWLVSKGKLDKASKMLRRY NGRVEGYDVSKQIEIMSATVVEERRIAERDSQEGMWAVFQGRNFIRFIIAGWPKMTQQ FVGLAVFNSNATYFFQYAGNKDPFLVTVILSCVQLISMIMTAMLTDQIGRRPLTVYPY AVTTVSVLCLGIIGCFDYTQKSTSSLLVFFACLATFSTTGASSIGYAYAAEIPQQRLR AQTAGLSLASSNLIALMFSFCTPLMINGPLTKWGVKTGFFFAGTGTVAVIIAWFILPE VTRRTPAEIDEMFEKKVNLRKFKHYVTDVQTHAAEQHEMEAHTHTA AOR_1_64194 MALLHLAVGLLAAAAPTSALTFNVPASAPTNASAQLAEAPVGLS LEFFTFPAYMNDVEATKTCLQNLKELTGTWPPIRIGGTTQDRATYDASSSSAVTYTVS DPADAPSSLTFGPPFISLAADYDGQVIIGLNRRLNNQSNTIAAATLAKDTIKNLYAIE LGNEPNFFTDSDPIADGGSWDAAADYKSQVAWQDAVCQNLSTSDLISAGVYFGTSPMS ISGLSSVEDEANDLVKDYCSHNYPQSPSTADLAGLMSHSGIASQIQPFASEASAAAAK GKAHIFGETNSATQGGGGISPTYGAGLWILDYVMQTLLMGTRALYFHQGTIGNCPYCW WGRYNMGAPYYGAYFATMALANADQIAPLDDQTTPYAAYAIYQDGAPSRILLYNSEYY TNGTRPSQTFTVNGLTSSSVTAKRLTAPYSTSRVDQGQVPTVAGQTFANETCVIQGDE VIETSTVSSGSATFTLSASEALLVYL AOR_1_66194 MPLVRIDLVKGVRSPDEIRKLANVVQEVMRKHFHAPERDRYQII TQHEPYELICEDTNLGMERSNKLVIIQILQQGRSAEQKLATYRALQERLFESCGLPGE DLIISCAENSKADWSFGEGEAQFLAGTL AOR_1_1822194 MRPSFVLLAFLLGFASAVTESYNGQYADNCPSLCAHAGPSPANW TNNHHVRNLESCDQTVLFGLNIHNSVTDPNTILTIRACVASHGQTYEAAASPDVPQQP SQHNLVVAESCGAKVVKTAFTPQVGPSTLSKASSPAPQSTHVAEATRQLALFVDKSAE CGTTILFAKHKSAVVGVYSGAQITKHAVRGFLDSFAEKQTSTVQICQPASAALTVGVA SAGFVDLAAAQVAIKDWNNGLCLDETIPATPVSMDVLVSTVDNSFNAPTNTTTISHGN MTARGKPQALLPRGECRTEEVHGGDSCASLASRCGISGNDLSKYNPQKNLCSTLKPKQ YVCCNAGTLPDFRPRPQPDGTCNTYKVSDNDNCSDVADAHYLTQQDIEDFNKDTWGWA GCAHLQSGQLICLSEGTPPMPSPVQGATCGPQVPGTEKPSNGTALADLNPCPLNACCD AWGFCGTTVDFCTKSPADTGAPGTAKPGTNGCISNCGMEIVNNGKAPDQLKTIGYFEA FDQTRVCLRMSVKEIPANKYSHIHFAFATVTSSFDVDISDVEYEFSRFVKMSGFKKIL TFGGWAFSTEADTFQRFRDATKKEHRETFVNNLVSFMNRKNLDGFDFDWEYPGAPDIP DITPGSPEEGDNYLAFLQLLRSKLPSEKSLSLAVPASYWYLKQYPVKDIAKYVDYFIY MTYDLHGQWDVDNKSSMPGCPAGNCLRSHINKTETHDAMVMITKAGVEARQLVIGITS YARSFRMTDASCSGPFCTFAGDKRHSMAYAGPCTTTGGYISNAELNDIIKNPGNYSIV KSYIDKDSDSNILMYGNPGAVDWAAYMDSDLKTNRINWIKGLNFGGTSDWAIDLQNYS NN AOR_1_1824194 MANVVAGKWQALFFYQLYRIEVEAHGLENSRMAPGCVKAGVVCD MKAFMKEVSIVKKVRALDANGVVIKPVKIVDDPDWDKVNWAQIGEGANLETVAIEFDK DSFETVMSEAEDIATKAIAKLKADGREPADDRFNKMTAALKTHGDARRYDQAQKIAKK IEKEMSGGGFSAAYTDPIERPPVPGYRKIDADQTIRDNTGRMGFDKVEQKVRDYVTNF NSAGQSLIHFEAIVKTQGMHDHLAEACRNV AOR_1_1826194 MSDNSFHYFTRLPLELRRLIWEHCLPYRIAELDIPAFLLDGEES RQACLPEYTTHQNAQLPVIAFVNSESRQVALEEGRWVQGQETTSKQLIWVQPRRDVLH LNWTRMSYDVLGPLVGLYSPIGWYSLQAEELGMQPSIVAEIIYYFDLKGLLEGADGAD GADGADNDEPFSASWSRRHDGMYDPLSIEEASDIAALAEFHRWYDVAMAAVSLHITRE AALRSGLFGLLGDAPVQMVDVDDEARLRQFQALFREHALEKEPAVQALFELLTNARFR AAVGTWKSKAEWIILASMWDYARRKNGILGTNPGSAWVPYLPEEARPRIDLSQYSPNE NHPWVKEARQSMPKLRPWIMVRHCANECYKKPPPKSYW AOR_1_72194 MMIAHFSRLEKDYPWIACPLVASAPMLNIATAKLAVAVSSAGGI GFIAGGYDLSGLEQQLIEAQDLVNEANFHDYHLQQSQGDAPILPVGVGFLNWGASLEI ALPLIQKYRPCAIWLFAPKTGVDDLLPWTRAIRSEVPYNVKIWVQLCCLEDAIESTEK LQPDVLVVQGCDAGGHGLARSASIVTLLPEVLDHLKSREPSSTQTIGKPFVVAAGGIS DGRGLAATIILGADGCAMGTRFLASPEAQIAKGYQNEILRASDGGVSTVRSTVYDKVR GIYGWPTKYDGRGLINRSYEDIVNQEVTEEENRRLYEEEKLKGDNGWGPQGRMTTYAG TGVGLIRAVMPAAHIVTKIRQDAMGILQKSFVPAKL AOR_1_1828194 MSSWLPSLLLFGNLAIASSVSTVHIPLNSSSRVLRRDLYGYSIE PVSLDPYLQTELASKLLGHVAEIAGVPAPIRVGGNIADQTLFDPTLEFPSEALPNDTT VEVLRIRPDWFNGWKEYFPQGTDILYTLNFRNETDSWINAMEEAQAAMGALGDSLSHF ELGNEIDHYINKGWRGADWDTKEYTKQWRRLTGQILSSKFYKNATHKPLFQAAVFADP PMVPDQHDEIDDFDIANVTRAGLVDPKIIESYAVHLYPQSTCDAERYARLSLNLLSDH NVIWKNLSQYIPQDAAARAAGSRLVLGETNSASCSGKSGISDTFGAALWATDYVLTAA SIGIEQTYFHLGHQSEYSAFTPLPYEHKGENLTAGVRANFFSHIFLAHIISSRKADSW RISALPAANASDFSGFAIFSDAPESSLAKLVFIDLGVWNSTVGAHNPSTLAATDSTFA SPGDRPTRTVEVHTSWSPGTKIEILRLQGPGTNAKSGVNVSGVSIDSATGNLVGQEKV EQGIVNDGGIVRCELSQAEAILIQKPSE AOR_1_74194 MRFNVLATLVGITIPQIALAIAPGPLSTSGRWIVDANGQNITFA GVNWPGASEAMLPEGLQYQSIENIVDKIKTLGMNVIRLTFAIEMIDDILETGRDVSIK DSLVKALGEKNGTKVFSNIVRHNPQFGVDTTRLQVFDAVANESHRQGVYVHLDNHISK AMWCCSGTDGNTWFGDRYFDVAKWHRGWQYMAEHVKSLPAVKSVGMRNELRKAEDNPT LVNTTYNWRYWYRHMVENANQIHAANRNLLIYFSGLDYDTRLSPIPTGAELGNGTAFR KDDFEYADKIVLELHNYERTATSCEDLKSSLWNAGFNALDTQNSSIVNIMPVQMTEFG FPQDNTTYTDVYASCLREWLPSLQAGWMVWVIAGSYYIRKGIQDDDELWGLLDHTWSD WRSTDAVTNGLIPMVQATLG AOR_1_1830194 MVKPSPSNHNSTHSNTGIALNASALQKTTMNESFVLSEFDRLVN SDLKFQFLLTSALANKPTISLKALAINGTNELDHKREGSDISTHGFEIGEVSTSHLLV ANKFCWARPHLMLITSDGYQRQYECLNLNDIKSVWSFLSALDTDYVAFYNCGQDGGCS RLHKHLQLIPTPSSLFASFLDSKDGQPPRVPFEWFYRRLNPHDSTPERLLDIYYHLLE AOR_1_78194 MPLQPLSCTNCREQKRKCSRETPICSRCYHLKFNCAYPSRWRGR QIEPRGPSSSSKRNTLLIVSNGRLISKATGLELLDAYLALFIPSTFVCHPLRLKARYN EGNLPICVRDSIFSMATFLRSATKIGLASDTRSTSETTPPAEIWAQQASTDVRNQINR PSLDVIHTLFNLIAYWCAVGKTQKCREHAKMALASIQQLRVQGLASPINRSRELERSS FFVGMISQCLTDDSECVALITPLSVDGPPPSSEIDLHSPLRGQILKFIQHWIRIRHFV RALHTDMDPGMQWATLFNLDAETRRLYETLPPTLRDFNGQSCLEADFRESLGLQTLYH MCRFVPHLAMIRLLQKQTSPGDEYVQLCAQIVVRHISRVSDVIMNAVTSSQTTLTALP PFVSYCSFTSVSVYMSYLSHCGKDWNDVNDPAVYLSRVRLLSNLHLLNQVRRVWTPVK VMWEAIQMDMAALGISSTDVEAYGRSLINVSALPDREVQRSYILSLAHAAEPYMDTEM MLSLIHFVDYVKLADPVYMLIGIFRLFPVGARCSMMPSSNRHSPAAGPTREIAGNAQH TVQVSPSNPSYPPTRPHRPLPSQCEQQTVDPIAPRCASQSGLNKHDTSVDQVDPSRPL GGAEENPAISLEMLQMMWLADEDFPCM AOR_1_80194 MLSATRLQVGRMGNRSRLLYGVLMRNPLHNGRGLEPILELPFFL LPASVPFDEEERDDRDDQQENDGNEDRFEQDLQDTHNDGGDTIKGEQLMPWWTVENFH ALDGMAAREGVLIGRLLWQVNVSIIDGA AOR_1_82194 MTMREYAGGDQQNPTGYGYGGNNNYYPPQPNRQGNPSPQSYNHP NPSGYNPYPPSGSNPSETNYNYNSGNQYYPTQTEYPQPQPWGGPSPPPGNYYQQPENR SYHNPPYGGQPPYPHSESPRPNQTDAYPPKPYPPPSPQQGGYGQYPPAPPPYSPQPTG STDTPNAETEEKDRGFLGAVAGGAAGAYGGHKVNHGFLGAIGGAITGSVAQDAMKKHK KEKEEEEKKKQWAAQQAAQQAALQHAQQQAQQHAPPPMFSPPPQHGPPRPDPTPLRGN FSASSRDIRLERGHELVAHCGAISGQMRPSSIPLNNVLSNQYGKFVWARNGNFAASAR NIRLIEGGKVLEAELANGRGGWDRAWMRLDERISNQDGNLVFLD AOR_1_84194 MEWLKSLQRDRSQIPDVVIPLADAQNSIPLAENDGESNVNSLDS QEKGAGIATDCSTLTLEALRAEVDSSVAASGHNTVYDRKAKIINKAIQDIGMGRYQWE LYILCGFGWTADNLWLQGVALTLTPLSMEFGVSESYVRFTTCALFVGLIVGATFWGLA SDLIGRRLAFNTTLFLCGVFGLASGGGPNWVGTCALYACLGLGVGGNLPVDAAIFLEF LPTSSAHILSSLAVFWSVGTLIASMLAWAYIPNYSCTDASTCTRADNMGWRYLVLTLG AITMVFWLCRFCFFKLFESPKFLVAKGRDDEAVAAIHGVAHRNKKKTWLTTEILNEIG GSAETTEKQNLSSKEIIARSLSKFSASQITPLFSSKRLGITTILIWLCWTTIGMGYTL FNAFLPQYLGSTASTYETYRNYAITSVTGLFGPILALYMVDIKYIGRKGTMAISTCVT AVLLFCFTAAKTADTQLVCSALESFTQMIMYGVLYAYTPEVFPAPNRGTGTGIASCLN RIAGLCAPIIGIYASSNPSAPIYASGALLLVSFVAMVLLPIETAGKQSL AOR_1_86194 MAPTPEDAVFAISAQATQLGNRISVRMLDYLSTVHDIPEGFGDL SRVFLDTCRSLWTIEAGLSESTTANRPLPRIIVQEVEKKFIEAYRDFQHLDRVVTKLI QYEHRGALGKLQKGWNRPSHELGKIRESLKKTMEALQISVLAFHWSLGDAKPEESVGV GYAGLAAALDRMAKGRSVTGINKAKTLERGIAEMKQSSQAVKTAPAGPPPSRPVPPVP PKPSSSQDDVSRDPGCSRGGTLVEPMPETLSSVLSLDSLSLSSSHHGRDLELSQIRTR NTTSKGEDRPHLQSSSASTLAQDMDTNPSSVLPSKASYRKHDLPSMPQRTPSNTSAAN VGHLKNALASAVRARNHQLMEQLLDSGVSPDMGENTHPLNEAILHRDIEGMRLLLLFG ANPNAPDKEGKSPLFSAVEGSFMDGATLLLKYRADPSLMTGTELESPLGLCITSLKTG LVHVLLAHGADPNHEMRNGSTVLIEAIRKKAPQRLVDLILDAGADPNLKSREGKSALF EAVQADQVTIVTTLLDHGANPNLPGPEHVLWSAIYRPACLRILMARGADVRKTPGIME QATSINNIDSVRILLQAGVDPNSKKDGIYTPLCSAIRDNRGDIFALLLANGANPNVMA SEYPAWKCVTHFRTHLLPDLVDAGADLHQPPGIVEMAVQANNAEAVQWLLEHGGANPN DRNAQGHTALTTAIRDNRVDLMALLLAHGADPNQRGQDWPVCMAVRSPILLQQLLPAV TDLSAHKGVMEMAVLANQLESIKLLLAAGASVEYKNGGVFSPLTTALREHHEDIVRFL LDEAGADPNAPGEHLPIVKAVRRCNNGDFKMIELLLEKGADPNKTYREWNAIMQAIEN RDMKLLRLLVEKGGGVDLEKEDETGKTVMQMVDASGWSEATQFLLDNARR AOR_1_88194 MQTARLQLVRLTEDHVAGYHAIWSDPVATRWSAHSPCKTIDDSR QWMSSLLLEANPMGENYAVLLRRDVNFDAITNRLNDGQEGTDDDKPYDISAHGGFIGW VGTWRTDPLPEMGFIFHRSTWGLGFATEALRAFVELYWSRKPQFNVLEAYCDTENEAS VNVLRKCGFELVDVTRKDYVLPWMTPPERDTMQFRLIRADSLEE AOR_1_90194 MCYAEPVIRYAAISLADLHRSFANSVNPKGQSSSNTQHSALVHY ASALTHMKSLLCSKIQSMDTFLTACLLLSSIEIFQGRYQAADVHLRCAFHMSEIAKGT DRGAATQDSPNTSIISKYPMIGTLMRMRFQCDLFLDVASTTPGALIKPGQHFSIAPPD IFTSLGEAQDILFNQIDRFFRLINQIALAKIATTPGVTSCDVGDRRKYPGAMAGSEVF LREMQTEGVSGLDQWDRAYQAFRSRYAHTFSTPEQHAATLVEIYRDALRMLLQMDHSQ GALAHDPFERGFGLLLSRLQLLLDESPQPACTAEAPPVFTLEIGIVPILYFIATNCRN YGTRHAALAILAAAPRREGTWDGSIIYTIAQRSVALEEEGRLDGDQSARGIPPSSRLI GMEAGIDPVKQSAFLVLHQLEHTRREKIKW AOR_1_92194 MVTPDEQKIINSLQANWIWTPDWVDSSRVNTTGRIVHFSRELDL PESPTRAELHFSADTRYKLYVNGVRVAVGPARSSPWIWYYDTLDIAPYLSRGRNVIRF VVVRYFASSRGAMPFERTTLPGLTVVGSIEAGSNVVELDSHKGWQAQVDESIQFPMGL IDDGFLHIYERVAPTIHSPSVTPKAYGIKTLNGDLPPWRLRPRGIPMPDQTPIVVNVV RACESYISAEEWSSCLSGGGPLTLRGRSSHMLELQADTHSTAFLRWAFQGITNASQIT LKVTYSEGYELEPRSYPYFRKKTDRLDAKAGHLIGPYDAVTLDIPDTQTVAYEPFWFR TFRLLRLEIIVGEEPVRMLPLEATQVNYPLAVKASWTELGDEYSERIWDVSIRTMRNC MFDGYSDCPFYEQLQYSGDSRAVGLFHYLLSGDDRLMRQAITNFAASVTFEGLTQSRF PSHVPQLIAGFPLYWVLQVCDHHLYFGDTRFARSFLPRIDGVLDFFDVHIDTLGLVSG LPEDVWQYVDWVTTWGATEDYPDKGVPTSGRKSNRHTYFSMLYAYVLQQAARLVRDVG RPGYAEEYEARAAALQQAIRAHCYDGHFFTDSTADIADEMSYSQHCQVFAVLSGTARP EDHARLLMESFADSRFAKCSYMMRFYALRALSLAGDQVYDSFWPQLWDPWRNMLANNL STWEEDDVRQRSDCHAWSSVPIYEYCTELAGIRPIAPGSSKILFKPRLGLSDAIQAKV ALGKDNVATISWTMGGGGEKNVELRLEKPVEIVSQLPGGLEEEHGVTDCVKLVHKAG AOR_1_1834194 MSRTIFPMGDLGGITGEFHSRSFKPRQAAIDQLEQDALDSAVQE AEDFFA AOR_1_94194 MAPTLAEVFGSDGIDIAERINNFKWNTIYDRGGPNAEYLHDGLA PSYGTLNSESIDDLDEAYKVAIAGVMRSLAKLSSSQRTVNNIETVMMNNTYLEPAEDS IDRTENILKGNMGYFNVGANLDNAVVKELWRYA AOR_1_96194 MMADSSDSRQKVAIIGAGAAGMSCASTLAKHPQKYEVTLFDTAP HTGGQAISIPLDDSRHGASWLNEGVQGGSPIFRHTFNFFRRYGYKPVQVKLQVSFGKG PDNFWTNMFPSPLVDRFSDEIQKFGRVLKWIKRLMPFLGILPVKVMLKLFRFSTEFGN KMVLPLLALFLGTGNQTPNVPSALLERLFDDKNMRLWDYDPDTLLPNQPTMYTFPNLS DFYHDWARNLSSRGVHIRLKTAPELIRRDKHGVTLRPHPATDDANGDPDEADLPCEEF DEMVICCPADEAKSLLGQHATWREKYVLGGVKFFNDITITHSDSEYFNHIFEARYRGD LCAKGNNQSRRDQIAFSQKTTRTRKDGWEGFAPMYYIHSYESDPDKIEMGFDCTNYQH QFREAFGENAISPESDRHVYQTIFLNDKEKHLWTWDGIDKSKIIDKKWWHQFGHRWQH YLRVVPGMMFINGKNRTLYAGAWTMVNMHEIACISGIAAAYRLGADYEVFDDFAEDFF SKYLLVSHGVRYKRGKNCEPT AOR_1_98194 MVRIAGGSKGCHACRRRKKRCDEQRPSCGQCLSRNTSCPGYSRE RKFINVSYQGKGSSSRMASGCNSKVESTTDSREIGNRLDQGAIQGLCSSGLSSHALSL QRSAVAYYCSSYFHNNVIQQGLDVFGKSSYIDWMAFTPDLDVDEPSLKSALLALGAAR MGRLSQDSRLTRLSSESYSQTLRHLHRAIQNGTQGLRDETLASMMFLAIYEARSPSHW WLCSC AOR_1_1836194 MDVSASSTPKTMFRWVQKLYNALGFKKGYNFILFFIFGGAWMGF TLARLEYLDFSTFCKNSVPGECFYYKGTGYIGLYLHLGCILPAAFLAFFQFVPAIRYK VILFHRINGYTIILLVLLSNVGALMIARHTFGGTIPTQAAVGLLVILSTCAIGMAYYN VKRLQLEQHRAWMLRAFFYMGCIITTRIIMIISTVIISISPKYYMAQPCDKIDFIIGR EQTLESYPDCASFYNGSHPDQNVLVLGDFNGNADKIGTALNLNFGMAFWLALFLHAIV VEIYLQMTPKESHRLRKISYTRQLEAGYRDPGRAGLTVDKFGDSEPWVPEI AOR_1_1838194 MESGRLELKEIGSDRSPHIQAVPDTRGCLNDDTPKDVKEGFTVN DQRDMHRMGKKQEMRRNFRLISTIGFTTCIMGTWENVIASTSQGLRTGGRPCLFWSLI WACCGQLFIVLSLAEMSSMAPTAGGQYHWVSEFAPRKHQRFLSYVSGWLSALAWQSAV AFNTYLIGTMIQGVIFLNHETYAPPRWQGTLIVSAASIGMSLFNIFAAKHLPLAEGIF VTFHFFAFVPIIVTLLVLAPKAKAQDVFFGFKDYGAGWANPSLAVMIGQVSSMFTVMG SDSVSHMSEEIEDAGVTVPKSMILSFALNIPFGIGSVLTYLFIMPDVQDALDSPAGLP FIYVFSEATKNTTGASILVVAILLLFFMITISSTASASRQTFAFARDNGLPFSNWLGA VHPTLHIPVNSVILTCAFSIIMFLINIGSSVAMNALLSLATSPLMGTYMICIACVIVR RITKSPPLPPSRWSLGRFGMPINILALVYSSWAFFWSFWPVNREVTDETLNWAPVLFV GVMGSSGLLYWLVARKVYEGPVVKVEGRKFH AOR_1_1840194 MTFASYEHRSSMEVSVVNHVAIIVIASVVGLVWSLLMIVIRIFL RVRLIPPLGFDDAVAIFGTVVGVVQTSVTLHAVHNGIGQQEDLLVPDDADAGLKSIYV AWLLYPIAVCSSKISLALLIARLTVAKVELRASYVLTGIGIFWGVVSVIVSATQCSLP RPWNIGATHQCDSMFVRWTVVETGNMLIELLIPGLIIKVLWSLQARLKTKLSVLLAFS VQLLVAIPTIFRLVLLREMTTEDIRNDRTFAITNTVVLTEITMHFSLMAATFPCLRKF LQAFDTNLGATTHMTTAPDGTDKSGSKGSYALKSLKRPSQGAGGSFEQWPRHSRRPGR RYQPHTVTTISAGMEDSGPCIEGTDRGNGERTINNDIESGSVESDDSQLAIIRRTQHW EVTVESRGN AOR_1_106194 MSLNKYCGEDDSYEKEKAEQVEHVDTIDAEKGHLQDASELSGIE DTAASKAAWLISITVSIGGLLFGYDTGYISSVLVTIGTSLGHTLSSSEEELVTSLTSG GALIGAVGAGLTADRFGRRWPIWGACILFVLGTVLQTCSYSVPQFAVGRFVVGLGVGS AAMVVPLYIGEIAPAKYRGRMVAFNNMSVTFGQLLASAIGAGFAQVKGEAWRATVGIG AAPAVALAALLLLCPESPRQLVSHGDNASADAVLQRIYPGSTTEQREAKIRSIELSLQ EATHAMSEDSIWATIKRVFTTPSTGRAVLTACVVMAISQLGGFNTLMYYAAKLFAIVG FNNPTAVGITVSGTNFVFSVVNLLVVDRFGRRIILTTTVLGMGICMLITAVAFHYIPV NLQTLEVETNNVGWPGIVVLVTIICYVACYSSGVATIAWIGTELIPLEVRAVGTMMNT VTCWSTNIIISSTFLSMMKGITPSGAFGFYTGICFTGWVFVVFFFPECKGMPLEAIRE VFSDGFGVRYSKKWQKEHKNEARAATVTSFGH AOR_1_108194 MMLVVSLLLGLTGLYIIRWTLGERKTLKRLPPGPTSKPVIGNLL DLPSPGTPDWLHWLKHKELYGPISSVTIFGQTIVILNDRQTVIDLMEKRSGLHSSRPQ LPIAEITDWDDTLGLIPYNSRFRAYRKALHQEMGTPASILKYHDIIDMETHRLLFRIL ENPEDLVQHIRKEAGSIILRVGYGYVTEPHARDPLVDLVDKAMEDFSQLVLPGAWLVN FIPMLKYLPSWFPGNGWQETAKAYKKRVTAMRDVPYTFVQRQIKKQNHVPSYVSSLLE QGNVEPGSEEEIVAKWSAQSLYGGGAETSVSSLACFFQAMVLNPNVQKRAQEEIDRVV GTSRLPDMSDRENLPYINAVVKEVLRWHPVTPLGVTHAASEDDTYNGYFIPKGSILVS NIWAIAHDPELYHDATEFKPERFLGVNGRTPEYDPHLLSFGFGRRICPGQHLAAANLY LAIARSLAVFDITHLVKNGKEVPVTPEFTTGIISHPAPFELSIRVRSPEHEKLIRAVE KSYPWEKSHAEELQLKI AOR_1_110194 MTYVFDKVLSPPQTPLSTNIPGDTISEKEALPEHFDGHLSAGWK VVYRQDYTQHQKVFLCSNWAYLRLEDKLKPNQMFPYDDQDPDDTTGYTVDEYVKKLDR EFGLVVCGFNDPARICIIWSPGGSHKSVGHIQVKYNGNWESKISTEYWVITHGEDDFQ HLTNGNLVKMQAFKRG AOR_1_112194 MALFGTARTPAIPEWITNAVVHTSAVLGPINQNLVSTSISDTSI GAATERPISVPLTNLVVMCSAASECSNSEKGYNCK AOR_1_114194 MWSWSPTIAFLWLVELLRPSWHTSGRILKTVVAIRNGTLVGVHN ALYYQDFFLGIPYAQPPVDDLRYEHPQPLNESWEVPRQADSYGFWCHSAPLSLPGYTQ DGFHHEEDEDCLTLNIVRPSGATPSSRLPVLVYIYGGGLQEGGSADQRYNMSFLVRES VKMDTPTIGVSFNYRVSGFGFLSGRAFNDSGLANLGLYDQRMALRWIQENIAAFGGDP TRVTIQGESSGALSVGYHLLAYDGRDDGLFRAAITQSGAPLSSAALIPVDDQERMYQD VLNATGCAAATESIKCLRAAPVEALKAAFQQKFFFPVMDGKFIADFPSNALKQGKFVK VPLLIGSNLNEGTGYIASGMFGAVNTPTELRSVITGFGAGEHLPNDTLDEIVNGYLQL PIREVRADLGTVLISPSSKHGSMYGYSTFYIGDYLVNAPKRYSAQMWAEYGAPVYSYL FAVVPNGLSPQILGAAHFQEVAFAFKNFDGVGFTAPPLRSFDSQTESQLRDVSRRMAR CFPTFSVPVARHT AOR_1_116194 MSPAPRRSTFLVIHGAWHHPELYGTFCKAIENRGAEVICPRLPS CSGELPSTKTIEDDVALIRATAESLVQEGKKVFAVMHSYGGMVGTDALEGLGLQRLIY LAAFVPPSGKNLVDMLGGSMAPFIVGDEQGMLRVPEAASVFYQDLPDDEAAFWAGRLV PMPKSAFLNRITRAAYRGIPATYILCKDDRAIPASTQEMMISNVQSAGVSMDVVRITA SHSPFLSMPESTAELVVNLAAK AOR_1_1844194 MPLFVTGKGGTCECPTSGLAGAGLLLLDPHLYHNHAINPNKIIA PKQAATMIPAFAAGDKCADMEALTFIPEDESDDVGGGCVDVGKSVAMWLIWIMGALTV YAEIVCVRVTDLDVVAVTVAVVAPISGGMSVQRALVSAHAHSVRRLSSPIFFDSQRTP FACTFATRQASQSSSKPAPRSCSFSTVVSPSHVDPAREELNADRLISSLPLVRYLRSL SLSGKGVPRSSIYEESRPSRTIHPTASSVHMVIGPLSGPTKMPTDPYFFVKSDDACRL IGICYLGSNLCGHPGFVHGGLLFTLFDDAFARCASNVFSSRIGMTANLDISFRNPSIP DRVYIYRSKVIKREGRKAWIAGEIRVEENEGTLVAEAKALFVEPRDVTAMVPLYPK AOR_1_120194 MTPNDTKVPAGLGLESADTGFQSQTSLEAKADHPFALAQDAFGD ESNAEVKYKVLSWWQCGLLMIAESISLGVLSLPAAVAALGLVPAVILIIGLGLLATYT GYVLGQFKWKHPQISNMADAGEVLLGAFGRELLCAGQTLFLIFLMAGHLVTFTVALNS ISGHATCSMVFGVVGLVISLICSLPRTMKNISWLSILSFISILSGVFVTMISVGITKP GTGAAATTKTDLYHGFSAVSNIVFSYAGHIGYYSFMGELKNPRDFPKALYLLQAAEIG IYLLASLVIYRYAGADVASPALGSAPSVVSKIAYGLALPAILISGVVAGHVASKLIYM RISHGTDRMHKRDFLAIGSWIGVILTLWVLAWIIAEAIPGFNSILTLISALFASWFSY GLPGFCWLHMNASSYFASGKKIMLTLVNVSTIGMAFCICGLGVYVAGKDIHSNHSGAS FSCANNA AOR_1_122194 MAPQPRLRRALALLKSIRRPSPAVSIELDKHTCGLEHTYTTFDR IQGSVTVIVQSETPVENISITFEGTAKVSIGRETCTLSNTEATQTFLQLKQPVARGID GVPKVLQPGHPYKFPFTFVVPQYLPSQSCNHDISCLGLKHAHVQLPPTLDDPRLGHGR RTTLDYVSSGKCHISYRVRVNISDGFILGNKRPRRLVDCAKSVRVLPIAVHLPLPIAS SDTYTRMEQNLTQGFAQPVLGQLITEASHIPPISLQYPLDHSDMHRAVRLNLRFNPLM DAAPPQLRKVSAKLQASTFYSPLPWEDYPSWTNQGLANGWDRGAFTESLPMATFSMGS LKWVRHQTMGGEHRTQGLDGLSLLDHTTPCNDSRSYYTAFIVLPIVLPQPRMHIPTFH SCLISRTYSINVRLSYHTPKTALQSASTTIKIPLVLQYTPKNHHTSLDYEATSASDSA VMNVLPPPEYASTV AOR_1_124194 MQALAQDHVLIVDPPTVLSRLYAVQEAKVSIPCIQAVRIHIQQV STGISHTLLKAYTSNSTQQDDRTAALEERIRELEDAVSSSFHSFRGALQQISSHIGLH DVSETLVGFTARPNDDQVTRPDIQAESTPELSEVRYDGKDLVDKGILTLEDCRKLFDF YRANCSEIIAFFDNAMGSFEETRRCPLLLAAMCTIGARASAPHLYRQCLNETYSLIQQ TLLGPVPSLETVKGILLMAVWHKNYRLLGLMLSIAYQMQLPETALSLADKTSEHDEDS IDRARTWLSFCCVDLVHNTNKTYFVSEIDRYTRLGSDLTSTPYRRNVDYRIRAYLEIY GILNQAKKDVVISDNIQQQPITSDVCRQLQFYDKQLESWYRRNDEEMDPIYQTFSKPQ DRNRMEIPYNFARMHLNGSILQGLKPDSARNDPLRVQFIRAAVDAGKALLKCALRSID YQTNLNYSIDYSGSALGLAINFLSRATCVAYDCIDLEGVMRILAQARDMFEGAKLAGK ADEVNHILDQVAEIKRHMTAMKSSNNSNEVGLDDNADDGSDFFLNARLPLSEFSLDEP SIEDILMYSGE AOR_1_1846194 MDHFVLTGSEELPMNLHPPFAEFLSEENFEFRRIQRVLVPLVAT QRDILAISSPGLGVTTACIYGIINSICLDAASAKSRPSAILMFPTRELAIQVYDALIC LQPGHNLHIQPKVSQSPYPITERASRYYDVIVGTPGKVLGAVEKGGLSLDSIKYFMFD EVHDLLGETGAGFHCRTFCQRIPHGTGARIVTSSTRISPVMERFCLRGIEPRIHLDAM ARGTRAVVRAVELENHEPRSDTLARFIAFRPSPKNIVIFTDTIDDAQKVHDILVEKFP DRVVGLMNKESGTDNRGILLRRYQRGELDMIVTCRLFYAGIELTAEVTSIIFYKLVSL TWPHAIGARLHINRPNGEVAVIVDPNSADDLAHYEEFKRWLRCFSGDDTLIIGRNSHR PSLNPSLPPCYKLIIRGLAPEVTAEELKAALQQYSPVRAEAASLNASKSVPTAFIHFA TVGHALECVKQIDGARILGSQVQAGFALEKKQKGGRGGRGGRN AOR_1_126194 MLQITEKSDTLDTKACDLAPQHADIALGTLHGATEDAPYAEAEN RAVLRKIDWFLLPWLMGTYLIQFVDKTCISYAALWGMKEEAHLVGDQYSRLTTIFYLG YLVGEFPMNLLFQKMHITRSCGTLIFLWGAVLLCMAGADDFRSLMAVRFFLGALESGV SPCFVQLTSMFYKRNEQPLRTGIWFSMNGIAQVVGGIVAYGIGHIKSDIPVYKFPFLI FGSATVVWAVPFFLFAAPSPAAAKWLSSAEKDVAIKRVSENKTGLDNKEFKLYQAREA LIDPQVWILILFVIANNIPNGGISAFGPLIIEGFGYSKLGTTLLGMPFGGAQVLALLI TGFLAGRIKNCRIILMCGGLVVAILGLSLMYALPEENKLGRLLGYYLAIGFSATYVLS LGLIQANIAGRTKKTVVTAALFIAYCVGNLIGPQLFFEREEPHYRSGFIAMIICLALD FILLVILHRLYVLKNKKSSVEANIEGTWVLTDLTDMENPSFRYVV AOR_1_128194 MAINFINQPSSEGTTGLPKHVIQLVSEAIDSASSELRRLNLEIW NNPETRFKEEKACKLLAGWFESQGWTVKTGVYGISTAFEARFSVTPGERSVCYNAEYD ALPELGHACGHNLIATSTLASAVGASAAMKELIIPGTLIVMGTPAEETGGGKYIMANH GAWKDCSVVLMTHAMPDFSTARTVTKASWKFRAKFHGKAAHAAAAPWNGNNACDAIVM AYNGLGLLRQQIQKTESIQSVILEAGKAPNIIPDYAEGSFSLRAFDSKALERLRSRVI PIFDGAAASMGCTVELFWDALYEDVVTNMALASRYTHYMINDLGLTPADILPPSDLSA KVDQNGSSYVARRNTTLDPSQKALTLQISSDFGNCSYIQPGIQTLFSINATDMPHTPS FQKAAGTDFAHTESLRAGKANALIGLEVLLDEGFYKEVKSDWINDMKERGRLPE AOR_1_130194 MISHANRVCLRCRTHKRKCDKLLPVYSLCKRLNRVCCYTEPNGI TGPGDSPEDVSSISLPVPDLAQLTSDNIAHTIRTQVFTIIGDESRIRAVAAVYFRTIH PWFSILAEGPFYERLTYILTYTSPDLSLLTLCMVLLGAKPVDDEIPPRMRSLYILLKG FIATLEAIEINSLELLQCRLLLTIFEVGHGMYPAAYISMGANVRAAVALGANEVSKAQ LEETFKSPGRAGEARRIWRGIVIADRYVSLESNKGPIIPKALLSGAVGGNDDSEAFDP TLTPSKPLYHFNKLAQASLHLEKLYKKITMFHMICAVAQLPYPAEFGYSLKAPGLESC AAASYELMQDVIGELVYASENFAAQITPADLEVLPVFVVHSVYKAARLLLGVLRDSPK VDSRRAVSVLERVLQCMSTRWVTGQRYLDDLKRQKTAS AOR_1_132194 MTVIRNVAIAGASGDLGTPVLNALIESNKFNITVLTRHSSKAQF PSTVRVIPVDYNSIPELTTALNNQDAIISTLTTAAADVQHTLIDAAITAGVKRFIPSE FGADTGNPNASTLPVYQSKIAVNKALQAKAAENPSFTYTLIRHGPFLDWGLNAGFFFD WRSEAPTFYDGGDRPFSTTTLATIGQAVVGVLLHFDETKNRPVYIHDLVTSQRQIYTI VQKLAPQRKWNPVDVSTAELEVKAREEWAKGNTDLRSIVGLLCRAVFAEGYGGEFKNV DNELLGLGFKTEADLEEVVKTILGDSL AOR_1_134194 MKSTALLTGLGLLASLGLASPVTSEYTSVREAPFGYKPGSKESI ENLKDKVENIVWLILENRSFDNILGGVRRQGLDNPINNGPFCNYKNASDPSSGKYCTQ AKDYDSVFNDPDHSVTGNNLEFYGTYTPNNGAIASGKVVADQSGFLNAQLNDYPKLAP EEATRQVMGYYTEEEVPTLVDLVDEFTTFNSWFSCVPGPTNPNRLCALAGTAAGHGKN DDDFLNYGISSKSIFEAANEKGVSWLNYDGTNGEFEPDSLFFTYVNQTSRSNVVPVEN FFQDAYLGVLPKFSYINPSCCGTNTNSMHPTGNVSYGEVFVKQIYDAIRQGPQWDKTL LFITYDETGGFYDHVPPPLAVRPDNLTYTETAKNGQKYTLHFDRLGGRMPTWVISPYS KKGYIEQYGTDPVTGKPAPYSATSVLKTLGYLWDIEDFTPRVAHSPSFDHLIGTTLRE DAPIALKTPHTFSV AOR_1_136194 MGPVRPNSTHSPQPSRSSFYSHTNPSRTQTPGLSDRDEQEQSSL LRHNHPSIYGVEDPSLSSDSLRRYTLHDPGVTVFAAPPYQESETAEVYDATGMSDTSG IKLDTFQPQSISNRSGLRRYGTRKINLVQGSVLSVDYPVPSAIQNAIQPEYREAEEAF SEEFTHMRYTAATCDPDEFTLRNGYNLRPAIYNRHTELLIAITYYNEDKVLTARTLHG VMQNVRDIVNLKKSEFWNKGGPAWQKIVVCLVFDGIEPCDKNTLDVLATIGIYQDGVM KKDVDGRETVAHIFEYTTQLSVTANQQLVRPQGNDPSNLPPVQMIFCLKQKNSKKINS HRWLFNAFSRILNPEVCILIDAGTKPGKKSLLALWESFYNDKNLGGSCGEIHAMLGKG WRKVLNPLVASQNFEYKISNILDKPLESSFGYVSVLPGAFSAYRYRAIMGRPLEQYFH GDHTLSKRLGKKGIEGMNIFKKNMFLAEDRILCFELVAKAGYKWHLSYVKASKGETDV PEGSAEFIGQRRRWLNGSFAAGLYAMMHFGRIYRSGHSFVRMFFLHIQMVYNFCQLIM TWFSLASYWLTSSVIMDLVGTPATANKNKGWPFGNDASPIVNTLLKYGYIFCLMLQFI LALGNRPKGTRLPYTLSFLYFSIVQIYVLILSFYLVANAFTGGLMDFDFNHGASGFFS SFFASDSGGIVLIALISTYGIYVIASVLYGDPWHILTSSWAYFFGMTTSINILMVYAF CNWHDVSWGTKGSDKAEALPSAQTKKEDGSKQQFIEEVDKPQADIDSQFEATVKRALT PWVEPEEDESTSLDDSYKNFRTMLVLLWVFSNLLVCLLITGTGVSSMCLTNTSTTRTS WYFRVILWATAGLSFFRFLGSLYFLGKSGIMCCVSRR AOR_1_138194 MSLVQHVSSINGVDSVDVAETESILSIPIRREISYDVLPPPPAQ ELLETKAATPAYRVSTAKRLVQVIITLLACWCASGIVFGFAALKPVMISEGVYQNLCS ETVLPKEGNVCRAQDLRLNLFFMVSSITANVSALPVGTILDRYGSRWCGFIGCAVLAA GSLLMAFSFSGRVDGYIAANLMLALGGTFIFVPSFRIANAFPKYTGTIVALVTGASDA SAAVYLFYRLAYEKDPVTFSPKRFFLAYLAVPACIFIALLTIMPAHDYQTTQQLEVKI EEAEDVTQDVHDSDAEIESNSELWQVRTDRAKHRKDQLRKIDKVFGDKAERKQRQEWE EERHETSRVWGVLHGLPAHAQMKTPWFILILLMTVLQMLRMNYFIATVRSQYEFMLRS VRQAEMINDFFDVALPVGGVLFTPVIGLLLDNFSVPATLAMIVLLTTSTGALNSVPTV WTGYLTVILFVLLRPYYYSAMSDYATKVFGFGTFGRVYGTIICFSGLVNFAQYLLDYL THGPFHGNPIPINIFLATAGLIVGAALVAFVYIAEKRWREEKAEYDEERQRLIPEEEE EEA AOR_1_140194 MASLLTAVGLTQAPLSTPIPNYGPGFLIFHFAFAYGVLSSRTLK QYYGIDHQESPRQDLNKYGEAAVRDGKITRKQLEMLQRNEAAHANSVENYTLFVAGIT LATYAGVPRTTINAAGLTYTVARILYAINYITVERRQAARWRGLFWWVGNLSCLTLLW KAGQALSN AOR_1_140194 MPRFTPENDGTGLARQLTDPLVAQYVYSVFIALAWCNALELVVL CLNTFKRYGGAYFWSLFVASISIIPFGLGYLLKMFHITFTNGYLELAITDIGWVGMVT GQSLVLWSRLHLVVHNRKVLKGILYLIIIDGVLLHTAATTLEFMNNGLSYIHNVTVAF GIMERIQVVWFCVQELLISSVYIVETAKLLRLDRGGPSRTILMQLIIVNVAIMVLDLA VVAVQFAGYFTLQVTTKVLVYSIKLKLEYIILGRLVDVAHIRSQPATPRFRF AOR_1_142194 MSAEVVLPTAGYPSFRQDIFHSAISGTGEDGSAGDARKLDALHR ASDQIASRVRPQLDAPAKEVFDSVRSTTDILDGIAEERLRFMPENGSQLDRAFKHAVV LVGRLEQLARLMASFTSGTERAIRLMGGSFLLLLQCGSEQARLLASFFQVFHRFAVEL SHFRNNLEILMSDTVAQQELVAAYAELCGLICSASVHYFQKAEGASKAFDASDLQSRF ISQIQAFHSHRIAVKERLWSRLIMGDVSVDPSEKVQEIWEYLSIKDREASRALSARSP QPAEYTCEWFANRLSQIRSSESQLFTVTGDTGTGKTVLSEWIIQQLQTSTDPQDYDVV TFRVYDDISATTGPIGLAKGLLLEILGRQAKDGDLLRALEHSMSLHSSGASSKSVEDS LWRALNIAVKETGKMMVIVDGVDSLNGDPEVIPRLFHHLQTLVSQSPSIKVIALSRPL HGVPGEAYRIKIEPSQTAGDVRTVVRNEIESSEVCIGLSLEDREEIEGGIVKKANGCF PIAQLAVGQLSALRTSSDMISLVQKIPSSLQDMLKQTLNSIDLSDWSARSLLAWTIVS HRPLRVQEVRSLLEIDTKRTEVVPRVGNVEGDIRRMFGSFITIENEIISFRSPALRQF LINQAKSAASDSGKGKLPLTMKEAHSDLLTRLLAYVKLSVTDEVEVSTTSLSQSAQHR YFDQYHLLEYAARYWTLHFRSSQMNEKERDSFKVTNLFKRSFPDSVLLALLEGGCNQL QYLPYQVQELQAFSVQLRRQLLPDLSLSLLQSLINAAQTSQQLESFDAIDYSYEAWRK SSSLLGSRSIVTKFSAEIFIDAASQRDSKHEAFLHHKEEILEYLLQASKEELGFSNDM TIKYTQMLLDHYATLERKESVGRLSKELFEMSIARYGQHSQEMEKMTQHIYRQLERLS MSEVTAEILQTEHEFSKQSLAVTDQRMIDSTLQMIKIYEESEETSKADALFMDTWRKL LAVEDTSGTVTKQQAEFTMRYAEYLERHSRKGEAESVTSAAWFCLKSQLSRFDQLSST FELVLGHIRKMKWEALAQSAMETLWEYRKSQKQASHQLVQVTASLAQTVQQSSSTVSS SEASMSEEQIDLIHEILESAKSAGIQSSDTTLASMKAAKQVASSLMEREQYAEAAGVY RRALSHLCSEIDSKPTTIRLTENVDETVQLATSLAECYFKDLQIDKAALVYQNVLNAV LSSDETDTHMVRSTANRVITFYRTIYRFVKAITTYEALYKNISSRLGKTQKQSIEILY EWGDLAKRCHHKQEAEQAYQEIYNNLRHNGQLDYRAIAAARGLVAIYEETERWTKAKG VYETLWWTISSGDRNHMLNSELVEEVYQHYKTLLETKLDADIVEIHDLAASYRKMCQR WYGTYSRQSYEATLSLAKISRGDERYHGEAVELYETALQQYETFSERPEVTTMDMKHC LADLYARQSRTTHKAATLYREEYTSSVQEHGYASRDHSLPQLRKLLKLLHRQNNKVTR QELQETLESSTVAAFEEKIDSQHLYTAAVELAKMYVDFGLKEKGVRRSRELRHRLIKE AMETKGSHRATSFVVAFEMTLRNNCNYASIIADLMAEIQLYSAFHHARKQRGFIHTFI AGIRLMNFQSLNGWTENANATDAELFQLFTERFAGIETGKTVLREFYDICISQASQGS LVRRLTRVIVDNVYQKLTTGGFRPGYSHAAILHEFVKDSGGFQDQDSTRDGVKLSEHL LVQGSRKCSDRGLSGKMLVLSKTILHEIISSYHEKGVNLTDFETTDLNQLAILLGGQR NFEDLEFLLNELWSSRVVQKTWSSDTIILVGRRLVEARFSNGRTTQATQLCGGLCYNV KRVWGPFDRSALELTNLLSALYTAEGDHVRAMGVHESVLRQLLEDSTDIRLSEAVEIA SKQTALLQRCYQRNGGWSKGSGRYENMFDRLNEKFAKEKKWTARNPQHWTVKEVDKLG VWEAPHDYGFLMAGKGTTHQNQLRKASEPDIETFENKHQIGRAQGVS AOR_1_144194 MHFPLTLAVLPLAAQAVNIISANDDGWAEKNIRTLYDTLTADGH SVVISAPAENKSGTGSSDADPTVLTEACEFDSCPEGSPAVGNNATQPRWNYVNSYPVT SIKYGIQNLSTTYFNGKPDLAVTGPNVGANLGVANAISGTVGAACYAAHDAGIPAIAF SGSSGSATAWDDPTPEYATIYAQLATKVVDKVVAAGTPYLPEDVYLNVNFPKVDDCAS VDDYKFVLSRIYTAVPLFSGDDVETCSNDKRLPTETKVTGSGCYVSISVGNASNKRDA NAAVQGEVLNKLGDFLTCLS AOR_1_146194 MLSKLLLLTAALSQLVQGGLIRRESIDHDKVVGFPETVPSGAIG DVYKAYQPLLKVVNGCVPFPAVDAQGNTNGGLDISGSNDGDCSKSDGQVYVRGGKSGD KYALMYSWYFPKDQAAPGMGHRHDWEGVIVWISDPAKTSADNILAVCPSGHGKWDCST DGYTLQETHPLIKYYSVWPVNHQCGLTNEAGGSQPLIAYESLPEPAKNALETVDFVKA NVPFKEENWAENLGKATF AOR_1_148194 MHHIQSASLLTALLSATKVAAHGHVSNIVINGVYYEGFDINSFP YMGESAPTVAAWTTPNTGNGPLAPDDYSSPDIICHQNATAGKGYVEVNAGDRISLQWT PWPESHHGPVVDYLARCEPNCASVDKTSLEFFKIDGVGIVDGSSVPGVWGDDQLIQNN NTWLVEIPKSIAPGYYVLRHELIALHSAGTEGGAQNYPSCFNLKVNGEGTDKPAGVVG TELYTPTGDGIIFNIYQTVSEYPVPGPTLYSGAATGVTQAASTVTSTGTALTVGAAAT TPASGSGASSSAAPSSSAAATPSSSAAPSSSAAARR AOR_1_150194 MKVPFVLLASLCANSALTTALPADQVSADKAILLLGDGTTKAVE KKDLASHLNGISLEPPTDNLPRSFKSEGFTGLQKRGDAQFIIPLPDQEFLGWDIAMSP ITHANGAPATVAIAAGQSIANSISVGASFTATVEKWLQIGASVNYQDTVTNTLTGTAT MTIPINKWGAIVSNPLTHRRRGYVFSGAPGKAQYEYFQADSFDRKSVSYQQAKLDWVQ GVITTCLGDGYPLKMCNGQGELK AOR_1_152194 MKASLSLIVAALAAGVVADLHYTGVCIDTNGGVDTYNRAATEKA CAAYKKRNTGNKQWDQCPDCTVKNEKDILYYCDSAAQHIGGDELNYYCKQNGAGDSVA W AOR_1_154194 MTDKNYLVQDGHPAILLLRIAAIVSAFTTLVVFAWAIKAHDRVY TDIDGASLCAMILATASYAVIWSLVPLTVRLLVRRTLHLGVYIAFDFIAYGAVFATTV VTMILLIPNAESGYAYRKSAHIDTALPKVEFFGFATALLTSVLHFVLFVWACWACDRR RKQPNKTSI AOR_1_1850194 MNGARTGGGNEKAVVADEASEPQGKASLNDTSSIPDGGIKAWMQ VGGTFFVFFNTWGGLNTFGAYQTYYETQQLFSSSSSSISWIGSAQSSLLLVLGLITGP LYDAGYFYHVLFSGSFMILFGQIMLSLSKEWYQVLLSQGFCIGIGTGLVLIPGVAVLS TYFSTKLALANGVAAAGSGLGGILYPIIFHRLFDQIGFAWTCRAIGLVILVTIAIPIT VFQLRVKPSCKRKLLDLMAFREPAYTFFVLGGTLEFISLNIPFYYIQYFAISEGITTN GLGFYLLSILTTGSVLGRILPNIFANLIGPFNIIFPCTVISGAMMFALVNLSSLAGVV IVAFLYGFFTEAFVSLPPTCFVKLSPDRALIGTRMGMGYAVMTIGNLVGTPAAGAILQ NRGFNAVWIFGGGVSIAGGIAMMISRNFQGGWKLLIRQ AOR_1_158194 MDKKINDPEAPALTSQHLESSISIEKQDAAETARDWSRDVIKKD TNSKLKNPLAGLTREELYCDVEEFAREKDLEHIVEQLKRGALVAQDPKIFEELDELSE GEKELLRREKTHRWNQPFMMYFMTILCAGSAIVQGMDQTAVNGAQEYYFEEFNLTNPW MQGLVNGAPYLCSAVIGCWTTAPLNRWFGRRGCIFISCFISFASSFWMAAAHTWWNLL LGRFLLGFAVGAKSTTTPVYGAECAPANIRGALVMMWQMWTAFGIMLGYIASVAFMKV THPTIPGFNWRLMLGSTAIPPFFVCIQVYMCPESPRWYMIRDRYQDAYNALCKLRPSE FQAARDLYYIHSALKVEEKLRQGKHLWREMFTVPRNRRAAQSSFFVMFMQQFCGVNAI MYYSSSMFRDAGFDLQTALVVSLGCGITNWIFALPAVYTIDTFGRRNLLLTTFPLMCL FLLFTGFSFYIPDQAPRTACVATGIYLYMIVYSPGEGPVPFTYSAEAFPLYIRDIGMS FATATTWGFNFIVSLTWLPLRDAFSVQGAFGWYAAWNFFGWVFCYFCLPETKALSLEE LDQVFSVPTRKHINHYRGMMPWYVKKYILRRDVPPQKQLYDYE AOR_1_160194 MAPSPDHHGSAVLSDMNRDAEYDLSDKDTEKQQEEKARPEYQDT FGDEEYAEVKYKVLSWWQCGFLMVAETVSLGILSLPAVVAALGLAPAIILLLGLGLLA TYTGYTIGQFRWRYPHIQSMADAGEVLLGKFGREFLGTGQLLLVIFIMASHILTFTVA MNSITDHGTCSIVFGVVGLVISYVLCLPRTSAKVSYLSIASFISVFSAVMIVMIALGI QRPWHGGVQATVDTSLYKAFLAVCNIVFSFSGHVAFFGFMSELKDPKDYPKSLFLLQG IDVILYIVTAVVIYYYAGQDVTSPALGSASPVVRKVAYGIALPTIIIGGVVNGHVACK YVYVRLFRGSDRMHKKDLVATGSWVLLMLGLWIVAWVIAEAIPVFNNLLSLVASLFAS WFTYGLSATFWLYLNKDRLFATPMKIFLTILNVLIFAIAGCICGLGLYVSGKALHDDP SSASFSCANNA AOR_1_162194 MAASPDNRVPACAIDIGRNEELTSSIPVRGVARNTREILDVLEE WTGCITTKEATPRPQVMPEVRKKGRRRILIQKYMFQISILTANGFGIFATWWFPHHWY LLMPFIGAGVVMNIAMIIALLVNLLYRISDHRRCIMIICDGKARGYGMEKTTGEYLLE DILIQKDYRVRITKAYLAWDQQFMDVEVQRGTYHDVPYFCIIKQHNQGKRDSLIAVRS FLYNYNIRHTHPETIFTSTFFVHMASFIKESGIDYIDNLIGMDADTGYVAMLPWTGKT IFGILGSYTSQQNTRLPNAYDVFTSL AOR_1_164194 MACFKLHGNGYSQGQISEPASIESNGLPTPPPESSSGKCQPEGD NVNKETFHTHAYDDPIIAVIGVGYIGLELVSAFARVYNNIIAFDINSQRIEEIRADLA KKNVKATSNPSDIAVATHFLICVPTTLHQACVDTTPLQGAISLLSEYARPGSTVVIES SVAVGMTRQLLKDVMRSCGLMAGMSPERVDPGRLSPAFEDIPKVISGLDDIAPGSLQS IEKLYGKVFHDLVPVSCPEVAEMTKLYENCQRMVCIAFANEMADACQSIGISAMEVST AAATKPFGYQSYFPGLGVGGNCIPVNPYYLLSTSELPLLQAATEEMTKRPAKLGNRVM KKFYCNQASGAMSRVMQPRVLVVGVGFKRGQSFLSNSPSLALIRHLKDEWEASVEYAD PLVSQEALPSIPKLDEARRWNTKSLTEEFDIIIVAVDQPGLDLTVLQRLDVVLVENFT SSVAAGCSQ AOR_1_166194 MDTDRASLPNNTSTINHLHSKDIQASFLGTVTLKMVTGTFTPTN TGKTRVLAVSRSTDGGRTFQDWGEVSRGEGDVDNVFLLEVAPGTVLGAFRNHDIGPHG PTYFRITVCRSTDAGRSWHFLSQAAEKGAPNGIWEPFMRLGRQGEVQLTYSLEFAHNN QSTMMVQSFDGGATWTQPRCLHGDCDALRDGMNGIAPTWDNGREALVMIFETTSFGTF NLEALISYDDGQTWGWRHRVYVPPQGHNAGAPQIASFADGSLAVIFMTDEDHNEVSWT QNASIKVVFAGPPQNGQIQWSKPTVICPHTSHWPGIAPVDGNTLFATFECEGRPKAKA ITLH AOR_1_168194 MRGFPLRLSPCNSLTRNPTTTQRLANRTNPRIPRFSTISPLKMR LPYAPSEPPASDPDAADIYARIAARRNPRPLIPLDLSLLHSPPVADGWNSFLGAIRTR TIIDQGLLELAVSRVAVLTGAVYEWNAHAPLALKGGIQAQELQAVRTLPSTAVGEAAE LSKAKEALAQSALTSRQKAIVQYADEMTQTVKVQDSTFAQLQTEGFSDREIVELTTGI AGYNCVSRVLVALDVGENNAREMKSVDELVANL AOR_1_170194 MRWLPLFALVAADIWSAVTAKPLLPTEDPWYDQPANIDTYAPGQ TIRTRELSSQLSPFLPLPVDVKVESVHQYLFRTTDSLGNPIAAVNTLIKPRNADPSKL LAYALYYDSSNPACEPSYTLQPDSGSGLAGILSANNTLSTDTAFLAASLNQGWWVVTT DYEGVNAHFSAGVISGQATLDGVRGALIEAPKLGLSKDARYAMWGYSGGSIAVNYAAE LQPTYAPELNFAGAAAGGNIANLSSTLQRVTGGPFAGLAFGSIDGLSKAYPNVSEWLD RSLVPEKRADFKKVASSCLIGEATQGFLKDVYSYFVNGKESFNEDVPQSVMRLAGQMG VHGTPKIPMYIYKPVHDEISPGADTDELVDTYCSDGATVEYIRDLVGGHGSLWITGSA NAMGWIADRLDGKEVSHPGSCTKKDVLLSDLNPHMLPFFGEELWSTLKWTLGGFLGPR AOR_1_172194 MGKATKSYHCQRCDRSFARLEHLQRHDRSHTKEKPYVCDKCPKS FTRKDLLARHERLSHSSPTSGVGQDATTPSPSSHQMFNGLNMLASAVTNHSMDSTSQG NHSLLSGGPAPFSAQATPTAESSGFSEPFSGFGPSTAYDGEDFTSFLDSIPLPSHPYS PSYQPLPLFPPFHFDTPPVYDQPQKENPAESAETPSSSILPRHGTQIPSLQTEESPTT YKARQPPSSLSMTTQCRERIGVLLSDYANVIPNPYVPSRHALSRCLTGYLTGYHEHYP ILHVPTLDLDSKSLQLILSMASLGARYCREPETSTALYQVAKAVTLEHIRREFQWVEP NSGINPNRQSAPSPAAREQDLLETLQALLMLVSVSSWHEHDPPYDESLYMRSYMETLL RQGGLNDLPAQDGSWESWVRSEETKRTRLIVFCYFNIQTIVFDLPPMVLTEECTLDLP CTEVEWQATTAGQWMEERSQSRGEPKLQDALASLFAHNPDIKGRLESFSSLGGYVLIH AIIQNIWLIQKSRRLPGFNGNSLSPSEVTSLELALEHWCQCWERNREASIDPFNPHGP LSFTSAALLRLAYIRLNADFSSARRLQTWNPEEIARSLKENLSVERNDRLTRAALHCA HALSTPIKLGINYVAQTQVHSWSLQYALCSLECAVLLAKWLEEVTVASPNPSLTEQES KLLEFVIEMVMETRHGVSREWLLANNTRLSAIVTRLWARLFTADYIWELVNLMGRALN SYADLLERMH AOR_1_174194 MESGNVSDNTYEETRVLRRYNVSRSCIRCHQRKVRCDKSHPCTT CTRSNVTCRYPGSEKTKRRAPNVSLNEVAARLARLERTVSAKVGGDEDVTVTSSALSK GLGPVSTDRTAVGQQSREHHGSREGFLVTSGPSTRYINESFLSHVLEKEKELQTAIES PYSANGSSTAFSPLRAEGLLLNPQHTVSDVAELYPSRWEATQLWQVYLNNVNPLMRVV HIPTLLPKVYNAINSPGDVPADLSALLFAIYFAATTSLLSINEGDFLGGQKHAAVQKY QRGLEVSLYNSSFLDSPTITSLQAMAIYVRCRRFHSSGRSNWVLNGLTIYAAQSIGLH RDGSNFNLPILECELRRRLWWHIITADKRVAEDHGLVGGFETISNTKLPLNVDDSDLS PQLKTPPAAKETWTEMTMFLITAEASKVFARIHRVSLQSQPGKDVHDESRKLVADFDT RLSRTYLKYCDQNVPVQKATFLLGRLLLCKAKALVHIQSLNGLSAKQSAKHINEETLS YACVGLECGSEMLTDEVLKSYSWLSSSYTPYHLLTYALWHLCVCPETPGVTRAWNAVQ KCFELTERDSGWRECDPHWAVLCGLRQKALTIQRSRLGAKSGEELSGLYSMDQAVLPD TGSEIDFGDALMPWDLDPLAFFDWTSFAPTF AOR_1_1854194 MSILRSGLRISHPPLGKSTRIRFIPRRTVASIASKPLRQFPKSD FVKLDSRTKIEEEEVPFYNPQNFYPVYIGEVIASRYQVVSKLGYGISSTVWLCCDLQS NGFVTLKVCTRGQRPEHEISVSKHLENSNNHPGKSLTRLVLDSFEITGPYGKHVCLTY QPLGMSFTEFRNSLPDNKFSKDLTQTSIQLVLIALAFLHDNHVVHTDISSNNILQGNM DSEVLCQIEEDELKRPTPRKVLNDRYIYHSRPMPVCASLPVVSDLGEARIGKQKHRGD IMPGIYRAPEVILDMDWDCKVDIWSTGAMVWDLVQDSHLFFAKRNGLLDDEQHLAEMV SLMGPPPPEFLRRSQKCRQFWDEQGNWKGSMPVPEQSLEIRERQFSGGDKELFLNFLR RIFRWLPEERPTAEELAYDDFLMQPIISDA AOR_1_1856194 MGLQDGVFISDPPRTLENYLKRFKLSMDYSVSAYAKNKRRISPQ ASVRGPRGLQELAPIAQTFRARYCDGSSQTEWTQADIEKVVSKSEWINNKNRLSESTQ GLSLWWNGRKQQASSCSREGDTHRQLNTIELLERLQNALQGKTLELSFPYLAFHRIYW LLLRTINERYRQNL AOR_1_178194 MLSELLTSSYLQYKEDTNAVASWLASTAKRCGYAVDQLTQTRKE KPSGRLKGKARKQAKSQKGPATPTYTIAIKDFVSLAEWIAHDHRPPIHVPSSFVTAPE RAIHTRRRHGDYLAHKSKSAEDSHAYFIGILEHVRETLRPRFPREYLGAGDDDSKKIK INRFEGLEVQEPSKDFLQMRDLPTAVPTSSLGSEANYQAEQMKDLDEAFTAFSLLLDD YHTFRSLIHRTWAGHRKGQYDLVAASLMTNTALDLARRLEDDAKLLFDQFGGPKQMLT AMYLALCSEKGEDQAFREHPGDDMNFRMWGVSSGTFWPVYQLLQSFVPMVDAQHVPQY KPCFYGQYDPTSDRTKMTAREKFTEDKVILTEHLGEFALLCMRVPKMVAEDELTRGLR IAFRTHEIPLAVTFATQVYLDIHHVLREDITRGYADLETTARQVSNSIELNFERHKSL RVETWPPSNDLLFKNIQQTIDYWVRYDPLIKAKEKLNRPLSKPFKLWRSYPLFCGLIT YHIKIKFQEASVAFVNA AOR_1_180194 MANAIEYATPISFTKTWHTEPYPFISPSRPELSAEGKNVVVLGG SAGIGNAISVAFAQAGPKSITIVGRRLEKLQEAAEKVTAAVSDSATQVLVESANLQDR AQVDRAYQSVVDKVGKIDILVINAVLLPAPGGLTNYKPEELVRTIEGNLLIVMNAFQA FLPIAGPEPVVLHTSTCLANIAPTPGLAGYSISKATCLKAIDYFAMENPHVHVVSIQP GWVATASNGYQEEAPDKAELPGQFYVWLASPEAKFLKGKFVWANWDAQELLERASEIQ STKLLNWVVEGIPM AOR_1_182194 MAVKSGKQKEVAIPRLRIRKAHRKSRQGCRNCKLRRVKCDEVQP SCQRCTAYGVLCNYGSNAPDLQMAREMEDPAVISADTTTTLELTREDKDYLISFEKSG TLVTIATVRHKEVLQLACLNPYLMHVVLAISAMHNRHRGMPTPRGPSSFESYHISQCA ALLSRKLSQPLRAEDRDTLWMTSTLLGIISTSSISSLTPEEAWPLKLSDTSDLEWLRM AEGKMAVWRLADPLRPNSLFRDMAEEYTQMHGSSAAGASATLLYNSVIIPGH AOR_1_184194 MDPFQCANWGQKENGIACLRPGTKACKGCHLVMPDWRPAWEVED RVPHFIDSTDEEHTPVSMHGGSKYLWGNIPALDLLQLKDNEGEDYSQDLSLLLAASGD LRNLVKTIASLPEWYCGRIHVDINDRDETVVARNLIFLLVAFHLPPDIASEAIIHLWY SAFLPEPLLQSIRDALYVHVKRELETFCKRLHTLNLNVSLFKKDAMDLPDTLATLRDK ETFYDRIELANIADLGYLGPPKTLALFGPLLKSKKENPKATLIMLFLNATREMSTPKD QVAYPTNKYNAEFLNQMNAMDLFTDNDTLFERLVENARFRDMGRPLGLEMKTENSIVA KWPMRLGGNPTQHEFEMAFWSGHTGCESIVVYMKCNLILMI AOR_1_186194 MGRGQYQPLITEELELPECAIYNSQPTAPPRTLIDILDETVKAH PQHPVIDNGQTRLTYGELQAEIASRAATMRAAGIGKGDHVGIRMTSGTVDLYVSILAV LTAGAAYVSVDVDDPDERANTVWTEAGVCAVLTDGP AOR_1_188194 MRLQLPEVAIATLLLGEVGAVQLPLLQSQVPITSDKELVSSSAF QSHVKAENLLKRAKELSKIADLGEEEYNHPTRVIGSKGHLGTIDYIYSTLAKLGDYYT ITNQSFPAVTGNVFESRLVLGDAVPESAAPMGLTPPTKNKQPVHAPLVAVSNFGCDAS DFPSDVSGAIALISRGTCPFGTKSELAGKAGAVAAVVYNNEKGSLSGTLGNPTPDHVA TWGLSDEDAAPILEKLEKGEEVDGIAYMDAIVETIHTTNIIAQTTEGDPENCVMLGGH SDSVAEGPGINDDGSGSLTLLELATLLTQYRVNNCVRFAWWAGEEEGLLGSDYYVSVL TPEENLKIRLFMDYDMLGSPNFAYQVYNATNSVNPVGSEELRDLYVDFYNDHDLNYTY IPFDGRSDYDAFIRHGIPGGGIATGAEGIKTPEEQEMFGGVAGAWYDPCYHQICDVVG NVNLTAWELNTKLVAHSVATYARSFEGFPKRTKDSITAFATENNKYHGPRLVL AOR_1_190194 MAHPFQDIPKGQLEALEGLIDHFRADTDPSKIHLMVGVYKTDQG KAYVLPSVKQAKEKMFNDPNWHHEYRASHLGTQNFRDASNRLFFGPSSRPLQEGRIVS MQTLGASGGCHTGAVLLRDLYGPWKRTGKPEIFIPRDSWLNHAFTFKSAGITPHFLPY FNAETASLDFPALSTAIRSLPAQSVVVLQTNAQNPTGCDPSPTQWRELASIFSERGHL AFFDAAYPGLASGDIDTDLECVRLFAEQEIPMVFVATYGKCFGLYCERVGILSIITPD QEVRDRMETQMRLLVRAESGAMPDFGSTIIETILSDERLEQQWRDEVRDMAKDLQHRR RTLRTELERLGTPGDWRHITDQNGFFSSVLREKWVVNVPRTDLV AOR_1_192194 MTFTLYGYDGNTRSRVVRIVAAAEGIELNHFEVIPRRGVNKAEY MARFPRSQGKIPGLEGPNIKLTETLSIAMYLASIHGKAKLLGDGSPEQTAEIISWASW ANQEFLPTAAQWFRPLIPSPTDQAPYNKDAVEAGKKKTLDSLEYLEKHLEGRQYLVTD HITLADIMLVVYVSRLFEWVLGQQWRDEHPAIMQYFENVVKHDAVRQVIPREDLIFIE EETPIEDPRLRSV AOR_1_194194 MHISLRLPRLPSLLESYTCRAFLIFLIPYALLVYFARLTSWRDP TSLFFRENEAYEPSYSNLRAAEGLTLIEEANNATEAPHVKASPNPTMCVGFASVAREG VSYFQSAVGSVLAGLDPHPVYSERWVHELVDKVLLYDENDIDIDHIRSLETAEARTLA LEKGLLDYTYLLKACTAIGTPYTVMLEDDIIALDGWYHRTKEAISAVERQTAEKKASK WLYLRLFYTENFLGWNSEEWPIYLFYSLLSASAVLLTTLIVRRYRPSSKPYLPRETII VLTFVCTPLLIILFFAVGRATMLPISEGVHEMPKFGCCSQGFVFPHSRIKDLVSWYES KGIGYVDMLTEDYANQNGELRWALTPSVLQHVGSKSSKTNSPVPQKGIRTIPEKLWNF AFEKNDVNILREEHERQLRWGGS AOR_1_196194 MSGAYIQARMDHAISSQPRKVLKAPDRPIAIRENYITDSRTLLT LRPQGDAQSVAAYKVQDGSGVTQFTASGRKYNGRSCREFQDSSGLPLFELHRKFSFRD AWCITLPGSPTAESIATGAPRLAPFGNLVFTFTNVAVTDVKGSVDDKKVTLEVERHGR VLESFDIVDGDRKVAEVRESVQHNPKLALTPSTRRNYRPVLDIIVTPGVDLSLVTAIA IIVSDSVFGSE AOR_1_198194 MPSVIKEQDFGPVPISEETLAEYYLNVLNEIEEQYHKLPEVAIL DFKFKTSSGEVYFEFPFALSATERTTKEQRKDTFATIIHIMSSQTEWAGLNLHMRLDF KIEPGQ AOR_1_200194 MARNTRFILVLTLFILFAVAVGDDVEGCPPGLPYSPFIPYFRYP ADSAQACWGFAICTFMEADEARKQQYGATALVMGLVPLTLRDIAWPERRTVLVSAPLP FIAATAVRALGLEPTLAADVEEERLRLWLSWMRTGWLTNIKAKSNIMKALFVTVTFVL LLVSYAALALVEIYSKRSALGCPYPIFCLTWCLVGIVPGAVHSLFASWREDKSGRAGR ETAVQGADEAWPVQLTWAIYYTAGTLVFTSIMAITVLELFVWVVVMFAVTGASKLLAL YICLLLRTPASS AOR_1_202194 MPSLQESKLAFIGGGNMASAIIGGLVNKGVQKQNIWVSEPWDVN REKMAALGVQTTTANVEAAKDADLVIIAVKPQVTKGVCEELGAAWSQRTTLPVVVSIA AGITLDSLVQWLRTSDGRNAHVVRVMPNTPALVGEGASGLYASKDVTSEEKELVNAVL GSVSKATEWVDKEELLDVVTGLSGSGPAYFFAMVEHLVASATALGLSEEQATRLAAQT CLGAGKMLVESSDSPSQLRKNVTSPNGTTYAALQTFESLGFKETVDKAVNSATSRAAE LGNTLGKQ AOR_1_1858194 MKPFLLLSSLLASSALGSNLSTKVYGKPAGLAYSVTGGGNTTPA VPANVAQLLDWLTDSKPRVIVLDKEYNFIGNEGYCENCACCVFNTDTCGDGGQDAIET DFGWCGHRTPVDCTYPNTTTIDVASNKAIVGLGAKGVIRGSGLRVVNSVSNVIVQNIR ITGINPGYVWGGDAIYMDGCDLIWIDYVKISLVGRQMISMGYESSGRVTISDTEFDGR TDHSSTCDGHHYWTILGLGENDKVPGFRERLAHFQQLLVQQYRTCFSVTPEPAILLEG NIFPDVARPTEPGNGYGMFITNSSTVTTCDSAMKRPCQENLLTGSGDLSPYTTNNVTS LQTIADADEQNINIMPVSQVRAYVLANAGIGKVGFNSTVTPTVSPSSSVMTPPATVAP YQVHKDNSHGHQYLRFHHRRP AOR_1_204194 MPITLLDLPSELLDRIVELVLCYKGLAPERPLPPWLNYVPWPRQ EGDCLSAPHGVCTVKYWSAQEKYHDAWISNSLSLLLVNHQIAEITKRRLDESIMPSIF NLDVILSDERELHPRWTFLSNPCHHVDDLTVTIRVAGTCPTTNWRRYHFLPDGDSPPR ILWTFFYLLERFLEVGPLAEHRVPSPGPRMDDMSFTINRLTLDFVSPVNEESLAPADM GFWDWINGGADEDHTKSSTALCSGVFGLLMRPTWLADLISEYVGYLLGMSISMAPYGK LLYEYVGSIRICVDGKLMKEYRIDYRLKELNDAGLGEDYKAYWDCKRWKERVYCMRQQ AGLPVVL AOR_1_206194 MSTPRATDRSISTVATRKDSSSAETCAGNERNEKGGTWTAPDEA PDGGLIAWFMVLGAWCVLFCSFGWINSIGEFQAYYEQNLLRQYSSGKISWIPSLQIFF MFAMGPIAGQIYDRLGPQYLLLSGSLLHVFGLMMTSISKRYYQILLSQGVCSAIGVAA IFQAAVSCIPSWFSKKRGAVYGIVSSGSSIGGVIFPIMISKLIRILGFPWTMRICALM ILFLLIVANLTVRSRLPPNPRKLSKESLMRPFHESKTMLLIAGFFTLTFGIFVPMNYF VTAAMADGMGRNLAEYLVAILNAGSLFGRLGAGVFADKMGSYNIFVVVTYIAGILVLA LWIPASNNAGTIVFAVLFGFATGAYVALAPGLVVKLSPFAEVGYRTGLLFLFASISGL TTNPIAGAILQHSGGSYTGMKIFSGVLLIVGSTLVLGVRLRQTGLKPNAIF AOR_1_208194 MVSYLSSLMTVDNTFTSTSPLPSSIAPERVIEILHNHVTMIKMN PLVIDLQRCEPHEHAPEAERGLVWYEITDKASYLPFDLLSGQVKYKACFKDLPMGLQT VIYAPLGLRTQNKWTLEDQDEFQLREDVSMECNMFMAPFVKGTIKASHGPLVDRLIIE AKSPERDLESTVGA AOR_1_210194 MSFHQSCDLIRIEVRGDHTVLLAAAKNGDGDETVPAEIVLDEQI GNGDGWFVRGGENFTETAHEIELEFREDGPWLTAFLTEVDGEDRERQGINLADHIGND CGRLVWA AOR_1_212194 MCPARGACGSSISDESNRCTILPELNIVDLSTRMFLRDEQHQTL GYDTSALPGGKKTYSPLLSSPIPWRDGIGIQLPPLQILDELVTQFFDSVDWFMMVFHE GSFRQRYASLITSAYVAGPDNNFLWLLMLVLALGAHYSSLREPLDEHHRNLSVLSETL LTQIEYRFLQIIGCPNVEAVQVCVLLGSFHLFNGRPTVGMGVLGSGIKIAQVIGLHRE AMWHNLSEVARESRRRSWWALEVFDKLYRIMGPFLGRRLQSNRLETVRAIHGQLVAWE RELPDSLRLEKYGESGSSGDAPLIQLAALALQLTYDNLRIILHRSVAFGDIGHEVGLA GRVVETESTAFSRQQLLESALRTSELYRYSHLLQASRRTHAVMHIGICLFTSGVVLCA LSLMEPLSITSQKAKAGIMQIIRLQKESVSNQHVLSLQSVRILEDLVNVVMQAEQRTI LGGPIPASISQPKGRAKRVADNEYTGISQSQTNMNENSGGANTTTQASLTPLQEATDD VNERPLLGPITPFVRAIDGFKPHDPTIKIIASGGVTSSLVLPGSANIVGGEAYMVKNL PLSGAAGEPVVEELLLEYGLPENNRQRYLKMACGENPKRVYGNTRLGLTWLLRKQLEE ARDLHERQSAWCRVAFDVEETSFAKTHHVKTFIRNHGKRPDSFELETLVALIRGELNV NVHCYEPEDFERMLSVLHEFGVHPQAFHHALEAWQVPELLKNLEENITIATFAENALF KAEAYGANLRGPKILDDHGVKVALKSDHTGEDNYAKYLMYQAAVSHSFGLSEDKSLQA VTSIPAQSVQQDHRIGYVRPGYDADLVIWDDHPLQVGATPLEVFIDGRAVLGNSDALE LLIHNSSSVESPDAPAPRPSILEHEKEEICSKAHNSRSKILFSGIKKALVDTPTSLEE TSDIVLLLEDGKAVCLNKRSNCFSTNQDEQNITELSLNEGYITPGLVAFGNNLGIQDI PSEESTGDGSSGKSADPLDEQKSIHFAKYGIHLHGRAFTRARIGGVTKAITAPRSNGG IIQGVSVGIRTSETAMILDNGIWKDDVALHLTVGQSAKGDDTQTVASGVERVRQILQA GQESGQGSTSIYVQAANGSIPVVVRAYNEDDISQLVLIKRDFPSVKLVIYGGHGAVSV AKHLAEANIPVILTGNRGAPTNWEKKDVLTGPPLTESPAKILTDAGVLLGLGVSSDSK VHGLAQEARWAGKFAGLSDREAIALVSTNIEAILGIGSKRTANGGEDSQRYRGDFVVW EGDPLRGEGSVVVSVQDGEKIADCWPDTSNVILRILVLNINF AOR_1_214194 MAPPRKALIAITSAHAPLYPDGKETGLFITEALHPFNVFKKAGF EVDLVSETGTYQPDWLSQQKDWLPDEDRAVWEDHSSEFRSKLDKLLKPSDIKADNYGL FFASAGHASLIDYPDAKGLQSIAAKVYTDGGIVSAVCHGGAIFPGIIDPSTGKSVISG RKVTGFTTRGEEEEGVLDTIKSWKRPTIEASAADSGATYVSPAGPWDAFTITDGPIVT GANPASAHVTAEAAVKAFDAL AOR_1_216194 MSAPIPHVSNWINGAYTTATTQVITVLNPATETPIATIDSTPQE TVTTIVADSVQTFHKGPWSKTEPSDRFTVLSTAARLLRTRLPEFIELETRQTGRPIRE MQTQLFRVPEWLEYFASLARVHEGRVTPFKGPVVNTLTRLPLGVVAQITPYNHPLLIA TKKIAAALAAGNVVIVKPKAGLPDGVLQIMSGHGFETGKFLCESPLLAKIDLTGGLGT YRAVAPVAARNMVPVTAELGGKAPVCLFPSLEVERAVQAALFAGFIASGQTCVTGSRL LVHRDIYGAFRELLEKRVRGLRVGDPMDGRTQIGTVISKAAVERCAAFVDRAVQEGGN VLCGGRSTTGPDGKGFFFEPTIIEVRADSHIACNEVFGPVIALIECESEEEIVSIANS TPFALGASVWTNDFNQAHRVAEKIDAGIVWINGHHLNDPSSPWGGFKESGIGKENGLE AYESYTKVKSTLINYGVAPVWFDDEATNARYG AOR_1_218194 MSMTLLDTIKTLDTPINFEDRAVQLPVWTNDECATFISTDALRE HFGVPEWIGLRSEWIALDYIPASMIERAVQVVIL AOR_1_220194 MASENQPPTVISTKQTPEEEHNIAVAKEYMSIAYSPTENKGAES VRHLCTADSWFWSPSTFPGCSTPMDYAESHARVMASVNDLHIVRYDQAWAKDGHVLLR YTAEGSHSGEPYQGIERSDPPKKARWSAAAIFEIEDGKVKSFTKDWDQKVMQIQLGWA PVGESKDPRWDPEMLAQPELARDKK AOR_1_1860194 MLTSTPFDSFSLNHASQSKRRVAYFYDSDVGNYAYVSGHPMKPH RMRMAHSLILNYGLYKKMEIYRAKPATKYEMTRFHSDEYIDFLFKVTPDTISKHLTEQ VKYNFNDDNPVWDGLSEFCSISAGGSMEAAARLNNRKCDIAINWAGGLHHAKKSEANG FCYVNDIVLGILELLRFHPRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHQYGDFFPG TGDIGDIGVSEGKNHSVNVPLRPGMDDVSYSRVFQRVIKGVMDWYRPDAVVLQCGGDS LSGDRLGSFNLSMRGHANCVNYVKSFNLPTMLVGGGGYTMRNVARTWAFETGVVVGEE VGPDLPYDDFYGYYAPDYILDVKPSNMPNQNTDTYLTQVCTKVLDNIKKTMTFSPSVQ MTDVPRYPLIPALDEEFEDIADDEDEDENKDVRISPRQVDMLIEHEGELSDDEEMSQI SNLLNAIKKRNQINHGEVLSTVSTTEAEAAASKTSDDPGADGSVNSQDTPMTDAEVIF DDAQAVSP AOR_1_224194 MSITFDVYRGSKEGKIVADKTTRPLRPTDVYIETTHSGLCGTDE HFLHSGQVLGHEGVGVVRQVGEAVTHVQAGDRVGFGYTHEVCGICDHCISGWDQYCVN KKEYGTHNHDIGTFSRGVVWNAGNVFKIPDGYDSANAAPLMCAGATVWTCLTEYGVRP TDRVGIMGIGGLGHLAIKLAAAMGCHVVVLSSSERKREEAMQFGASEYHVFSAGQDMK DFKPLKHLLLCGSANVDYPSLLPLMDVHGTIYPLTVDFKPSAVPLLFMNVKGIRIQGS LVASRKSLKSLLQFAADKKIEPTIMKFPLNEAGIEDAMQTLRDGKMRYRGVLVRE AOR_1_226194 MAPAKLGSKANSRKDGQPRTQMSWNNNNINTVGDNPPSVSSPSA ADEADTISSRQLWQVIMRTQQVDLDLNLAEISAAWPTQPRPTVFALEQQLAGFRRHLR TGNTLVLRRGDINAVMSAQAAASTTANTHAAATASAHTHVFSINSSASGNTTSNASAH AHSHTGIIYDVQGMAGPSNASGHHAMNSGNAGASRVRRASPRKGSRLQPTSQGSGAQQ DS AOR_1_228194 MPITWTAEADAKLLLGFVDQCKDANFKLDYNKLAAYVGPVVNHV IRLRKLIAQEGGGSAASTPVTSPAKSKSTPKRKGAGVAKTPTKIAKRDIKREREMGDE DEDMMPVQVVIEVKKEAEEEVEE AOR_1_230194 MHAIAGLTGLLAGVSLSYAAPTHENITSDAYFYGQSPAVYPSPE GTGSGAWASAYEKAKAFVANLTPEEKVNLTAGTDADNGCSGNIPAIPRLNFPGLCVSD AGNGLRSTDHVNAWSSGIHTGASWNKDLAQKRGLHMGSEYHKKGVNVLLGPVVGPLGR IAEGGRNWEGFSVDPYHSGLLVYETIRGIQAAGVGTSTKHYIANEQETNRNPESTDGI DVAAVSSNIDDKTMHELYLWPFQDVVRAGSVSIMCSYQRINNSYGCQNSKTLNGLLKT ELGFQGYVMTDWGAQHGGIASSNAGLDMVMPSSTLWNSNLTDAIANGTMEASRLDDMA TRIIASWYQMNQDAGFPSPGVGMPADVYAPHQAIIGKSSDSRKVLLQSAIEGHVLVKN KNNTLPLKSPEMISVFGYDAKGPDSLGFALEWLSYSPAIQPNHTLIVGGGSGGNSPAY ISAPLDALQQQVIEDGSSILWNISAQDPEVDPNTDACLVFINSYATEGYDRAGLVDEG SDELVTNVASKCSNTIVTIHNAGIRLVNNWIDHENVTAVIFAHLPGQDSGRALVELLY GRSNPSGKLPYTVAKSADDYGALLHPKLPEGQYGLFPQDDFSEGVYIDYRAFDKQGIE PQFEFGFGLSYTTFDYSGLNIGQVSDNSTSRYPPSAAIQEGGNPHLWDVILRVSVDIT NSGPVAGDEVAQLYVGIPNGPVRQLRGFEKVNIPVGQTVTVEFALGRRDLSTWDVVAQ EWLLQSGTYQVYVGRSSRDLPLQGEFTI AOR_1_232194 MEKTIEKPTLAHDEYADDVGPADMTVEVKYDNGQLRDLLHSPYV FGAALLASFGGFSFGYDQGVISLILVMPQFREQFPETSPENPKYGFHTGFMTGMLELG AFIGCLFFPAVADRISRKWGLTVATVFFVIGAIIQTASMNYGTLVAGRFIGGVGVDTL AMGAPLYISEIAPPAWRGSLLVLESISIVIGAIVAYWITYGTRAIPGEWSFRLPFLLQ MFPALIVGCAIHFFPFSPRWLAMRGREEDSLFALAKLRRRPVHDEQVQLEWKGILSEV RFQRQMLEKEYPDHQSRPLLVGLKQWVSLVRPKYFRRTLVALAIPFFQQFSGINAFVY YAPTFFEALGQSSETSLILSGMVNICQLVGGIPILMYLDRVGRRTMAIIGGVIMAIPH LIMAGLMNRYSNDWPSHKAIGWFCVALIYAYALAYAVSYGPLAWVLPAEVFPNSKRAK GVGAATAMNWLANFIIGVVVPEMLIKLGWGTFLFFGLFCVAAAIFSFLFVPETSGKSL EQIAVVFGDNLDGDERGLQEKIARETFENATARVLHVEKAGSA AOR_1_234194 MGNDPVYVSEGFTPGMNGQRSLVHRVYTYPWFQIVLISFICFCC PGMYNALTGIGGSGQVDGTVAANANVALLSAMAGTALFVVGPIFDRIGPRACLLIGGW TYPLYSGSLLCFNRTANGAFVIAAGAILGIGASFLWVAQGAIMTTYVPESQKGRAIAA FWIIFNLGGGIGSLASFGMNYHSTSGTVSDGTYIALLIIMAIGWLMGALICPPKSVRV STLQTTPETEKNWLHVAKLTVKTVCDWRVISILPLFFCANVFYSYQQNTVNGMTFNIR SRSLNGALYWIAQMFGGLIMGFLLDVPGLNRQWRARLNWLFLFVTGMAIWGGGYAFQL WYDRRVAEGKKQDVDFTDSSISVGPMFLYIFYGMYDAFWQSFCYWLMGAQSNSPAVAA ILVGAYKTFQSVGGAMAWRLDAMGKSPMLQFAVDWGLCMGSLVIAIPAVLAVTLTNTD QETLDEVDIKHRQDDTTADGPKP AOR_1_236194 MPGILNYLQQLVNIAVAFIRQLQERHVGWLTFNRKTGEFTREQQ PLLKKLKILLLFNPITEWIDRTHLLRLWTHERSLKAGIMEGQLQSHSEIKSFIEFYKI DMSQFEPSDPEAYTTFEDFFIRKHAPGARPIYDADDPTKAVIVSDSRVVVYPTVEATR RLWIKGNEFTIANLIRDADRAKRWEDGAVASFRLSPQDYHRYHSPVEGTVKWFKAISG DYYQVDPVALQSSVNILTENARCCVCIESKEFGDVLFVAIGATDVGTVEIHEHIREGH HVKKGDELGFFQFGGSSIIVAFEKGRIQLDEDLEKLSHQRIMVDVEVGMSMGRSTKSS L AOR_1_238194 MVKGDVKDKHGDTIHEGDYVFTRIRGGSHQGEVERIVMDEQEAE EEGVKNPPKVVFHDQRGKKVAHNPETLEKMEHE AOR_1_240194 MLLQAWLLLVLYASFTYSKVSPVNERCVTAVYTACGYIPFATPP EVPRGFYGSRCQNPWTVTSIYAAADVFCDPSERAAGFAQLQYSCQQFGHVNLIPRDAL AANLTEDAINQMRTVDYGEISPSEPVDYPVLLSPSFYHRTFRTIDTWEFEVWTHSAYG LAGYLFWALILSIGIFHRLIQYLLLSKRIRVDVSRSALSRILYTPFATVYHWIQTYII TSSPLPSGGRYLLWWTFPTRVEAIIVVLFWVLSSVLSSVSYRIFSDNIYWPQISAQLI RYVADRTGILSFANIPLIWLFAGRNNVFIWATGWSFATFNLFHRHVAWIATIQAVVHT LLYLVLFIQNGNAWKKLQKPYLLWGTIGTVAMILVSPFAIEWFRRRTYETFLVLHILF SIAALVGCFYHTIIFEDHEYWIWLWPAVGFWAADRLLRVIRLVYYNLHVRTNVGKSIQ YTQSSATYDEASDVIRLEVIPGSSRLQPTPGQYYYLYQPFRFTGWENHPFTLGSWSYE TGLPTSQIKTPSLAKDDNTVDVTQVPLLSDSSSGSRTPQEDVPSLDEPQRLRLVFWIR PFDGWTRHLRQQCVQSHGRTLDTTILLEGPYGEQFPLWEYESILLIAGGTGIAAAVPY IQDHLARCSSTDEAESPTRIQNIYLVWTSRQEAFVREVATRELSSALARDDFRASFYV TSATAARDSDAYSTLREELSGKAIEVGHGRPDLQALVLGHAHEAQLSDCSAAVLMCGP PAMADEVRTAVYRTMRQGYQGVRYIEESFSW AOR_1_242194 MKFFAVAALFATAAMAAPGSAPVPGAAAAAGNGNAPVINQTQQK AFTDACSAKGNHPVCCDQIDTSKTTTVNEGLLGGLLGEGLGGVLNNLVGGEPGACSGL VSALNKQCQTSIGCCQQNAKGDNYQSGLLNLNLQAPCLLSNGL AOR_1_244194 MFPSGPVPSFGAPVATQFTPSSSVSEDRHRIATCIPADASGGDD SPSASACRSSSGRTAGYVPGQVQTRQRAHGVNAMMGAVEEERPTQGFFGSSSAASFMH QIKMAVDRRVTSPHRRTSDTILGASHAPGLMSTRSEKPTSVQNYVLPPRKTADSLMGV YWSFVFPLYPLVDGIRLRAEYEKVWTGEPLESDESMLMCTFNVIFALACQLADFIPPE EREASADAFFSRAKDLLQFNLWDTGSAALIQCLLLMAQYLQSTDSAHQCWIVTGLAIR NAQSLGLHLPQTIARLPSPQEQQLARKIWHGCVLMDRVISMTFGRPAMISKASCESVP LPATVDEEYIPTASGVEATQPADQPSVMAFYAKSLELYEIMNDILLSLYKPVPEENPE DMYDLYFNKENNQGERTIFELDRALSKWSQSLPSHLRGYSPASSTDVVFHHQSVVLRA RFLHVRMLLFRPILSRYCAARDITVPDSLVSLGDSLPQRIAWQCSAICVKVAQEVIEL IYENIPADGSSGPLPAWWYNILYVYTAATVLIAGYLCPAVLDEVTEAAITRSWNCALE ILRKYQSYSTSARRCVAALEILYERVVSERPPSHEPSASHQQQSAASNAIDDMSLGEG MNAIFMEGFDWPDFQDMSWLNSVPSNLH AOR_1_246194 MSHITSNPDEMVGKAEKSSWEASLPLEGVYPAVPTQQAEDMSSH PDNPRNWPIWKKNVQILMVAFHSMVATFMAAGIIPAFDSMAEEYGVTVPEASYLTSIQ ILVLGLTPFLWKPITCIYGRYHVSLVSVLGSLACNIGGARCTTYGAQMATRVLTAILI SPPIGIGSGVITELCEPEERAQKLGWWTLMTTLGTPAGPFIMGFVAKHIGFEWIYWIY VMINFAQFLAYLLLGEETMYVAGDAGDGKGGPTSKFIPRRIDPRPLKPREFIESIFLY RYPRILIPTIAQCVVFCYANTAIIVEMPIAFGQKFHFDAQQIGLQYIAVIIGSLIGEQ VSGPMSDWFMKALSQRRGYFRPADRLWLSYIGFATVIAGLLTWGFQLDNATSWNVTPC VGAAIASFGNQILTTILISFAVDCYKDQSTDIGVFVNFVRHVYGFIGPFYFPPMFKTL NLGGAAGVMCAIIGVCALGPIVAMHIVASRRSQ AOR_1_248194 MRLRTSLGVASACASVASAALKVTEDNSTITLANDRLTSTFAKD KGRVSELFLDGQDLLGPISGNTGVGPYLDCYCIPSGFYTAGSTDPRLEVVQGTDSTGT KYAGVILNDTYTPTGQQFQQYWFLRDGETGLHTFSRLAYYNETTPFLRNLQEFRTLFR PNTELWTHLTSSEAQTAPLPSKEAIANEVVVQDATWRFNNTPNDAYYTQFSEYFTKYT FSNLWRDNSVHGLYADGTNSNGTTYGAWLVMNTKDTYYGGPLHSDLTVDGIVYNYLVS NHHGEGTPNITNGFDRTFGPQYYLFNGGKGSESSLEDLRSEAETLADPGWNADFYDSI AKHVIGYAPSSKRGSVQGQVKLPKGSTRPIAILTVDGQYFQDNSVEASSHQYWAEMGQ DGTFQLDHVKEGKYRLTVFADGIFGDFVHDGVEVQARKVTKVQETWEQESAGVEVWRL GTPDKSSGEFLHGDAPDPTHPLHPPQHFIYWGAYDWQQDFPNGVNYTIGSSDPAVDFN TVHWSVYGPTPENPDVEYDTTHDWTINFSLDKKQLQQRKTATLTIQLAGAKTAAGNTD VYNATEPYANLALESYINEQKEPLTLLVGFNQSSSCIVRSAVSCYQVRSRMEFPADWL NVGNNVLTLHLPRNATDYETAVLPGTVYVQYDALRLELA AOR_1_250194 MPAEEPLWRKILEIILGQWFLIGIGIVILIASQAPAPTKDQDTI ETVISYLCVTIIFFITGCTLSTRALIENYSRWKVHLFVQIQCFLFTSASVYAVVSLCA TNPDFMDEALLIGLLLMGCVPTTISSNVVMTRNAHGNDALTMYCLPKPWYTDFLGEEQ GNYAAIYARIFKQIGLSVFIPMFIGQILQHLFPKPLRKIFTTWKLNKLSSICLLLVVW QAYDAAFSSNVFNSVKASNIIFVVFISIAMFIVWLTVSVSVSFLWLSREDTVAVAYCV PAKTPAMGVPLANLIFQGLDVSQKAKIQLPMVIFQGLQIALGSLLVPVFRGWLVSKVY SVDGGRENGGECGSLDVEDSSEREREERKGDC AOR_1_1862194 MPIEVDVVHLDTYVKAKVIEKIPCIPLKVLLDSISELNPTMWVS SVSLRDSHHMNRSFPLSAHLSFELQLQRSQSYIHAVIAIKIPPNIPDTAQKKDPLRSS TRRGKKSTELTQNVAMTSTISQDSAEASSGEGLRIELSSADNHTRSRENV AOR_1_254194 MSKTFSRAEVAKHNTEDSVWCIIDHRVYDLTDFLDAHPGGSVVL NQVAGQDATAEFYNLHRQEVLEKYRDELCIGTVEGETPEIAPREPGSLSEVPYAEPLW LRPDFKNPYYKESHRRLQRAVREFTDRYIYPEAQEKEKDGTYISQELIDRMAEAGILA MRLGPGKHLHGRKLLGGAVDGKEFDYLHDLIVSQELSRANARGFSDGNMAGMAISLTA VQQWLRNVPLRDQITDEVLSGRKKMCLAITEAFAGSDVAGLRTTATKTPDGKHYIING TKKWITNGMFADYFVVGCRTEKGFSVILVPRGEGVETKLIKTSYSTAAGTAYIQFENV KVPVENLLGEEHKGFIVIMSNFNHERFMMACGTIRMAMTVVEECMKWCNQRIVFGKKL IEQPVMRQKLARMISLCESNQAWLESIAYQMCNMTYKEQATHLGGPIGLLKSHATRAA QEIAEQATNIFGGRGLTQTGMGKVIEMFHRTYKFDAILGGTEEILADLGVRQAMKKFP KAML AOR_1_256194 MTTSKEWQKMLNGELYWAWDEDLQANRERCKLACQRFNEAGQVS RRRRVELWRDIIGDTRPLPPPLIDTKADEDQFKDTDPFVDPPISIDHGLNFKVGKGTF LNFNLLVLDTCLVTIGERVLFGPNVSIYGATHPMDPAVRRGLEGPEAGKEVHVEDDVW IGGSVIILAGVRIGRGSTVGAGSVVTRDVPPFHFAAGNPARVIKKIETSMDSEQQSMQ AOR_1_258194 MCVPSLTVSPSTFPCTATLVNVSRPPYDTFESHARYSSPRDFTR ALYIPRHDSTTSHLPLYDVAEGYQDSIRDTVFGSYLHSAKLSYMDKPRGDDMNARVEY LVDASKKEEVMEIQVQRRSLNQSDDIKANA AOR_1_260194 MPRAGPACLTCREKCRKCDHARPNCQRCISKGLVCGGYPEQFRF CGIASRGKWKGARIPVSTQRSRNAADRANMEQDIHQQQTQGTMNAAPTPPEAYPPRSD KLNADTQEMSNEVAEILRLPETETLLTHYDEFICPHQISEIGNESDNPYRSYILPLAR KQIGLLYAVLGFSASHLGQLTGNQTMHEATAVEYRMKAIRALSEEIRRSQASTLLEDE QDAVLAIIQILLLHDIAESGISTHGIHITGAMSVCKRLLISEGLSGHRRRAVFFLGNL AWLDIIRAFAGPERLCFSQDIRELVACASESTFEMVNGCPREVFLIIGGILEKSKEHT LGWLTWDEYQIAMLVAKHKLYSWDSKEKMYPSTDPRWLAVAESFRFACILRILRLLDD LRPAKSPEIQECVARILDATATIPSDCPLIELLILPLFMAGADSLARHSQYYILSRFK EIERRSEIRNPVPRDLLEKVWAARAAQPPDDDKNVSWTSFTHCPGIARQHDYLII AOR_1_262194 MAGNLDVLRAENVLDLAQKALQGDGSVEYSLKTPYEAVALIGHA CMLAVNFRLVGLGEEHTIEGSSENPTLPPGWNANDTVSFRYAHSQSSMQYLLKVSRIG NNALIFALALGDDKTTSFDIPVKDFISASALPASSSSQSNATLSEVFISTPRLNDLIG LFKINVIQKLAPGIYKEGYEATSQSVREQPQERPPRRDPLRDDQIPQPARPYPFDDPL ATRPRRPVPPGDFAPPGFEDEYEIQRPPRGIPLGMGGRNPLNIGDRDLYPPGLGPHDP LRGGIGPGLGGGGGGGMHPTFDDPLFGGPQGGGYDPQAPPGARYDPVGPGFGAPFGRG RGPSGGRGAGGGGGFGGFGFGGDII AOR_1_264194 MDNNMEIDTARSPEPHRLSPTSDPGSIPTLDGWIENLMSCKQLA EEDVRRLCDRAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFRIGGPNPDTNYLF MGDYVDRGYYSVETVTLLVCLKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNA NVWKYFTDLFDYLPLTALIENQIFCLHGGLSPSIDTLDNIRSLDRIQEVPHEGPMCDL LWSDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLVMEGYNWSQDRNV VTIFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL AOR_1_266194 MGSVGKFSDSQEYQKIFHWAETQKDGEIPSFATRRNDPYEYQAG FGNTFISEAVPGTIPHGQNSPRNVRFGLYAEQVTATAFVAPRHANKKAWLYRARPAVA HQGFKNLPDNPDTEATFLPLNPRVHVSPTQLAWHPFDIPSNEPVDFVAGLKTVAGSGD PTLREGLATHVYTANTSMTQKAFVNSDGEMLIVPQQGALDIQTEFGPLFVQPGEIVVI PRGIRFRVELPDGPSRGYILEVWGSCYELPELGPLGANGLANARDFLTPIAKYEIRQE AWEVVYKLGGKFFASTQNHSPFDVVAWHGNYVPYKYDMTKFVNVGSISVDHIDPSIFC VLTAKSRDPTAPLADFLIFSPRWDVASNTYRPPYYHRNAASELMGLIYGDYGGRSDAF KPGSVSFECGMVPHGVAYEEFKAASEAPPPVMRISEASIAIMFESSRAFTITDYAWNS EKRHEHEPAMWDNLVDNFSKRKDEVEALLAKKAAGLRV AOR_1_268194 MPWAGLATLFLAVAGVHGSPLDTSNFQECKSRQNLSSTALQDLV QAIPNADNIRESLGYYTNGSHLAGQGLQQAQWTQELWDAIGLSNASVKSYSANLTLPN EHRVALLDTAREGDPVVYEAPLIEDNPPKAGDGQLPFAPAFFRCAGVGNITAQYIFAN YGTQEDYDDLVRAGINITGKIALVKSTYESAVLKKYKLPYHRARQEGVATQMGLAGML IYPDPQMDDEIVVENGYQPFPNGPARPPSLIERGSVGNCNPGQIPAMPISYADAIPML RALNGHGPLAADFNDRWHGGGLTSHGVTYNVGPSPENVVLNVLNNPHNYAGHVHNVIG VIPGCAFPDEVIILGNHRDAWGPGAGDGNSGSAALNEVARTLATALKEGWQPLRTIIF ASWEGEEMGQIGSRSWVDENQSQLNSSVAAYLNVVVAGAGTKFHAQGSPLLAQAMHNA TSQVQEPSGGQTVLEAWGSELGLGSGGDAMVFQEYAYSVADFGFSRGPTDPVFPYHSL FDTYSWMEQYGDPGFAHHVATTKIWLQLATSLADEVILPYRIQGYAPVLQNGLESLSS TANLSAHLDLTSLKETIDIFARASAAFDAYSDSLADQVQQQPVDDSLLAQVQSVNHKY TNFERFLGDPDLPGGDGYYHLIISPAPYYFQTDPFPCLTKAIVAGNWTLAAAYRDKIV NQLNKAVAFLE AOR_1_270194 MKLSAALPHILSAARDERIKRPEHVISMIKGENKMAPSSNSQWD DTERANEETPLLKDLKDHQSHILPRRRLLVVFPALALIHFTSFLDQTALSTSLPAIAA GLNTGSSISWVSASFLTTSTSIQLINGRLSDIFGRKTCLLGALTIMVLGNLLSGWSQT PAQLYATRAFSGLGAGAINALVQIAISDITTLEQRGYYFGILGVAVALGNGLGPVVGG VLTEKTSWRWAFWFVCPLAAAAATYFGLVFPPSDMADNVCTKLQRVDWFGVFTSMMAI VLILIPVSARSGALRSLPDRRVALGQTAAFAQYAVHSLRLFKYNYSTNILLAVNILIG WVFWGNLFYIPLYFQNVRGWSPGTAGSLILPMVIAHGITSGLTGLIISWTGRYKVVIS IGVGMWMIAAAAKSFYTQQTPLWILELGGIFEGIGVGCSFQPVMVGLLAGSDKSDRAV VTGFRNFIRDMGGSVGVTVSGAILNNVLHNDLKGRFSEELISKITSSAFVLYDFNLTD EDQKLISNAYMHGLRTVFTVFAVLMLLFFVLSLCIKDYGLAGRTRIESEEQAEGETRE RYTDE AOR_1_272194 MANCGTTRDGGFWHPITQGTLRPLGSMAVGNFKELNGQRAALLI GAKSTSSSNPPVKAPTSYTQLWADKGSGAKLNGSFWRPIAAPGYIAMGDVVQSGYTTP STSKVWCLRSDLVADGQYADESV AOR_1_274194 MLRTLTRAYHGALGTSIRSAAPALSPLANIPYLQAPRLDSAHDQ SHVGSVQRHLQDAGVLKISLNFDDNDSHYLTNLIHGLHKWHGHGLPITHSAHRGWYWD IRPSQTVFQCPDHQARSETMNEFPWHTDCSYEASPPRFFALQVLHPDQCGGGTLSVLK VDNLLKLLSESTRVALQKKDYLITVPPEFRKTDNKDESIIGSLLSPDPESGSLALRFR EDIFTPLTGEAGLALEELKSVLLGPKAQAEVVNLTAEMMPRGSIIIMDNRRWLHGRNQ VKDPRRHLKRVRWDARQFGLD AOR_1_276194 MTTISRPNSDALTENVSNKVAIITGAARGIGFATANLLARHGAR VVLVDLHEDALKNAVEAIGLQATYKTCDVSDWNQQIALFQWVIDTIGPIELVVCNAAI NPEISLLQTQDPSRQAQLNSQARYNYLADETKEGKLERPSTQLFDVNINSVVFGLKLA IHHMKQRGAGGRIVVTGSAGSYVPVPSQPLYTASKHAVLGLVRSTALIEEVIRANIAI SWIAPWLTLTSMVEGLEATTQPHTLKSSPEDVAWAIAAAVASPASWANAKGFWVQGTT ITEVEGAYGEVGQRLIAPENRF AOR_1_278194 MPLQFDPEFASAAGPLLQLLSQQPKPAIHDITARRNLLAAITAN TPKTPIPDELEHLVYYAPTQSGDFQVPIHHYRKKRGSGGSDEAMKELAPAVVHTHGGG FISLSVADLESMLVGYVLGSGVQILSIDYRLAPEHPYPGPMEDSWAGLQWVYAHAEEL CIDTHRIAVMGESAGAGLAAGLALMARDKGLSPPLAKQILVYPMLDDRGVENQAGELA FWTPEDNITGWTAYLGKDVGTNRVEAYAAPARVDSVEGLPPLYMDCGQLDIFAKEDTE YVLKFVKAGIPVEFHLYPGLPHGFEGSAPLGSFTGRAMENRFRAIREL AOR_1_280194 MMTIPSFDELPSVPGHPQTKCSWGLFDKDGQKDLYGTLNFITPD IVKSAATEIRHGISVSLNWPLGAIKSKGHFRKHLSHNVVKLEDPATGKGFGFDDEVDF NTQGSSQWDSLCHFQHLPSGLSYNGFKPTVETLQANTDLPTLDHWHSRGGLTGRGVLI DFKSYAAAKGIQYSPFSAFRISAADIEAVAVHQGTTFQKGDILIIRFGVTEALGQMNG DEQSAAMAPLRCCGIEGTEDMARWLWNRQFAAVASDNIAVEAMPPIIDGVERPPTEFV LHQWCLSLLGMPLGELWDLKALADVCKKVGRYSFLLTSAPLNVPGAVGSPPNALAIL AOR_1_282194 MSPIQTPRTPESHHGGDLVIRLNQQGHLNTTSESQARYYSSSSW VVDVDGPNGSRPSAGPGIGPCAKSRETSAPPTLQGGLEFPDGQAHLFVQLAEVDRLIH WYSNYCHLWYPIVDIPEVIISLENLRHNRSSPVGSLALIAAICFAAACSFNASGDLKS LSPISASSAWKDLAVQLLSSNVYPRQPNLNTVRAAFLLALPSVADGRTHPDPGPVCVL LRAAQSLGLHRDPSSFNLPPSEVDFRRVLWWCIHSLDVCYSVAHALPPLIHATATDVQ TMEQNGMSERKLIGTIIRVNSLISAIFQTVYGIRQPTGKDIQDLDEKATKICTDEIST RTSLEMTAAEKFITMSQRMCCYKMLFILHQPYLRSTQWPQTSRQKALAACQNYINDYL LGIADPELAPYRWILGHFDVTHACAIVLQDMIQHPGSVESVGMRSLVETCFFTFSSDS HPDWAKLEALGSKAWAANGWPCPFQQDLSSLGADASLSDWDPLFASFIWENMLL AOR_1_284194 MKFQSLCMSLFCAATLAAALPVEPSAESAPQPCIPAELAKVLTS VPKDSPLYCKGDSQPEKRDGEPSIEECGDALKAYDAGEEDEASVGDLLDACEAAYGPP GNKKRQAKEPSILSGTVGSVIGDVSRVAGR AOR_1_288194 MTRTDLIVDAVVIGGGFGGCNALYRLREMGLTTKLFEAGSGFGG VWHWNGYPGARVDSEMPAYQFNIPAVYRDWHWSERFPGVEELRRYFEHVDRVLGLSKD TYFNTIVSECRFDSASRLWIVHTSTGIRATCKYLIAATGSSYKKYFPEYPGLSQYKGQ LVHSAAYPDNLDVTGKKVGIVGNGASGLQIVQELAKKDCELTVFIRTPCFALPMRQRN ISPEESEMMKGYYDAIFDRCYKSVTGFPHNTKPQAASTASPEERKAIFDQLWQRGGYS FLVSNYYDFLLNEEANSIFYDYWVQQVRARMTNQKKMDLVAPLKQTYLVGTKRPSLEQ DYYEMIDRENVVLHDLKKAPIQEFDETGVITAEGHRDLDIVIFATGYDAVTGSLLDLG IEDRNQVPLSEKWKDGTATHLGLMIPDAPNLFLVYGPQAPTSLANGPPFIEMEVDWIC RAIAKMHDEGLASVVPTAKAAEQWKEEVRLVSENTLYPKTNSWYMGTNIPGKRREPLI YLGGMPRWWQKCNDALESWEGFSTQPL AOR_1_286194 MACKVTGTAFITGAASGIGKATALKFAEQGITSLALVDVNLAQL EGTRDELRNTFPHIETEIMQVDVTNEASVNEAIKKTVTRFGRIDIAVNSAGISGIPTK THELSLQEWQKVIDINQTGLWLCQRGVIQQMLTQESRGVRQGRGVIINLSSMYGIAAP PANFGIIPYTAAKHAVVAITKLDAKTYGKEGIRINAICPGYVDTPIIRAAIESGAMNS EFEKTPLGRPADPEEIADSILYLASPMSSFVCGSALVVDGGYTV AOR_1_290194 MTPKPRSKTFTGCWTCRSRRVKCDEQRPNCQRCHRSGRTCQGYS VRLGLTNASGNSTQRRLLRSSARAVPELSPCAVTTLLSDLDHSSGRAIAQRGPFSVFS VSVSDNSSRNIRVHSPRESSTESSDSFLPHQHDLSPSSSPKKSLTVQQLPFPEPPKCV VSEDALGGIGQTTPNLSQMERMRSFDIGAAATRDKAWGCLLEKTRIPSSLNPTNMATP EIELIHHWVVFLSGNLILIDLADNPCRTVFMPLALKGLNSSPTESNMHRAVFHGLCAA SAFSLYHLRGESKYQSLAVQHDQQALQHLRQNLRPGNRLDETTLVAVLTCIAAEAMSG RRSRWRAHVLGGLGMLENELDGEWLQSPTAARLLQSYLSLSLLCNFRMSAQLVALLKE LPNIQNYLERSHGVSRSLVQFLADISALRESPGQTTVAELDNLELQLYLQFPSLHSQE TPESIVIQHALNSFYYATLIYFRRSLRRVPVADVQDLVEKAVHDLEAAEALTHRKGGC AYNWASFVVAAECSRPDLQERMLVLFDRKRRHGIKNIQSLGEIVTTLWQRRASAPGVD IHWEEIANEADYDIMLV AOR_1_292194 MASQPHQKEVPHNNHVDYVIRYSFYDTDVNKASQQFELLLRRLS EVGLQTEVREGDESSVLVFVRASRKKKLQRAVYQSRIRDWLYGVRNTEPEPESSAEPQ SESERLRVIHHMITVPREAGGAGITLKHGEWENVIAIFPLHDEETNKQCMRDWSKKTF LSNEDLDQIRNTFGESVGFYFAFLQSYFRFLMFPAIFGFSCWLLLGSFSVIYTVGNAL WCIVFIEYWKHQEEDLSCRWQSKGVSVLREKRREFKPEREIRDETTGEIRGVYPATKR LQTQLLQVPFALVAAVALGVIIATCFAIEIFISEIYNGPLKTYLVFIPTILLSALIPT MSTVLVSVATRLNDYENYETQGAYDVALTQKIFVINFITSYLPVFLTAFVYVPFAHSI VPYLDIFHLTVRPFVSKKDAITTRAEFSIDPGRLKKQVIYFTVTAQAVNFAQETIVPM LKQRGLQKYKEYKKRTGKVEPDSNTDEKKAPEVSFEDAPEEAQFLKRVRNEAEMEDYD VTDDLREMCIQFGYLALFSPVWPLVPVSFLINNWVELRSDFFKICMECKRPSPQRADT IGPWLDSLGFLSWVGSITSAALVYMFSNGHEGPNGQPTSIKGWALLLTIFFCEHIYLL VRYAVRATIAKMEPPNVRQERAERYLLRKRFLESTLQSRSSDDETDEETTPYVDQSQE VSEITRASLEDDARTWSRHGTDPAERFWMRQKGWKESAYVGTSIIRALAVKPEPKKQQ AOR_1_294194 MSQISLDAFTPAEVIEFVGRAGVAKGNMRLDKVFFSAVSAGCLL AFACGTVLSTNTTPWFQENAPGLIRTISALVFPYGLCMIILTGADLCTGSFMFTTVAA LQRRLPWYKMLIHWVVTFFGNLAGSLFVVAIIFGYGNVFSADPFKSQVIAFATKKQVT PDFHMIFLRGIGCNWLVCLACFFGIQGRDLTSKIIGIWWPIFAFVSLGFDHVVANMTF IPLAIWVGADKITVGLYIWKGIIPTLIGNILGGGVYYWYMYLLQTDSMTVTGIKRFGH SRSEVSSMTPKKDDVEAGVGVVDNSPAALGS AOR_1_296194 MNTAFSSLCRTKSRYAKGTSGFQIKYVLLLSTAATLSWLLYARQ HGAVWLDSDKSYFTHPDPLAPNNGIHQQKTTNEIQDSQKETFKSLEAEDLPTEKAILT TAPNVPPPITRDYPVILDVDLTAVAKLEQLTNQYKYEKWTFNNSVPGPFIRARVGDIV NLKITNHDESGMPHNIDCHAFLGPGGGSALTTVNEGETKTARFRLQNPGLYIYHCAVG PVGVHIANGMYGLLYVQPEQDLPPVDKEYYVMQSEFYHEPPEPDDNGQMSSTVEFSWP HALREAADVVVFNGSEAALTEKPLKATLDDTVRIFFGNGGPNLTSSFHVIGTCFNKVY RDSDVLSPPGQCVQTVSVPPGGSTIVDMKMVVPGTYTIVDHAIFRLEKGAKGFLNVSG EPRPMLYYSTLPPQPCEGCKLHP AOR_1_298194 MLAKTMFLSRVVPRLTPKALLLTRPFTTTTPKNKLTPQQIQIVK STIPALQDHGVAITTLFYQRLLQQHPQLKNIFNTAHQATGEQPAALAHAVWAYATNIE HPEALKTAISRIGHKHASLGITADQYPIVGEGLLAAIKEVLGDAANDQVLDAWKAAYG ELAGYFIDFESGLYRQAEATPGGWKGWRKFFISKKVHEGEEIISFYLTPVDKGALPAY KPGQFVSVKCFVPELGVYQPRQYSLSDVPNGEYFQISVKREFGLGQKPAGRISNVLHE GLPVGAELDVSMPFGDFVLDVNATTPVVLISGGVGLTPMMSMLKTIVDQGGSRRVVFI HAVRNGRVHAMKDRLAKIISENPQVQRAVFYEEVSKKDKQGVDYDFKGRADLHKIKDQ VVLPDADYYICGPKLFMNAQSNSLKDMGVKEDRIHMEVFGSPAE AOR_1_300194 MSDQPTIRLATPEDVPIILQFIRELADYEKALHEVEATKESLLE TLSFPDSPPKRGSVYTALITPPATPDNATPIPVGMALFFYNYSTWRSAPGIYLEDLYV QPAARGRGYGFKLLKYLAAKVLEVKGRRLEWSVLKWNEPSIKFYEQVGAKGMEEWMKM MVEGDALTKLAEGL AOR_1_302194 MPPSILYLLLLTLITASRLFLACFYFIDRAVALAHRPATVMFQR IRDAIDSRIAEEQARQKSAQDSLARSNSARRPTRNASPSRRTTRPRRNTGTPVRGPDP NEFEPEFAIGEDEGSSRSATPRLESAGSEENAGESKPSAEEASAGKDNTNAPETNQSS SELPPEVRVKLRRLGKLESRYQELLKAYRMAHSRVLSIEPFEAALRENTPLASISDPK ALTEYLNQLSLKSDMVLEELKRVSTERDDYKKKMEEAQKATKAAYDELTNLKENKKEE DASTISDKTAVDNSTLNDKSSTVSKQDSAKEESEEFFSFDNEIPRLEAELKEKQEEIE TLKSQAENLKRDLSVARESTEGMVHNLETATRELVELRDIKDKQDSEFEKLKTSKQEE IEALTAKLATSETTIQNAQNEVEKLKVELKQKTDEIEHLQSQTAKPEDADEQSELAAK LDKAKQEKEANEKRLGVLQNLVDNLRSQLKDMEGTMTSLKADMNRKEELQNIVDFLDN NLKDNTTWKQAKEQVAGGKQANFEDFRDSLAPAKNGTEVKNETPEPKPQPANSTGAAG ASKKKNKKKKKGGKGGGDDTSKAASAPAVEETQTPAAEPEPKSSNTNELEQKIESLTR QVEEKDAAIDRLSSKLKGEEDLKEEIESLRDDLLNLGQDHVESKDKLKELTAEKKALE ETITKLEKELVDLRTSTATRDADSEKVHNDLKEEYESLKVKLTTLETELSAAQQLAST RFKDLTDLRETLQKLQPELKKLRAESTELKSIRETLATKTAELRTLEGKQEDLRAELK TLKTTISERESEVKTLNQKIRQETDNRLKAEEKLTVAQADLRYSESKKQEALETKERI SNDLSKAQEDLKAARTQLREAQNQVTQVNKDLEGLREEIQLKTAQHASAQSLMNSMRD QGAEIGMQMKEARERCESLEEELADAHKLLSERTREGETMRRLLNDIEGRTESKVRDF KERMEAAIEERDRAEDEASAQGRRRARELEELKNKVREAEKALRSAEEDKEELEMSQK DWKRRRDQLEEQAERSTQELNDVRQAMARLRDSLDESEKQVRDLEKEKAELRRSVEET SARLDKLRRSNKALSEEARFGTNPQSSRSSIDSGSRRALTSPVPKDRSPSIRGSETPT GGANAQAPIDYLYLKNVLLQFLEQKDKNYQKQLIPVLGMLLHFDRTDEQKWMSAIMSR AOR_1_304194 MATDGGPLAASSASLDSSLSPLHASPSPSSTYTASSLALSAPAI AASFSVASTFSNSLIPPPPLPPPTPSTMAGWFGWVFSFFFQVIPSVLYWVITFATITL PTWLFTLFSMSLTFTMNFTTLLLIALAIVSTISWFIRYRFLNMYSRLPSEPQRKEPHL DLFPDVQEGDSKPGLANYLDEFLSAIKVFGYLERPVFHELTRTMQTRKLIAGETLMLE EEKGFCLVVDGLVQIFVKSMRDGKPNVDEGSNHMGAESSDEDDHRVDGKQGYQLLTEV KNGASMSSLFSILSLFTEDIQLRASEGSSSSASSVGPSTNARVSDSFPASPHGLEDSP RSNFVRDHGDSVAHISNSNGELLPSVPPLNLGESHAMPIQEPYPKPRSQPGKRRRKSV HPDIVARAMVDTTIAIIPASAFRRLTRVYPRATAHIVQVILTRLQRVTFATAHSYLGL NNDVLGIEKQMTKFTTQDLPNEMRGAALDRLKDKFIKERDRLGPEEIIKGIALHNPFA GRRRRSSSFLRKEAVLHAKMAAQSKRPVSMASPEDISGDRESAGPSPGDLLSTIQLSR FGPRYEHLAPKLLSPLTDKENPPFMAPVMHSSPFHRKKDAVDEDALFRESILDCIMNG IGLTSSTRDVLRKSSHTSGDISPKLLSYDSRRQKAVFTNNAFGFIDPYDSSADGETES MMSMSMTSAGGTSPIVNLREELRNDIEIVYFPQGSVLVEQGERHPGLYYVIDGFLDVG IPVSDKGEDLVGGSRPVYGQPPEEFFPTLKRTTTSSSRVSGVTSATNDTKRKRQSRKS LYLIKPGGIQGYVGSVASYRSYTDVVAKTDVYVGFLPRSSLERIAERYPIALLTLAKR LTSLLPRLLLHIDFALEWVQVSAGQVIYHQGDESDAIYLVLNGRLRSVLEGTDGKITV VGEYGQGESVGELEVMTESTRPATLHAIRDTELAKFPRSLFNSLAQEHPGITIQVSKL IAQRMRDLVELPMPEKGGEHANVGSVKTAASVVNLRTVGILPNALHQIGVTNGVTSLN QAAILNHLGRHAFSKMGKLKLSQYLADLEEKYGMVLYIADTNVNSPWTQTCITQADCI LLVGLAESSPSIGEYERFLLGMKTTARKELVLLHSERYCPPGLTRQWLKNRMWINGGH HHIQMGFRLTPEPSHPQAKRLGAVLKQRVQVIQAEIQKYTSRRIRQTPLYSAQTPFKG DFHRLARRLCGRSVGLVLGGGGARGIAQVGVIKALEEAGIPIDIIGGTSIGSFIGALY ARDADVVPMYGRAKKFAGRMASMWRFMLDLTYPTTSYTTGHEFNRGIFKTFGDSQIED FWLEYYCNTTNISKSRPEFHSSGYTWRYVRASMSLAGLIPPICDEGSMLLDGGYIDNL TVTHMKGLGADVIFAVDVGSIDDNTPQGYGDSLSGFWTVLNRWNPFSACPNPPTLSEI QARLAYVSSIDNLERAKNTPGCLYMRPPIDPYGTLEFGKFDEIYQVGYAYGKQYLEKL RSEGSLPLPEETEEKKKLQRTLAPRRASI AOR_1_306194 MAQQSMSSSQGQLGQELFNIWNVTDVSVKNFYVKQPQLWSINLM NGTNVLLENIIVSTKLSKQPDGENWVQNTDDTMDVNHVQVTNFTYTGGDDCIALKPRS YNVTVTGATCNGGNGIPIGSIGEYLEDSSVKDVYMSDLKNNGGEFVDRGHQQIDNLGY PP AOR_1_1864194 MSVVFFLPAFVSPFMPTALGKFVLLIDVIFSYLWLTAFIFAAQD YNRHDCRLNAPPGISCSKKRANEAFIFLTFIFTFFGMFLEVLGLWAYRRENVPVREKT GGAHGGPADAPVATA AOR_1_308194 MTITSVQAVLPGRFAINSTEKSTAKPPPKVYNAQEPPFKGYHAP QPDGYQRSRSKPDTSAIVIDNGSNLVKAGWSFDKNPRFILPPVMSRYRDRKLNKACQF IGYDAYVDATTRGQLRYAFDPGSSVVGNWDVMEGVLDYLFLKLGVDGANGGVDRPIVM TEPIANLNYPRKMMNEILFECYSAPSVAYGIDSLFSYRYNRGTDGLIISSSHTSTHVI PVLNNKALLSNCSRLNWGGMHASEYLLKLMRLKYPTFPARMTESQMEDLVHKHCYVSK DYDQELSKYLDWTGLEDRDLVVQYPFTEHVVPEKSEEELARIAERKKESGRRLQEQAA KMRLEKLMKKEQELEYYKDLQNGLQTETKKEARRILEAEDLKDEAHLERIIRDLERSI KRSRNRDLGVEESEEPAEEMSFPLLDVPDEELDEAGLKEKRHQRLMKSNVEARQRAKA EKEREKARREEEERLDREKRENDFEGWLSERRTNRQNILQRIKERDRLKADLGNRKSL ASQMRMKTLANLAADGPKKRRRGGDDDDFGANDEDWGVYRTVAGEQSDEEEEEDLGGM LDVVEKELLEHDPEFTENHTLAAQSDWTKSLVHVFLRGPWPFDPESQREAHQLHLNVE RIRVPEVVFKPSIAGVDQAGLVEIAADIVNQRFINPEDQSRLLRDVFMTGGNTLFQNF DERFRNEFRAFLPLDAELNVRRAKDPVLDAWKGAAQWASGADLAKSSITRQEYLEKGS EYLKEHDLGNVTTW AOR_1_310194 MHRIGQIMESPHEHQQAVILSRIINNVEKLNEAIMVMNKSLQEV NIQNMNVELVAQMFKNYQSNVLFHLEATENLKEPSQGE AOR_1_312194 MAPPTPAENESHQLLLNQLDIAQVPRPFRNPHWKPSQRRNKNVK QLISESSRKEASQMATQANSGATTPLVATTSASTDGSQTPADGGQRTNIAQAAQNLST LVLEKNARAMYSSGPAVTYTNIESAPSLHPSQQTRYCDITGLPAPYTDPKTRLRYHDK EVFGVVRSLAQGVPESYLELRAAHVVLK AOR_1_314194 MSGHSAVWQGYVDSSLMGSGLFDKAAVLSYNLSGIEAKSSGFSI SAEELQGLAAAFAQSNVAMANGIKVGGEKFVAIKADDRSLYGKKGKEGIIVVKTPSCV LVAHHGENVQTTNASAAVEKIADYIINPHQ AOR_1_314194 MSGHSAVWQGYVDSSLMGSGLFDKAAVLSYNLSGIEAKSSGFSI SAEELQGLAAAFAQSNVAMANGIKVGGEKFVAIKADDRSLYGKKGKEGIIVVKTPSCV LVAHHGENVQTTNASAAVEKIADYIINPHQ AOR_1_316194 MHNDNERPKNIPPYTPMSSLGHELGVMFAFLAACFVIMGLYVFF WRAFERREAQKEKARKERFTRRDVHHERSGIPEKMYDNRRVELPANSRYELPNGYENG ERSTNMKMGSAGARVNRAGWVEMDVLGAPRR AOR_1_318194 MKTSNILLCLAPAVALAAEADQVPAAVAPSPSLPNITPPVPLPN IQNPQNGEDESKLPLEARQMVQIANAGAAKPPAAATTEEILTTTVMDQWPEPSSGSIG LGTLTASAGVTKSLRARSEGTLGQTPWIGMAIGLTCTALAAVMLG AOR_1_320194 MPPKAAPRRGASAAAANRRTNATEPSSNSATPAPGENASAGPSA SRPPVQRLQSLKKRTPSGSIGPAAKTPAPGGPGEPAKPTLKYKPRAVGRRSKEEREAI EKLEAERHRERLAEAAAIQRGRGNHGPGGRGGFGRGRGGQFGSASGPLGSMQGRRGRG GGPGGFGSRFNDSRASSMSRRSRSVIDVGSGAISRDVSSDESDNEIRVSIDHINLDSS DEEAEQVADKKKGKLAMKNAEASGEKGLRPIRVERHEHEERVVSVNMESSSSKSAELR QQAQAKAAEDDALFVPDDDGSAGSATETETGPRVKQEPTDDDHTMADVAHHADEGLTT DDGLLPEQTVKVRRKISREPPAVKDPKSLLRTKEDIEEYERHEQDLAMVKDLFTKEEK PPAEEPKETPPEQVESAEDTETAADGAEKDKDQEKESEEEEDESAKDKLAGQLFLMQF PPMTPNLVPENSGDNSAAPSIEARGQGTPEGTASNNGIAPQQTGVKREDGVEFLDEAD EFQSTEPSKVVTATDRQLRAGRVGKLNVHASGRMTMDWGGISFELDRATAVDFLQEAL IVSGAADPAEGGVPEEENRVWAMGQLSGKFTVTPDWEKML AOR_1_322194 MQAVQYERHPAPGESPIWTDHAPQESPRKSPIPKNVAFELLLDE NSKVRARIPMRVQIYPHDTTDSIVTTVKNFYGIYDGAASGVSFEDEHGTTLIARYENL RNNMTVYVRVIPVQAYTEGYGDRYFGHMPVEARKRPSLGEPFQMAPAMQSAQIPDHGQ PPSRPGSRLARKRSMSPSGRSRRSASQHKQLSRAGNKSRGSSTHGSFHDDGASLYSDS EGGYGSVSGAKKSRSEQLGSSDISMDNILQDGRRKRPKFESSVPLTTSTSSISPQRRS IGQEGAVSPFARPTQRPYSYQQPLPSPQSYGHNDQIYGYNSMRNNIYATPVAPEHGHR LRERTTTQSSGQFSNPAGNGAGSGILPTPDPTIASCISDEDVAMQLIRLGDASNFSHG RTSASTLDDAFSGAADAASSTGATSDGEDFSEEDDDLPARSRQRVDSSPMLPPGATKR THKRLDDILPSFDSSDGSDGDEEYQQDDYQDSLIKNEADDDSLYRESAPKTKKAKTRA NSASSSKARGTKSAHMRQHKINKSAPAAARKVKSMPAATSHKPVVPPQMASPAPTRKT STSSINFQLAADEEDLSTKPRCQRCRKSKKGCDRQRPCGRCKDAGIGIDGCISEDEGN GRKGRYGRHMGVPVKKAIEATSSVNGDTQPVVAASLTSVAIDKNKKRKR AOR_1_322194 MQAVQYERHPAPGESPIWTDHAPQESPRKSPIPKNVAFELLLDE NSKVRARIPMRVQIYPHDTTDSIVTTVKNFYGIYDGAASGVSFEDEHGTTLIARYENL RNNMTVYVRVIPVQAYTEGYGDRYFGHMPVEARKRPSLGEPFQMAPAMQSAQIPDHGQ PPSRPGSRLARKRSMSPSGRSRRSASQHKQLSRAGNKSRGSSTHGSFHDDGASLYSDS EGGYGSVSGAKKSRSEQLGSSDISMDNILQDGRRKRPKFESSELPLFVPPQVPLTTST SSISPQRRSIGQEGAVSPFARPTQRPYSYQQPLPSPQSYGHNDQIYGYNSMRNNIYAT PVAPEHGHRLRERTTTQSSGQFSNPAGNGAGSGILPTPDPTIASCISDEDVAMQLIRL GDASNFSHGRTSASTLDDAFSGAADAASSTGATSDGEDFSEEDDDLPARSRQRVDSSP MLPPGATKRTHKRLDDILPSFDSSDGSDGDEEYQQDDYQDSLIKNEADDDSLYRESAP KTKKAKTRANSASSSKARGTKSAHMRQHKINKSAPAAARKVKSMPAATSHKPVVPPQM ASPAPTRKTSTSSINFQLAADEEDLSTKPRCQRCRKSKKGCDRQRPCGRCKDAGIGID GCISEDEGNGRKGRYGRHMGVPVKKAIEATSSVNGDTQPVVAASLTSVAIDKNKKRKR AOR_1_324194 MDAKPQRIRVRGDENAAFPLTSKTVHQKNKSTPALSTMFQNGVT KNGPRRAAFGDVSNTVKTVQGIRDDISVAAKKQIKPLEKPSVQATERKSSVLAQPAQR PMSVAGVKGLSSNVTTSKPLEPIGKSIGVPQHTANARKALNKRGTVFKDHMEPLTEKR ELTSKETTQTKEGITGGQLAHSSTAPSQLSSLKEKDVVNEGSNDESETHDEHSIVSSE VDGEEKDAPKLDEDVCKVQDRAVKPQRVSVPGAHMSHEHVPAHSEPEESWDDEDDENE EEDEYITARSYRSRGENTTGATTTILFPKYTQQVRRELALAKQIVEATRTVEDIEDEY WDTSMVAEYSEDIFDYMREQEIKMLPNAHYMDNQAEIQWSMRSVLMDWLVQVHHRFSL LPETLFLCVNYIDRFLSCKIVSLGKLQLVGATAIFIAAKYEEINCPSVQEIVYMVDGG YTADEILKAERFMLTMLQFELGWPGPMSFLRKISKADDYDLETRTLAKYFLEITIMDE RFVGCPPSFTAAGAHCLARMMLRKGNWTPAHVHYAGYTYSQLYPLISLMVECCEIPRK HHAAIYEKYTDKRFKRASLFVEAEMRKGFHLPEVTREKSLCNPPSLDAGHQWKRA AOR_1_326194 MGPKKKADARGGGPKPGTKQAKAAAEKTAAEAAKKAQPPPDEQK KPSVKQVIGGASWTGKLPVNMLSEHCQKQKWEKPEYTMTKTQEGYMSAVILKRVDPKT REATTLPPMKPPPSHKHLAAQPTALEARHFAAVYALYRVCNMRNIHMMLPPTYKKLWK EDFADIKTADTKEGKGWMYEADPFLAKQERESAAADLEKKRKEREKNQAKAKDQPAVE LGLGSSGDNRGKRIWSNAPKVDLGSKVRREIETLLQQHAIWNPYNVKIPESERNSIIE EFTRLGFRRSHVDEATSACKDREEVLEWLLIYVPEDDLPSWCLPESYSAGVSLASDDL AREAKIKRLATIGYSADLCSRALDSKQGDELATAEMLQHTLVYGTSSTAGAVSSEGDD SWAEEQETLEAIFGERYIKVSPKVCEIKSESSDLPESTTFRFQRPSNHYPSSVPIISI QAKGIPSYIRLSAIRQAVKHAEENFLGEPMVYNVLDWLEMHLPEIMQNPGKLRDIATV AATPSTTGSILELPVRQSRKKSREISWQPGSPQSISVREAWQARQSTPAQQDMTRKRE SLPAWNIQDAIVRAVNSHQVTIISGETGSGKSTQSVQFILDDMIRRDLGGIANIICTQ PRRISALGLADRVSDERCTSVGDEVGYVIRGDSKVKSGATKITFVTTGVLLRRIQSGS GADGNVAGSLADVTHIVVDEVHERSLDTDFLLALLRDVLRYRKDIKVILMSATLDAEI FINYFGGRQNVGLVNIPGRTFPVSDFYLDDIIRDTGFSPELAERDFEEDSSPQGEESL GKILRNMGMGINYELITSTVRYVDAQLGDQPGGILIFLPGTLEIERCLNAVKRIPNVH PLPLHASLLPAEQRRVFLSPPKGKRKVIAATNVAETSITIEDVVAVIDTGRVKETSYD PKDNMVRLQEVWASQAACKQRRGRAGRVRAGACYKLYTRQAENKMAPRPDPEIRRVPL EQLCLSVKSMQGINDVATFLANTITPPESVAVEGALGFLHRVGALDHDKLTALGRYLS MIPADLRCAKLMVYGSIFNCIDHCITISAILTVKSPFVSPRDKREDANAAKASFSRGD GDLLTDLTAYQQWSERVKAQGYWQTQSWCSANFLSHQTLRDISSNKAQLLTSLKDAGL LLVDYSSDSADPRWNRNAGNRSLLRALIAGAFQPQIAQISFPDKKFMSSVTGTVEVDP DARTIKYFNQENGRVFIHPSSLLFSAQSYPGSAAYLSYFTKMATSKVFIRDLTPFNAY SLLLFCGSIDLDTTGRGLIVDGWLRLRGWARIGVLVSRLRMMVDEIIAARIDNPASLS IDRAGKDDITGRVIEVVKRLIELNGLDQ AOR_1_328194 MADDSPNLEDQAAAAATVAAESKSGKRDAFAELLAPKPKHAKYA KDGPSKDTSNKRAIGGPRDGLGAYIAKPESFPSSIVVYHNDDFVAIHDLFPKSTLHLL LLPRDSSKTRLHPFEAFEDPEFLKKVKEETKKLRSLAAAELRRRYGKSSAQDKARQEA LSADPPPDELPQGRNWEQEIMCGIHAHPSMNHLHIHVISVDRYSDRLKHKKHYNSFST PFFVPIDDFPLAQNDVRRHPTSEGYLRRDYTCWRCGRDFGNRFSELKIHLEKEFDEWK RL AOR_1_330194 MARFSFLSLALLSVQALIGGSLAADAAEKAEETVAPKLALTAQA TFPASEIFGVKIVNGYPTQALVAFTNDEPAPVKVNFIGGTLSTLDEENSVIVRNLTAT GYSVEIPAGETETLSYQITTEMHPQDLRLSLASIISDNEGRFYTVYAYNGTVSVVEPE TSFFDPQILFLYFFLLACFSGVVYFFYTVWVAPYFPQKRKSGKGAEYTKKTSGAAKKA ETVEPSSPAVSSATTYNADWIPAHHINRPEARKVKGNTRSKSRA AOR_1_332194 MTAQAYLERYKQLTSIEDIKNNLIEELLQRVTELEDAYQQERLD HERETRFNRDIQLHEMELMDQISRIKTIMDREPFIVVLLDGGGIIFKDEYLQQGEQGG HDAAKKLYSALQDYVSGNFPTINSPRVITKMYVNVKGLSDLCVRGGVTTEPSLIEDFV RGFNASYPLFDLVDIGAGKESAHDKIGGRVSLIEGLPFASDLDLIKASYRVTKFSDLF RDTKISVWAPWKAAVASKPRALLTPSPVQHVTLSRTSTNTTTTSNSVPTSSSSTTTPN SGEFQVVRSKSSVPPPPKIVERNKYGQRVDRLDFKTIPRDEVNRIKKLKLCNFYYLQG ECPNDNCHHDHSRKLTKTEYQVLTAIARMTPCRYGLECDDPECMYGHRCPQSEPGKKD CYWGSSCRFDISAHGIDTNIVKVTKV AOR_1_334194 MANNSSSSTVIDIPAALRSQGNVNVIGVVVDVFQGVFKTANSLC ITFTLKSDNLKNGHVWDGLKVKYFKANESQLPPVQEGDVVLLRNIWIRNYNGKPLGIA GDRANVPWAIFRFDPDPLCANAPICGPTPFEPSYSEKRRCQSLLESISGLSEFRNSSA LQVTAPQSFTTQVSCSPQDARTQRKLTAIKDIREGMLVDLIGEVIKLYPQNSEKALLY LSDYTTNKKLQDHPSVDDDGDSFYRSRKKWAGPPGQMSLPVTLWEPHASFARENIKDN DIISLKYVHIKANRHNLTIEASMHSARYNNVHLVDPEKNDYAKELLRRKNDYYRGINT GKRKAEEDAKPTKGLKARNKKRLEGMKEESEATRQLKERNRPNEHGKAAALRVDGQIT DRDTIVVKASYEGVRGRRIEDIVTSEFHEFESHDGVEYRLPFQNLCYKTTVRVVDFFP PNIKDFAVPQQPKENHSGSRIYQDAEDDGVTRWEWRFCLLIEDALPPPPGQPKEQIKL FVSDANAEFLLKMSATDLRKDPEQLAILRERLFILWGDLEERKKTITEESGQGPLKLD RASSRPFTCCIQEYGVRCSHLSDADAISYDTSGCSREDCFGWERRFGIFQTTIDS AOR_1_336194 MAERYIPEHRRTQFKARNQFRPDELRRRREEQQVEIRKQKREEN LAKRRGIQTRDGGIGVGGGMAAATESDDEATAIESELNVELPEMVKGVFSDQIESQIQ ATTKFRKLLSKERNPPIERVIETGVVSRFVEFLRSPHTLVQFEAAWALTNIASGSAQQ TQVVIEAGAVPIFVELLSSPEPDVREQAVWALGNIAGDSPQCRDFVLNAGALRPLLNL INDGRKLSMLRNATWTLSNFCRGKTPQPDWNTIAPALPVLAKLIYMLDDEVLIDACWA ISYLSDGANDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVII NCGALPALLSLLSSTKDGIRKEACWTISNVTAGNSSQIQAVVDAGIIPPLINLLANGD FKTRKEACWAISNATSGGLQKPEQIRYLVSQGCIKPLCDLLACPDNKIIQVALDGLEN ILKVGEMDKEAAQTGEARVNRYALFIEEAGGMEKIHDCQNNANEEIYMKAYNIIEKYF SDEEEAGGDIDELAPQQTQTGFTLGTAQQQPGGFNFANGGDSMDM AOR_1_338194 METEPPFSSPQPGPTQEASSPATNRRKSGRVTRKPALYAESYGA NDSATAGSSKRKRAGGDDEEDVEEDADASEPEADESANEEPDEEELREKRRAARKASS KKASVPKAKAKPRSAKKPKVASNGIGSQLAFRPATNGKKTVSSRPRKPIVRPSLAAGE RGLYAEVFGKGRNADTTAAEWLSRYQREQSQALRDMVNFVLRCIGTDLEITQDHIEDV DHAPDRVKDLQNQHQQEGFGEWPLISRSRKFRAFQPVLEEFFKSLIQTFHHSSVLYDD QNLFENFEIWLSAMSTSHSRPFRHTSTVILLAVMCALCDIARELMTSVSTSRKQLETE KKKKSVNQGRASAIEKAIEDGEKKLEIIDEYLKDGVNVVFVHRYRDVDPNIRAESMAA LGQWIRSYREYFFEGQFLRYFGWMLSDTVAQTRLIVVNQLRSLYENKDNIAGMRSFTA RFRERMVEMAARDADLGVRASAIELVDLIREAGLIEPSDIDTVGRLVFDSEPRIRKAA GRFFVANVHDVYDSTVEEVRDEINEMFSEEDEDDFESPKRSWIKFKCLVDTLQSYDEP ENEYKPDQPTTASKDMLSGTPVNTRFVLVTEAIYPYLKELSQWQSLAGYLLYDHSQIA DSSSEDDTSGSIKQLYKMQEGQEVILLEVLCSAVKLRVLEVAKSDIDKRGRKVKALTD KIPELQEEIAHNLAQIIPQLLNKFGSVPEAASAVLRLEHLVDLDKIQDLQKDATAYTS LLNDINKQFLTHSDQDVLTEASVAFLHAKSSDDMREALENKISELWDDMIDTLSTLAR KKEVLEGRSIPDSTLNQLTNTVMRISSLASVTDCTQTLEATPSRSKGKSKDTAETPFN ILLHLTERGLRETEDDEESAKAETELVSSSIRTLLFYFMWKVQSLTTALSSGNASFNT EYFEVLTKSRELFVSTLVAIMGARSGLDDIRFSATTTLLDLQTLFGTLRYAGQKASND EDVILQTQGLVHEIAGEASKLIAKIHSVAERTYAKRLRFSYEPADDDEPISDSEAEKD SDDESDAGSEAEMIATERLRSRIVAEQRLCELTGKIVLAIVGRVIDASGEERGQLKQR LVRHKSDLGQNYREVVAFLDERKPKNPPRPKGKQLPTSTEPNRNQSSSSKRQDNAKSA ERVDDDDDEEEEEEVQLNLEEDDDEDLRERGLVEDDNIEEDHDEEEEDENHSAANSDE DEVMGD AOR_1_340194 MQITSRIMPIKSPFEASIAKPGQGNVVLSLLPPSNPTLTTLTYK YPLKLLTRTPGYVPQSSALSCPASRPVHLYLLTYGGGLLPGDHIEVSIKLEQFTRMVV TTPQGTTKIYKTEPSRSVKGRRAPGRVLSDKSRQTLDVRIEKGAAMCYLPDPAVPYKD SRYEQVQTFTVDTTTKDSQRSSLCMLDWVTEGRKSRGENWDFHLWKGKNEVYSIDESG KKKLLLRDSLILDEELDEGSLEDEHETLNRTGVIRERTRPHGIVGTLILYGPVFERLA NFIMDRFTSQPRIGARNWSSSAPAAVEAPPNFDSKVTFTAARVRAGFVLVKFGADHFE TAKDWLGSILREEGTVIDEFGEEALCCL AOR_1_342194 MSSPSRSPLVSNSTRIDTPHASNDTFDCYRDSEDVELKAGLKES KLSVKEPVGDEGNELGLLSGSTRLEDLPAWRRGLAATSSFTAPKNTESDDDELPLLAN SSHSPNYSPRQCRRPKLPRCVLFPLLGFFIMLGIIQFIIIACGIVITFFSDDLGRLSV LRWQHEERLGANVSHWPTDFTRDIVPVGCHSHNDYWRPVPLFSAIQAGCIGVEADVWL FDDELYVGHTTSSLTRQRTLRNLYIDPLIRILEKQNPTTKLHPTVDQSLQGVFDTDPS QSLILLIDFKTEGEATWNTVVEQLSPLRRRGYLTHFNGTDVVKGPVTVVGTGNTPFNM VAANTTYRDIFFDAPLEILAENYGMDNAGSQGSEDNIPGDEGVMTSRSNENVGQGLSG LSGDEIGPGTFNWTNSYYASVSFRQSIGFPWLFHLSDRQIDKMKAQIRGAHQRGLKVR YWELPSWPRSLRNHIWTVLVREGVDILNVDDLQSATKQDWSPKFFDWWH AOR_1_344194 MPHKHKRKRNDTDIYDLPPTMIAKALPVRDPNSKNKKSKKNVKD NKPQDKLQARQKAATDDDTPKAFRRLMQFQTQGKQAPSKPNTGESKKRKRGAENTDNA KQTTRKKSAPVAIVDQSTDVEPQVKPKILPGEKLSDFAARVDREMPIAGMKRSGKPAK SDLADIREHKVTKHEKHLLRLQSMWRKEEAEIQEREAAEREEREAELEDQLELWKEWE VEAGQGKAKKKGAGARKRGGQGADNSDPWAKLKSKDRLNKPANPFEIAEAPPQLTKPR EIFKVRGGAKVDVANVPTAVGSLRKREELANERRTIVEEYRKLMAEKRR AOR_1_346194 MAPIKRKGASAEEAASARQSQKRVRVGAEERKDQKKQKTGASGS GPKASELTVLRDDEPSFPRGGGSVLTPLERKQIQIQATKDVLFEQKATKGSSKNDEHD EDAEMEDVDDTTATATKKSRKRKTKSKKSADEAQDKQGVRIEGLNFKRVVPGTMVLGQ VSSISAHDIGLSLPNNLTGYVPLTAVSKRLEEKIENLLNEDENAEEDDDDDDDDEDSI DLSDYFYLGQYLRAYVVSVGNNAADASSKSRKRIELTVDPRQANFGLLKSDLVDNTAV QASVVSVEDHGLVMDLGIEGADIKGFMSKKEIDPKTDYSTIKEGSVFLCMVTGQNANG NVLKLSANLQSSGSIKKSHYLSTAPTINSFLPGTAAEILLTEVTSSGATGKIMGMLDT TVDLVQSGGNSSPDDLANKYKIGAKVKGRLISTFPASDPYKVGFSLLDHVCKFTSETQ GPGSSEDAPAISAIVPEAKVIKVDPGLGVYVQIGSTKHVGFVHVSKLADGQVESISAE YGPFRTDSTHEGRVVGYSALDNLYLLSFERSVIDQPFLRIEDVTIGAVVKGKIEKLLI GPSGLDGLIVALADGITGLVPSMHFADTALQFPEKKFREGMTVSARILSVNPEKRQIR LTLKKSLLNSESAIWKDYDSIVAGAQSPGTIVNLKPHGAVVQFYGSVRGFLPVSEMSE AYIKDPSQHFRLGQVVNVHALSVDSSLEKLAVSCKDPSTFTETYKKAFESIHPGLLVT GTVFEKSSDDLLLKLDDYGLVARLNLDHVVDGSPSKQSSTLSKIRVGQKLNELLVLDI QRTRRLIRVSGRASLKKAAKQGLIPASFEDVQEGAEVTGFVRNITMTGVFVEFLGGVI GLVPKRLVGDENLNKPDFGLTKSQVVSATVHSVDPDFRRFILSRNPAEATQAGPKKPA AKSAVKSAPSDDIVTNAIDDSVNSMSDFSFGRVTKCKIVSVKATQINVQLADNIQGRI DVSEVFDSWEDIKDRKQPLRFFRPKQLISARILGVHDARSHKFLPISHRTGKFPVFEL SVKPSFLQAADPLPLNLEQVQVGSSWLGFINNIADNCLWVNLSPNVRGRLRLMDASDD LSLLADVEKHFPIGSALRVNVSAVDIEKGRLDLSAKKGSETLSLDDITVGMILPGRVT KVTERQVIMQLTDTIVGAVDMIDLTDDYSKANPTIYHKNEVLRACVISVDKANKKISL SLRPSKVLSSSLPVQDPEITSMEQVKVNDVVRGFVRKVADNGLFVTLGHGVTAYVRVS DLSDSYLKEWKDSFQVDQLVKGRVTVVDPEQGRLQICLKESVLDPNYKAPVKLHDLKP GQIVTGKIRKVEEFGAFIVVDGSANISGLCHRSEMAENRVDDARTVYDEGDAVKAKIL KIDRKQGKISFGLKASYFKDEDENDVEGSDNDDEDDDSEGISLDGFGGVDVDENDSDD DSDISMGGVDVEEDSESDSEQSDDESITKAPSNRQGGLGASGFDWSGNVMDDDEKAAS SDSDDEDESGRKKKKKHRKPEIQVDRTGELDANGPQSVADYERLLLGEPDSSLLWLRY MAFQLELGEVEKAREIAERALRTITIGQDAEKLNIWVAMLNLENTYGNDDTIDEVFKR ACQYNDTQEVYERLISIYIQSGKNEKADELFQTALKKKISQSPKFFLNYASFLFDNMA APERGRALLPRALQSLPSHTHVETTSKFAQLEFRSEHGDVERGRTVFEGLLSSFPKRI DLWNILLDLEIKNGDAEQVRRLFERVLGIRDSKKGVASVEASKKLRPKQARFFFKKWL AFEEKLAAADGGNEKMVEDIKAKAADYVKSLQQE AOR_1_348194 MVGSHDLPDVPRSEPVFPPPLQEFPSSPPSIVSDAGVRRKPKKP PPVTPRSFKRFFTPRSMLNGGNNASDVRTNRQALKALSSPAVNRLGPAFTRTLKAVAT RPEPSELLRTPSRKRKFSFSSIVSPLQSSPLRKVRMRTPIQDDEEVNVTVRDLEVNTE IRMSEPKPHVIAKPLRQVSPIRRSQALQTSGQFFMRSVIGARASRVTIRSNSGADWQD LTSAFYSRPSDSHACASSTGDRLALPFCTASCNTNTLVAVGDEEGGIRLLDSAKNDKN GFSKAYLSFRPHMNAIMDLEFSSDDMLLATASGDQTALVIDMTTQKPIYCLSNHVSSV KRVQFQPSANNKVLATCSRDGNVNIWDLRCKGFEKPSLQVRCSLESDSEYAAAPVVPK MTYPQVLNTIHGAHAWMPQAPASEKLEPQIGRTDITVTSLAFLPPGRENLFVTASEAN ACVRLWDLRTAHNNRRGRPVLPLSTTREPDSHIKYRRFGLTSMTLGGDGSRLYTLCRD GTVYAYSTSHLILGHAPELSLNNDRPRRSGGSDKEGLGPLYGFRHPRLQVASFYVRTA VRKATGDKPEMLAVGSSDQCAVLFPTDERFLYSAPKPAPEVDLPRNPMHLTRSGLRRT NSGLSGKLEDTIPIYQSGTPLIEGHKKEVSAVSWTVDGELITVSDDYSARCWREGSDD ARDLRIGGEPERWRCGWAATRDSCDDE AOR_1_350194 MAGIFEQPRNADTLFLGGQKITGPDVREQNVLATQAIANVVKSS FGPSGLDKMMVDDIGDVTVTNDGATILSLLDIEHPAGKILVDLAQQQDKEVGDGTTSV VLIAAELLRRGNELMKNRIHPTTIINGYRLALREAVKYMNENITTKVETLGKDSLVNI AKTSMSSKIIGSDADFFANMVVDAMLLVKTTNQRNEVKYPVKAVNLLKAHGKSGTESM LVNGYALNCTVASQAMKTRITDAKIACLDMNLQKERMKLGVQITVDDPDQLEKIRERE AGIVIERVEMILKSGANVIFTTKGIDDMVLKLFVERGAMAVRRCKKEDLRRIAKASGA TLVSTLSDLNGDEKFEASYLGHAEEVVQERISDDECILVKGTKVHTSASIILRGANDF SLDEMERSVHDSLCAVKRTLESGSIVPGGGAVETALHIYLEEFAVTVGSREQLAIGEF AQSLLIVPKTLAVNAAKDSSELVAQLRVRHALSQRVQDGDANEEEKAIAKKKTYRNYG LDLTKGRVHDTLKAGVLEPSMGKIKQLKSAVEACIAIMRIDTMIKLDPEVKEDDGHGH AOR_1_352194 MASQAPADTPVASDAAVTQTLPDRTKNPADKDAPQGDVSKNAAK KAAKAAEFAAKKAEKAANKAAGKQEPKKPAAAKAPKKKIDGAALIGIDVSKEEDFPGW YQQVLTKGDMLDYYDVSGCFILKPASYFIWEEIQDWFNARIKKIGVKNCSFPLFVSED VLNREKDHIEGFAAEVAWVTHAGSSPLEKKIAIRPTSETVMYPYYSKWIRSHRDLPLK LNQWNSVVRWEFKNPQPFLRTREFLWQEGHTAHLTKDGAHDEVMHILDLYAQIYEELL AVPVVKGQKTEKEKFAGGLYTTTVEGYIPATGRGIQGGTSHGLGQNFSKMFNITVEDP STKPDEKKPPLHVWQNSWGLSTRTLGVMVMIHSDNRGLVLPPRVADTQTVIVPVGITA KTSDEEREKLYAEIDGLINILTGAGVRAISDKREGYSPGWKFNDWELRGVPLRIEFGP GESVGQFVTAARRDIPGKDGKSSIQISELSTAVPALLEQIQKDLYSRAEETFRTHRKL ITNWDDFTPALNDKNICVIPHCLTEECEDQIKDMSARKAEEDSGVPEDAKAPSMGAKS LCIPFEQPEGIVAGETKCVNPKCTRLAEKWCMFGRSY AOR_1_1866194 MVALKRFFQAEKSQSVHSKDGATRTSNTPESDLSSNPSPSQFLE PDHPFQRIEKQFEVLHDQLQARPLSPCSPAPPSRASTRTTTRDPRHVDLLEALFSSHR YHIQSAQTLSPISPYNEDIAERNMTRFLQGQSGKSGKPTMYSRILSALYQEDVADRNI ARNRRGGRPSSRNTSSRSRGNSFQRNSQSHQDEARCRPRSKAGSSLARSMSQEAPRST TPPRADQSTTPRQNVSPATEGPLRQQRSVPNISAEPTNSPQGEADSKSGGFLRVPPPY KQGDTWSSTPLPDSPTLPLMVTGDTKTVESHSTPDLPPIRSSRSRSSSATSNSHNQSN ATKSKHRKNVRDLSIDTELAARGKPSAKITHRAIQPPTPSSFGMKQNPSIAEVMNSLL PAGSPISPSPGLQSDQKIAEIMDMFRQAYTSSPVISPHPTYETLQDAIIREINSHEAF QRVPLPEPGPPFTPSFSQEAFYPEIDIPKTEGPSSNRTMSLRDGQISKLIRRGSFKKH RRGSDARRSISTTSVPSKVFWKSSETTSRRRHTDAPPPSPGFFSTLEQNQAPKEPVTY MDLISKARKSPANIPTPDMARNLGNPQPPATVSLESVNPAPSVFHMRAQASASTINSF SADDSDEEVIELPSVGIPQLQIHGVDENNVTYVAENTSPRNAFRLMSWPQRSGRSVSL RGNWFTNETSNSPSRSSSRGGLTTRSVASC AOR_1_356194 MKSANLRAKPKTDEHLVFLYLCLINSGGVNTINFNAVADASNIN VPAARMRWARLKARIEKEMAEGSGDPNAGEPSAASTPAASTPTPSPAKTASPAKKRCV KRKIRSEPEDDGVAENNDESNPTAEES AOR_1_358194 MTDRRRINGPPSGTRPAVFASSLNSASDIATGRPQRQRQPNELR KIFLKTGLIPSASGSAYLEYEPSASLAAARSSPKSLIPPSSALKLACTVHGPKPLPRS ATFSPNLVLTTHIKYAPFAARKRKGHIRDASERDLGVHLETALRGVIVAERWPKSGLD ITITILEAEDDRWWGDAPDSHDAPWGMMNVLAGCITAASAAISDARIDCLDLIAGGVA AIVADESEDGEAKPKLMLDTDPAEHKAILSACVVAYMPSRDEITEIWLKGDSSKALLG PDDKRSGHEALLDGAVDAARGAHSVLAEAVRESAERFAGLAPGGGATQ AOR_1_360194 MDRGLSTSTRIDDEGLRERNVASQSTSTLSPEALTATGDVELKD KTGKDCKTFGRTPDGTVFTVPQTHDMVSQLLSPSEPKNLSDVIVLAILGAHILLLWQL PTGAKVPVFAIIYLFWRAAYNAGIGWLLHNQSHHKTLVRWAEKTKVFVNPATGKNPHP NVYNFFKRELETKIPHDYSFDEAPIEYNTWLVFRRLVDLILMCDFVSYCLFAIACSHH PVNESVLMTVLRWSAGIVLVLFNLWVKLDAHRVVKDFAWYWGDFFYLIDQELTFDGVF EMAPHPMYSVGYAGYYGISLMAASYKVLFISIIAHAAQFAFLVLVENPHIDKTYNPPP SRKRSAEQETGSVSSRTADSPIAPTPIDEQIPHAPTFSSSPPQSVHELLGLHNLDLYR ITDTSSVLIQFLVFALTVLTPSTPWYQFLFVANAAVWRLWFSIGVGYMLHRQSNHKAW TRHFVKYGETPQEAWNQWKGTYHLSMIMCYASFIAAVWKMYNFPADWGYGLVLLRHVL GAGLISLQIWTSVSIYESLGEFGWFYGDFFFDGSSKLTYNGIYRFLNNPERVLGLAGV WGAVLITSSGAITFLALLSHILSLAFIQFIERPHMQKLYGQSLRQDAGLVKSLKKSLP PTLRQLHGSVDKIFDESFEFIEEIIETARPKLANGVNTFVKDTTALFQSYPARVTISR IDEDLAGYDSRDYSLEVEGTDSSSLAEHDQSTGREGANARMPLDRRGDLKNLVFEYGA PIRVKWTAPLNHSKKDWIGLYKVTDNTSREVTRVSSQGRWIAVNEGAYDNLTCEKGIV KSDVVIKATQQQDGDKRDLATGEVIFSGDKLFWTQGVFEFRYHHNAKHNVMAISRPFE IRIGRYEEEDDHELTQASVEKSLLPVIRSCFDRDPEIAPEAVDEPFGSLVERDGKFAK RVVFAVHQMFGVEFAPGVVQADGTVRNLAWRVCNAKRVLAPYSMSRNGASTPTERKE AOR_1_362194 METSPTPQPPLRIGTRRSNLAMVQAEGIRNCLQKIAPDRSFEIE ALRTLGDRDQLTALYNFGAKSLWTTELEEKLNAGELDVIVHCLKDMPTSLPDSCELAA IPPRDDPRDALIVKAGLPYTSLKSLPEGAVVGTSSVRRSAQLRRLYPHLRFANLRGNV ETRLAKVDNSDSEYTCMVMSAAGLERVGLEHRISQYLGSKDGGILHAVGQGALGLEIR KGDRKMQELLGQLADQQSTLACLAERSLMRTLEGGCSAPIGVETEWISAGALSIHAIV VSLDGTKSVEDTIVSNVKTVEEATALGKELAARLVKAGAGEILNNINANRPPKN AOR_1_1868194 MFSNHLGEAAQTTDSVESGVRVASGDKRSPAPILSTLRAPQTQN GETSAQKGGRRFPSSRNKLRTGLDRYKAVKNLSVDVDTTADSLQGAITHSPRRNKTPS RETIDAAVAPNGQLIVMGKRSRYVEGDLWTRIGQELNDGAMFPDSSSNKEDDEEVASD TQVGYKASALALRLSPQTPSIESYYPTKQHFRILWPIYLSNIRPVTMILHAPSEGEKL TKAVEGHGNTSRIAVTDVRNFDVCPHVCHGC AOR_1_366194 MQLISILTSLALTATTLAAPGTDLKEAEQIDSTSKAAQAGNWEY CATDFWCDPLTDYYQAGGCYQQADCRNKAKSMDTTNFSCGYFRPYTCWVYTD AOR_1_368194 MEFTTKDNVLDLTERCVLAKEKPSVWLIEVDPRPPGIEVSDALK HTYGIDYWGVGLLSGLQDRQRVRQLSHTFLQGPQYWKRVIDEMDPKDLKG AOR_1_370194 MSPSLVAPEPEHCPGPESEQAGQGDACAGCPNQQICASTPKGPD PDIPIIRERLSQVRHKILVLSGKGGVGKSTFSSLLAHAFSANPDSMVGLMDTDITGPS IPKLMGVESETIHVSNAGWSPVWVTDNLGAMSVQFMLPNRDDAVIWRGPKKNGLIKQF LKDVDWGELDYLIIDTPPGTSDEHLSVNSLLKDSGVDGAVVVTTPQEVSLLDVRKEID FCRKAGIRVLGLVENMSGFVCKNCNTESQIFRATTGGGKRLAKKMGIPFLGAVPLDPR IGMACDYGESFVDGFPDSPAAKAIKQVVRAVGQLVGEDPDTVLPDDTAE AOR_1_372194 MRFLLSFLITLSIACGVLSLPSGSKSQLKGRSFKVERVRRGNEP VHGPTALRRAYEKFGIVPTDLGIDLDDFEPIMTKHAVVEKKDVTEPDQKGAVSASSVL GDAAFVSPVIIGGQKVVLNFDTGSADFWVMNTELPAEAQKGRTVYNPSNSSTFKKMEG ATFNISYGDASYAYGGVGTDTVNVGGAIVKDQAIGIPDTVSSAFIEDTTSNGLVGLGF SSLNTVKPKQQKTFFDNIADSLQEPVMTASLKANGVGEYEFGILDHDKYQGDIANVSV DSSKGFWQFELAKFAVADGDIQTIKENPTAIADTGTSLMLLSQEVVDAYYAKIEGAIY ASSASGYIYPCNASLPSISVAIGSNHLATVPGNLINFSEVGINKTTGGKVCFGGVQSN QGSSMQILGDVFLKAFFVVFDLRGPSLGVASPK AOR_1_374194 MAINSAPESSLLSLIYRSYPTAVSPDATETDLLTVSPKIFPQTT FSAPEEADIKQWLGTISGLQAALTKDEKPVITTILGQLNGHLATRTTILGSKPSVADI AAYALLAPVVEKWSPEERTGEQGYHHIVRYIDFVQNSRLFALRIPEEEKIAIDVNDVR FVPKPVDAKEEKERKKKEKAAAQNAGAPAESKPLVVGKGKPEAPKEGAAPAAEGKPKE KKEKKEKQPKAKPAPAPAAPPSPCAIDLRVGHILRAINHPNADSLYVSTIDCGDAPGT DNTSLDEATGKTVRTVCSGLNGLIPLEEMQGRKIVAVCNLKPVTMRGIKSAAMVLAAS PRVAEGEDSHAGPVELVSPPADAPAGSRVYFEGWNDAEPEKVLNPKKKVWETYQPGFT TTDDLEVAFEASAVPAVQGQEGKPALGKLVTQGGVCTVKSLKGATVR AOR_1_376194 MRAVRFHGRGDIRIDQIDEPVCQNGQVKIRPSFVGICGSDLHEY LSGPSTVPETPHPITNATLPVTLGHEFSGTIEEVGADVTRVKVGDRVAVKPNLYDGTC ARCVQGRVNCCRNLGFIGYSSNAGGLSDHVVVDEERARLLPEEIPLDIGALVEPLTVA WHAVTRSPVATARTALVVGGGPIGLAVVQVLQAHGVESIVVAEVSAQRRRFAATLGAT DVLDPRAEDIEAKVRSLSGDAGADIAFECSGVQAGFDSALKGVRARGTVTVVSLWEEK PVIDAWELVGSEKHIIGSAIFDGDDFEAVIDAIASGRLKPQSMITSKIRMEEIVEKGF EALVNERDKHVKILIDISA AOR_1_378194 MATPLSGTAIITGGNGSLGSSIAIAIAKAHPFVHLLLLARDIRS DSVKELRDKIRLIGPRSIEVARVDLTSFNSVVSFTENTVERVQNKEIPPVTLLVNCAA VASYVADQVTRDGFDPVYQTNCIAPFLLTIGLLEAFRAGDGTPNGGARVINVGCSSMS KGSLDYFDKHDFGNQQPGTPLSSKEGNARFGSSKLIMSAAMYALRRSLVLKGKIPLNI YTLDPGGLTGESHLTENAPRSVRMAHQTRSGLRPFLRVFSKSAMNNPSVPAKIITKVA FQRDTVEQWGRERYYILDNDYEAGSVLPVLRDPRKMEALLKKLMGQIEIGVKGMGSPQ SRISRIN AOR_1_1870194 MLSSMLGELQADSDPHMPFGEISVIHKDFGQKVTQDVESRHGFA AQAGRRKLMAQARNWLLSATLRPTHSWVYWRDADVETAPFTIIEDLMRHDKDVIVPNV WRPLPDWLGGEQPYDLNSWQESETALALAETLDEDAVIVEGYAEYATWRPHLAYLRDP YGDPDMEMELDGVGGVSILAKAKVFRAGVHFPAFSFEKHAETEAFGKMAKRMGFSVVG LPHYTIWHLYEPSVDDLRHMEEMEQERKAREKEEKEQAERSERVNTLFQDAKTESEID NAFVRDDMETKGKEQKASEDSKKPEAESVEEMKADKSSKAPEQEEQKAPEPLKNKNLK DAEEVSAVKPAKE AOR_1_380194 MYRISAQRFNSKATVHIDTLGGESIDEAKLQSFNRKVGDYVKQD DVLAVIETEKVALEVYAPETGVIQQVFVEEGDTVTIGQAIAEITIKSKPGDGKE AOR_1_382194 MSTQSASSILFTHSSRQQGFKLLELPAELADLLTSKEAPTLELK SSLPQQPTTITTDPENREYVNLCTPTKTYLVRQVQSSNSIHIIRPSDSGVQRGDINIV GGDEDDGLNLVETMTAIAKCGSTLELHTPPEGFSAVPVLERILPVYDEVEGAEQQVEV EERGDLIRRVFADVPVSRAQCEAGWIELCAFVLGCGGGGEDVAGWCRRPSAKVKLDVW RRVVEGAVLQGIDLGKQFLVQDLWRSVLDEGEEPFPRALFEGVVRRVCEVGDGRLFED LKWASIDRACCTQWVGETYLEAMAPTTASSIGRSEFLNAWKDLLPESWRDDVAFSKLT ENSYKHPDPTTICFVYRTDRQKIKKNVSTDASSATAAKKTRNWHELFKDQKRQKR AOR_1_1872194 MIAADNSYLDNTALPLGESPELILVQATPEERIAAIKLNSPAWK GPLDLDAYIARENHLAQQALTKDGLTSWLLVVRGQPEGERTILSSCETYKKKAVLAQN GKVEHVSALSIGSVFSRPEFRGKGYAKRMMQELVKKIDACQTELKATNRVPFSLLYSD IGKKFYAQFGWRPYPSTHFSLPPLPKDEYDRAIVRGNLPKARTLVAEDVHRFMCNDDV VQKELDILRVASQKSASAKVAVVPDFDHFKWHWAREEYYAKILFKDRAPPLIKGAGDE QSKVYCAWNRNFGETAEENTLYILRWVYDEPTSPEETEKTAKAMAAIIRRAQLEAHAW NMSKVQFWNPTPVLERAVAILDPTAQVVHREQDSVACLRWSGAEQNKDVEWIWNEKYA WC AOR_1_384194 MDGDPMGFGFPWDQNVDDLRVPFGDERLLLGEIHHMHWGLDGQN DDLGFQWNEPSLPAARPAKKMRSHCPSAAVETAGLINESLPIGLDDVPTPSRHPTNPA LTVLDDPIPTPTTDPALLPQILEIFPDISHQYVEELIAQHKDTMSANGGDTPFAAFGL FLVKETIIEEILENPSYPKQEKLKRKTEEVDEDDDHWIKPRALHDAHDYRKQACDILA QEFLWISIPHIRQLISSKKGLYSAYITLHHEYIYPGTQSPHLRLKRPRPSLKSSMTWD HDLISELNAAKRRAAKDAATHRKRKEEEEADKLNEEEHSRSGNLVECQCCFSDVPSNR MVPCEGGTVHLFCFRCIRKTAETQIGMMKYELQCLDMSECKAKFARGNLKKALGSSLM GKLDHLQQQHEVEQAGLEGLESCPFCDFKGICPPVEEDREFRCCNPSCETVSCRLCKD KSHIPKTCDEARTEKGLPARHIVEEAMSEALIRNCPKCNVKIIKETGCNKMICSRCKC VMCYLCKKDISREQYNHFAKPPTYCDTHDDRQSKRFEIEVEQAQKAAIDKVLKENPDL MEKDLQIGPERKDIKTHPKPKPRRTQPGLRPTGWYTQEPMPNQRQNILPQLGYQRTAA FPNLPQFVPFAPAFVVPGMPYAGPQFPLPAFEPHLNPHAATIIDASPQGFNGSTPPPQ NNFLPDPFPLTTNTGNAKGKGNLPSQPKYPALGNYDIPRTLPGQNANMPLWLDGPFNN F AOR_1_386194 MATEIPMDADEALKGFYRDNFDIIFIRLQWQDYSGVMRALVLPI EETFSILEEEKQPFHVPPLLSNCSVTNQYLPDASARHVQWLVPDWSSIRRASDPKSAI VMCGVVGTTISKPVPNGDLCPRRALVDVVRQARESWGLRFLVGFEVEFQVMKVSSTGE YVKHSQGPGNFSVSGLRDPCYKYVQQCVQQLLDLGVHIHGIHSEGKRGQYEIALKPLP PLQAVDQLHFVHDYLKDTFAQHGYMATMAPKPIISDPHTIGQHMHISLQPADSYHEAS FLAGILKRLPMICAFSLPHTLSYERRLPFLAGETVCWGTEARIAPIRKIETSHWEIRC IDATANMYLTLAAILGAGLLGLAGQEPLLWPDLGDFTTESKTWEEPLPRTLQESLARL AMEADDFGTVFGQQLIQRYIELKQYEISLVGGMDPLKLRQLFIELF AOR_1_388194 MPKTNNLDVFEQRYDANFYETMAKIMALRQKYLQDRFIFVEGED MVPILKGLGAKDADFELLKSITDQTGADPTLEYRTASFGRYCIDFETRSIRRLEQQPY TLTVQEDYKRHDSAIQRTFPETPTDMQENTVVQALMMFKALVFQNVPITPRDRLDYSS QSWESSGNRLLRVFTLMAQITRCRFF AOR_1_390194 MPIASESSTTVNPVTTTMTTFSAPVTAPRSMPNNQSALAASFTN FLTVSIHQILFLRSVYPRATFLPVRAYNYPVRQSRHPKVCDYINDASIAVGTEILKGT ITAVSIIISSLRTNQPLERYAFDLSGFPRVPAGEINTTFEDRKEDSSKPGAPLSDRGS APPADLEAQFRACLARLASACARLTPLPRDDEFSFTVCIEVREDALPPAGTTTEEQTW IVAEPGKVHLRSCTAPYSVSRLRNGEPQQPPPRVSNGRAKTVPVRRVEAGELRLELWV EEARQKFNEPVDSEHPP AOR_1_392194 MEQELLSLLADTQSPVADTRKSAELQLLRLYSNENFPLSLAAIA SHDSVPTNLRQSTLSVLRTFIAAAWSPNLDEFKGQILINDVNKSQVRRALLDLATVTE TPERKVKSSASFAVSKIASADFPEQWPELLPTILQIINDANSTASALHGALKVLLDLV DTGFNEEQFFNVARDLLTSLFNVATNESRKPMLRALAVAVFRSCFDTLEMVLEQHKTA VKQFMDEVLGGWFPFFISTLKAPLPQAPNEEEESKEGEIPSQWRGIIGLKLQVVKTLM KIRMVFPALMTGQSPVFFSTVWTELSNIQAAYYEFYINDERQGRLEDVDGLPYTLDFL VLEELDLIQTLIKAPPVKAELQQQLQNAGQAATSSSWLPEILKLAGSYAQITTEEEGL WDIDVNLFLSEETSVTANYTPRTCSGDLVVKLGEWLKALTAEGLLVYLNNVFADSSST WKTRESALFILNQLLRDFHEVSQSISPELATGFSNLIQFSLQQEHEFLRARGYLVAGI LAQVAGEAFSATAASYLEATLKAISEDPSEVVKVSCIRALQDLMPSLPASMTTPLQIS VISAISDFVSEHDLSEPSDSDDLKVTLAETLRDTIMVNPSVVLSSIAIDVLFNIASNG ATNFQLTMIVTEAFEDIAESVADQGHDSFVRLCEKVLPSLTGAIDVGNLTQENALTNF AADLLRALAERALEPLPAGFVEAVMPKLNRLLLDSTDAELIRPATEAVRHMLSHDFNQ FITWRDPQSGKEATEVVLVIIDRLLGPAVDDNAATEVGQLAAELVEKAGSERLGPYLP QLLRAVAQRLATAEQAQFIQSLILVFARLTLISAREVVDFLAQVDIGGQSGLPIVISK WLENSVNFAGYDEIKQNIIALATLYNLEDPRLAQVQVKGDLIIQETGRIKTRSQTRNN PDQYTTVTAPLKIVKVLVEELAAASGSKEIDAATAAALEEEDSDDDDWEDLPSNTLDL SLGVTKQELMAFGEGGTEGVFGVRKRDDETQAFLLDFFRNASTKPEFQQLFATLTPAE QDKLRSLG AOR_1_394194 MVHPNIDEHIVVDTSDEDSLCDAQSIIDSNFSLHSTVRDYEYEN GRRYHAYRNGQYPMPNDEEEQDRLAFMHHLFKLLLGGALYRAPIEQAQTPQRILDVGT GTGIWAIDIAEDFPGAEIVGTDLSPIQPNWAPPNCTFLVDDAESEWAFSPSEAFDYIH VRSLGGGISDWKKFLKQAYNHVKPGGWVEIQEYETWLRSDDGTAERAPMLMDWQYKID EASRLFGRHMNVAPNLAQWMEDAKFINVQDDVYKAPVGSWPKNNRLKEIGRVGRVTLY EAVEPYTLALFTRVLGYSPEDARNYVDKVRAELLDSSHHIYVLYHYVYGQRPLEDDTN AQGMNTDI AOR_1_396194 MTVQSTPHLDALQRDGFVVVRSILSPEEVAQFRTVSTKATTLTR TGHWPHFRTVPKQFPPWPTTPPPASEGGIWGVQHLLHPSMPGRAEFARFYFSEKVLAV AEELLGVTRTEGNEEEPLVMELFNLLVAPETKDFELRWHRDDIPETVGPEEELKLLES KSPQGKQSHAQYNLALCPDASLIVVPGSHRRVRTEAERNAGPYEPDMPGQLVVELQPG DAVFYDSNILHRGVYRGKAEGGEETRLTLHGSIGLKGVSGNEADKKVRATAVLQHGVG AWVHRDDAAFGVGERAEKMRANLVAMGTGEGVGYSLQG AOR_1_398194 MASGTNMGFNALLIICLVLVVLPSQVHAFGAGNIASISAVEGKN WRHGDIEDMLKTIAFIKGHKWTSTMIKRVYFGNWLRDYSQAMDVGTLKSVPADTIRIL VWILAFMTFGYATAEFEVTSERLGTYRPEEHIDNPKDYADNEDARQYDSRLRGPVRQV ELDIDPNTGMKNYIANERGDWSTSSGYIKYSLSRSIHYGRLYTRNGNEEDLCEALRCL GQGLHTLEDFAAHTNYCELALREMGFRNVFPHTGQRTEMNVRGHRVYPLVTGTFGMVD FFHSVLGEATDHFTQSEVNEMDIALGDAQTNTSSGSASALTALLGKVPGTKDLVNQAE ELKRRSDEQESANRRGGRRSGYSTRGSTRSYDDYDSGSSRGFGGERSRAARADDSNQT SSGGLPDFDANNTIQQIYPILQFRDNVVRKLSSIIENIPGLETLVETITETLTVFVMS LLAPFVRPIINAASKQLQAGSSSVIDASGQHQYEPWTDPHCTDPTHSLLSKDHFSNIL NEPAGQVASAILKYVAPRVLYAWENTNISDRQVAEDCLQVFHHPALRDMRNEAHRTMY EAVESWVQSRPDRGSELNDILSAEGVKAGKNQTGEGGHSHGHGHSHSHAAQQSHTQSG QSQYRPPQYQQQQSSSSPFDQLSSLPIPGISKLSSAFSSLGLGGFSMGDETSQQTAPP TMNLATKAASRITLGASTAAMRVVNTVMEVERAQTTTTSHRPAMDMVITPNLKDIANV ATVKRKVIVMTVMKIMTLGITYTLDKTTVMAVMKIMSLGITYALDKTTAKATDKNMAV DMAVDMTVDMAPVDLVGD AOR_1_400194 MTTITKHIATTIRPKPSILLIGKLHHSKSEWSALQTKYKTYQFI GNRHQFLQNCQSGIWDGVAALYRTNSTLETGPFDKELIHSLPLTLKFICLNGAGYDGM DIQTCTERGIRISNTPKVVADATADVAMFLMLGALRQAMIPLVSIRNGQWKGDTPLGR DPGGKVLGILGMGAIGQAIAHRARAFGLKIIYHNRSKLARDKEDIISLNLPATKKTCY IISKAEFEKMKDDVFIINTARGSLLDEAALVEALQADKVASAGLDVFENEPIIHPGLL HDNRVMILPHIGTTTRETKREMELLTLRNIENALDDGKLLTPIIEQQEGQF AOR_1_402194 MSPPYIFKGSSPQPSNLSTQDFNFRAIIPSVEVADEDPPSSVGG ERTPSVSDSEDSSHRAYTPSQSTATPPRRKNLSLGVTESFRTLNLEGTLTSHRTPSWR HDARRQSTGLSPGRSTSTYGGLFNATPEPTPEPSRVQPTLNRDSSVDGLVSDLENSHL HGGGPPSDDSDQLSESDDEDDDNATDSDDSSLYNVRCERLPSAPIYNAGLQEILRDIK SQLSALQNDMNRCSLSRDRGSDLFQLHEKVRMLNELDCPETRTVGFIGNSGVGKSRLI NSLLDQEGLARSSGDGAACTSVVTEFRHTDARHPDRYAIEVDYMDGEEVKELLEELVQ SFRMYYTDLYREVTSIEEQERIRDRSTRAWSTLNSLFRDQPELTHEFLSDQADGALSG ILERLKDWAAQSCTRRPGGAALQHTIIPGNVQECRSHLDMLTMDPRGESEVAIWPFIK LIRVYLRSPVLRTGLVLADLPGFRDLNFARVRATERENQEDAKLELDRFLVESRNLRV TNQLRRNHGAEVRVFCISNEWYSKYRQDANRHTDTYIELSGIRELRRYCQLVPAEAQF RFTAAFIEHRVPAVVRSVKQWALAGSDDVTAERADALRQILRDVENVFREGSGLSFEI QKFESFQEDLKIGFVTASSMSVAPYGNAAHYKLAEGGNVGIIAHILHSVENMERILPP VLVVIIVGMKNCWKKTALEETVRRTFEENTGKVEDIMHLAPLALENFIDNMDDWENCV MAAINQSLDQLVLGLSRVETDTLHGHDSSYVAGLMRPVYNECNSESGTGSDARRKMLM RSHLTSSNIFPNLANISEAQCRAVIRNTCQDMRRMVDEVVGNICNDLHSIVAEEGEAT EARRFPEMASTLQRKVDAAQATLERAQRIVGDLKNTPDVV AOR_1_404194 MSPPKANILLIGSGGVGTIAALNLEAGNLARVTAVLRSNYHAVT SNGFNITSCDHGILKGWKPSTITNRIPDPTPDSPKYDYIICTTKVITDCPPSTANLIK PAVTPGHTVIVLIQNGLNIETPYFAAFPDNIVLSGISMIDSHEVAPGVIEHGSADDLV IGAFRNPNLDIAVEAAAAERFVGLYSAAGKTKCVLGLDVGYSRWRKLIFNACLNSICA LTGLDTGRIRLAGDAVEMLVRPAMEEIRAAAGSVGVDLPGSVCEEVIGAYPVALYLPP SMLEDVWKGNLIEVESIVGEPLRVGRANGVAMPTLSVLYNLLKGVQWRTKEKRGLIEI PAPGSDVADL AOR_1_1876194 MGILHRPFKTFNHFPNRQGIIKRSVLGQGIFRRFAGLTNTHEPN ATRNNLFCYTSGRWLYDEHSQLSRRYVEFNVQALQAHASRVLGTKCIGITKLPEGLYN KALSLEMEGGGEVLARIPNPNAGHPELVVSNEVATLDFLRNVLDIPVPKVLAWSSPSS ESNPVGAAYILMERVNGRQLSEVWATLSEKQRFGLVKSLVEIERKLVNTIFTGIGSLY YKDACPNSYDAVDKTQLPILKQEAASRFVIGQTTERSFCADERQEQGVRGPWRTAEEY LTAVARREISLIQKSATHRPQDVPAAVRRTQGAINNHIELLEKFITLLPYILPTGEAT RPTLMHHDLHLDNIFVDSADPTKISSIIDWQAVYTAPLFLQARFPSVFDCDDPYPWGA VQPELPDDFDNLSPMEKELFNPLLMKAMDAMQNDDDPTSYIFYLVGQSSSDGLVPLRE LLVQIYEKWDHIAKRKGLTTQCPISFTEEEIREGRCQGEEWAVAFNEYENLRAQLLGK DGWVSNEEYDEAMQVYQSHKDDLEALRRRLEQL AOR_1_408194 MATKPNPKDSMKSTWRTANRDEWNINHWAIELLNVHPTELNKEI PIHQKDEKVPYITQWSLNIWVLFYGALPLLMHQVYATFTGHNLGPIAVFNFYFMAFNA VVIFEVHILRRLGHIYGFLDGDKHDRDGVPDVGIGKVVTSLYKTTGSRIALAVYFTYN INQLPSQLNWPMLPLQIGLYGIVLDFWFYWYHRLMHDVSFLWKFHRTHHLTKHPNPLL AAYADHEQEFFDMVGVPMATYFSLKLMGLPLGFYEWWLCHQYIAFTEVLGHSGLRLHS TGASTMAWLLELLGAEIVIEDHDLHHRKGWRKSHNYGKQTRLWDRIFGTCHDRIEGTK DNIDYVNSVNMPLF AOR_1_410194 MNIDEFLAMEPSSDLDEIVDLRSLDYVTRYDDHLMCAICHCPYI RPVRLQCDHVFCQKCLNTAITTFATGRDEFKCPTCRAPARGVYLNVPRFLVNMCDDIR VKCPFEVEGCKEIVPRGHIQSHVDKYCGYRLLDCPNVFCTKKTRKKDLSAEGKCMHEL HKCSRCDEEVMEQDYQEHVQELCPSLKTTCPDCETTVFRKALREHIDACPEAIHSCAA SKYGCPIKVKRAELVVHEQNCPLITMGPYFEAQNARLDSMELTIRHLQQRNEIFEDGL ASIRSTLVESSRVMSARNLDIPAEANSQTRPSNSSDATNEQYDNSNASSNATTYLLAL HESLREEVGQLSHAITDLDARASMAIMNECLRLKEDMAHTNAAVSSIRMQVQWLMNPR IHQGQRTGVRTNSSNNGNETRSGQLGSSASGPSNATAPSPGFLRPRRLSDSGREGTKL AOR_1_412194 MPNPSQLFLLADHIKLSLLERQRAISLDLEPNSQDGEISRSLES LHDGIEDVERDLSQLERTNDDGAAELKDQLFHLKSQYQDLSSQFSGHSTSAGASGSSP SPEFANVKSSPDLKQPVPQHPPSKSVRFMNSATEEADLERQNLFQPYRDSPSPTGVDQ SDLSNEQIYDRHNEIMRDQDEQLDRLGESIGRQHQLSIQIGDELEGHVALLDGMDGDI DRHQHRLDGARKRLDKIRRSAGDNWSLMTIVGLIIILVILIVILK AOR_1_414194 MSFLASTIRSTIAPRVALRPSYTVTSAFHTSPLRSGLKESDHNR EDLHITYEEHKQDQLRSTKEGKAKWKQELASNSEASVKADRGEVDSDGHDIKDLQEKT KHLPNRDGPVNKTQ AOR_1_416194 MSASIFFQQQQHAHHGAAAHMQPSNNHHGGRSRRGPKMAAQNAQ RQFRGVKSMRELAEAPAVTAFRARFEAGRSFDLDDDLEFCPSLLTEDDLHSIHSAGSD RSSLSSGSPDSSPLQHQIQPVQQVTPSISLSPASSNSYVHSGVTGNLNHVNFQQPSAV RTRKVIPIVNPHTGMTLTSPPTSISPASMQNVQRRW AOR_1_418194 MAANYWASTQRRHWLFTKERLADIRESFRERDKAAHSQFPLPDQ RLLNIYFSQQLIKLGKRMSTRQQALATAQVYIKRFYTKNEIRHTNPYLVVTTAFYLAC KMEECPQHIRFVVAEARNFWPEFIAPDVSKLGECEFALISEMNSQLIVHHPYRTLSEL QPELSLTSDEVALAWSVINDHYLTDLPLLYPPHVIAVMAIIVAVVFKPSQTSFHGTAA PLAGAMRDGGMNILAALGDKNGAGPPPRIQKLVGWLAESEVDIRAVIECTQELVSLYE IWENYSEKHCKELLGRMVKSKNLDK AOR_1_420194 MAPGESKDERDERVTKLWQSLGARKDGRLDLNGLKKGLKKIDHP LKNADSMLQNVLKAVDTNGDGYIDYSEFRTFVDHTEQGLWQLFQTIDRNHNGEIDKNE LKAAFSKADVTVSSAKLDAFFADVDTNSDGVISYPEWRDFLLFLPAYSNLRAVLSYYT ATGNLNPEGDVHINDLQGLGYFIAGGIAGAVSRTATAPLDRLKVYLIAQTGAKSAAVC AAKDGAPLRAAGNASKSLADAVKELWRAGGIRSLFAGNGLNVLKVMPESAIKFGAYES AKRAFARLEGHNDPKQLAPTSQFLSGGCGGMVAQCFVYPLDTLKFRMQCETVEGGLKG NKLIAATARKVLNKHGILGFFRGLPLGLVGMFPYAAIDLTTFEYLKRGLLARKARLHH CHEDDVPLNNFTTGAIGAISGGFSASVVYPLNVLRTRLQAQGTILHPATYNSIGDVAR KTIQTEGFRGLYKGITPNLMKVAPAVSISYVVYENSKRMLGLR AOR_1_422194 MSRIYSALRRTQQISQFITQPRIITSYRSSVSPIQLLPSSTRSM STGNADKITDWVSRNDKSGEFKRQTSIFRNWISREAGAEFPPEKDRYHLYVSYACPWA HRTLITRKLKGLEDFITFTSVHWHLGEKGWRFVTSDEKLPGENTTPDPLHSDVTHLRD IYFANDPDYTGRFTVPVLYDKKTQRIVSNESAEIIRMLYYEFDDLLPANSSQKLLDLY PTSLRSEIDTSNEWIYNDVNNGVYKSGFATTQEAYQKNVTTLFSSLDKIEAHLQSNAS PYFFGSALTEADIRLFTTIVRFDPVYVQHFKCNIRDIRSGYPAIHRWLRNLYWDIPAF RETTEFEHIKFHYTKSHTQINQFAITPVGPVPDILPKDEEVRAAAAVKK AOR_1_424194 MTDVEMNVDNPQETVEKIKQGEIDESLYSRQLYVLGHEAMKRMG TSNVLVVGLKGLGVEIAKNIALAGVKSLTLYDPAPVAISDLSSQFFLQSQDVGKPRAE VTAPKVAELNSYVPVTVHEGGNLVDNLEQLKRYQAVVLTLTPLKDQLAIADFCHKNGI YLTIADTFGLFGYLFNDFGKNFTIGDATGEELVSGIVAGIDEDGLVSALDESRHGLED GDYVTFTEVKGMDGLNNSAPRKVTVKGPYTFHIGDVSGLGTYQSGGIFTQVKMPKFVD YQPLEEQLKKPELMISDFAKFDRPQQLHIGVQALHKFAECHDGQLPRPHNESDAQEVL KISNDLASNQEDKVELDEKLIKELSYQARGDLSPLAAFFGGVTAQEVLKAVSGKFSPV KQWLYLDSLESLPASTTRSEESCKPLGTRYDGQIAVFGKEFQEKIANTTQFLVGAGAI GCETLKNWAMMGLGTGPKGKIYVTDMDQIEKSNLNRQFLFRSKDVGKLKSECASAAVQ AMNPELEGKIVALRDRVGQDTEHIFNEEFWEGLDGVTNALDNVDARTYVDRRCVFFRK PLLESGTLGTKGNTQVILPHITESYSSSQDPPEKSFPMCTLKSFPNRIEHTIAWARDL FQTYFVGPPEAVNMYLSQPNYIEQTLKQAGNEKQTLEQLHDFLVANKPLTFDDCIAWA RHQFEGQYNNAIQQLLYNFPRDSKTSSGQPFWSGPKRAPTPLKFDSSNPTHLGFIVAG ANLHAFNYGIKNPGADKEYYRKVVDNMIIPEFTPKSGVKIQADENEADPNAGNAGSSF DDNAEIQRLVDSLPSPKSLAGFRLNPVEFEKDDDTNHHIDFITAASNLRADNYEIPQA DRHKTKFIAGKIIPAIATTTALVTGLVALEFYKIIDGKDDIEQYKNGFVNLALPFFGF SEPIPSPKGKYQGKEGEVTIDQLWDRFEVDDIPLQDFLKHFSDKGLEISMVSSGVSLL YASFYPPSKVKDRLPLTMSKLVEHISKKPVPEHQKNIIFEVTAEDTTEEDVEIPYVMV KLRK AOR_1_426194 MLHEILLSLSGQPSPLFEAHAEEDTVSEDAFPLLSPPEKALLAS LARLSRLHAKLRAHTALISSSHSSVICRAASTAISTQHLGDFQRKVLEVEKSILVEDS GYVGGYGIVPLSTIVGEFSPWTRRLEWLWEVARFILPNHKKANNQSCTGAALIDYLRA ESQTGYIDIGEMALHLISAAETAWMRQLSTWLLYGNLPVLGKDDFFIQEDSIAESNRT PAVAQFVLRTDLQPKFVSPDAASSILFIGKTLNLIRAKRSGSRTGPPAGLSTTPVTLH GEHIEHFATLKSPISTAKLSNSINSIRLSLSQSTLSKLLPLPKILEILAVLHDFLLLR RGEFASALVSHADARLLERHRRPGNLASKGKFAEGLQGLSIKEGDVANALSQALAELY SLQNEEDPVDDELDLARSLLQLSMNDKRKGHSVAATPSAGGSDHLANISDIPFDDLLF PSPTVLSAQVHPPLDLFLSASDVLIYSKIHSYLLGIRRAQLHLGDLWKHTFLRKIHPS PLGPPRSNSQFGQNKLRAGRQRDNIRTRQMRPVWATCSASLFVLSEVGSFFQGEVING SWQHFRGWIGGAPASSTNSRPGTSSSSKSKPSYNSMVSEEMSEAGLDRSIQSPRTRHD PETLTVAHRRYLFTMVQALFLTDRPFTKALRFLLTSVDHFIALVVRLESIQRNMDLET DEGVVDSLVDYAGEEKEVWQSLSAARGEVETGIKVLVARLKDIDDSRSGEGRTMFDMS KNPRENWSMYQQNGTGTEANLGHYVPRKAAGVDRLLMKLDFGNANGSIGPAAFVSGGY GNAGY AOR_1_428194 MRSALSQMLFQASRSWQPSTTLRPLTRLSYSTTTTTHGAHHIQT RMPPKESIKNGHRFKEFDLNDRVYAITGGGRGLGLAMAEALMEAGAKVYCLDRLENPH PDFMAAKEHSETNYGGSLEYYRIDVRDDAEVNNVFAEIAGQNKRLDGLIAAAGINHLQ SALEHSQTAMNEVMQINYNGVFNSATAAARQMFNYQQKGSILLIASMSGLIANKGMTS PVYNSSKAAVIQLARSLAMEWGRHGIRVNSLCPGHIITPMVEQVFQQNPASRAVWEAE NMLGRLAYPEEFRGAALFALSDASSFMTGSTMLIDGGHTAW AOR_1_430194 MASLFPQPTEAAYSTSSNTTPSPVQYTPVSDRSEDESGPLNRPP PGSLKVQTQFSADEDDRDDGYDSYDDPEEKPDTGFPKYTAIEEKQIVKKFDRRLVPFL ALLYLLSFLDRSNIGNAKIAGMTDDLKLSSSQYEWLLTAFYITYILFEWMTLMYRLVP PHIYISLCVCGWGLVACFQSLATSFGSLILLRALLGITEAAFGPGVPFYLSLFYKREE LAFRNGLFISAAPLASSFASSLAWVIVKMSSNGPIAPWRTLFLVEGFPSVIVAVFAWI LIPDSPGSAWFLGPRQRMVAELRMEERKPDHHEPRRGKFNWKEVRITLADPKSYITAF MFFSCNVAFSSMPVFLPTIIKDMGYSSVTSQALSAPPFLFAFIIVLLTAYLSDRNRKR SPYLITHALLSSASYLIIALTGHFHAHLPAAAHTTIRYLCVYPATAGFFSAITLIMTW TMDNRVAKEGKGASIAIMNVIGQCGPLLGTRLYPSVDGPWYVRGMATCSFFMVVVAIL AFVLKVMLLRACRKVEAEGDEIEMHGGEESEVLMGGRSIGRRVEEKFTYII AOR_1_432194 MASSWLPSPWKSRRGRRVDPERTVDDLVRKYYTTNQQSTSDILR EILGSPEQASLLFSALRRQVSLIKCRSQAFEDGQITTYDRALLKLSKNGENLTDTGAL ELYLTEFLGIVPISPTSQSRAILAKQLDLESVLSRASAHGTPPETAIDRKEQSETLFV DQAHVKPEYKFEDHNDNYYVEHTGLNISSTRHAQEVFDRIDRLLDVYHRAKDEYYKAL QTEGFVSLEAVRFLRDTAENVLRYLHANGLSDHTSVPDVEQVFLIARDKATQLTGGRK RHFDEGIERHGRKRKRRALDSYRPRK AOR_1_434194 MVTMEKSENRPYGQYHYVEIPTSPDATPMHARRGRISRLAVALS FISLLTLSTVFFAIPGINFSVCHKMRGKFGALLSTPKGGETPVPASSNKVPLEAHIMS KCPDAQDCLQKLVVPAMEQISEKVDFELSFIASVTNQSSDIHCKHGPGECIGDMLMLC AQDLPFSPEGETEKTTRMPTIRSLGFANCLVGQYEDIPDRTLVQNCALQHGIDFESLN SCVSRQEDDPTNGDLSGLALLRQSAVHSADLEVHTSCTVRLDDSVWCVRDDGEWKNCA KEGKGSQVSTLVEEIERLWDEKN AOR_1_436194 MSDNISSLSFLHGPSEPALKSYSIGQLLNQQAAHFPTKEAVIFP TEAHGVKAGDRIGVFCGNCVGYVEVFLAATRIGAITVLLNNAYSTTECLNVLRTTATH IGQRDLTSCLRVLKASLDGDELPALKQIILLKTDGDISKQFQSFASFLGQSSTIPDSR LCEIEQKVQPDQTCTFQFTSGTTGAPKIAMLTHRNVISNAHSIGHRLLLSENDVICCP YPLFHISGLVIGLLSSLTYGAAIVYPSPTFDPSAVLHEVVREKCTGLHGVPTIFIALL ERHRQLKTSPIHVRTGLIGGAPIPSALLKEMHKAFGFEDLTVAYGMTETSPISFMSRS AEQPSDVVVVHRDILPHTFAKIIDSTGNIVPRGIRGELCIAGSGVQKGYYQNPEKTRE ALKTDHSGVMWMHTGDEAVMDTQGHCVITGRIKDIIIRGAENIYPAEIEEELNKHHAI SQSCVVGVKHETLGEEVAAFLQGTPGQPRPSGAEIIEWLQLSLGAQKAPAWWEDQEE AOR_1_438194 MADIPSTTKTRLETVSSKPPLESILNTYDFEKVASQELSRKTWA FYSSAATDMITRDANKSMYDRILLRPRVLRNVNKVNTQTTILGCETGLPLFVSPAAMA KMVHPDGELAIARGCAKYGVGQCISTNASYTVSDITACAPGHPFFFQLYINRDRAASE QLLRRVEKSGIKAVFLTVDAPVAGKREADERVGADASEIIYTAPMTGAQGVGDAKGSA LGRTMGRYIDASFTWEDLKWLRRSTSLPIVLKGIQTAEDALMATEHGVDGIVVSNHGG RSVDTSTSSIAVLMEIRQCCPQVFEHLEVFVDGGIRRGTDIFKAICLGAKAVGMGRQF LYSLTYGQEGVERLIEIMKDELETTMKLLGITDLSQAHPGLLNTLDVDHLIPKRLGES YSGPVVKARL AOR_1_440194 MPKKRQRSDGDEEPRVSSGKGLRLTRSRVCMEYCISHDISQQFL HEDSAWKTQTTADIALLRAAVNHLLQQTKQPELWTFSNSQVVGSPRPCSESHNVNQPS MAPMDMSRENSPQPQEENSSGLVTVPMRNLYELTRLKNLRVNAGWRPNSSLIQDDFIS QGVVTLAEAEYLFDRFMRINNRLLWDAVVLTIGALHTPGKTEAFHQSYDVFVSLVCGS SLSRNHSVDDVRALCIGAFYLANLSWKLSGQATRIAAEIGLHQSYQKLIQGDNTQRDR VCLWYATYVCDHQFSIAHGRPPAAVDDESIRNIERFMHTTEVHPGDARLAAQVALFAI LTEAYVAFGNELDRPLEDKDFDKLWVSNVAIDQWRLHWQPRSKDCAALGSYPSKALVL YYHFARFQLNSLALRGINPSGLTSNPELTSKSPLSLAHREAANAAIAAATSTLTLILE DDDLRRAFPGVPIFTYTMVAFCATFLLKMAATWGKTSTQFGLAVNTRHIVSLVQSSAD MLADVAMSLNERHLAKHIATGMLEMLQQFRALDESEINDLNDRIEGGDRNHLPALPSE TNDVNAFWAGDYNFYDLGGTFGFGLDETLLGQMAADNFELGI AOR_1_442194 MAPITTAAAEKTKQEEYDDIHEDPIEDEEDEGSDLDPSQREYLE HHRKLDQFLSPLSLDEAVLYKLARRFSSVYRDLALHSEEQFLPTPVTHLPTGLETGRY LAIDVGGSNLRVAFIELLGDAANSDIGSTDASEQSTTIRRAQRQRVRRTLEKAWPIQE HLKMDNAEDLFSWIGDRIAEVVAESLTSDATKGKVPEELEMGITFSFPIMQESLSEAT LMPMGKGFAITSDLNLRNILLSGYERHTRRPDDEDEPSSKRRKLFALPKLKIAAITND AVATLASLAYAVKSLPNSRVAMGIIVGTGCNATIPMKLSSLHETKANHVKSKDSEAVE TVINTEWTLAGSAPPLKELDIITKWDIELDRACARPGFQPFEYLTGGRYIGELIRLIL FDYLTNVRGLASKELPANLVQEYALTTTYISDNVARARSDLELADVLNHSLPSPESSE WQWDAVCAGAFRKIARTVQKRAAGLIAAAVVGLLACANEIELKVESTENSPQPSSAAS PEHNGGADVTAVTNFLSPSTSKVSTNAQRGNGPIVPVLSPTPTPADWQSGPEELVVAY TGGIIQHYPNFKNMCQQFIDRLIMRTGPQKSGKSVFLREVSDGGVIGAGVLAGMVANR AOR_1_444194 MISQMKKVPLLRVPARFLPVQSVYSPLRQISSTAQRLHDTKKGA SYESSKQLETTAKDNLTSQTGKKVKPEKAKTVSQADEELRQKLEQMSGGGGASGIEYE DGKPSAMKRSVRNNMFRYI AOR_1_446194 MSNAQAYYELYRGSSLGLSLTDTLDDLINDGRIEPQLAMKILST FDRVITEVLADKVRARLTFKGHLDTYRFCDEVWTFLIKDVTFKLDNQTTVQADKVKIV SCNSKRPGEA AOR_1_1878194 MPRTLPWLTGTGNGKSSKDSKPKRVVKEKSDKNNDSDATPRARA RDPSPKKRDFFRSSPTPPSSPIHRCPSEEFLIEGLSNDDLYIMVEDEFNAVAQSFTQH LHYAEYAKRKKEVKLQNAAAIQNLARPTDGVTPVSDVTRRRDAADALSARQKAGLEQV QSKRPQVDSEEENEEIEDETWAGTSLHGLMMSPRKARSLVGMQGIKSSTRAAAGFSQS SGTGRDSAAINSSPPRIYEAAKSIDVDETASEDDDLDLQYETPRPTQKARLISSDSMS SNSRHSPLTPTKDRGRKMQSINARYKTPTATQSKRRLLFDDDFVELPELQNSDVQVQG RGSSPSIRKTRRETPPRGNNPQSKKSRLNEVPTFLL AOR_1_448194 MECFRQIGRLVKAPFQRDSHHKALEIGPPTNFRKEEMPTFFPDD DAQTLHSHSSSLEKDSMIKTLEREPSARQRIKNNVRRLSVRVARPVSEHED AOR_1_452194 MSLETITTISPSTNQPVVTRTGVTSEDLQRIPEVAQEAFRSFSR STTLKQRQEIVTRALDILEKKKDELARELTEQMGRPIAYTGVEVLTAIKRSRYLTKIS DSVLGEEGVVPGEEEKGFRRYIKRKPVGVAFIIFAWNYPYLILVNSLIPAILAGNAVI LKPSPQTPTIVEQFAAAFAEAGLPQNVIQYFHCGSPTLLETIVRSPLVNHVCFTGSVA GGLAVQKAASDRIVNVGLELGGKDPAYVRDDVDAAWAAEEVVDGAIFNSGQSCCAIER VYVHKNIYNTFVEEVKKVLSKYRVGDPFDKQTQIGPVVSKRAKDTIQAHVADAIQKGA KDETPANETFENPPAEGNYVKPTLLTGVNHDMIVMTEETFGPVIPVMKVDSDEEAIKL MNSSEFGLTASVWTKDVAKAEELVEQVEAGTVFINRSDYPSPDLAWTGWKNSGRGVTL SRFGFEQFVKLKSHHIKAYPK AOR_1_454194 MSPLALSPKTVDIVNIFQNDVEFSLVNEIHKGISPPAGVRKSMP TMLLYDANGLKLFEKITYVKEYYLTNAEIEVLETNSRRIVERIPDNAQLLELGSGNLR KIEILLREFERVGKRVDYYALDLSLSELQRTFAEVSIDDYTHVGLHGLHGTYDDAVTW LNSPENRKRPTVIMSMGSSLGNFDRPGAAKFLSQYASLLGPSDMMIIGLDGCKDPGKV YRAYNDSEGVTRQFYENGLVHANVVLGYEAFKPDEWEVVTDYDAVEGRHWAAYSPRRD VTINGVLLKKGEKLFFEEAYKYGPEERDQLWRDAKLLQSTEVGNGSDDYHLHLLTSAA LNLPTSPSQYAAHPIPSFEEWQSLWTAWDNATKAMVPREELLSKPIKLRNSLIFYLGH IPTFLDIHLTRALRGKLTEPKSYKLIFERGIDPDVDDPQKCHSHSEIPDEWPALDDIL DYQERVRSRVRSIYQIEGLAENRILGEALWIGFEHEVMHLETFLYMLIQSERILPPPA TERPDFKKLYQDARRSMKTNEWFSVPEQTLTIGLDGADTNDVPPTTYGWDNEKPARTV TVPAFEAQGRPITNGEYAKYLQANQSRRRPASWVLTHSDENYPIPMAVNGSSVGATQD FMSNFAVRTVFGPVPLEFAQDWPVMASYDELAEYAEWVGCRIPTFEETRSIYLHSALL KERGGVNHNGEPNGHSVNGYLNGMNGNSYSKINPGKPRTPDHQPVQYPSRDALPVFLD LDGLNVGFKHWHPTPVIQNGDRLAGQGELGGAWEWTSTPLAPHDGFKAMEIYPGYTSD FFDGKHNIILGGSWATHPRVAGRTTFVNWYQHNYPYTWAGARLVRDL AOR_1_456194 MKPIIAIPAIIGLVHRAYSRKSLTHLGLATAALTATAHALHPWS APFALLAVFYFGGTKATKVKHDIKAQLTLSATGSEGGEGQRTHIQVLANSVVATVLIL LHTYVLRGSSAECFENGRSAADLLVVGIVANYAAVAADTFSSELGILSKSKPRLITSP TLRVVPPGTNGGVTAAGLLAGVFGAFTVALASAVLLPFCAESSLVDRVYWTFTFTGWG TLGSVLDSVLGGLLQASVVDKRTGKVVEGEGGKKVLVHPHPRAGGATGYSSASASASG SVQQRKGEKGGIETVHESRKIESGRDLLDNNAVNVLMAFIMSVGAMGVAGWVWGVSVF DIAASV AOR_1_458194 MNRLSRSLCQVSSHHFRPRPSFTPLVPKRCIGIPPAFLLDEYIP RYQLLTSVDASKKRSLAYAHLRECNLCPRRCGVNRYEETGVCLIGAETVKVNTIAPHR GEEPCIQGFNGSGSVFFSGCNLRCVFCQNHDIAHQRNGFDLTPEELADWFMKLQQVGN CHNINLVTPEHVVPQVALAILTARDMGLNIPIIYNTSSFDSLESLQLMDGLVDIYLPD FKVWKNSTSKRLLKAEDYTETAMESIKAMHKQVGDLCFTSDGIAKKGVLLRHLVMPGK EDEGREIMRWLAENVSKDLYVHIMEQYHPDAHVGKRKRTMKNSKGEEEAEVRYAEINR AVRDDELGSVRDAAVAAGLWRFCEANENSSMFHL AOR_1_460194 MAQSQTVGLDTLAEGSQYALEQLQLSREANGASAVDGGVPNPLR SSISKPQGQQLYSDESSAQHTQNATTGFRNLPQRDQLAEARSTIRKKFGLTCEYARER KKRGKASKKDLAAAAAAVANNGTAPTSNGNTSNDSVSSAKRHTPSDGQSTQEVSGRYD PNFDASRNLATAGQSQLGQHSDMSGMAGMQGSQQTPHSQPSLGGAIDAIHLNHFNTLN DSNRPQMSVPDLRSLQMLHPSGANTRSPSGALPPQGMNSGYNDGAYSLMNASEANHPS INQYRLGNSAENPPAPFLGLSPPAQSPGWLSLPSPSPANFASFSMPPFSSTLRYPVLQ PVLPHIASIIPQSLACDLLDVYFTSFSPSHLSPQSPYVVGYIFRKQSFLHPTKPRTSD AAFLTSPPSARGRVCQKLLELTVGLLRPLIHGPAPGETSPNYAANMVINGVALGGFGV SMDQLGAQSSATGAVDDVATYVHLATVISASEYKAASMRWWTAAWSLARELKLGRELP PNAPQPRQDGEPEDDTDVDMSKRNLPPLITSVGGNSGSTILNVTEEEREERRRLWWLL YATDRHLALCYNRPLTLLDKECEGLLQPMNDDLWQAGDFAGATYRQVGPQVECTGHSM FGFFLPLMTILGEIVDLQQAKEHPRFGRVFRNSADWDHQVLEITRQLDTYAQSLKEFE ARYTSSLALGAGESEAAIEGSHLDHVSPSGRSTSTAGSRVNESIVHTKMVVAYGTHIM HVLHVLLAGKWDPINLLEDHDLWISSESFIAAMSHAVGAADAAADILEYDPDITFMPF FFGIYLLQGSFLLLLAADKLQGDVSPSVVRACETIVRAHEACVVTLNTEYQRTFRKVM RSALAQVRGRMPEDFGEQQQRRREVLALYRWTGDGSGLAL AOR_1_462194 MDSSDPVIASYDVYLTDSEISRYVLQYLDRLPDYPYDDRHGQKP TSFRLKPKTGLVEVDVPINTRMNYDVNKGLKYGDALKKSRSSQEGGAFGMAGGFSGGS LASGNKVKMEAGGDVEMGGMGNGDSASLLRVQTLGGRIKIPEEGDPVYMLAAFRGNNL HLSPVSAVVQVHPHLHHLDALDELPAKGKGKKKDDDEGGGNEARAIDIKVKAAEDDQA SQLAGNLDLLKKMQEEKWGSYDWVDAETEESWQVYESYMMHQDLENLPQLESAIDSED YLDKMSAPRIDPANPEMTGWAMKQNRMKQNGRGSRSNSFVNASVNVSPDRLRQPSLQS NRYLATAADHSAVEQPPYPPFENSSYTAGHRYDQPAQMASLFPPISKGFNASSLIIID DLLQTRPRALKKHKGIGGDEDEMMANLDISLKVGRIDRAANLVTRLGEYFPIGSPEYL AIHNRYLEEMVSHMVVTRQHNLVLPLQRWFEVDMAHGGVQPDARTYAIMIRMALRMLH GAKRDRAVRRYWSFAKTAGVEEEVLAVPVLSELELGELSEICSSDLRRVAIGSIHSDI ANNPESITDEVPAVRPVDQKGLGLSSLQDSLSMFSTGSDVAFPTDSNYTEEEKLELYN QLRQRQLETDSIRTSLERWRQEFTQMQKSGLDMTGGGKKLGTIMNQWHMGLVSRIQEE LKLVDEAEANPIRTFEQKERYDYGVYLRSLDPERLAALTILAVISTFSRGGMEKGIKV SAIATGIGKDLQDELIAEMTLKKQQTGDARRLKALREILAGRKKKDGRASWQKIVHDF EKEDPAAIWPPRVKAKVGAVLMSFLFDVGKVPVLTEHPRTDKKTIVMQPAFQHSYQIN WGKKSGYVHLHPEVVQIVAREPTADVLGRQLPMICKPKPWNGPKDGGYFIYQSSIVRV TPGETLQPSYIKAAMENNGLEQVREGLNILGRTGWRINRDVFEVMLEAWNSGEAIASL APLNPDLPPPPKPAPEEGLAAEKKWDNAMREIENRRSGMHSNRCFQNFQLEVARAYRN DTFYLPHNMDFRGRAYPLPPYLNQMGADNARGLLLFSEAKPLGARGLRWLKIQIANLA GFDKASLSEREQWTMDHLDEVLDSANKGLHGRRWWLDAEDPWQCLAACCELRNALQHP DPTQYPSRLPIHQDGSCNGLQHYAALGGDKVGAQQVNLEPSDRPSDVYSGVAEFVKEA VAREAKEGIPIAKLLDGKITRKIVKQTVMTNVYGVTFMGAMKQVRKQLVDHYPELSAD DTKGGSLYIARKIFEALGTMFNGAHEIQYWLGDCASRITQSLSPEQIEEIAKEALSPT QSDGGLIRKANDPAQKFRSTVIWTTPLGLPVVQPYRVRKSRRVRTTLQDLSIVDMGSD DVVSKRKQLQAFPPNFIHSLDATHMMMSAIACDRAGLSFSAVHDSFWTHACDVDSMNN ILREAFVRMHSDDVVKRLAAEFEVRYGKNLFLAKVNVSSKIGKAIRAHRRRANHKQAK VQELLEEYKRQKLLQSDDPELQAQGRAMVTPASVFEQAGGADEDLAISSSLGETVVGH VPENLEAAERKTSGMDADSSDPIIESLMTDFDDVLGPKANTEDATAEADLDEIDEPKK KRRGAVHTWLWLPLRFREVPRKGEWDITRIRDSEYFFS AOR_1_464194 MAPVSTAGEAVARVAYLTSDIVVSVQPSLQTDSWFSKPLKALKQ EKARSILPKGVPDVVSVRYNEDPLLSAFHPLQTGDVVSVVSSSSVLLSSIPHLYRLAN FPIVIHVALEPSPFPDYSVISSIRQCGFAFLHSETVQEAQDISITAHALARKSGKGVI HFFDPANSARDEPIAEEDVNVIQSVLNLGGHHATHSTGLGAQTLYTDSGRVATVTDEV VENTKTPEGAATPAQPSQTPSSVSVDNSSVGSSRRDSSVDSRATSSAATTVDASSVRA VSAADIFEWTSQIWRTLSVLVGRNYSAVEYTGPSDAKSALFIFGSTGVFVDALSKEDT ASELKNIGLITARLYRPWVGGQIVNSIPASVEKIAVLEQVRKTTRWGPSFMDLLSSLT PTTAGGRAPQIVGYRLGYVEPSTAIQALRGIAQNLDTPSPIQNLEVGSSKVPTLETAL EQPHLENAYLKILNQLFGERLHIANQLDSKHAGVSSTIAASPEYGFGSLIARMEHRRR FVREVEEASKAGTFATDAPKTSLSNWALSAGDAAKANRLAPDVIDHLSKDDSPLAKQL LESKKLFFDESRWLVGSDAWAYDLGNSGVHHVLASGANVNMLVIDSQPYSERTAADPT RRKKDIGLYAMNYGNAYVASVAVYGSYTQVLQAMAEAEQFKGPSVVVAYLPYNQEDDS ALTVLQETKKAIDLGYWPLYRWNPGNEENNEPKFSLDSERIKRELEEFLRRDNQLSQL MNRHPKFSSVLSESYGTEVRALQKRKAKDSYEKLLEGLFGAPLTILFASDNGNAQNLA KRLGNRGRARGLKTMVMAMDDYPAEDLSTEENVVFITSTAGQGEFPVNGRGLWEFVKN SGDLDLSTINYSVFGLGDSHYWPRKEDKIYYNKPAKDLDARVAFLGGRKLTDIGLGDD QDPDAYQTGYSEWEPRLWQSLGVDKVEGLPEEPAPLTNEDIKIQSNYLRGTIAEGLLD ESTGAISASDQQLTKFHGTYMQDDRDVRDERKAQGLEPAYSFMIRCRLAGGVATPTQW LQMDAISSSHGNETMKLTTRQTFQFHGVIKRNLRGAMRAINKALMTTIAACGDVNRNV MCSSLPELSFFHREAHTVAQKISDHLLPSTTAYHEIWLKDDDDKKIQVAGDAVVDHEP LYGPTYLPRKFKITIAIPPHNDTDVYAHDIGLIAIKGSDGHLEGFNILAGGGMGSTHN NKKTYPQTGRMFGYVPADQAHIVCEKIMLVQRDHGDRQNRKHARLKYTIDDMGVEVFK EKVEALLPDGLRFAEPRPFKFASNVDTFGWLKDEKGLNHFTFFIENGRIEDTADFQMR TGLRELAKLDKGEFRLTGNQHLILSSVKDEDLPAIKELMAKYKLDNTSFSGMRLSSSA CVAFPTCGLAMAESERYLPVLISKLESTLEEVGLARDSIVMRMTGCPNGCARPWLAEA AFVGKAYGAYNMYLGGGYHGQRLNKLYRSSIKEDEILDIMKGLLKRYALERNTDGEEP ERFGDWCIRAGVIKETTDGRNFHEGVAEDEDDE AOR_1_466194 MGFSPTRFLRIPRPETFLYVMSLQTGASLITLSLLLNKISGLYG LLALLTGYHLSPVQLSMYLYSLLALGVATLLFPHIRKQSPLQCLALAWLYLFDSVINA AYTAAFGVTWFLVISQHYESGNASGPGGETIAQTAGFTSPKYDSPSSQGAQYARSPDG LGNAVTQPESFQSIVFICSLWIIRAYFVLVMLAFARQSLRLYIAVPRHTQLPTHSRNT SIASVASVADIDKEPFSPYTPDGQGWKGKLGRAMISVGRNYWLGEDEDGNWMDTIGRR FRARRESTELPGPLERERRRRSGTGPPQPSQSAVQAAALQQPTYEVPGMNVKMQDWSE TR AOR_1_468194 MASTSAVGSYSNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNT YQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNAK SFQNTRKWIDDVRGERGNDVIIVLVGNKTDLNDKREVTTAQGEEEAKKNGLMFIETSA KVGHNVKQLFRRIAQALPGMEGEGSRGESQVIDVNINPKETTTNDGCAC AOR_1_470194 MGLVYPAGAATVSLLVIGGYMLFHGDGEQFNVGQFLESVSPYAW ANIGIAMCIGLSVVGAAWGIFLTGSSIVGGGVKAPRIRTKNLISIIFCEVVAIYGVIM AIVFSSKLNLVGDDEIFSGSNQYTGYALFWGGITVGMCNLICGISVGINGSSAALADA ADGSLFVKILVIEIFSSVLGLFGLIIGLLVTQKANEFK AOR_1_472194 MTDDKLKAAILIVSDTASKDPSTDRVAETLTSFFFSEGLNTWDR PATKIVPDNVLDIQRALCDWTDGPNWVNLILLSGGTGFALKDNTPEAVSPLIHRHAPG LVHGMIAASLQVTPFAMMSRPVAGVRNKTLIITLPGSPKGAKENLDAVFKLLPHACTQ AAGANSRAIHAGGVKKLEAEAGLSSGGKVEHKRDHHHQHHHQLHSHSHSHGHGHGHVA PKAHTSPSERPQSNDPNAGPNRRYRESPYPMLSVDEALAVISEQTPEPVVVEVPVTTA LVGSVIAEDVYAAEAVPAYRASIVDGYAVIAPETADVGPSTKGVFPVASISYANPGGS LSPLEPGTIARITTGAPLPPNANAVVMVEDTVLASSTPDGKEEATVEILTGDIKPNEN VREPGSDIALGSKILQKGDLITSVGGEIGLLAASGTKIVKVFKKPCVGVLSTGDELVE HDDPRKLYGGQIRDSNRPSLLSCLASWGFPTVDLGIARDTPAGELERSLRDALRGVGR ANSSVDVIITTGGVSMGELDLLKPTIERTLGGTIHFGRVSMKPGKPTTFATVPFKPTS STQQVQQERETKLIFSLPGNPASALVTLNLFVLPSLYKLMGMGEKQTAPGLSSTLGLP LVSVSLTHAFPLDPKRTEYHRAIVTASRSDGRLYASSTGLGGVGQRSSRVGSLASANA LLVLRPGSGQIEKGVLVEALMLGPVVAAQ AOR_1_474194 MEREIDFSHILNGDGGKLIKEYANLDPEELEKHVKHIAHQAWAI SKYPCFRHYEFLYSALSHSLLYEQILAQTRAGNLFLDLGCGLGQDIRRLVQDGAPSAN LIGLDSTEEFINLGFELFDDRSRLGCTFIVQDFFEDTPQLDNLVGRVKVINSSYFMHL FDWDTQLRVAKRMMRLAFQEKGALITGFNFGSHSPRDWDMVPPGLPPQYLHDQRSLAS LWGLAARETRMSWSFRSVVEYDENCSILDPEGCRLGWVAELV AOR_1_476194 MLSPAQMLPYEDVAKLNPFYEEYRSFWNMSPEMGDTTLPSDTST NSSQSIGSGSESDQSLYYPEISSLSPVRNFQPFSVGVPATERLRVAVHDGYEYTPTYN THPEAMASQAAILEYDNPRKHPLSPVEEHINICKRESSELDHIDSSLGQTRSSHKRLF GRNGWLGGTADLGNLSSEKHRSKSLKDLRKKIVDGFTEGMAKASLTIIHEPRGMKDDL PQPSIPISLNPSIQATLYSELEVTICVNANAFLVEQYHEGRLPGESVRRINDYWGSKN RPSVVEFQFDQATQHRLISENKRFLRFHGESSTNPVLLNSNLRNWKAIVKEMSIRTFC TPDSVIRKHMHDVYKLLDMLGAPIAALVVCQKLHMRVLSLMVKKCDMPTDSGSNPVSG QSIGPTQSDNQEVQLKIPVGVSRLHLVELPNLKMKPIYWSPLHDISSVLRATWFYKNT MLPVETELANKLEDGYVYLKPWSETWQDELNSCVENGADAEMKIVHRLWPKDDTKRLS SVTLMRDQETPSPVLGGPERLATPSPAIASLDESHAAGGPAAHSEAVKQYINSSVIYV DGRDAQILRPSLLPSVSRGRRPLSAIRKGRQIGIPVIRGFNRRLWDQLHPSKPNPVDV RNYLRSTQSRTVSTASGGRQICYACAIEEMRPAPTDLVLVIHGIGQKLSERMESFHFT HAINAFRRNINMELNSEPVWPHVRQGHGGIMILPVNWRSTLSLEDANFDSQGVEDPIS NKFTLHDITPETIPAVRSLISDVMLDIPYYMSHHKPKMIQAVVKEANRIFRLWCKNNP GFQQNGRVHLLAHSLGSAMALDILSHQPTHVPDIDFSKTSIPGDIFEFDTKDLFICGS PAGFFLLLNKANLLPRRGREKPGCEGDDLVRGVAGEEGTYGCLAVDNLYNIMHTTDPV AYRVNAAVDSDLANSLKVTSIPSTSASFWKSFGSVFRWSSAPSMMAAANVAPSRPAVI SKLPSNVELETHDFTREEIAEKRMFLLNDNGQIDYYLSGGGGPLNIQYLNMLSAHSSY WTLSDFVRFLVIEIARKQGKDHTIPAFRAEKKKNWKVHKG AOR_1_478194 MAQTQEKYDIVIVGAGPVGILLSLCMSRWGYKVKHIDNRPVPTA TGRADGIQPRSTEILRNLGLKRQIMAYKPAKVYDVAFWDPLPGEQGIHRTGSWPSCPR FIDTRYPFTTLVHQGKIERVFLDEIEKAGTTVERPWTITGFKNDGLDETYPVEVQLKC LDTNVIQTVRSKYLFSGEGARSFVRQQLGIQIHHKDPISYVWGVMDGVVRTNFPDIET KCTIHSDAGSIMVIPREDNMVRLYVQIASSSDPDFNPRKTATAEEVQEVAKKILKPYW VEWDRVEWYSVYPIGQGISEKYTLDERVFMGGDACHTHSPKAGQGMNTAFHDALNMAW KLHAVESGLADRSILSTYETERKDIAETLLNFDAKYASLFSKRRPTAGEVGSASHATV ASGGEEEDEFVKTFKSSCEFTSGYGVAYKPNIFNWDSSHPAKSSLFEVPGVRLAAGRA FTPSTVTRLADANFVHLEQEVPANGAFRIFIFAGKQEKTKKAITDLAANLEKERSFLS VYRRPDIADVSFFERHQPHSKLFTLCLVYAAQKNQVDMEAVPQILRDYHHHIYADDIP DVRVPNAKFAAHEKLGFDPEKGGVVVCRPDSHVACTVQLVEGSGTADALNAYFNAFST KPLGQDQQQSRL AOR_1_480194 MLSLVLSAELTGVTDLRPQDTPEDPYYYTFKVQCTSCRETHPNW VSFNRFEQHEIPGSRGEANFVWKCKLCQKTHSASIVAGPNVYEADEKRKGRKVIDIDC RGLEFTDFKADGEWQAKGTESSTPFTAIDLSEGEWYDYDEKAGDEVAIKEITWEVGRG AOR_1_1880194 MSFQPVNPRPFLQTRVGTEMVIRLKWGQTEYKGKLESIDSYMNV LLRDTEEFIDGKNTGTLGLVLIRCNNILWMGSADNVEMTDLGLR AOR_1_484194 MNSHSQNPDDYYNLGSFHRPVTTASSEAQTWFDRGIIWTYAFNH EEAVHCFEKAIEYDPTCAMAYWGLSYALGPNYNKPWQFFDQRELEMTVQRTHRAAESA RKHGITARPVESALIEAVQKRYPQDQPLGDCSPWNQGYADAMGLVYQRFPDDLDVATL YADSLMNLTPWELWVLQTGQPAPTARTLEIKTVLERALTQDGALRHPGLLHMYIHLME MSGAPETALLAADNLRGLVPDAGHLNHMPTHLDILCGDYRKAIASNSEAIRADKKIYA AMFAGQSRVALDTAQELESSIPDELLRRESPPMADWLEGFLAMKVHVLIRFGRWQDIM HLDIPRDEKLYCVTTAMIHYGKGVAFAATGQVDQAYKERELFRKALKRVVPTRMLFNN KCIDILAIAEAMLDGELEYRQGNFDAAFSHLRRSITLDDGLPYDEPWGWMQPTRHAYG ALLLEQGRVEEASAVYSADLGLDDTLPRALQHPNNVWSLHGYQECLMKLGRIAEARII KQQLKLAAATADVRVQSSCYCRRSDTDQVE AOR_1_486194 MSLPLSNDVLLLVGEFLEDHQDRYNLVFVCRRFHDLFLHLVYRS ATLKSCAQTRSFMHAILHKPELARSVWSVHFDDWQQHHPFSSTSPSEQDMTSLNKWAE TISHSHEEYVKWEQDLLQGVEEAWIALLLPLVNNLRQLRLVYPNKNAYLDRMMQRVMK GEKPFDAQPAFSMLQEVSLGHQDSKEDTKGSYMPSQIFPFFQLPSMRMFSADSVIESS PLQEDGHTSTAEALVAGSCLISEITLNSSNGSQGMENLIASCSSLKSFKYQHSDSHLL AEGFQPSAFYRSLAGSKNSLHTLWLDNYGNHLPFTIAGVNETHDEWFGSLADFTALKD IRIRLPNLLDIRYQPEPSTVLTDILPPSVESLYIEGCKENTLGMLIGQLKMVLNKRQT RFSGLRRLDIEGFFHDEEDEDASGYQESAATTGGQKIIKPRVYEMAEPLRAACAEAGI DLFLRDRVVS AOR_1_488194 MAHSSIGRVEDPTYSSEKKDGNGETPPMYDDPFGDEEFAEVKYR TLRWWQCGMIMIAETISLGILSLPSAMAALGLVPALILIIGLGLVATYTGYVLGQFKL RYPHVHSMADAGEILLGRFGRELLGTAQLVFLIFIMGSHILTFTVMMNTLTKHGTCSI VFGVVGLILSFVCTLPRTLKKVSWLSISSFISIIAAVLITMIAIGIQRPGDGHIDVTV DTSLYKGFLAVTNIVFAYAGHVAFFGFISEMETPTDYPKTLYLLQATDTTMYTVTALV IYRYGGKDVSSPALGSTSPLVSKIAYGIAIPTIIIAGVINGHVACKYIYVRLFRNTDR MHKRNLVSIGSWILIGLVLWTLAWIIAEAIPVFNNLLSLITALFASWFTYGMSGIFWL FLNWGRYTSSPRKIFLTVVNLIIVGIGGCLCGLGLYVSGKAIHDDPSNASFSCANNA AOR_1_490194 MQPYFGLRGALLNRAIIWLVVCPAFVCYGYNQGVTGGLLTLESF ARQFPQMNTLTTKGAEQHYNSTIQGTVVALYTVGGIFGSLSCIYLGDLLGRRRVIFIT SAISLIGAVLMATSFELAQFIVARLVLGVGTGGYVATVPVWQAEISQAKKRGAHVVTD GIFIGAGIAISLWIDFGFYFVKGNSVSWRFPLAFQVVLSVIVMLFITVFPESPRWLVK RGRVEEAREILAALADQDPHSEDINMALADIERSLALSGSGSWKDMLTMGEQRLFHRT VLAATGQMFQQMCGINLITFYATTIFEQYLGLSPIQSRILAASMTLTQPLGGFLAFFT IDRLGRRPLMLWSAVGMSASMAILGGTTSVTDNTGTLVAAVVFLFVFEFIFTVDYSGL TFLYATEVAPLQLRAAISAVSTAAVWTFNFLLAEVTPVGFNTISYKYYIIFAVLNAAI VPVVYFFFPETNGRTLEEIDEIFLRSKTIFDPPRLARTLPKMHLAEDVEIEGGNESGG SVAKGKM AOR_1_492194 MVKLKAFLMVLSVSIRVALAYQDTSFALDNMKSFLFLIPLVQAG EVVWDGFFNSSFTVDQLDKWSWSNPVGPYQWYIHGSEATANYLEVSADFKNPADESDE KGIRISIDDTSSWNGQTMMRSELIPQTDADLGSGTLFYHFSLQSKEENAPVAALEHQI AFFESHFTELKYGGDEKTLRWLADGKSQWSTDLVAGTWYNFAYEIDFSAKTVGLWTST GAEALKKVVEPVSAATQTDSKDWHVGELRLDNGQKGGKEDWFWSGVYIEKGEITTAIA GPAA AOR_1_494194 MPIPVPSANSLTDLLSLKGKVVVVTGASGPRGMGIEAARGCAEM GANIALTYASRPQGGEKNAEELKKTYGVEAKAYKCDIGNMESVQKLVDDVIKDFGQID AFIANAGRTADGGVLESTVEAWMEVVQTDLNGTYHCAKAVGPHFKKRGKGSLVITASM SGHIANFPQEQTSYNVAKAGCIHMARSLANEWRDFARVNSISPGYIDTGLSDFVDQKI QDLWLSMIPMGRNGDAKELKGAYVYLCSDASSYMTGSDLRIDGGYCVR AOR_1_496194 MSSDPVEAPSLRRVSTSYDNNFDDSFLGRVRSFFHRDRHVGVVS HNDIRDQEIELHTWNGKNDPDNPFNWSTSYKWTLTITVCIISILTGIPAGSYGAGNNY MAERFHVQNEPFPNLAWATTSWNMGAAFWPLIFVPLTESSGRMPGYFVAYTILVISLF PSAFAQNFATLVVTRFFGGGASSVAINIVGGSISDVWYGDKARSLPMSLFGLTSVIGI ALGPFVGSAIQAIHKNDPWRWIFYIQIIYNAGLIPVFWFLLYETRADVILKRRAKKLR RETGRPIYAEAELDHTSVWKLLQVSFERPTRMLLTEPVVAFFTLWISFAWGILFLFFS SVVQTFSTNYGMNTLQTGLIQLAISVGALIGTLVNPLQDMIYLRSASRNKEKPGVPIP EARLYTSIPGSLLFAGGLFWYGWSSFPHVHWIVPTCGIAATGLGIYSIYMAVVNYLTD AYEKYAASALSAASLGRNSFGAFLPLASYQLFENLGYGWAGSLLGFVGVALSVVPVVL VLKGPEIRRRSPFMRESTFAGAEEKEELEKNETAGGRTFVDGPVEVGDTASGR AOR_1_498194 MTVAPPSPNSPAAELQQRPPRYPGEDTTPTSKREIWGWYAYGIA AEVFAVCGVGSFLPLTLEQLAREQGTFKSSHLPCVGPGSPSTSVNGTAPAMLRRDEAE NDQCVVGLLGLNINTASFAIALADYENNRKTLLLAFGFIGSATSMLFVFIAPPIFVLG ALLVVIGVTCLGSSFVVLNSFLPVLVANDPSIEKASKPAEELHPMSPDGEYIHPRDSF SASDAESGPHPAAEAGSGTSSGPASPELQLSTRISSKGVGLGYCAAVLVQILSISLLF TLSKTSISKVSGTLPLRFVLLLVGIWWFSFTMVTRKWLRARPGPPLNTANTGGQVKRW RVWLRLVGFAWKSLWKTVKIAVQLREVLVFLAAWFLLSDAMATVSGTAILFARTELKM STTLVGLLSITATLSGMAGAFLWPVVSRRFGLKSNHTIMLCIALFEIIPLYGMLAYIP VFKKWGVIGLQQPWEIFPLAIVHGVVSGGLSSYCRSFFGLLIPPGSEAAFYALYAATD KGSSVIGPAIVGMLIDATGQVRSGFFFIAPLILMPIPLIWIVNAEKGRREGVAMAQRL EKGHETEMSEQTEEAEGLLARGI AOR_1_500194 MPSLNAPRARTSKSCENCRAVKRRCDKQVPQCGQCIRTRETCLG YRDEWDLVFRDQTSHTIKRSRNKSEKNGASTAGLYLAPPTRGPDPSLDDIGVNYFLQE FAAGGCCPSRGYLTYIPTAYSADVGHPTLVASMAAVGLVALATYQPELVHHARAKYSE AINRVNNALASPVESVKDSTLMSVISLGVFEHVSNFESWVRHVKGAAALVVARGKSQF ARRPAILMFNQVRADMSAACIQSIQPFPDDMLELQAEATKYTDPSDAFWLLGVLATQC ATLFAGVTAKNNGISLPRDMSWLDFLEVSTALQDDFQYVLDILATQESYTTIQESCGS TEFISYNGQYHLYKTSWAIRLWNNSRMVEIIVCEIICWLIKKIITENPDYPAEGRLKL KSRLQYATHIMSRRGEEILASVPQGLGLILLPDANKPKQPNISGGYMLIWNLYTVGKS PAISHQNRQWVIKQLKDISRTSGIAMAFQLAEDLVKIEQTTN AOR_1_502194 MGAVTYADGIAILQLIIFPFILVASLFIWKRTGWRVGAKIWRYP FMLSLIRLAGSIATLISIDHDSKQVRIAMFVCQLIGIAPLLLAYVGILRLIDLEKKIP HRPLLLVTVMGIVGLILGIVGVSTADTTNGYTPGALPKVAMGLFLAIYVIVMLLTAWL FFTYSFSMRKYQKRLFLAIVLSAPFLLVRLIYAALGDYSSNTTFSMMSLAEDNNTSLT AYLCMAVLEEIISMVIAMAFGVSAVLQADYVKPTREGHLEVKPDNV AOR_1_504194 MVYGGKPSPGCALCRKLRLKCDQWQPSCSQCSRINQGYPGYQDP RALRVYDQTTAVTTKALARAAITRKSPAEESSKTPPLICLSAPIQEQAMSHIFKYYVG TRQNPGVLPYLSDLIHTEPSEALQATIKAIGLACMSRIYHVPELRRSAGEEYSKALRA TNANLQDAVSATSDSTLGAVVTLSLYEIISGHKSQMMEAWLNHVQGAIKLLELRGVKQ FESPTGLGLFNNARLQIVSQSSVTVGLWSPTIAALSNRARTFGDTDSQAIDDFYDILI TFNDLSITIKEAYKNDGFRGNIAPLTGQALRLDADLVSWASSLGLAWQFTVARNSPSF SSGGIHIRSYDDEYHVYPSINVAVLWSHYRQARIVLHEMIQTVCLNVSERQAMPKSQQ IMLKSSTINKHLVKDVCSSVPHIFTSGEAGFGGVARLPWPLFVAADCTNISPHGKNWI AQILDIIATSAGVQQARILSHFIKEGHHGFNLIPGKSKGVS AOR_1_506194 MAVTIPGGQRAPMRPQNPAAFSVVAGAAAAWMLFRWYSPSKPEP KALPFPYEDAEDVIEHRPKKKGPTRIP AOR_1_508194 MEQTVITGTPNALDTTGLCLLSLDGGGVRGLSSLYILKHLMTQL SRERPELGQVKPCEIFDLIGGTSTGGLIAIMLGRLEMSVDECIDRYIKLISTVFEKKS RWPVSLSGNIRSRFDATKLESAIKDVVTSHGAEETDLFNDGCERGCRVFVCTTSHETK DIVRLRDYNVPSKDNISATICQAALATSAATTFFDPVYIGKRKFVDGALGSNNPVDEV EGEAADIWCPGTGDLKPLVKCFVSIGTGDPGIAAIEDKALKFLSETLVNIATETGRTE KKFIARWAKHYDEKRYFRFNVEQGLQGVGLEEYREQGKIEAATHRYLDHQAQEFRVRD CVQNLKLKRGVYIENFA AOR_1_510194 MSNISRILNGNIPHSTQLHIPSSTKAPWTVPFRRNPLFVSRSAE IAKLDDILSNDSAFSQVAIEGLGGVGKTQIALEYAHILRERHPDCAIFWIPVTSVESM LEAYSQIAKELQIPNVGIETKNIQNIVQHRLSQESSGRWLLVLDNADDISFWADKTKG TTTSNLPNSKHGSILFTTRSHKTAIRLAGRNIVTVKAMNSALAKNLLGNTLIDRSLLT DERATADLLQKLTYLPLAIVQAAAFINKNQMTLSEYISLHESTEESTIDILSQNFEDE GRYEDGKNSVATTWLISFQQIRASDPLAAEYLSLMACVDSKNVPQKFLSLTQSATKTS DALGTLKAFSFITNHENSQHLDIHRLVHLATRNWLQMQGTLLEWTNKALSHMNMLFPF PREENRHMWRPLLPHARHIIESLAPSTPTRAAIYLLHIFGYGVLQDGRYREARRAFST VTDFYKTSLGIEHPVTLSTQATLAQIYLRQGEWSKAESLNMQTLDSLKKVVGFESMVT LTSMTAQVSIYCAQERWTEAEKLELQTLKFYERALGTKHPQTLMNKSYLALIYREKGK WNQSRKLNLQILKCHRTALGTEHPLTLISTRNLADDYRYLGLLRKAEALQTQATETSK RILGAEHPDTIRATGSLASIYRERGRLKDAEKLHMQVLENYRKTLGAEHPSTLWAMAP LADTWRRMGRYTEATELLEKCLHLETKVLGADYSGTSEDSRTLRRWHMHQQVMSGCTP S AOR_1_512194 MHSTARQYDSLEGMSRTEPDVEPALPHEEQPLLSSEDIWKPPKG FLWIQIAIMSNVFLSGFDGTITASTYAVISSEFNAANTASWLTTSYLITSTAFQPLYG RFSDIFGRRASFFTATITFMVGCIGCGIAKDIIFLNLMRALTGIGGGGLMTMATIVNS DLIPFRRRGMYQALQNVMHGFGSICGASFGGSIVDSIGWRWCFLMQAPVGLLALITGH LVIHLPRKTDLIEPGQGLRAIWQRIDLSGACVLILGLSSQLVGLSLGGNDLPWSNIWV ISSLVSSVILLGAFVVIETKTSAIPLIPPRMLRGLLPIATQVSNVCVGMAAYAFLFTL PLLFQVILLDSAAKAGARLAIPSLATPVGGVISGIVMSRWGKLAQLVRVGALLMCIGN LLVMSLQFNDAGWKYFTYVIPASLGQGIVYPGILFTFLAAFDHSDHAVSASTVYLVRS LGTVWGVAITSTIVQNTLRSGLAEALSGVPDKWKIIDDIRHSVSAIHDLPPDVQMAAR LVYYRGIRLSFMASACFGFVATIAALFTKGKGLHRANNA AOR_1_514194 MSKQDLKPNHDLSTPSIELANGQVAVTGLPNAPMKQNFSILSII AVGYNISNSWVAIAASFAIAIQSGGAVTLLYGIILVAAIMLCTGLTLAELASVYPTAG GQYHFTSILATSRWSRSLSYSSGLAAVFSWITLSASIALSSANVLMAIIIRWRPEYQP ETWHYFLVYQAMNAVMVLYNIFLTNKTLWVYNLGFVLSISTFLAITITCPARSSIQLD SSHVWTQFANGSGGWPDGISFLTGLSTPQFMLSGLDATLHLAEECLQPERIVPKAVIV TVIVGFLTAFPFSVAIIYSYKDVELSLTTPTGFPIYFIWEKATHSPVAATIFMACMLT VSCIALNAVHQTSSRLTWSFARDEALFYSNKLASVSPTLGVPVYAILLDGLWVLLLGV VYVASSNAFNAFISTTVVVAQISYAIPSALLLYRRRSTDYLPSSRPFKLINSVGYIVN IVTIVWALVLTVFFSFPTVLPATGGNMNYTSVVLVAMLVLGIVNWFAYARKHYHGPRL EL AOR_1_516194 MSYQQQPPYPPQPAYGPPPGNYGPPQGGYPPQQYGGYPPQGPPP GQYAPQPQMGYPQQQPAPQQEKKSHGCLGACLATLCCCFLCEESCECCIDCIECCEMC AOR_1_518194 MLRKRFLLPLLAACGAAVEISVASSGGNATSGLQYGIMEEEINY CGDGGLYAELIRNRAFQGGEKYPSNLDAWIPIDGSALSLKNLSQPLSSALPTSVNVKG TAGKAGLTNLGWWGIDVREQTYTGSFYVKGAYNGTFTASLQSNKTGEVYASAVIVSKS ARGEWTQHNFTLTPTKAASNTQNTFSITFDASNTVDGSLDFNLISLFPPTYNDRPNGL RRDLMQAMADFGPKFLRFPGGNNLEGDTLDGRWKWNETIGPLKDRPGRATTWSYQETH GLGLVEYMEWCEDLGVEPILAVWGGFALNGDAIPESELGTYVQDALDELEFLTGSVDT EYGALRASLGHPDPWTVKYVEVGNEDNLNDGLDSYKSYRFQAFYDAIKEKYPDITVLA STVEIDFPGDAGGDYHLYDTPDNFVEKFNYFDQYSPDHPILLGEIAAIQLNGREIVWG NSSHFSQYPWWIGSVAEGVFLIGAERNADKVLGTTYAPFMMNLDNYQWSPTFLAFNSN PDETARSTSWYLYDLFSHNSFTHTLPTTSNSSFGPLYYVAGVDNTSNSHIFKAAVYNS TADVPVSLTFDGVKAGTSASLTVLTAADPLGMNEVGAANIVDKKTSTVTAGVNGVFDF SLPNLSVAVLKTE AOR_1_520194 MAVNMADEELFKRAISGYRDAFLDRHSHLSEAERNELWSEQLNQ FMPTAAAASSPSYRPVSGSGILDNDGSSLEKSGKRTRQDTPRTLPGSGLPPTKRRVTT PEPPVTVDLTRDLSHASSPAAPQTIRKLSRSNSRKENYSALGPSRHTAMVRSQSQQTP VSHRPSLASPMTGHRHSYGPQRINRHLDHVNEYSPSEYAKQYLDDYQAQGGVSALSMA LSTDATATGVQPSNPSFVPQYHGQSDMVNLTGLGKPSLVNQPTQPVSAAVEMSRTPTT DSLCGGMGMMRFDSSGPHLNPNCSFPFASSDFIPSTSPMNVPFTNPFTSAQRDMDHVS FPLIDSTATPFSCSAPPATSFAPPVSTLSSSPATEMKHSMSSDSSSSSLSQPSRAARR TQEQIVQGTRPIAPKVGSQSMSPPKATEQTKMIRISSSDGTSKEVAAIPKASIQRPPR QKTYCTMCNDQPDGFHGEHELRRHIERVHAVVRKVWVCVDISPGKTFLANCKACRNGK RYGANYNAAAHLRRTHFNPCQRGRGGRGKDSEKRGGKGGGNHPPMEVLKHWMVQKEEV VLENAQNYIDQEALGDDLVAAPPPIPTEDMINGLPTISPEDFPVPGLESTLTNGYDTL STFPVMGLEPSLDASCYLDAQPLVPEIDSYV AOR_1_522194 MSDSTLYLYTSLTAGSSHIVTATARLETILKANKLPFRAIDVAT DDAARKLWGRRSKGKKLPGLVKFGTVVGDLEEIEEWNEYGELRMQVNSVEDFGDSIPA TSIVTTQPESAVSTPASEPSVPKQSTIKIQNPPAKESQKDDSITVALRQAGEEAAAKA KESKAEKATPAAEQKQPLPPAVEEKKEGGADSVRRKSSVAPEIVEGANPKRPPLVPEV AAVSSANFHADNAEALGLVEHHRGSIVSATSPEEKAKVAQDIRKSISGGHAEMLESLR DDQAQKAGQEETIDEESESGEAVEDAMNRKAKKPGSS AOR_1_524194 MSPPAIIAPSILSADFASLGSECSTKMAQGSDWLHVDIMDGHFV PNITFGAPVVTKIRSHVDRPTQPHGRGTFDCHMMIMEPHKWVKEFKNAGCDLYCFHYE AAVASVAATEPADSTTTRKTSPKELIRYIHEEGMQAGIAIKPDTPVDVLWEILESKDE KERPDMVLVMTVYPGFGGQKFMASELPKVQALRERYPNLNIEVDGGLGLGTVDQAAEA GANVIVAGSAVFGAQDPADVIAKLREAVNKRQKL AOR_1_526194 MTESLRSATARCPPPRQARGTRLNTIIEDSREGQYSETPRQREA VSNKNASQPQLRLKTSGLALASRLNRLLSPLSAGTTSSCSDTEWQNQMQTFDDLYDAT DEESEMSDECTSISSTRPTSMTTPTTRNSVSPTSRNRYPSLTIPSSTTWPSLQGAPKS SPIPPTPPPKIPVSPAALSMLGHSVPAVHAPPSLDGSVSSDQVSNISAPATPDVQSLP DNDWNPHEILVRPDLEDEEDVDLQNPETSSDLQSIEIAIENTEEDWRQFLGNFPRIPD QVRSPVVANPVREDTPSDTGVHLPEDALAMLRHIPLDVTPDPWSETSESNEEMWQIET PERPRSADDATPASDLSGYSFSSLSIPSPGGFFASLGPRARHTWSIPKLNNIPSSAIA ERFYDLPFTRTEGEIVEQIIECPQVSTDEQLTAVYDPNGPPTAIRIPSEEPESHLEHA ESPVSDDVDGVYEIARQGMYNPEERDENYEDELQKKAMASLDRTSVWLAAQASYLAAL CETNPANTPVSEREDCMAIAQSVTQAVAQVVSKKSVRFDETVPEAPSSQPSALASKDS IYWRGFQSIRRCASDIDTFMHRTMRFDAVQSIRLGLPNMHTNSLLGNYELVRPERPPY RGPFSQAPRDSVVGSILEEKAQFSMLEKEQLVLTQISEPLWAMDALRYLNGGHLIASP ALRRLAKSRSGSRRIRVLDLGGHASCEWAWQIAHDYPNVKVYTVFTEHQAVNRGIKGP ANHRQISVQSLWQLPFSNNKFDVISTRSLPALLKSERSSGDQQDEYDLCLKECRRCLK PGGYLEFFVMDAQISRAGPYASATSVEFTYNLKTRGYDPNPTKTFLGRLQKSGFVRIK RAWMFLPMGNEPAVSAVPRETPEPRVKSHISECEAVQGPVGSTADAASVTGLFGGWIW EQWLLKLQIEMGREQGRLLEGIGSVFDEGRKNGVGWTCLSGWAMKPRQKKPNGSQKNR DS AOR_1_528194 MLFFSFFKTLTNQTVIIELKNDIRIRGTLKSVDQYLNIKLDDVD VLDLDKYPHLSSVKNMFIRGSVVRYVVLPRSEVDVGLLEDATRREAANQAGKAR AOR_1_530194 MAGSFSKKGSTPSKPSSRSKQPSSVKRNSSLLNFFQKADGPPQA TSRQSRITQFATKVDRPTGSGHNSPSLRREDSSKSNGGGGLFLDERNGKKSASLDPST PPRSRSRTPDDIWGEDDGSIVAPKEEEDRYNENGSAVKKRKVESESDSPRTEQVKDEA SATTPAPAKSRQVSGPFIDESDSEEDLAAFGDFSDEPAMLKNAEAVKDAAGTDNDRKD DDRTSDVAVPPLVREATSHFEDNELANFDDLEEDEVRGEGGLLDQENDDEGPEETIFG FDDSNDSPAPEECEVDPGGGVADVSVHVNDCLDGKPAIVLPKPKTDTSAKALTRAELA SIARPPQNDPYSSGTSKSSSAFSKLMAGNAEDTAWTAAAASEVKSKGKQAYQRTCPFY KIIPGFSICVDAFRYGAVEGCNAYFLSHYHSDHYIGLTASWRHGPIYCSKATGNLVRQ QLKVDPRWVVDLEFEKTTEVPNTGVQVTLIEANHCPGSAIFLFEKAMGSGQSKRIQRV LHCGDFRASPTHVQHALLRPEIVDSVTGQKRQQRIDVCYLDTTYLSPKYSFPSQIDVI EACAELCASLDRGEDEGPAPWQSGKASKEGGGIMSKFFNSVTGSGKSQERSSRPQGRL LVVIGTYSIGKERICLAIARALKSKIYATAGKQRVCACLEDAELSSLLTDDPVEAQVH MQTLFEIRAETLADYLDSMKPHFTRVVGFRPTGWTYRPPTERMLDNPPVSTVLHSAHW KTPFTRRDLVPQRGSTRESACFGVPYSEHSSFRELTMFCCALRIGRVIPTVNVGSRKS RERMKAWVERWEAEKRKSGLYRVEGDRW AOR_1_532194 MIAELLTPTGAAYVLTAAVIVYYILPYLQLWRLRDIPSPGFAAF SNLWLMLQYRKGNRFVTVDNAHKKYGKLVRIAPRHVSIADDEAIQAIYGHGNGFLKAD FYDAFVSIRRGLFNTRDRAEHTRKRKTVSHTFSMKSIGQFEQYIHGNAELFVKQWNRI ADTQSNPKTGYATIDALNWFNYLAFDIIGDLAFGAPFGMLEKGQDIAEMRKSPNDKPS YVQAVEVLNRRGEVSATLGACPSLIPWAKYIPDRFFRDGLEAVENLAGIAVARVNERL RPEVMANNTRVDLLARLMEGKDSNGNKLGREELTAEALTQLIAGSDTTSNTSCAILYW CLRTPGVIEKLHKVLDESIPKDVDVPVHAMVKDIPYLQWVIWETMRIHSTSAMGLPRE IPAGNPPVTISGHTFYPGDVVSVPTYTIHRSKEIWGPDAEQFVPERWDPKRLTARQKA AFIPFSTGPRACVGRNVAEMELLVIVGTVFRLFDFEIQQDGPMETREGFLRKPLGLMV GMKRRSVAV AOR_1_534194 MPGYKRMESSSPQHARRSRRIANLTGNNGVAAPVKNEDNVADIL DETDEDGPSHDTDDDYSSDDEDVENEIDEDGFRAFDKDPEYQKRYIVQDEHTGRVVTI IPHETLRPINGVEYSDYKIHKNTLLYLMDLRANNRRSWFKRHQKEFRRAWKDWETFIE TLTPKVIAFDSTIPELPPKDVIFRIYRDVRFSKDKRLYKSHFSAAFSRTGRRRPYACY YIHLDPGSSYVGGGLWAPEPPTIQLLRDSINERPEAWRQILSSEDFRNMFLPGGKAGV EGALEAFAEANKEGALKTKPKGYAIHHRDIELLKLRNYHVVKPVNDAIFTAEDGQDRI ISILRILLPFVTFLNDIIMPNSDES AOR_1_536194 MALPPAVFLTLIGVLNCIGGAVMVIIGAKYLAIMVPVALVILYC LQKFYLRTSRQLRFLDLEAKSPLYTHFLETLNGLTTIRAFGWVSTFEESNIALLAESQ LTLSDITSPGALGVAMINLLNFNQDLASLIDSWTRMETSLGAISRLRDLENNTPQPIH NKHSNQWSFQRSLDFKQVSASYGPEKPLVLSNVSLRIEHGKTVAICGRTGLLDLRSGS ICIDEIDISTISPDQIHGRVIVIPQNMPLLPGSVRFNLTPNSDIDGATSRQPDDIMIS ALTAVGLWENIQEKGGLDIVESDLSLSSGQQQLFSFARALVQKTLVETRYGTQTHGGI VVLDEANSNVDMETSLLMQNLIKEEFAGCGWTTLVVTHRRETMDFADEVIVLDAGCVG DPVNG AOR_1_538194 MRLLGQRKTAELYCKVGCGKSTLLKGMLGEIPCSQGLVRRYFKD VAYCDQVPWLRNITIRQNIIGDSSYSFNEKLYDSVIEACALKTDFSQLPEGDQTIVGS NGVTLSGGQKQRITLARALYSAKRLMVFDNVFSGLDSTTTNRIFEKVFSPGGLKDILG ITVIIVSHSVRHIQAADSVIVIEDGLPFGELGAN AOR_1_540194 MTSIPLFAKYSLNSYNGNGSCIDGSVDDGDFGPVSKQCGDAFDL TLRFEQSFLSIAPSDQKSQKEHNMGAEIMNVVLGAVQLALVVLWSQRTSSVSLAASVL ALADSLALCLLSDAEHIGTPRTSTVINVYLFFSTMFDAVQCRTLWLLQRQGPLPTLFS VMIAVKAIVLFLEAQGKNSILVPSFKDLAPEATSGVFNRVSFWWLNPLLVRGFRVKMK LDDLFTMSPEFSGRKTRRLALLCATCKAIRWPLISAILPRLCLIGFKFAQPFLLNRAV NYVAELQANPSNVHKGIGYGLMGSAALIYLGLAFCNGSYRHHTYRAITMIRGSLVVII FRKTLALDCGNNSDNSASVTLMSTDIDGIASAFDSVHDIWACPIEIALGLWLLERHVG VACIAPALTALGFLALPMLLVLVLTKVLVFFLASLYLARFMGRGRREWNQAVQKRITN TSSFLSLMKEVKMLGLTDAVSTLSCVSETYYQVIPGKANWYSNCH AOR_1_1882194 MKEKETEPTVAVTTGAAIDEAAPDVAISHLKQLKKEHEWDPNLP EDVTDVIDDKKNWRTVPNYDEGGHTNTIRAWVIGLVLSTVASGMNMLFSLRQPYIVIP SYVVQVVAYPIGVGWAMLLPNKTFNVFGLKFNLNPGPFSKKEHAIAVLMANASFGGGT AYATDILVAQRAFYKQRFGWGFELLLCICTQMLGFGFAGFFHRFLVAPAAMIWPSTLI NTSIFSALHDRSLPDPRKVAGWTIGRYRMFLYCLVGSFVWYWFPGYIAPFLSVFAWVT WIKPQSPVVNQLFGGWTGLSLLPITFDWTQISGFNFSPLISPWHAHANTLIGMVVFYW ICTIGLHYNNAYWFKHLPISDSSSYDNTGSVYDVSRILTPEFTFDDAKYKEYSPLFLS TTFALCYGLSFAGVIAVLLHAILFHGKEVLARVKTFRKTEDDVHARLMARFKPVPLWW YGALFLTMLGIGLGVSLGYPTHLSWWAFFVSLIMAIVWTLPVGIVQAATNIQLGLNVL TEFVIGYMQPGRPMAMMLFKTFGYISMSQGLYFCQDMKLGHYMKIPPRVTFAAQMVAT LWTSIVQIAVMNWALGSIDGICDKDQPNHYSCPNGRVFFNASVIWGVIGPARMFSPGQ LYSGLMWFWLAGAILPVLIYLGARIWPRSKIRYLSAPLIFTGAGLIPPATPLNYLSWG IVGFIFNKWIRSRWRGWWMQYNYVLSAGLDVGLTLSTIVIFLCLQLTDTTFPSWWGTR IATDTMDAAGTAVEITGEKFGPTTW AOR_1_542194 MDDQDNAAPSKRSKKACTECRQQKARCDAYLNPDRPCTRCVKMK AQCIISDPFRREHKRQRLSELEQETDELRKRLKSSQSVLSQSSPIAMLTAAAELGVHS TGNAVGLDLTPQSQSPPASYAETSVPSLSLGPPLPQVECTPGERASDPTVPRTLDGVE VTGEEIDEIFQLFFHQYAQFLPVLDPLTTPNTYYAQCPFLFWAVIGVACRTYPKNPTL LTALARSITDMALLSLASTSAPWHIIQALLLFLTWPLPKDNTRPELTFPLSGSMLHIA MQNGLHIPMSSHEFIKKRIPAPSEAELVRRAELWTRCVIVYQRACSIKGHPPRSFLEL EQDFGQREVDVRKLSPSLVLERKCQELVARCSAAVLEIGVRTMSLEQERALDILLRTF ENQVTDLEAQLSQAHDRIQTTICRLSIQMLHFFKSQTLLSTGCIQRLLYTACLAIESI EDISRASLILATTPLELYFALLLASVALLRILKGPSLPGLDIERARSCFFVAINLLKQ MSVQNNDAAAKTVIVLNQLWNSTRAFRKPDGSDFPTLRIRSRLMLSPVVDAVWWWREE HDPQCRSGAPSQGNATDGIDTHRDNTGAVVNAPIGLMERHEPVLFDDQFLADFEWALG DDGLFPPTEPYGSDWS AOR_1_544194 MPLSGHCLCKAVTYKADVEAPLITAYDHCDDCQRQSGSTYSLVA VVPKDKLTINGPTKSYAGQGSSGKAVHRIFCSECGSPIAHDPEAAPEIIALKAGTLDV EIKKNLKPDTEIWTVSKLPFCQEHLAKPFEHMP AOR_1_546194 MSSGVLHIVDSRTKQKYEIPIRRNVISAIDLKSIKAPAAGTDRA DHVADGLRVHDPGLQNTTVIESAISYSDHERGVLLFHGYTLSQLWDSDFEDMLHLLVW GTYPSMQQKKDLNRKLTEQMLAVPDSVHRTIRGLPRTTSPLPLILAGLSAYLACFPDT IPASTHASLYQGNLRNVDHAVIRTVAAYGVIFGLVNSHRKGIDFQPPSQENSYCANLF IMAGLLDRHSSRPDPTKLSCFRRFAMLNADHGMALTVFSALVTASSLTDPISCLISAV AAAYGPLHFGATVSAQRTLREIGSTDKVPEFIEGVKNRRTKLFGYGHRSYKGLDPRVR PIQSILKDLDLSKNDYLKITERIEEIASADDYFRHRGLYPNADFYGNFVFTAIGFDPD IIPAAMLTQRIIGIMAHWREYMCSDTIDLSDNWLQEFISGQPADLTQDRNFLDALGLN SADSTLTAIPSSTNDFTGSAKTIDVASSELQDQLPLAAYYPPASGFSSYNYTFFHGKR FC AOR_1_548194 MLLNISASRTWPTVTIDSILKCQQILQQLTDTILECAICCKTRV NLLMIVIVSIDSLITALETITSVDSGVWDGVLVEYHDSRLREYGQEILKGAANRRYKN ANFHFKAQVEECPLLVGGFPVPSEEKFVFVKQILHARLCGLSSIIGRIQRCTEEILAL PSSFGRLSMISETNRRVQLVMMKMKPPARR AOR_1_1884194 METESSCSCSIDALQILTDVRNVDTVVEFETILDLVQRVYGQGQ AMLSCKDCRKLPLQSSSFLTIPALTDHCLSLFEAVCSAYSITRKNCLFDANILAFEQP LPQFICIRSKVQLGETDLDEAETGMLVRTLLCRNSMRLLGLLETLQAILRTLSTDNTQ VHRAGATTLRAYESSIQSTMHRFMAFLDQIKVEQLG AOR_1_552194 MAGILIYLQYFLGRLLYRIRGHDAGQIIRTAAFENLPMSNMQLE ATECGPSGSLMLPHHTCVAADKNGSFPELRWTPPPKVQVKEYILISEDIDPPIPRFVV MHGLFYAIPPTITGVLPDDTEQDRNTKDHITRSGWRYVPNLRGSSYIGPAPPLGHGIH RYIFTIIALSEPLRFDRSQRVSKRHIAKAMVGKVAGWGQWVGHFERPWPS AOR_1_554194 MSRKRKTGLKDVCQILEDVRKIRIEHVERTSSLGSNLLQNLKIA QDTLRDYGHFREADALTDVLINCAQLPELGGLGLTEHTDLTEEQDSEALFLVSAWWEA LNSADRAKAFPTPLRTRPEGRRGMTLSEKIFSLHDIDQRGSVAPGDLIRVDVDWVIAS EASWAGMESTYNRLWKPGIFRNDRFWLAGDHVVDPRINGLPKVQALIDASERAKRVFK LTDYQGMNPGMLIVGSDSHTCSSGALKCLATGLGAADVTMPLVTGQTWFKVPESIQIR LVGKPKLGIGGKDTILYILQKLKRNTIASDRIVEYSGSGLEYLSSDARFSISNMTAEL GGITGVFVPDILTQRLIQKRKAPRHRTASIYMKPDDDAQYVGTHEIDLSKVQSFIAKY PRPDDVVPVVDCEGMELDGCFIGACTTTEEDLILAALVLEQGLKGSIGHLGSAATVAV FSFTMRITDPTFLLDAIDTKKWDNIRRIRDSIIPESPTEIVEYMEPSESSRPCCEIQS KERIIRNTDAVIKLAPAEFLIGMETHEAAGEHCLQYTHPEFRDRVKGGFNFIVAGKAF GGGSSREQAVMALLGCGAKCVIAESFAFIFQRNMPNLGLLGITMPEKLFHAAAEDGAE IEIDLNDSIINMDGRPFRFSLSPMERELFHHGGIASAFGKFGSNLFEAMTEGKRLGVT PHRGITKATDVHPELQW AOR_1_556194 MALPREERSLHGHANIHVNQTTGPAIDKAESSDTIGADSEDGIE QEGQAKITTLARTLSRISQTNSGTEGLNPFLNTSDPELDPNSDQFNSRKWTKTLLHIT SRDPERYPRRTAGVSFRNLNAFGYGTAADYQATVSNVWLKAAGWLRGLFGNGNKVRID ILRNFEGFVNSGEMLVVLGRPGSGCSTFLKTIAGETHGLWLDKGTDIQYQGISWDEMH SRFRGEVMYQAETEIHFPQLTAGDTLLFAAKARAPANRLPGVSRDQYATHMRDVVMAM LGLTHTMNTLVGNEFIRGVSGGERKRVSIAETTLCGSPLQCWDNSTRGLDSSTALEFV KNLRLSTDYTGSTAIVAIYQASQAIYDVFDKVIVLYEGRQIYFGRARDAKRFFIEMGF DCPERQTTGDFLTSLTSPTERLVRKGYEHLVPRTPDEFAARWRDSLERKQLLADIEAF QNEFPLGGSKKEEFSRSRAAEKAKNTRASSPYTLSYSMQIKLCLQRGFLRLKGDMSMT LSTVIGNSILALIISSVFYNLNETTDSYFSRGALLFFAILLNAFASALEMLTLWQQRP IVEKHDKYALYHPSAEAISSLIVDLPAKAPVSIVFNLILYFMTNLRRTPGHFFVFYLF SVTTTLTMSNVFRWIAAVSRSLAQAEVPASIFMMILMIYTGFTIPVRDMHPWFRWLNY INPIAYSFESLMINEFAGRKFHCATYVPSGPGYDNAPLDSKICSGKGAVAGQDYIDGD RYLEVAFEYYPSHLWRNFGILLGFLFFSLVAYIVASELVRAKPSKGEILVFPRGKIPA FAKKVHREADPEDVLTSEKLKVGSEQDDHVGAIVKQTSIFHWQDVCYDIKIKGQDRRI LDHVDGWVKPGTLTALMGVTGAGKTSLLDVLANRVTMGVITGEMLVDGRMRDDSFQRK TGYVQQQDLHLETSTVREALIFSALLRQPASTPRKEKLAYVEEVIKMLNMEEYAEAVV GVLGEGLNVEQRKRLTIGVEIAAKPDLLLFFDEPTSGLDSQTAWSICSLMRKLVDHGQ AILCTIHQPSAILMQQFDRLLFLAKGGKTVYFGDLGPNMRTLIKYFEDKGSPKCPPNA NPAEWMLEVIGAAPGSRADQDWSDVWKHSRERAQVQQELLQMKQELLQRPQPPRTAGY GEFAMPLWAQFFICLQRVFQQYWRCPSYIYAKAAMCIIPPLFIGFTFWREPTSIQGMQ NEMFSIFMLLVIFPNLVQQMMPYFAMQRSLYEVRERPSKAYSWKAFMLASIVVELPWN MLMAVPAYFCWYYPIGLFRNAYPTDSVTERGGTMFLLVLIFMLFTSTFSSMMIAGIDH PETASNIAQLMFSMCLIFCGVLASPDVLPRFWIFMWRASPFSYLVGSVLAVGIAGAPV HCSDIEVLHIPPPGGQNCSGYLEAFTTMAKSTLLNPEADSDCQVCSLSTTDQFLAGVH IKYSELWRNVGILFVYIVFNTVAAVFLYWLVRVPKKRALKKAKKE AOR_1_558194 MDDPNSFTDFQHSSTQDYELFPDADFADSMLCQRTAEIQRQISH VDHTMKTPWLSSSMPLSTPGVHLTQTASPYSMEASAFPMGSDISSPFGGAYSSSGAES PQSNNWRNMGCYMSPPSSCADTMLPLDHWGSCSPGPSGNVESSIAPSQIVQNYPVPIP IAAELELEAELDPELDPELDPRLDTEPLPVNEPTPVHSHNMHQHSQLDPTNETLSYLG AGQAPDQDSFTLPSPPRVPANNNTGQKTTMCHNHGSKKSSRRKETLTSNSVRVCRTSS KSKTKKGTRPRRTFVCTFSRYGCTSSFTSKNEWKRHVTSQHVQLGFYRCDVGQCNVNN PSKGRPMSCTNDFNRKDLFTQHQRRMHAPWAKSKQATEEEKQQFDATLEAVRTRCWHE QRRPPSRSACGFCGEEFAGFQSWNQRMEHVGRHYEKGDVALDSEKEDIALRDWAIQEG ILSWGGGRWKLASHR AOR_1_560194 MTLTQTTPYPFPFTTNPTNQPTTKGAPRDETLTLKNVRGKIPSI QASTLRTMMLEAHSDPTKIIAHACSYDGLSSRLVQEAGFPIVFLAGYAVASSYGLPDT GYIAMAEMCDKIQEAVRATDIPVMADGDTGYGSPMNVKRTVESFAAAGAAGIMIEDQT WPKRCGHTKGKSVVSRGEAYARVQAAVDARNNGQDIFILARTDSLIHGWEEAMARAKE FKRIGVDAVFVEALPDREAMQKCVQELQLPVFANIIEGGLTENLSAKDLAELGFSAVA YPWTLVAAKLKSIRDTLEALKRSMTTGAPPMILGYAEVCEGVGFNKYWDQEVKYEYNE NGLVGSRNGSA AOR_1_562194 MSENEVKESDAIHLEQAQSQDAKDMQHVHVLSADQASIRRKFDR RVLPIVCILYILSYLDRGNIGNAKTAGIDTALGLNDKQWSWIFPAHIYIAILCICWGA AAMCSGAVTNLPELIVCRCLLGIFEAAFGAGAPYFLSLFYQRGELGFRVSMLLGMSPV ANCFASALAFGITHIRNSIAPWRLLFIIEGAPTVLFSVIVFFFLADSPGTAKFLTESE QTQAVERLQTVDQTAKNKMEWKQVLQGLSDYKNYIHMTIHFCCNYSFAGLSNFLPTII QEMGYTSVNAQGLSAPPYFASFLLCIVAALISDRWGHRGLVITVSAVVGMVGYLILAA VEDEHKTGVRYFGVWLATCGVFPALSINITWLLNNQGGDSKKGAGMAILAVFDSHLES ILHIEYQYLQVFTNSIKVQSLVENILNSPTQSASTDRTCIDEVINRSSEILQWLLIQP SIQYLPIRVFLRIISSSIFLMKALALGVRTTQLHTSLNLLEQTISTLQSSSLDDMQLV SQYASLLQIHVSRLRQTFMTSAQEREKDVQSEGQENTNTISLAGQTELVQGSHDPMLG QLDEGGDDWLWLPIDPLMAPFGTWDDSGQLDYGLNAAHLDLDFIWNLPP AOR_1_564194 MANIPFPTVPYPPMEPPAHREKKMKVLALGMSRTGTMSLYVALK KLGYNSYHMAECSLDQHNGSLGLWTKAINAKFHGNGRKFSGADFDRMLWRYDAVTDIP CILFAEELMDAYPDAQIVLTTRPVDSWLPSMQQTFYAILSWKRWALLEFIDRSYIGLY IPLLRSSLSVWTGGNWQDTSRLPTGFEAHYDQVHAAARARGRKVLEFKVQDGWDPLCQ FLGKEVPSEPFPHVNEGDFIARFHVIIFWVRLVGLAKKGLIWASPVVAVGAAWWYFG AOR_1_566194 MSGILASLEFEQIAVYKPGEVEYEKSVATAYLLYRFARPSYVVQ PTHPAQVQDIVRYLIEYNDRQKPDSQVSITIKNGSHSYAGFSSTDKGILLDLSKMNRV KLNLDKVSHPKSVIIRGGALWGHLYKQLINGRHKGYMLAGGDCSTVGVSGFVLGGGLS AFSRSLGMACDNLTEATIITADGRMVTVGENSDPDSDEGKLFWALRGGGAGNFGIVVQ LKMNIHQLQKEDDSARTRETVIAGRYTWFPYPGEVEEAKLMATMNESYTTKWVDSLAI HSTWICDLQEARTLPAIRFIVYHNGEKNSIDKQLDKLVEQGNPSDQTSERRRELAKPL RRRTDYAVPS AOR_1_568194 MVGGGDEVILNAYYDVNVIKLQEVKAHWDRKNLFHFSQSNQLVS PEAGYAAGDSYRPATDEQLVHDQWESSTSTLPQTNDFPGIEGYPYSGF AOR_1_570194 MMLPKSLLPLSIIFLPCAQACLEVVGTLFVSHSTSWNVQLKDDG EQTCSFNCNAWTTTCDATCNDGYKAKWTTTTDWRHQPGKLHYDTPHGSYDLDVPVEDG SEVTYNCCGGNVPCQCTQITYRGNFWC AOR_1_572194 MEQLSLSGISPKADFENIPIIDVAALKSPDRRERQRLAREIYDA CTQVGFFYIKNHGISEELIMALHDAAHRFFALPEEQKMEYSVAKSKKYRGFMPVYAEE ISNADDPCERTDTNNIGALLESFDIGYEILADPQRAADDVLPPDTYDLYGDNQWPSNE VLPKFRETYLLYCAEALTLCRRLMRSFALALGLDEGFFDPVMNFPGVTSRLLHYPPQT VEGEVRDGLGAHTDYECFTILSQDNVPALQVRNARGEWVVAPPIPGTLVVNIADCLSK WTNETFKSTVHRVINLTGQERYSIPFFFGVDYNTTVSVLPSCISDDRPACVKPFEAGK FVRAQLAKTYVAYGEEPSTKAR AOR_1_574194 MPVLPQLEGSTFDADLRNRHIIYEYAAQDANGNPEKWRYEFWIY NEDRVVYAIHGGPMAGRKNFQAATYQCIRPGELWQINWLEETGTICSMCWDITNKCLS TLLAFSKGHWTESVAAHGDKRNPDDFARWRDLAKIGTQADRILLSEQAEILEDFHGAG DLEPIDPSWPTL AOR_1_576194 MQTTTITGSATITITIVPLTTIFTPPPDCSTSWTFAPTGPDSLV NGILLQNAISHVTSCYPPGFSNTGREMATHVFSPGYCPIGYTSADITINGPTTTATCC PSYVALRSPSPYLLARLLNQTSGITTITRPPVPLAIPGSEKDTLVSAPLTMWAQPIMV MLQSTDIGLYGAVSTSSSATPTPAVSAPQLTSTTLPTPTFLAPYLTPITSAAPSTLMT PTISTAPTEPTTSIDSTEPNPPESTALSPSAKAGIGIGAAALGLAVFIIAVVTRIFRR RKRPQSNPTPQTTGQERGSFRGFKPRAKAKEVRRGPPAELEA AOR_1_578194 MIGLTGRNHALGEVGHPLSNTILLLGISHIVLFVDPPQIHPMGY CCPQPYFRLNVPRFANQVGRLENIHVLKATSTNRTTILKKQHQDTMSTATSTKRTWYR TTFFNISVVSLCAFIAPGLWAAMNGLGGAGAADPHYVNAANSVIFCLQVLVCLIGSAM IAKIGLKWALVLGMVGFPIYASSVYCNIRYNNTWYIMLACVIDGMSSGIFWLTEGAIV LAYPEKHRRGKYLAFWLGSRIVGQMIGGSVTLGVNAGNREKGHISVKIYFIFISIQAL GPFVAALLSSPDKVQRSDRSPVSLDLPRSLKAELNIMCKLLCRKEILLLLPMIIQSAF SEAFFSTYNATYFTVRSRALASLVASTCVIIANFMLGFFPDWKRPTVNTRAIVAFVLI YAFELSFYIYAMVVTKEYERRDTPPVLDWADDGFGRGVCVYILMLVGFNLMYEYLYWL IGTVNRSGGDIVRLSAVVRGVESAGQAISYGINSIDQARFPLSGAVAVNLSFFAACII PSAFAVFRVGIINGVKVYRSQQGENVHVDGGSIEGRVSNSSSHDIQDTHMVK AOR_1_580194 MSVNSNCNVHDPGFRESKPSQGDPSRPVADLFRLDGRTIVITGA NGFLGTTLAMAILESGGDVVCLDLPGEPTATNWNEVEQTALKYARSLSYWPLDVTNET MVADVFAKFMPTLRFPLRGLVVCAGISRNGPAISFPISTVREMLDVNVSGAFLAAQAA AWEMRQSDVSGSIVLVASMSGYVSNKGVDTAGYNASKAAVQQLARSLAAEWGSRKGMP LIRVNSLSPGYIRTAATAEALQKPGLEEQWVGDNMLYRLSTADEFRAPVLFMLGDGSS FMTGSDLRVDGGHCAW AOR_1_582194 MKTITIVGATGNQGLSVADAFLPLPDWHVRCITRNPSSPKAQAL ASLGSSIVEADLADITSLHSAFVNSNVIFVNTDFWGPFNTTGKYDVAYEQEVQHGRNA AIAAKTIPTLERFIYSTLAPMKKHSQGKYPHSHHCDAKAEIMEYIETQMPELAKKTSY IIIGAYATNPLFMPRWDPYIQKYRFMVPLKKEQRIPIIAARESTGAFVKALIDEPPRT RLLAYDSDLSIEDIVDVWTRATGSEADLVEVEAQEMHKGLGIPWEVLDAFLFIGEFGY AGGIENVIHPSQLKTPVQTESFEEWLRKRNWEEVLQGGSKELNSVTENAR AOR_1_584194 MPGNNDVRISKRRKRVITPARKEQNRVAQRVYRQRQKERLRGEK HMVRSTDTLRVLQPTPGANHMQAEPVDPIDCCTADVPEVDPDTRPSQCLAPMTPMKLT LDHFFPSEGTYNVQNNGVHVPHVEGDTYNESIPGSNSAPDPMLPDLYQNTLELANTMM LRACLHNAQRLGISIRQFFGYECMSLCSPFYRANTTMSDDPQALIENVSSPSTPAHLQ PTLPQILFPHHPILDLLPLPTLRTRAVMLAATAPTSIDAVDLKRDIIERAGIVCRGGQ PWDMHSWVAAPWFLKKWKLLLGHL AOR_1_1886194 MASALLTSAQHIFRKDDNFAGYKNILVEFPPRSRLFSARPLGIS TWTNTTRLSVEHPHGKEKVYFLKCAQSKDGRVLMPGEFNSMSKIYATAPEFVPKPYTW GTCYLDDTEAYFFISEFIDFSSRLPEPDRLCSKLADLHQTSVSPTGKFGFHVTTCQGR TAQSVPWETSWTVFFSKLLAHVVSLDAKTNGPWGNFEILARRCVEAVIPRLIGALERD GRKVKRCLIHGDLWEGNTGTSLETGDIYVFDSAAFYAHNEMETGNWRCHYNNIHDPVY TPTYLSLVPPSDPRDEWDDRNRLYSVYYNVTYSVNHRCQGTAVRHTAYDDLCYLINQY APCDEGAGPPPLALNERVELPRERDHTRL AOR_1_588194 MKAYRYALYRRTRRLRLALFFVLLGWTLVEVLRIKYTLVQQSQP ELVALGSEKIYITGLHWNSELILRDAWIAAVVDLANTIGRDNVFVSIQESGSWDDTKG ALILLDQLLAENDIPRRILIDYTTHFDEISKPPTGQGWIETPIGTTELRRIPYLAKLR NVAMEPLYELQNEGIVYDKILFLNDVIFTTSDVQRLLSTKGGNYAATCSLDFSKPPDF YDTFALRDAEGHDMLMQSWPYFRSRASRQAMKASQPVPVSSCWNGWQWMQPLSTNTHP AOR_1_590194 MDIIVDPEELLVPFGLDLVLHAREERRVAIISGPNARDAKRAND AETERLSTIAQSVADIPGLDTFLDATNDGDIPLDRALVIADSEVTAVGERYSDDIIPV VDFADLLNVHMDGENILYTPSGSSSSVYQSISSADEMVQVQHPRPSPKLSIPPVPTQA IRSLVQRPNMGTGTHRIAKLILHNLISYPQMMLRHNTLPPFIHPSVVSSDLDNPNLEP LTNCIALVHMIGSGIQASRKLFWKNVRMECERLCEEYQTLNKWELLAAMQALSIYIII RLDEGETEYNNFDVLLLKAITAISKQLSCSDITKNEFVLHSNDLENSWNDWIFEESRR RLCVIYRVVNMLVYFEPAAMCGLRTDLVIAPLPARKQLWEAGDELLWKAESQRESGFQ TVYGLAASGDLVQLGKDQLHSTNEVLLHKTVTARGSANWEEWCSGMDGLGGLVMLAAS LAG AOR_1_592194 MAPFPSPTSKWHTETYPSISPTRPELSARGKTIVITGGGTGIGA ETAHHFAEAGASRIILLGRREQPLLDTKASIDSKSSGVEVIVVPTDITKKDEVDKAFA RFVGNGTIQVLVSNAAVIGPQDAVSDVDSDRFLDAIQQNLKGSLNVAQAFLHYASKDA VVIETSSSAAHVNFAPGFAAYSIAKLAVFRLWDSLAFANPELSVFHVQPGVVDTAMNR EAGGVAAMGFADDVSLPASFNVWLASREARFLRGKFLWANWDVDELKAQEEDIEASPR FSIGLVGWPFGSAGWKSTWKTQTDSSV AOR_1_594194 MATDGSHFDFVIVGGGTAGNTVAGRLAENPNVTVLIVEAGIGNP EDIPEITTPSSAMDLRNSKYDWAYKTTMVRRDDYERIEKPNTRGKTLGGSSSLNYFTW VPGHKATFDQWEEFGGKEWTWDPLVPYLRKSATYHDDPRLYSPELEKIGGGGPIPISH AELIDEMAPFRENLTKAWKSMGQPLIENIYDGEMDGLTHCCDTIYRGQRSGSFLFVKN KPNITIVPEVHSKRLIINEADRTCKGVTVVTAAGNELNFFADREVILSQGVFETPKLL MLSGIGPTRELSRHGINTIVDSRHVGQNLMDHPGVPFVLRVKDGFGMDDVLLRHGPKR DAVVSAYNKNRSGPVGSGLLELVGFPRIDKYLEKDAEYRKAKAANGGKDPFSPLGQPH FELDFVCMFGTAFQWHFPTPKTGDHLTVVVDLVRPISDPGEVTLNSADPFQQPNINLN FFANDLDIIAMREGIRFSYDLLFKGEGFKDLVESEYPWEMPLDSDKEMHRAVLDRCQT AFHPTGTARLSKNIDQGVVDPKLKVHGIKKLRVADASVIPIIPDCRIQNSVYAVGEKC ADMIKAEHKDLY AOR_1_596194 MLGIKILPVLLFGAIAQSKYIVPGGRWHDTDGNLVSAHAGSITF DEGTGRFWWFGEYKIEGQEEGGGVSVYSSEDLATWESHGLALEPVKNHTYISPENVIQ RPKVVYSEETSQYHMFWHADNSTYGLLLQGFATSDTPAGPYTFVNATAPMGNWSQDYG LFTDYKDGRSYALYSNGDSVEGRDVYITRYNKEVSELEEVVYRFNKFDLEAPTIVQTD NSYYALMSHKTGYRPNNVVAFRADSLEGPWSQPFMVAPLNTRTFNSQSGYTLKIEGSK KTTYLYIGDQWDSNSLWESRYIWLPIEIDDKKKTLELAWHDVYDLNVKTGEWKPIEGK TYYGKDAKTSGDAFKQEANFASHNTILTGIYGNDSTVTFEGIEGTGKPQWVSFYYQNT DDMGFGDQPGGTPDRIGGEWQLRRISSVVVNGNTSNVETLYQRDTHKSIILSTPLKLT LEKGKQNTITIGGLYNGFDYKGADLDRIVVYPPEE AOR_1_598194 MTARNKTLSVPWHLKYPVGERLAAGSLSAKPIIVDVGGNQGVDL QRFADTFPELECELILQDLPETIAGIPGQLDSRIKPTAHDFFTEQTAKGADIYYLKSI LHD AOR_1_600194 MDDKGTLAELQQVIEKYNKDDPSSWMRLEDALEKVRRELVPPQI FTMKQRLQTVNNVCIVVALEMGLFKTLAAKVGKSLTAEDLSKTTGYNASLIARVMRVI TAIGFANETGYQTYTANGCTLAQNNPGNIGGLIIS AOR_1_602194 MSDPSIPLACQNLLHDWANCLDTKSWDRMPTIFAPRIDVDYSAV GTLKATAVESSVFIDHYSSPAQLGNPDIQSHHFIGACKWARELETHVRVVFQIMAVHR RAPKDGGAAVLATGHGVNTMDFKQVEGEWKIAAIKVGVLFMEGDFEGMFTP AOR_1_604194 MGMICGSNYITKYHNSSIQLFFYWWNTSGLLPAIVSGATAPTPF FPELDDFYTPKHGFWEELDPGSIIDYRQVYVNSLSYGIPSNATAYQVLYVTTDLNKQK THSVTTIIVPHNAKKGWLLSVQPAYDSPDINCSPSYGLQVGAVGPALSWNMMDLSFVL PFVNKEGPILNIPDYEGWNAAFTVGPQTAYHTLDSIRAAFNFEKEYSLTGLKPDAKTV MYGFSGGAYATEWASELHSTYAPDLTQIVGAAMGGPPPNVTDTYLGCNMGPWAELNVW AMLGVMNAVPEMKRFMDGDLLEEHRERFYGPKTRCSRCFGREKAHEPLEYQNISSFFE HGDSFLYRFRGTLADIGVMGRNGAPSYPMYIFQGTNDEIVGDIGVTNRLVRHLCDQGT VVQYHQYPDLNHMETLQKGNLDAWRWILNRFLGLEATGCRPIDLNPPIGGDDGKLMQL EGLAMDGASEDQIPLRAELK AOR_1_606194 MPVTSSPSSTIIPEVNCDTISHMGPLHLDVPTTHHVYEGSHGER YSPPISGSVLVPVSSLDFDQAKYPNVTVGLLRTVTLRNGEPASNAPNDNKKGDFLQRI RRKRVPQTQTIATPQKEGSSTVETLVQCQLWHSPEPVEWHEEAGAVVLKFLFSIPVPP GTSGTTETPFGGLSYAVRAVVTSSSGVTVDATRDVQILSRIVTGPSQTVRHFKNYTGE RLKSELSLTPEQPTDPKVKLAYSAKLVARGTTARGDRPTERKQFFVREVRWSVEETVR LMKVSNGDGPDGETITWKEKSVRQLCSGKQQGRWAPNKKLSVQERKGHDDRDRIDLSF DVIIPRTASTPDQRDLSSCSFDSGAPCRHLTPCKFNEICTESSGERTTIMVDHRLRLD LITGEDTLDEKTGDLINRRQFAKAFTTCYTLPIHEVAGRNAIPEGTFHGNSAPPLYEG ESAMPPAYDFDEPYTSPCFV AOR_1_608194 MVVFQSDRPRIKIPTDITIWKWLFDSRYSPLNSNNPDKLGAFVN AATKERIRYDTLKEYTTHVSTALVRNYGLQPGDTVALFSPNTIWYPVAMLAAVRAGAI ISGASPAYNIEEMTYALKTGNAKFLMTVPAGMDVAVPAAREAGIPTERIFLLEGEKGD SGTTGLPKAVMIAHHNVIAQCMQVDQILRKDVNKSLAVLPLFHITGLVHQMHLPVIRN STVYMLPSFTMESMLATIVEYQITEILSVPPIIIRLLTDPIVSKYDLSHVKTFSSGAA PISGEILQKLEARFPWTGFKQGYGMTESCSCITAHPPEKQTYEYAQRAGILVANTEVK ILNTQNGKELGYGEEGEILARGPQVVMGYLGNEKATRETFDSDGWLHTGDVGYMDQEG FLVITDRIKEMIKVKGIGVSPAELEDLLLGHPEVDDAAVTSVPDDYSGEKPKAYVVVN AAAKSRLATGDAVKSVGRELIEYVKAKKVRHKWIVEVEFMDEIPKSPSGKILRRVLKD RERKRESGEKRLVVRDEKATAKL AOR_1_610194 MSRYILLLENVVLKKTREFKYLPGLTQRQMNTLEEYYNIPYMIV SLVFLSPLVGYVLAALVNNKLHMALGQRGVALIAPACHFIAYIISCIHPPYPALVVAY IFAGIANGLHEAAWNTYIGSLDNPNELLGLLHGVYGLGAVISPLVATNMITKAKVPWY YFYFFMIGITVIEAVVCPTAFWRFTGAAFRQSHEQSDEESGDEAKNGLRDALFTRPAA RVSWLSSFVLLFYVGVEVTVGGWIVTIMMEVRHAAPFPSGMTATGFWLGITAGRVVLG FVTARLGEKLATTIYISCAIVCALIVWLVPNFYVSAVVVSIQGFFLGPLFPCVVAVIT KLLPKHLHVAAVGFVAAFGGAGAAVLPFVAGGIAQGTGVKSLLPFVSSDTFTPPPNPE NSI AOR_1_612194 MQLKQLVLPALALSGSALGSPTPAKRADEKVGYLSVYWTTDDES VYFALSDNDDPLGFAAINGGKAVVSPTLGTKAVRDTSIIAGQGNNAGKYWIIGTDLNI DDTTWADAVRTGSRAIYVWESSDLVNWSENTLVTVEDETAGMVWAPDAIWDPEQEQYF VHWASKFYSPDDTDHTGNATTGNVLRYAYTSDFKTFTEPKDYIVGTTDVIDLCILQLD SNTLLRSNVNSSSSDGLPVEISTNGLLGDWSVLGNVADSTSYEAPYFFADNAGGGKGY MMADLVGSSPGISGWTTDDLSKGVFTKDTSHDLTFMRHDSVLGVTQSQYDALKAM AOR_1_614194 MTSTLTPLKQPIALRTEYITSHPTTIRVKQHSNSWSGGNFTITK CPTDESPLAEKLFTVDGDFKSMSQRRYIQDASGLPLFEIAHKRLGVTWFVHLPGGKEN TSSSPIATIVPQWHALKDKFDVYLNNAAAGGEETILEVRGQDIFKSKTHVYYKGALVT VIKLKDMVSVYIPGKGPTWELEVGEGMDLSLVAIIGVVLATVLYQSSYKGTAPKSSGS DPDPGLGSSGKELAP AOR_1_1888194 MEAFNTAGEVHYVLANTCTSYITVVAHQFNECDFEEGYDKIAYL EYSSRYWIAHFRQTCVREESPLLTHAQRICDPNSDVYQMWSWIYANLGRNLPHDATTL LIASCLGLADIVNVLARETVEFNSQDSGYGRTTISWAAENGYEAVVKLLLATGKVDID SKDSNSRIPLFWAAKNGHRTVVELLIGTEKVDIDSRDSWGLALLYLAANNGDEAVVEL LLATGKVDIDARDSDGQTPLSCAARNGHEAVVKLLQEFHQLAL AOR_1_618194 MGFPSDSLLLVLSLVLNCSWASITPGVAEVDLIFPRNESFPPSS LTPIIFAIQNPSLLSSVYPQIRYSIEEVNVNSSERVSISGSLDLAKLNYTRSDPYFLY WSTSKFDIEGTFKINWALDIYNCSHEPDSTDLTLGYLGARGRRSFFSTKNGTSQPDFA AATEDGTCEASLAQAIHVQDFLNVPSGQPTWRGSSCAVTADWVPTPSPCNVKIGSSAA TSISAALTSTACANPLRTGLNCPTPSPQNAASVAQLPVGRLWTLAIGMLLAYAHA AOR_1_620194 MADVLTIADAERTLPYEEVGEAAEALEDILLLFESLVRDSFLSC RGGSTESTRDFPKLVALSTCTDLSMCRQAISFGDAAARLRPIYTEAQRFNTIMRRLYH SQVPDTWSQSQIFYTQDESGSRENNEGAVELSQAQAISNIARKVSDLLIQQSNLCTAA CHTALVLLNGFTQPEIEMLMSVCGDKNRPRWNIVSWSKDDPCKYSPQTGEHTDDICAV LKRSWKYKTRLRISVRKDGTWTYGSTSTEDRMESNAIAPTLRLRELLHGGGDGTPMMH DGLGVEDIYIAQAADPLGQGALSNKLYISRALDHMSTGASFKLSGEGHPYILKLGVLL WELLIGKKITINPEDEEDEDGVDSNLSLFNALNREEINSREICVEKPILDVIANCLNI YPQTQLDERMVRSVVYWNIVKPLQNYLDSLYTTRARASTLHRKRPANDMTSNESMMKS EDFGSPACRQGGLLALEPRTKRVKEDDPGPQWRKQERRCENCGCLLTPESYAIGIVCA LHKELLAVRILFDCTHESIPVPSEDSNHYSFGRMGQHDIVAVCLPSGKYGTNSAANAI ANMRRSFPAIRFCLLVGIGAGVPTEQNDLRLGDVVVSHPTGTHPGVIQYDLGKQGEDG VFHPTGSLQRPPRFLLTAISHLISNPDLSPAPLQGYVDQIIATRPDYKRPDEEKDTIF DTGTVHITRNGIREHHYADGEQQSNVSRRATHPHIHYGLIASGNRVMKSASARDHLAS VYNILCFEMEAAGVMNICPCLVIRGICDYADCHKNDLWQNYASATAAAYAKLLLTVVR P AOR_1_622194 MTSCSETTLAESTLRGQPPWTDLLAIIGQQLLTQVKTLGPVDKD TIVTRANLATLLWFNGKYREAHSLQRDELELCMMEFGQEHPSTLTSINNLASTLWSIG EWSEAVTQFKLAVQLRTALLGPEHPSTLTSMSNLAATYQSLGRWPIANEIYLKLSDLD VRVLGPHHPSILIRMSNWAVLLWETRRFREAEELETRVLEARRTTLGREHPDTLVSVN NLALTFQSLGRWRDAETLGTEATISAQNLLGSEHPFTLTSMGNLAATFRNQSRLDEAA SLEVQIMEVQKKVLGNDHPDTIATRWNLAHTLRKQQQTKEALELLESCLESQARLLGE EHPHTMAMSRTLNKWKVKRGSESGKEFKHHRPIAVARKVAKKRKLYDSGTED AOR_1_624194 MDESLAPYMLWTEKDRLPGTPEIALELQGPERLWRKTPYLFHVT LRRIDEDVRPCLFAWTPHIQGFTVSGMILLHHTPEGLENVELPVSRLPPFEPWVNKQS SLIEHAPGRAQQWVDVFPDRYLSLLKSGERYTLLWPGEKYATWEWGVAKDRVYDYIPT QNASLVLPGSPAMTFTVEEGEQPSSVSKTLPMEIASHT AOR_1_1890194 MLLIIVSFVFILSVASFIPQIHHVWRGTDARGISPVYLLFSLIC ATEHVFFGFFYMVNMRSVPGLWSHNPINILDWINFVQLTSVWVLFNVLFFLCLYFNPV LRPRKAIIIDIYLIFLSVSLVPLIIDATTDIFCPPGRPNCSAMDRDPLAFFEGFHNFY LLPIITTLLILGFYEQVRQPPRNLSIIGLKLQAAIFALSAVSWILRLYFLWKTFLEQP RGPVPIYLVIPSWWQQVGFVAGDDAIFAVGQGILLRLALRQRRRGEASDAERQPLLGQ A AOR_1_1892194 MVSLATVRAHNASLKSLGSGLVAVFVGGTSGIGLYTVREFARYT VSPTVYLVGRNEAQAKQVIQELSLINPHGKFQFIKTDASLLCGVDSACEVIQRRESRI NLLFLSCGIFTMKGRDETCEGLDKKFSLHYYARMRFINNLIPQLTQAASNEKSENALS RVITVLGAGHETKIDLNNLDLKTNYNLNSCDVHVTTMSSLMVEELASRYPSTTFIHTY PGIVKSGISREAGPIVGRLVRALMFLGRPWMVAEQECGERHLYVATSRRFPSGSLARG QSRIDAPENRRGAYLLSWDGAEVGNRKLLDEYYASGVGRKVWGHTEEIFQTVLNGRKG YVVIDKIHI AOR_1_630194 MKAWLYSSTKGGLEKNLVFSPDARTPGSPQGDQLLIRVISTSIN PADYKAPAMSTVCGKVLIATTPASPGMDFCGRVIAVGSDPAARQFTPGQLVLGCLGMP RQFGTLGEFILASANNTAPLPLGVDPDAAATIGVAGRSSYQSIVPYISTPGSRVFING GSGGCGVYGIQIAKLLGCHVTVTCSTRNVQFCRDLGADEVIDYTAQDVLEVLKSQGQV FDHVVDHIGSPEGLYQECHSFLKPGKVFVQVGASSMLTFAHRLIRPSLLGGGKRKYVA LLMKSHNDEFTQIANWIREGKIRVEFDAVYEFEDTVRAFERLRSGRTRGKIVIHVTSP DSEAA AOR_1_632194 MTSVINEPIKLPCGLVLQNRLVKAAMAEAMADKTRVPDQKFTRA YGEWGKGRWGALLTGNIQVDPRNLGNYYDLATRESAPREDQLNAWKQYAASCQEQGTP AIAQICHPGRQSPRGAGERGIFGTPIAPSPVPLKIGEGCAATIIRNIAFDVPKEMTVS DIKQVVQQFVNSARFLAECGFAGVELHAAHGYLLSQFLSPKSNIRHDKYGGSPEARAR IVLEIIKETRAVVPENFCIGLKLNSADHSASDFEDTMKQIGLFAESGIDFLEVSGGTY EDPTMMGRGLKEEIASETVQRTAAREAFFFDFAKETRRRFPGLILMLTGGFRSRQGIQ AALKGGACDIVGIGRPAVVCPNFPELIMDDKYTDDEAKVILGKVPTPLWARIFQIRVL GGGAETQFYAGQIKRMATGYATHAP AOR_1_634194 MPRREDVKIPATQITDLMIAVSCLLPEKCYPPPPVIIMGHGFGA VKAGGLFPFAERFAEAGYAAVMFDYLFFGESDGLPRNLLSISRELQDFRDVIAWVRRQ TDKWDINRVIAWGASFGGMHVTTLMAEDHDLLAGIMQGPCVDGLAASRQVPVYKTLRL LPLSLFDWMLSLFSSKAIYIPLVGDGKHGSSLAMMSGSEAMAGWKRLTTDLGADFVNK VTARTILTIPFSRPIKKVHRSRKPLLVVVTTWDNEAPLHKAKQAVRLAPLGEGFRVPG GHFDLYAGGVAFEDNIRRQLQFLQKVLA AOR_1_636194 MSATIPDSMQALVGNRLATARLANYALGKPCGDGVKVQTVPTPN ISDTEILVRVKAVALNPTDFKHVDFLAPKGAIIGCDFAGTVAKVGSKAPGNWKVGDRA AGWVHGGLYYDRGSFAEFLKVPGDLAWKVPSSVSDEAASTYGVSAVTAMLALNARLDV PWADGGPEGGQRDSPVFIYAGATSAGLYAIQVAKLAGLKVVTTASPRSHDLVKQYGAD DVFDYRSPTAADEIIRAYPQINRALDCFSEGGSTDFCIKVVQKAKGKVVTLLDRGKTT DDGVEVDFLLGYGAFNLPYQWMPPVGPRFSANPSDNAALRRFYASLHDICDQLRPPPL KSIEGGLHNLPKGLDLLRKGQVAGTKLVARLE AOR_1_638194 MPYLKLRDGAELFYKDWGNPDGEIVTFSHGWPLSSDNWENQMFF LAERGYRVIAHDRRGHGRSTQTWNGNNMDTFVDDLKELFEHLDIKGAMMVGHSHGGGE VTHFLGKHGTSRVKKAVLVGAVPPLMLKSAANPEGTDQSVFDSFRQAMRKDRAQFFLD VPSGPFFNFNRDGVQKSEGQIRSWWQQGMNTSFKTAYDAIKDFSETDFTEDLKKIDIP VLVLHGDDDQVVPIEASGHKSVKLLRHGKLKVYPGGSHAIHNINIEEVNKDLLDFLQS AOR_1_640194 MKVSTLLTTLCVLGVASSADPTAQCDNGPVQCCATVGLPTDSVV SPLLGLLGVVVPDMSTPVGLTCNPIVQGGSCPGHPVCCTGNNFNGLLALGCTPVTLST AOR_1_642194 MTKIALIKGSTRNPSVGSAIAGWIHNILKPKTTDTLQIERLDIA DFNLPVYDEPVIPAMVPAVKQFTKEHSKKWSAAIASFQGYIFVIPEYNLGLAGGTKNA IDYLYSEWPGKPVGIISYGAKGGSNASQQLSESLRVVMKMEVMPTKVLLPFAPGSDVL SASNEGTLGEDSRKSWEADGKKEQVLQVWEELKNALE AOR_1_644194 MAYSTIILLCAALAQAVQAATHLPGPSGPCRVQTTHAKLLDTSR VDPFSPTHDKRAIMATSYVPVNCGHTKFEPYLPPHTEAVTDQLFRSYGMPNGTTIKGF RIESGFASNDTSPSDKQYPVIIFSPGLGASRLYYSLILESVASTGFVVVSVDHPYDTS SVDFPDGSVIYGVNVSAADPVTLNTRVQDVIFTLDQIHDNPHLIPSSFTDTLELDRVA IVGHSFGGATAAAAMLNEPRFAGGLNFDGALWGPVVEEGLDRPFINFGHANITQLDNN SWGKIWPHLRGFRRELQLAGSLHLTFTDFPLIRDVGGWPVKVKQGTEELLGSLSGLRV RAILTEYIVASATFFITGEKSKLLDGPSSDYPEVKYVA AOR_1_646194 MNGTQASNGVLHLDALIIGSGFSGIYLLHKLRDELKLKVKIFEA ESDIGGTWNNNRYPGARVDCPVPFYAYSLPEVWQSWNWTELYPNQKEIKSYFDHVDRV LDVRKDCLFHSRVNEGTFDEATGRWTVWTTDGKVATAKYLLVAVGFASKSYLPDWKGL DSFKGTIYHSAHWPEAEEISVKGKKVAVIGTGSTGIQIFQEWAREAEEAFLFQRTPNL CLPMRQQELHAGYQVKDKGEYADYLAECALTFGGLEYQQTPKNTFDASEEEREAFWED LYQMGGFRFWQNNYQDLLTSLDANREAYNFWARKTRARIQDPKKRDLLAPLEPPYPFG TKRPSLEQDFYEQFNKSNVHIVDTKSQPIVGVTPTGIVTADEKVHEVDIIAVATGFDA VTGGLLRLGLKDVNGVGLDERWKDGMSTYLGMAISGFPNMFLPYSLQAPTAFANGPTL IELQGDWITSLIRKMEMENVQSVTATPHAESAWNDEVNMIANKTLLPLTDSWYMGSNI PGKPVQSLNYLGGLPTYRERCAKVLDEDFFGFAKA AOR_1_648194 MSSSVEIPKQHKAAVYDKPGFVSTSVKLVETPEPGFGQVLINMT HSGVCHSDLGVMTNSWKPLPFPTQEGQIGGHEGVGVVVKLGPGCDNSQVKVGDRVGVK WVAAVCGTCLPCLSGSDGLCLNGKISGYYTPGTFQQYVLGPIDYVTPIPDGVPSELAA PMLCAGLTVYAALKRSRAQAGDFVVISGAGGGLGHLATQIGSRGLGLRVIGVDHGSKA DLVKENGAEAFVDITQFPKDDNGAAIAEHVKSLTNGHGAHAVIVCTASNIAYAQAIPM LRFNGSLICVGIPEGDLVPIATASPGLLAFKQISIEGSGVGNRRDALDVLDFVARGII KPHVRMEKLENLTSIFEEMHCGKLNGRVVIDLS AOR_1_650194 MADTKSFPDPAGDPEAKKDVIEEEAPMDERTLKLDRQTVLRLDL ILMPMTLMLYLLAWLDRANVGNARVAGLEKDLNLTDLQYKTAITVTYVPYVVSELPSN LLLKIIGPRLLLPTLCTLWGMVTTLQSQVHNYSGFLACRFFLGLLEGGLFPGIVLFLS NFYRRHELQVRIALFFSAASLSGAFSGLLAAAIQQMSGLRGMKGWQWIFLLEGLFTVC FGLFSFLVLPNGPEKVITFRSEHTERCIARLQQDGNKFETETKVSFKEVFSVLKDLHV WIACLILFCNGACLFGLAYFSPSIVQALGYSSTKTQLMTVPPYACGFVVTMITAYFSD RYHQRGIGAFITSGIALIGAALAINGRSTGVRYAALVLLLTGVYACAPCLISWVPNNT AGHVRRATAVAMAFIATNCGGIMSTWIYPQKSAPYYELGSRFNLSLTVIAMALVVTQV GLLRMLNRRKNTDPVGLLRGVEDLPYEEQLDKLGDRHPRYKYTY AOR_1_652194 MVLKLYGFPLSTCTRRVRTVLAEKGVEAEFHSVDLAKGEQKSES YLNDLHPFGKVPVLQDTETGVQIFESRAINQYLSSKYAGQGTTLSPPESDLKAWALYQ QALSIEQSYFDPIVSQIAFEKVFKVRKGLGETDEARVQVLFSQLTPVLEGYERVLSRH KYLAGDQVTLADLAHLPYGVFVEQFGFADLLPKYPHVQKWWEELKARESWKKVTA AOR_1_1894194 MFTQRSNQLGPKPRDREDNVTVPSTASKPLVDGSPLSLFVATFP RATEYTEFDEALKNMDKAVQNSTILHCMLHNASYQASITFVDGEQTVHVTDQTVLNPI VYTIGVINHDNEKLDVSVTLSYTEKPNTNIISTTLMETEEMRFIDSLTSPESDNPFPK YWREQSVSSSNHSSPPLSKALEDLFRNITLSLMSSNIFHIYIYNRPILWAAYGTARGA TALSSIAGVLVYFSNGGSYGTKFSTVFRVTQGAKVSTNLSMKDYSGFDPLPDHIAKAK MTLGYNPDYPVRVSSTAPLDQPHQHPTDSSQLLDTTTGDSNKSSGP AOR_1_1896194 MKNASVLLFTSVALGAAPRYFEGSWGSPYEDAPVISCQDRPGLR CNPSIGLDCCPLLDCTAECPGCWGYCRKN AOR_1_656194 MPFLFFPEEYWLSKTLEVSSPPSVWQLTERLEEKSEISDRKDIQ QLGKSMGLPPSMRRREATDRKLSRFDQWVEAYRRLTLHDCDFVPRFLGSSHGRQDDDG LVPGGFLSYIVFTSVPGDVLGTGTSGSPRAVLNISQEDQKRCRKTDIPDGTFWALSAK ERAAIRQQFQSDFT AOR_1_658194 MPNSRAVTSKLAVQECLPDLNVSDSRTKSSALHDVYYVGPLSPW PNFLGHVGIEFRTHDWARNQHHLYLEPRGEPGPHSLLKQQSLVGNEPEVQGRWQSQLA EVMTTVFHEQQFQMTFADFVCSGKDYTNVPDFVVLQA AOR_1_660194 MDIDPPTAARAWVGRSSTIAGSMAQDEYFNEVSSQCQQLLDRLQ QVIQRPVPCAFKTLQVFAHGERLEKFILLDFCMHAWSAGIRTFRHLDYSSDKGTVEVE WHWQPARTVDDRVAVHAVPESSRNVD AOR_1_662194 MALEKLFIVVFLCFALRPLLLYFYDSKKLRKYPNQNFLSGVTNL ASIRERQRKFRTRELYLQHQKHPIIRVAPNMLSFRDVKAIKDIYGFGSPCQKHEIYKL QNSEGHMNILNVIDREDHNRKRRMLSHAFSTKNLESWEFKITDKVEKLVAQFDRRAHP PAWKNEPSQQNNTTVDVRYWLNLFTVDAIADIALSERLGMLESGSDVVKVGGPGEEDS AHRFIEDMHEAARVKSKIIGTLDWYYVLKQVSSFLSSRCRSQWDCGGNVGQIVEHLAG KRLRRHEDGENIDDFLSCLINDKAGKSRNLDIGELKAETSILLDAGSETTAIALTHLL YYLIKNPDCFVKLRKEVSGAIAGDKVAPYAKVKSLPYLKACIEESLRLSPPLPRGLER VTPAAGAYIMGEFIPGNVGVSVPAYVAHRDPDLFPEPEAFLPERWFNNENIGKMRDAF IPFSAGGRACIGRNITMIEQQILIATLVHRYDFSLASPDWTLQNEEAFNLWPVELPVK IWERDLEA AOR_1_664194 MSTPLSYFQSASWCAAWLNHPDLRIDTSASNPFRETTKHDDAWK KRTFLYRASQDPQGIKSEGYIVMQIGAGVSGKDGVAHGGFLATLMDELTGGLVAALGL DRGLGIRTASLNTTYHKLLLAQGFIMARAEIVKVERRKVFVKAEIRDAAGNICTTSEA LFIMNRSSLS AOR_1_666194 MASLATTGWPGALPDWSNLNVLHRNTLAPRAHFYLYPNEEAALT FDREESLFHSLNGTWKFHYDASPFVSPSWDSNVNDWDDIVVPGMWQTQGYGRPHYTNI DYPFPATPPNVSYMNPTGSYWREFEVPSDWDGQQIRLRYEGVDSAFHVYVNGEEVGYS QGSRNPSEFDITEYLSPNDTNTLATRVYQWSDGSYLEDQDQWWLSGIFRDVYLIPFPQ SAITDFSVMPELDDSLEIGTLNVNVSTQGEDGDMSIKVLLPNGGVLDSWSGSSSDRYS KRVEGDDLHLWSAETPNLYTILIEFNGRTISQKVGFRRVEMSGSNFLVNGKPIIIYGV NRHEHHYLSGRTVPYEAMRADLIQMKRSNINTIRTAHQPPHPAFFDVADELGFYVISE ADLECHGFGHIEDTEEQAATWLSDNPEWTHAYLDRAQQVVERFKNHVSVIIWSLGNEC FYGQNQAAMYQWIKERDPSRIIHYEQDRKAESADIYSHMYSSPDTMLEHMANHTDKPL ILCEYAHAMGNGPGGLEEYVALFRSEPLSQGGLVWEWNNHGLLKKEGDLEYFAYGGDF GDEPNDADFIMDGLTLSDHTPMPSLLEYAKIIQPVTVRLTEDSSQMIVTNHYDFVDLS GLNVFWHMVYDGRTTNRTELTLPRVPAGENRTVDLPLNIDDVSQEAWLTIEFELKENR IWADQGHIVAWDQLYLPGTSVQRSMAAVGRAVSPSARQAGLQVTQDRAKLNITTGGTA FGFDLLQGNVTWEVDGVSMFQQGPELYFYRAMTQNDEASAGDGVEWDAAKVGMMHTQV RDVTWSQSENGVTVHFQIRVAPKVLEWGVEADLIYTVSTGDPAIRVQARGEFVGKNTP SVVPRIGLMAVMSKEFSDVSWFGRGPGENYKDSKQACRMGRYESTVEDLFTYYDYPQE NGNREDLRWLQVSNGEVTLDVRRADEGASFSFTAGRYMPFDLNDAKHPHDLNPLNVTV LNLDYDNHGLGSATVGPKPFEKYKCRTEPFDFTFVMSLA AOR_1_668194 MDTEVITTQADTDPAQLANQGLLLEEQYHCTGDARTLCAAIGVF QKLLTITPQDDSRLPHYLESWLRNARYLRDLVPAQGDLGETEVEASDSQDSERGDNKA NHFCSRTEQWITLAIIAEAFHASYRQRKEIEDHDRATAIYWRILDEIPKNIPIFAYFL DHLARALKERYSHDNQTKYLDLSIEVSRQAVQATDEDDSELIPRLERLGCRLRERYER TEDIETLQEIQQLGLQVMRFTPKVDSNMALLLYNSGKAYLLHWAQTEDRDALEKAIIV TRQSVECTPEGDDGLANRLRSLGACAFAMFKHTDRMEDLDNWIDAFRRAAQLVPNNHP TQSNSSALLRNALLVRFQAQGNLQDIDSVLSASREAAAAGAGENDHDAWALYHLGSQL DFKYVNTGDVDCLHEAIQVCSQALDRPGEDHVICAKILALRGQCLRELFEHTGDISEL ERAITDCKQAAEIMPEDHHALPGILQRLAFSSQEQYQLSGEIKYLEEAIKIAHRSLSL ASIYGHEMSKFLSTLGGILLRRYSATLRLRDLDDAIKALRKSLENESQMPKVVTATNL DILASCLGTRYRRSGDQRDLQGAEHASRQAVEIAPASHAGLPAILSNLGRYLMELFQA TREPNYLEESMQTFTCALDRVRSPLHAQLCLGVMAGCRRIMYMITHNDEHLEAAIEIC RKSIAALPTETDILADCQDELALLLHCQNSDDQEEALGLSLQVWNSLRASPFGRIRAA NRAVTIYIEQSNLEKAYALATAAIDVLPLVHNQSLTLQDQQEVVQVFSGLATYAFSLA LRTKRSPIEALDLLERGRGVILRLLMNDRSDLFKRRNTQLGLSAEVEIPLYEMHTPTV SLCSGNAANYHFDSQRAQGGSEAPTEDIHFSPTVDSSEKRVTEEEVKEGAARGRVIIV NITSVGSDALVISSTGLKVIHLQGLDPDEARDWIKKDMTATSVNRGANNKAYLRFLSW LWRVCVKPVFDGLQYKVHDSPEDMPRVWWVGTGLASSFPFHAAGNHLTERNESANSRV LSSYTPSLRALLYTRNREPLSNQATQCPKLLTVSMATTPGADDLDGVLAEIVAVTDTI GNYVHAECLSQPDADTVKRRLADCHIAHFACHGVSEPRDPSQSGLLLQTIGDSPEQDR LTVGSLYEIDCSQGQIAYLSACSTAENRSKWLVDEVLHVVSGFQVAGFRNVIGCLWPA DDTVCAEIAKPFYYELCRGGKMEYNDGTVSLALHKAVLQISRSSQYRKRPLHWAQYVH FGA AOR_1_670194 MRQTAFLRQFFLPPTSVKIGRFLCNIDCPHQDYHDPALQSAPPV IEKVQTQYSGSEALSSTNTFASDLTALLSTWISTRTAAAIHTSTSQVKTYYLDNSEQW FRDAVQQEEVRKWIERVIDEGESIYLVVGYHTVLDARIGVQKSEGKEIGGQLTAPISA GLNASGVVVPLGGLVDPSVGGSRDHSESLEMQFEAPGEQIVAVQYRKVKFGFLSSRNV DTAALKKVARWKRYDRPRYLQSEADDMVEVELEDLLDLDGEFEEHRIGSETILFAA AOR_1_1900194 MANNPELITKREVPSDAIAEAALSTNSQRTDTLPAIGITRRTRK RHTVAEKLRVISYYRETKELDIATNELKPISVKTASARFNIQPRSLRRWLDRERSLKT LPDSRMNVLAPPSSHEQPGNLRLTRRKIVELGIIAKSDWIKVHPEYHPVPFVGIESGK KGRLHTLDDAYKFLGMEQEPPHPGHPTEPESPSVLSDVLFEIQDTQSYTKDGLNYSYE TRPVVEISKTLYSLSPSMSDERNDLQAPLAQEDISPTLHHGNTRVYYQDLKNCVAIVC RELLKASDNIEYVIPVRVGFDYDAPYASTPTQWGAILSFLDNAKILLPLALSMRGPNT LRFSAPDQYFLQGWTIDHVAANGRDHTKRQYYGLLTTNLSNFHASPVLHITDVDMLFL CDPESPKWRPRRFSTTWTLYDTILHATYRRTAPVTPVVGVYSDLSVLVNQIRLRGSRV SFMSTPLRKQPFEYLRQEDFRLKHTISESDIPSDLIRQVLLLEHTRQSQDDDTAEEYL ADNDRRANTTANMKRRNLMKRNSLFLLLRSGERLVDNKPRCEFYTCPLPKVANSRYCG IHSAEILQIASSRSTERRMDDKENSQLEWNLTSRSHCERELKLLKEYLLKSPQANWII DFEFLSIRAKSPIPLQVSIRRMDGETLLSTNVDYGISLSEIIEAVTPYTGANIGPFLV RLYKSYRTNGMKPSQIRGHILKLGYSPGKVNIFSWYSAQDMQCFQRILTGMDELIVKR RSHFPCKNFNTINIAVLCRKLLPAGWSCMTLEATHTRLLESQSRYVDIDLYHTAEYDT QAVADIVRAMTEIV AOR_1_672194 MTEQNKPILPESGKRNFLVTSALPYVNNVPHLGNLIGSTLSADV FARYGRGRGANTLYVCGTDEYGTTSEARAIQENMTTKELCDKYYALHAEVYKWFNISF DIFGRTTTELQTKITQDIFLKLHDNGFLSEHVTTQLYCEEHKSFLADRFIQGECPHCK YIDAYGDQCDLCGQLLDPLDLIKPRCKLDGATPVKRDTKHIFLKLDKLQPEIQAFFDE SSAKGGWSQNGKDITSSWLTKGLQERSITRDIKWGTQVPLPGYEEKVIYSWFDACIGY VSITANYTDQWEKWWRNPEDVELYQFIGKDNVAYHSVMFPGTEIGTREKWLKVHHLST TEYLTYEGGKFSKSRGIGVFGDSAKKTGIPADVWRYYLLSHRPETGDSEFNWDLFISS NNNILLKNLGNFVSRVVKFVNSKNYDNIVPDYTAYTEPAFDAWKEEVNELLTQYIQQL DAVKIRAAIDTVLTISQKGNLFLQSNSLDNKLAENEPAKCAAVIGLALNLIHLLSALL APYMPETAASINEILRTEAIQIPDRWNADTVKPNHEIGKAKYLFSNIKPEKANEWRDM FGDEEAKKVKEEEAKKKAAKKAEKLAKKEKKKEQKEKEAASADGVSEISQSAEKLNIQ Q AOR_1_1902194 MSTKTHTPPKTQHKYTYTHYPPSPQENRNNPKPTILLLHGFPST SYDWRHQIPYLSSLGYGVIAPDLLGYGGTSKPTNLAAYKSKSMAAEIISILDAEGIDK VHAVGHDTGCTLLSRLADYFPERLLSCVFLDVPYMRPGERFDLDMVNRVTRDILGFER FGYVGFFAGKGSGVLLDRFADSFFTLFYPHSPSLWISHLCPTGAIEQWLLSDNRAPLA PYITEEELKTHQKLLVGNHDSALNWYRALVSNINLEDEKESQITPALSMPVLLTCPKK SELEMPGLEEGMRAVCAGDLVVRRVSTEGHWVQLEAREEVNRFLEEFFEGIGV AOR_1_674194 MIEQEELRPLYNPWGPTTTTIKSNRLVSHTYDSIIFYRWTSPDG VFERGRIMARLVAEMMEKNRRRVWLDQWEMRRDTTSDQVVRQISDIFLCIPKVIILAA PGDWDRFTNADDIHRWEWELSLQSDKKIWILRYGVPETTQAPSKEQLAADLRHHSTRL ADLAMKGNIQVRVLTMDNLNSVLSELA AOR_1_676194 MTLFNATALGAFQLKHRVVFDPILSEWSHVELENYFEKLNPDGG LVLVPPACSTLPNKAQKRVIDRLHALNDSIVFAQVCDDGSPIDSLSQNLAYSASKKID LGYDGVEINIGGPMLQKILDETSDPSTAVATILKVISAVATLVPTDQVGIRLVPFSNV ESQQQPLEFFCTLIESIASQLPTLCFVHVVAHARFDDFEYPRNASLDEFRGALASASH SIAFISADAYESETASTIAARTQDLVAISLPAEIDLNLITTLRQGAPYEIAEVTADRL NAIRSTFQTGKEYVLEWPSEQKRKVFGAMDDLDRYLGELDPALSYEGTDKKVVWRKSC WFASEGISHPFLDTEKAIAAFDGDLHDGLAGLQALQDPSVRQSMVYLKNVLDSALVTT SAAVGIDKDMIQRCTVRYRIIKYTAHAGNPGGIGLHPDGNLLSALITNGDGLRVYDLD GTVRYPGYNGTIMMGGSTLYRWSQGHYPPTFHDVTTNKDQVKVSIVAFFNFPDLVTIP RALNSGSASDGGFFHDIRVIKEDDKLPHGQLSPLWDVIIDKHQLVLPPAVTAK AOR_1_678194 MSTQPQPPKATTYTQPQNLISQTPLEQDQQQEQRTRHHGDNVPT ITRGPQSRPSNSDALSEHTQRKQQPRDLHSAANVDTEYGVEQQPAEGDIAARVEGKST RAREQAGAHAGPVGSALGPGCPASASAGDGGELRELGRKREEHDRMLGERVGQSPAEP EGVEGGSERDRAWRRKLEREGEVDVGRAVGEGTGSAVVR AOR_1_680194 MAASNQEQDINPWSVEGARDENGDVASIDYEAISQKWNTSIIDQ KLLERFEQVTGHKPHRWLRRGLFFSHRDFDRILNLYERGEPFFLYTGRGPSTGSLHLG HTIPLQFTKWLQDVFDVPLVFMLTDDEKALFKDNLTFEETMEYAKENARDIIAIGFDK KKTFIYSDLKYLSNHFLMNAWEFSKLVTFNQVRGAFGFNESTNIGRIFFPSVQCVAAF ATSYPEIWTDDPQPTRTQSIANIPCLIPMGIDQDPYFRLLRDNAHKMRFPSPKPALIH SKFLTALQGPGGKMSSSNPNSAIFMSDTPKQIKTKINKYAFSGGQVSVDDHRRLGGNP DVDVSYIYLTYFEEDDAKLEEVYKSYKSGSLLTGELKKMAIEALQEYVRLFQERRGLV TDEVLEEYMQPRKLVWEGNQKPVKESF AOR_1_682194 MSEGRPSLKLTFGKKKAPEEPPKKPAPPPPSETPQRKLTLKIAR KPTTEGEPEKAKKKKPSKKRPADEPAVSEPSASRPPPEQPAGPKRLKLTPSKKPGVQS IRIKNKGLVPNRPVGVGYDSEASDTEIDPSIEEGFILRMLPGEECEYLRRAIAERRFD RSEFSFKPLTREGRRAVFRVRDKQFAAALVDLPCIIEGMKSWDRRGWYKSGDICQMLL VLGPVANEKEALEYPLPPEVERGDDKTLQYAHGLTPPLQWVRRRRFRERVSTRTIEQV EKAVEDLIAQDEAAVGIPRYELVDSASLNRAEGLVQSGEYDEYDDEQDAEGEWDMGME EAPGIFEDFEDALAAEMEAALAADDNAAPPAPAATPVDSGVYQAGTPMATKPSTPAAE SSGDESDESDGDERGAAEDGLDEEQLEQRQQMQQQREEIAELEALIRTETAKWEKMQN QILKNKLARRIQELKKDLSLKKVSIGEGDEDA AOR_1_684194 MSGPNLHNALLRPPIIQILRAAGFHATRPSVLDTMADLTARYVM ILASSASTHAANAHPNNPVPTLEDIYQALQDAGALRPQLREWEEDWHGDEDMRGLEGF LSWFTGPANREIRRIAGFLPSEGDVVDTDFLEKEDYLTVLKKKHSKTGEESRYAGTVL GKNAEEHPIVIEGGAPSIQEWGSQVRSRAPYFAESDSSGVSSAPSNLSDGEGMDV AOR_1_686194 MVYAFTLPTTSHLSFQTHLTSTTHPSLPQAASTARHALRTALKT HKRLPRGPQQDAHLSTLLTTLTDYLPYLTAITNSLTSTRLPETPSEEIEIALHSEPEP SWRPTLTSASLTLKQPRPKGLGLEYELAFVLTTYAYVLSKLAHTTVTRILYAPTTPTP EARTAAITTATKHLLQASAIHSLLATSPAFAHVSRSVSSAPGIVPDLDPAAQAALASL ALAEATLLAVLKDDSYVSACIQARNPNDKEWMVRAPEIPKVRAHLFARLCIRAAEYAE QAAAGLGAVGAQGRAGIEEDLIKYVRVLGRVARARACRFFGVDAELAGKIGEGIAWLR AAKAALGVRGEKQENEVKSRGFSRLKQGWMERREERKMEKDAGRMEKGELGPGDNAGR EEEGRVIEMLETKWVRMNDTINTQLIPPSSDLVANLPSGRDIHSAPGAYRLQALDDEE LVRMRAPPVEDEFGPGSDVDDSEEESGLARDTPSTVPERTDSAYY AOR_1_688194 MPTPESAAFLAKKPTVPPTYEGVDFEDNVAVHNARDAIIREQWV RSMMSRLVGEELGKCYAREGVNHLEKCGALREKYFELLKERKIKGYLFQEKNYFEKSA AOR_1_690194 MSLSRSPSPHPAGGGWSSPGLTTGSGSSTPHSGFLSPNPLGPSG ISWAAAKAKSDEVRGYPSFSTRNNGFFSRSKRRISATLPSFRVSSRSPNGYIDKDDFG RDRPLSPGRGWRSCGFGRTMLRRRRLRLLIALILVLVGYFYFWTSFLERYRRSSFGGG SKFVIILESNVEGGVMELKGAREWAVERNSIRNKQEYAKQWGYELEVVNMLAKKRYSH EWRESWEKVDIIRETMRKYPHAEWFWWVDLNTWIVESSYSLQDHIFNRLGDISYRDIN VYNPLNISHPPTGAYLDDVSRSPVGDGDPSSIQMVLSQDCSGFSLGSFFMRRSVWSER LLDIWWDPVMYEQKHMEWEHKEQDALEYLYTNEPWIRSHVGFLPQRYMNSYPPGACGD GGDPDIHFVEGAGDFMVNLAGCGYGRDCWSELWEYRRISQAINRPWRERVEDKATELY EKFFGRQDNSPQS AOR_1_692194 MEATQESTQPCTDPRRMGYNNSGLHEQDVSDIICILHPSSPAAH HAVAASASSAPQHILQRDELAFESSAALDIGLRLSSKVHDLNTGFCFGRNRTRCDLLL ARDQNSKRISNQHFRIYLTEDGIIMLEDISTNGTIVDNCRLRKNQRENSRMLTNGSVI QVMNGDQTSDEVRFVVRIPSREGFSMQYTENLLRYFERIQKHRAGTTQVKPRQTSAQP VLQWTVSNTYGMHWTGGPKYNVTGQIGKGAFATVYKLATKQHGAVYAAKELDKRRFMK NGVLDQKVDNEMKIMKDLKHPNIVQYMDHHEHERWIYIIMEYVPGGELSTYLQSTGKI PEDMVKTIARQVLHALQYLHTRKITHRDIKPDNILISSLDPLRVKLSDFGLSKVVQEE TFLKTFCGTLLYCAPEVYPEYDSYRRGEVRKRRRLGDPPPKTSPYSQSVDMWSLGAVL YHILAGVPPYMGRGDDRGAQMLRTIMTTDPDVDILRNEGVSEEGIDFVTRLLNRDPQA RPTERECFQHPWIAEVEDVDEYDDDEVYANVRGELSDIGEDAEEELDASQLSIHDEPE PEDPAEQEDSDLAQSKRPRIDYPPTDVRYPSLPHIESLKEVQVAADTTPKRLFGEITP SVLGSSDALGSKMHAFEGDNFSINDFISSAGESMVSDGNSLNSILSLPKDPICGSAPS LMGAENLVGQLNMNSWHPGTSNNRPPVVETPAQPTGVKVGGSAEKREAKTKQRTSPGN ETPKPAIFSRRIELPMPDTASERSSPESIAQRAKDERHQTKSIPGEIFDIELANTIDA KTGQPILDYPERTAGDAPADPAPTTNPKIVLQPRKPPILLGKLTTLPGSIFELTIPLE DRMTSWGRGPQATVCYPDPMDTRIPAYALEVTFWAPAIESKIRAGLDWMSVPGVMAIL STKTRKCIWVNDTELRRGPEGDTSREGFHFGKLYTGDVITIYQHRHKFLKFRCEFYHG DSACTRPENEKGFTVRKVLVPKDAVANQLPGRKDRNEKK AOR_1_694194 MSFCFWDLRSTSPAHGSFELAMGNQEHSVNIVTLGQQRYLVDVG YGGNGPRSPLPLVEGPVHENIGTQTMRLAYEPLPGSRQRQWIYYTRNAEDQLWIHNYA FTEMEFFQRDFEVMSFFTSCHSDCFLTSHLLVVKYLREGDEVYGKIVLDDDKIKKNDG GKNVLLQTCMTERARVEALKDQFGIELTEEEQNGIQGRMSALATSDS AOR_1_1904194 MRTFIDVISGNEVLSDKYPIKEVDDIAYEVDCKFIEFQKPSDDD IEYDTSEGAPNKTSSEIDVVHEFVLHQTNFDQKSSYEHLKRYAAAIEKHLSITNPGRV PAFKKAFKEYVTKMIHHWGSLQCYVGPSLHPNGMVAILNYREDGVTPYFNF AOR_1_696194 MASLSTASNNKANTWQGVGAAEFDLRSDTMTKPTISMLEAITQT TLLDDVFCEDPVTNDLQAYVAQRTNHEDALLVMSGTMGNQVAIRTHLVQPPYSVICDR RSHIVCHEAGGVSSLTGATVQPIDAKNGSYLTLEDIQKYAVLDDDIHHCPTKLISLEN TLDGRVLPLAEARRITEWAHANGIKVHLDGARLWEAVVSGAGSLPEYASLFDSVSLCF SKGLGAPIGSIIVGSREFIKKARWFRKAIGGGVRQAGVLAAAARVALDETFGTDPHGQ DGKLRETHTKAKKVTEMWQNLGGKLSQPTETNMVWLDLDAAGLGPNDLGEIGKEKGLK LLGNRIVIHYQVSEEAIARLGEVFELAMSGNYQRSTDKSLPYGSR AOR_1_698194 MDLSKLTRPAILCQCLRCSSSLAALENEWAKISNSYSVAAGWLS VELHRISISPEKKQVPQSSDLSILRGRILQEIACKLCQQKLGVLCSLDNGPNVFWKLS KVSFREIVTMRTVEPAFKDGLLERLIHPPQKEPTRRDRTSVQPGALVPVGSSEMDHYT TSMEQQIQHHGLSLDHISSSVSNLHDTMSELKGAFTALRIELNGPGRFSDLGNTMNND FNMITTVLKELKSKSEEIEKLKLEIEALKLKNRYMEEQNTKQQQQTSTIAIPGPLPEV RSPGLLQAGRKRPWPDSWPSGRTQPIADSFDDGDEEDSIDFSLEDPHMPPVRIPLKGP ETDAMMDTPHEPTAPGSPNFRVQVNQSRHQSPQWGTPELRASVEQHTMSKRPRISQAP EKPPSGGESDKRRPGRPRKSISQAPKPDFSQTQTPRPTPLSEQNLNVSSSGQKENAPP STSPSQRQNGAETRPGRSRSLRSRSRPPTRHSTGLNNSFSEQDQTQTLASSQRETPRG ADDPVSFDQETGSGKENPPGKNNGAHTDDWNKREAQEKRKAQVAARDMMARLAMQREE AMETEAR AOR_1_700194 MTGMKKRKRTEAGYSDDEPPVNDTSNASNGFGVAQTLSLLRGSK PSEESDPTTAMQLDDTPKDKGDMPAQRPSKKKRVDGEKTKYPVLTYVDGRLQSSIRIA DLQGLLLYCFADGIAPQWISIKHSGHVRKVVALMVPGLEIGMFDGTIPLQPPAEDVSG SQTNQNDTTGAEGQEEDPKTAEFNRWKQGLPLEDRSHRFNPRPLSRDELPDSLQPLAD MFPHVWPVRAPGDSKYNKVHSPLQAILLSALPKNKEDNHKKGPKQARMDKSFVPKRTP ITTFISSLEDLRENEYALHPALFTTGNEKSELMQSRKRAGQDAEAGWVDTHVANFEQG DVPEAEIQQGSMTAGRNVLALDCEMCITEGGKSELTRISLVGWDGEVVLDELVKPQLP VIDYLTRFSGITKEMLDPVTTTLADIQQKLLTILTPHTILVGHSLNSDLNALKLTHPF IVDTTFIYPHPRGPPLKCSLKWLTQKYLGKEIQKGQTGHDSIEDARAVLELVKQKCEK GERWGTSDAQNESIFKRLARSTRSGKSNPTGEGRTGAVVDWGNPERGFGSQATVAIGC SDDEDVVKGISSVVNGDDSNASVPGGGVDFTWARMRELEMYRGWCNRMPDPNNANEST TLVPPPEETTPTGPPASAPSETAQKSLVDTVSRTVSHIQQVYESLPPCTLFIVYSGTG DPREVSRLQAMHKRFREEFNAKKPWDELSVKWTDTEEQALKRACERAREGCGFMCVK AOR_1_702194 MAPAILIVGATGNTGRSVAETLPKLLKTSHLLSGHRVIALTRSM DNPVTQQLAKLSGVEVIVKNWVEITPDWLREHQVVRAFIASHNEPNQFAEESTFFLAA LRAGVKYAVRISTTAANPNVFSPLYLSTAAELIKQYRKTGKQDPLRLMASKDAPVGII DPTEVGVFAAHLLSDGNPTVHNKARYVLNGPEDITGKQIVDMVEQYIGVQVEEVIYKD VSFIDFLYEHQYAATHQSKNVILSIKHAPETAWEGQCTASTTSKEVLELAAPKHTPAD VLKSLLEE AOR_1_704194 MVIGLWLSGERSAQSAALDLHKTLGLAIRQQKEVWDASGVEGAC SDCSWPIPTYQAILLHIIFALLHRGGGSMGLDLKPSLPSPDSELLDSLSDDLASYVWV SIEEVKRFDLALYKFHRMLRRAGTRDSAVGSYSVTTVDGALTAADLRFPMPTNDALWH AVCKDQWLSATTKGVYLCNLNDAMEDQWISNSAELIGFLF AOR_1_706194 MAAPANLSTPTPMLVTAAANWPTVGIVQIHRNALTWNFTDIIID NSDFPLANFDSTFGSSLDKAANKIPHASATTVIPGPEDAVDGIETSDALGKLSKINLE LHVRVAAAEMNKTILDFNSLIYQNSPLCIDNYTLAVFTLKASQEFVQILTRLLNSRQC HGIPSTSQKPVSLYPEPILLPLQSHQDNVLDSTSATSPPFFSAVLQPLLAPLALTIIS IFTQLITVYGLFLEHLSTRIERLSTDPITPIPGIIFGGVPLETPCMQGMLFFNGVIHL LETMEQALGISEREGGTVGLLSDRQVEVLWSELDGGLIITPDGGTMRPADVKKLLGRM AMILNHFSLVDQQRRI AOR_1_708194 MSLSSSTSDTKSTVAGEERDTEDETKRDSVQHVQATWHMAPELH RMRERDEAGGEKPRKLGIAWQNLTIKGVGGNATFKENVVSQLLPFHKGSNDTQLKTII QDSYGCVKPGEMLLVLGRPGAGCTTLLSVLANNRQGYEEVTGDVSYGNMSAVEAQQYR GQIIMNSEEEIFFPTLTVEDTIKFAARMKVPYHLPPGITTHEEYVQFYKDFLLRSVGI SHTERTKVGDAFIRGVSGGERKRVSILECLTTRASVFCWDNSTRGLDASTALEWIKAI RAMTDVLGLATIVTLYQAGNGIYEHFDKVLVLDEGKQIFYGLRKDAVPFMEDLGFMRD PGSNQGDFLTGVTVPTERRIAPGYEDKFPHTADEILAAYERSEVKRRMLEECQIYPKS KEADENTAVFKEMVSREKHRGTFKKSPVTADFITQIKAAILREYQLKRGDKATLLMKQ GATLIQALLGGSLFYSAPDNSSGLFLKGGALFFSILYNALIALSEVTDSFTGRPILAK HRSFALYHPAAICIAQIVADFPMLLFQVTHFGLVLYFMVGLKTSAGAFFTYLITNFIT AMSMTAFFRLVGAAFPTFDAATKVSGLSIVALFVYMGYMIIKPLMHPWFVWIFWINPM AYAFEALLGNEFHAQDIPCYGPNLIPSGSEYIDGAGGQSCAGVVGAAPGATSLKGDDY LAAISFSHSHIWRNVGIICAWWALYVGLTILFTSRWKLLGDGSRRLLIPREQQHRSKH LLQSVDEEARATEKSTVSSNTSSESIGDNLLRNKAIFTWKDLTYTVKTPEGDRVLLDN VQGYVKPGMLGALMGTSGAGKTTLLDVLAQRKTSGTIHGSILVDGRPVPISFQRSAGY VEQLDIHEPLATVREALEFSALLRQSRDTPTEEKLRYVDIIVNLLELNDLEHTLIGHP GTGLSVEQRKRLTIAVELVAKPSILIFLDEPTSGLDGQSAYNTVLFLRKLAEAGQAVL VTIHQPSAQLFTQFDKLLLLTTGGKTVYFGDIGPNASTIKKYFGRYGSPCPPEANPAE HMIDVVSGKGEGQDWNQIWLQSPEHEKLSGELDSMTAEALSRNTTVNDEQHEFAASLW TQTKLVTHRMNISLFRNTEYLNNKFAMHISLALLNGFTFWMIGDSLTDLQQNLFTVFN FIFVAPGVISQLQPLFIDRRDIFEAREKKSKMYHWAPFVTGLIVSEFPYLLVCAFLYY VCWYFTVGLPTSPYHAGSVFFVVVMYECLYTAIGQMIAAYTPNAVFASLVNPLVITTL VSFCGVMIPYSQIQPFWRYWMYYIDPFNYLMSSLLVFTTWDKPVHCTPDELAVFDPAP NQTCGEYLETYQRGLGVATNLLNPLDTAGCRVCQYTEGGDYLRTLNLAERSYGWRNAG IVVSFVIGIYGLVFLMMKLRTKATKKAES AOR_1_710194 MSTLIEISSEAEFDSHIKSLPSTTLSILYFHAPWAAPCAQMRTV LAALASQYPATQPPTTSFISINAEELPDISETYEVTAVPFVVLTRDGKILESISGSDA VRVREAIERHAGSKASTGAPATIPPPLAAVPRETGPTTATQPPAGAANGDALTPEQSK EALFARLRELVKAAPVMLFMKGTPSAPQCGFSRQLVAILRERSVKYGFFNILADEDVR QGLKEFADWPTFPQLWVGGELVGGLDIVKDEIENDPDFLREHSVNKAPVAA AOR_1_712194 MVRLLATVLRSSRAAVPSARPLYSRGYSVASGAAEDAASLGPTP KKGPPGGLHEPIRNLMDPPEPQARNTQPSTPSIGRQGIRIRTGKGDVSAMHYLLRDGC KCPQCVDQHSKQRNFRMSDIPTDIKPRSTEWDGSVLKVKWENDIPGFDESHTSTYTLN QLRNPSANYSYHSTGRKRKRLLWHNWFQHRFVSYEEYMHDDEAFSSAMRNLARTGLLF VKDIPDSRAEVEKLATRMGPLRNTFYGSTWDVRTVPEAKNVAYTSQFLGFHMDLMYMN EPPGYQLLHCLQNSCDGGESLFADSFAVARQLSIDDPEAFKALCNLRLSYEYNHENDI YTNDWPVFQTYVDEYTQQQRLMHANYSPPFQAPMHGQRRPFNRTMSEMRALDKFAKML EDEKYIYELKLNPGECVIFENRRVLHARRQFNTATGQRWLAGAYVDEDAVLSKFATSA RKYPHLWRDSPSKPSRKEAEGEGQV AOR_1_714194 MSAGGEHLKDEGTRRQVVLAGGIAGLVSRFCVAPLDVVKIRLQL QIHSLSDPTSHQNIKGPVYKGTLPTIRSIVREEGITGLWKGNIPAELMYVCYGAIQFA AYRTTTQALSQLDPYRLPPPAESFVAGATAGGLATASTYPLDLLRTRFAAQGTERVYT SLYASVRDIAQNEGPKGFFRGCSAAVGQIVPYMGLFFATYESLRPVMSGLHDLPFGSG DAAAGVVASVLAKTGVFPLDLVRKRLQVQGPTRSKYVHRNIPEYQGVYNTMAMIVRTQ GMRGLYRGLTVSLFKAAPASAVTMWTYEKSLHYLRELEVASE AOR_1_716194 MRGEICHIHIGQAGTQLGNSAWELYLLEHGLKADGRIDPEASEE LNSGASFETFFSETSNGKYVPRSIFVDLDPSPIDEIRTGDYRQLFHPELLISGKEDAA NNYARGHYTIGKELVDNVVDRVRRVADNCSSLQGFLVFHSFGGGTGSGFGALLLERLS TEYGKKAKLEFAVYPAPRVSTAVVEPYNAVLSTHSTIENADCTFLVDNEAVYDICRRN LDIPRPSYEHLNRLIAQVVSSITSSLRFDGALNVDLTEFQTNLVPFPRIHYPLISFAP VVSSNRSSHESFKVQDLTFQCFEPNNQMVVCDPRNGKYMAVALLYRGDVVPRDCTQAV AAIKAKASFNLVEWCPTGFKLGINYQKPVRVPNSELAPVDRSVSMLSNTTAISEAWSR LDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVANDGEPLEEEEPE Y AOR_1_718194 MSTPVEELPAPKYAEKKNRTDDHSPTSDEGTYINEGSQDTHGNN HLTVDTSSADDLDRTALRSPSAQREQAKRLEDDLALLEAEKVASRSTHEDTESKGERN SISRSRSHRSQNVDEFDEATNPLHEKAAVYNPPESPNTNIARFVKKIHESSFIIRYIT YIVPLVLILLIPLLVGALAYPDANVGGVELLWFSVWLEIVWLTLWAGRIVAKCIPVVA GLLASIFTNNAKKWRDMAKQLELHATFFFWWLGIEVSFLPTMKNHHVDGNSATRSWEN TVNKIIISIFVWTILNYIEKIIIQLIAISFHTRTYADRIEINKFQIGSLTKLYDFSRN KISVKDDEFEEKNDNSGSGTKTPLRYPLQYAGKAQRVAKGALNKVGDMAGAVAADFTG RKATNSTHPYQVILTLLRTTSGCQVLARRLYRTFVRDGFDTVFAGDLKEAFDNSEEAE AAFIMFDKDMNGDISMDELEAVCVEIGRERKAITASLKDLDSVVSRLDNVLEFFVVVI SLIVFVSLISTSASGVLTSAGSSILALSWLFSATAQEFLQSIIFVFVKHPFDVGDRVT IYGNAGDAGLGDDYFVKQISLLYTEFKKMQGHIVQAPNSYLNGLFILNQRRSGALAEA IPIVIKYGTTLEQIDALRQRLLEFVRSEKREFQTNILTEMRAVTENFSVTLNVVFFYK SNWQNEGLRLQRRNKFICMLMVALQEIGIEGPRMNLQGARVDIPFHVTGFPPQTSSAD HDSRPPPTPIHDMPENTGHSSSSAARHPSILRKGMNTAAARARGESIQSHKHVDFSLG MRDLSSGDVMGDVFETTSPRVDDVVRSSNREAAQRRILEEEEEEEAERQSRSSSSRAR RPSNLSVPTQPGEGRRSTESQGTHSLSSISRNRFFRHRSSVSRERDDLAEQGRFDSSD IRSVSPGTR AOR_1_720194 MAGNGTQSPQFLAPPAVTALRQEARSIVPSLTPSNRSLSDDNDM QEERAELKQAAEQTLNVIVDLDLDGRIKWVSPSWRQVVGTDPESVEGRMISDLIVDNK NAFQDAVEAMKEDDSRSRFIRFSLLMGPDSVLKYAPEPRPVEAETTGTDETEGAGGQE EPLAETEEHNHDILSMEAQGIMAYDRTADGVSHTMWMLRPFTEPREVTIDLPPLLVES LGVGAEVLASYLTTLAEAAANEPDPSKHPAPNPVLCRICERQITPWWFEKHSDLCLQE HRAEMDVQIAQENLNEHRHAIVKVLDALEARQGRPLVLGESNPPLPQPEYKGLPIGPS PVASAPSSGSVSNSSSAPATPPRSRDHSVSGMGHSRGRSFAVRRPLSRVVELILDLCD TALEINMPVLKETRVDNNDEFRTLSPQSESRISQVYQWQSPSTNTLEQEQGLAALCTD TEQVAKAKVDATVRYRRIVEYAERIRIEYTVLVEECITAALSKAERIAAGQLSDSSSS EDEQVPDILDSNIVTGPIPSPAQSRESIPLPRPMSALTMSMRNSPDRHQSPHSSEGKL SSVAVSTGSNSPMECPTPRSHKSVAGVLGTSQPSRRGLASADFDAGEMSDSSVLSSAF PGAMRTDSPCSDRSLDRKRRSLVPPGLSSSPRRGHSPARVSGPHSPLRMPKPRLSSGA ESLPSPIVSPSTNAGELAHHHFRHHRRQSSATSSDAPRPPVSPHLASASQPQPRPAPV SIKDFEVIKPISKGAFGSVYLSKKKTTGEHFAIKVLKKADMVAKNQVTNVKAERAIMM WQGESDFVAKLYWTFSSKDYLYLVMEYLNGGDCASLVKILGELPEDWAKKYVAEVVLG VEHLHSRGIVHRDLKPDNLLIDQTGHLKLTDFGLSRMGLVGRQKRVLKSMNNEPAPDL LKQGPFPRATSITSSRSASFDFQGSGSPGSTPLMTPDVAGSMPQPSYFNLNQSGISRQ TSRRASGYRSDSVGSEGLNSVFRSLSLHDPSEVQGSLPVPVPGAHNLTEAEGQSEAGE SPHLYPLQPTPSNSVPHDIGPQQGMMPPLMALFDPEDHNRRFVGTPDYLAPETINGAG QDEMSDWWSLGCIMFEFLFGYPPFNASSPDEVFDNILHRRINWPDHVEELTSPEAIDL MNKLMTTDPAQRIGANVDEKYPNGGAEIRSHPWFSDINFDTLLDDKAQFVPNLENPED TEYFDARGATLQAFSEELEDGDSPRPVTTGPYPDRPHDALFKVRSQVNASKRPLMPLH IPPHVRESRSRRLSEPSLEDDFGSFSFKNLPMLEKANKDVIQKLRQEAMQAQQRPFAA SGPQQQPPGKDQGQAQGQGQNQAQTPAPSSSGPSLEGSPLPMSLQRTMSQNKGNNRPS SPSSQANSSPSRPSQPSSPLLVQFSTGHHNHERRKTSGSSSTNSHHSSASGPPASAEQ ARMASLKISSSASSPVKTSRAITHSPDKTPSGPPRHGSVPTRARSQTIGSQDGDLSSS LARESYVAGHYKRRSQRFNDISPSSSDNEDPRTKQLFKNQRQRQSSRRLSQLNYPDGP FFRPLDVLICEDHPVSRMVMERLFEKLRCRTITAVNGAEAMRYALSQVQFDIIMTEFK LPQVNGADVARMVRETRSANRHTPIIAVTGYLKDFPETHHFDGLIEKPPTLTKLTQAL CKHCQWKPPPNDSILSQPLAVPSATPRQIPIAAEHSPSSVTSSNFAPVPTSSYQGSSR ADSIGSSYFGDMESVKAEDAPVVGGRKYDEWSSGQGGLGISGDTGTTQVESTQATVDS NNKSSSDPAPLLHLLHAVSAPASMNASGTLTPRKQRSSEAIRAKRESLERKRYEGAES GDDEDEELGRSNSRTQSPRNRSTRPGSKLGIEMMRTNSRGSVVSGSEELLKKERESLE RRRSGGSESISEERAESPGIDGKFEGLHFPEDAIVLSEDNYSPRQSPTLSVSQMSPSF STMSRLEPSFAHGITETGFGVSPDTSTETLKKGHITPPIVFTTEEGSSSVDPNTPFIQ TSSVEDDTETIPDSEATPRPVHTPSLGLDSEITPRQGGR AOR_1_722194 MDYYAALNPNPPYMFRRILLLLFWLIGLPASTADKPLYGSKDGI PGIDASFDYVVVGGGNAGVTLAARLAEQSFNVALVQAGGFYEINYPPAKVPGAVGIGT GTDPMAIRTPIDWGFLVNTGPGADSRTIHYEKARCLGGASASNFMLYQRPTIGSMKLW ADLVDDESYLFDNVFPLFKKTINFTAPNEELRPANATVSYREDAYEKHGQPVDVTYPH AASPFSSWFQLGLESVGVEVTSEFNSGSLLGSFYCPFTLRPADQIRSSSESAFFRSPY SSRYLETLTLYKNTMGKKILFDQKRATGVEVATAGSKYILSATHEVIISSGAFQSPQL LMVSGIGPADVLQEHEIDVIVDLPGVGQNLWDHVFSGPTYPVAVETFNKLAMDLQYLI SQIREFKSSHTGVLTNHGFDYVAFEKLPGSSRAGFTERTENDLSWFPEDWPEVEYIPA PLFVGNFSDPITMQPQDGRQYATILPTLVGPTSRGNVSIISADTDDLPVIHMNWLTTE TDQQVLVAAFKRVRDIFHSEAMAPIIVGEEFFPGKEYQTDREILEVIRDTAMAPWHAS GTCKMGTRSDRMAVLDSRARVFGVEKLRVVDASTFPVLPPGHPQSVVYMFAEKIASDI IGYSKDEE AOR_1_724194 MSNKRPAMELGTVLVVGGCGFVGWHIVNHLLNFPSETDASVALP KPEEDPRFDYPQLAGRYPVCMAKVAVVDLRTSNNRLPGAEYYDGDITSAESMLEVFRK VKPDVVIHTATPNVLEGNKPLLRKVNVDGTKTLLEVAGGARGDWGGKCKAFVYTSSSS VVHDTQSDLINVDEEWPYIRGDRQLEYYSETKADAEELVLKYNRTSPSGMVTCAVRPA GIYGEKDTTFTYKVLEHSSKASPAVLRMQLGDNNNLFDFTYVGNIAYAHLLAAFRLLA TKTRIESKQSEPLDHERVDGEAFNITNDAPVYFWDMTRAAWALTGKVVEPHQVWELPE ALLGPIGGIAETVMGICGKTPRLTRRTVRYSCMTRYYSCDKAKSRLGYTPIVSVEEGL ARAVGYVVERERQEGQKKGQ AOR_1_726194 MSDQAILRITREIKQIQQGADLSLAVAYDDSDIRNVRALILGPP DTPYQFGFFEFAIKFGNDYPAKPPSVRALTTNKGRCRFNPNIYASGKVCLSILGTWRG HPGEEWSSAQGLESILISIQSLLSNNPYENEPGYENAHTAEDKEWKASYVEKIRHENL RIAVIEPLESSLGILPHESTSAVSERVSEEDDDVGEGQALSEDDKPVVDQFADLRKRR FLWYFDSYIQTIDAADSVISQERKFQRMPFESQGNTMDGHFDYPELRRRVVLLREKII YETNNWLFEGLGVKKQELSIASNLQRQYEQIVEDLKRQKNFAVDLELVDTNPFLWAFT YFGRPMSHLDGGIFRFKIYISPRFPDEQPRVFVETPIFHIRVSREGVLCYSPRRTDEM RYHIEAIVAALEEESPPYDPRTTVHPEATKLFWGSMEDRKKYNRALRQSVEKSAE AOR_1_728194 MPPGDVPLPDSLVPGNGAVRPTLNTSGYGGGNQMQTPTSPADNS IPFDSPRAITGGWNASGNSPVDGAQNPDGRLGRRYESNNSSPRDPSTPRDAAGYWDRS TPRDRTRPNGRPVTKSPGGSSRICKKCGEPLTGQFVRALLSTYHLECFKCEDCGQIVA SKFFPVDAEDGSGQYPLCETDYFRRLDLLCHECGGALRGSYITALDRKYHIEHFTCSV CPTVFGAQDSYYEHEGKVYCHFHYSTQFAQRCHGCHTSILKQFVEIFRNGQNQHWHPE CYMIHKFWNVRLAPTGQPLEYPELEADATDEQRNRVREEEDVMEEKVYKIWSILSSFE ESSAACISDMLLHVSNGTYVDGVLVAKKFIAHVDVLFSAIDQLAANIKAQGMKDLAYG REAKLLCKKIVAFFALLSKTQETGVRKLGVTQELLSLVTGLAHYLKLLIRIGLQGALK LEREKETPEGLHQFLDHLGDLEALRPLEEEVTTADLMANVEVLADQLSDCCAACKEPI DDECIKLGDNRWHIKPPHLTCTTCQKDLTAMPQDALWNPKDKRAFCNSCASENGFAHD TQGGFTRVTKLQQFVFLLQVALARLLTVLRAGGTIPASDDANLKSHDGSDGQSTPGGE LRRSTTRSKSYSHASKEGTEESSLEQTVGEMRRLRSIRNERTLSTTYKKARASRIIDG PEGRSVRPGSSGGEGTDPRGHGFQIVEEKDANGETVTELTFGNQDALTLDDIPRIVAA EQAKEQRPNAYKHAGAGLVGTTEPLPRYNYGHQRGVSGAGLERHLMGQGGRAKKYFSE LSALEYFIVRHVAVLSMEPLLDGYFTLEELLSFIESRKPTIWNIFGRAFNKETKKGGK KKGVFGVNLDFLVEKEGTESSHGVGPGALRIPALVDDAVSAMRQMDMSVEGVFRKNGN IRRLKELSDLIDNKYEQVDLTKENPVQIAALLKKFLREMPDPLLTFKLHRLFVVSQKL SDPEKQKRVLHLACCLLPKAHRDTMEVLFAFLNWTSSFSHVDEESGSKMDIHNLATVM APNILYPNAKNGTVDESFLAIEAINALIAYNDTMCEIPEDLQSVLTDTNFFKENSEVT TKEILKRYGDIARGSFSQRPANGGETFTITNQNRGANTPTSARIETDPSQDAATQLQG SVRHVQGPPGHAHSASGIPELVPATTSDNRERSISNGSQQNPVQPDAQPQQLPYRARP GAGPMGVAG AOR_1_730194 MASLTSKRKSRDDDAYPVDEARTPTGSPPKKRLRVTRNQKQALI DNLQLEVTERARKLRAQYALQANDLRARIERRINRIPIALRKVNMGELLEKHNAALRA QQENTSPRKLISPIKGSRNFAAISVNPAMRKASAASPSPRRTKRQSHEGLYSDKENAP ATGEQLDVLKNPKRRAKPGAAAGTSRVVSQEVRGADFRILSPKSSNSRTYPQSPLRAS PEKSQNSSYLSRPMPSLKPSSPLKAAPTSLAGSLESARLRTTKGTPSVATANRPASQA SKRPASRATTASKTTTRSPLPRPATRQLERRGSVSSSTSSGTTVTKPTRTGANARKVT AASTASSTAKKAVANSQTTSATAKRNAASATRKTAAPAGGEAPTTGRRVLRKRA AOR_1_732194 MSLEATMIIVDNSESSRNGDYTSTRWQAQIDAVSVIHTAKMRVH PQSAVGLMSMGGKGPEVLSTFTSDFGAILAGLHRTKIHGTAHLSSSIQVAGLALKHRS EKSQRQRIIVFSCSPIEEDEKSLVKLAKKMKKINVSIDVIAFGDLESDQTKKLEAFVE NVKGGDGSHLAIIPPGPNLLSEELQMTPILGGDGAGAGDAGAGGETGDFGFEDAAEND PELAFALRLSLEEEKNRQEKEKREREEQERKANLDNIPEEGQSGESSGSKDKKEDGDK MDTA AOR_1_734194 MAVFSLIIINKAGGLVYQREFQPGLRKLSTNDYLVLAGTFHGVH AITRTITPKLPLSTASTTATTPTSSNIPSTPGTPTPPTPASAFTFPNPGIPATGLESL ETDKFRLTCFQTLTGTKFLLFTDPMMGNIDVVMKKVYELYADYVMKNPFYQLEMPVRC EAFDRHLAGWLRGRT AOR_1_734194 MSVKAVFSLIIINKAGGLVYQREFQPGLRKLSTNDYLVLAGTFH GVHAITRTITPKLPLSTASTTATTPTSSNIPSTPGTPTPPTPASAFTFPNPGIPATGL ESLETDKFRLTCFQTLTGTKFLLFTDPMMGNIDVVMKKVYELYADYVMKNPFYQLEMP VRCEAFDRHLAGWLRGRT AOR_1_736194 MSKEETIEAKARALHEHIFSKPEDTYAGKPWDVVKAINDFADES RMMTFKNAKIEASRQQIAKIQPAPKTFIEFGGYVGASAIAWGAILRELNNTDSAVDVN VYTFELSPVNAGIARDLIRLAGLENTVHVLEGPAADSLKRLFEEGKLKEAGVDVAFFD HWEQFYLPDLQLCEDLGLFRKGSKVIADNTDFPGAPAYLEYVKSGGRQGGSYRYETES IETASNCGPSIVEVSTVINAQ AOR_1_738194 MTTLFTVPISSTGGSFVCSNPTASEKEKNIYLLTFTSPKDNRLT PTFIDAFILALDIIEHRYPKGVVITTSGIPKFYSNGLDLELALSTEGFLDKWLWKLFR RFLTFPMPTICLLNGHAFAGGLMLAMYHDYRIQNPSKGLLCINELEFGVPLQSPMMSI FREKLTPSSFRDLILEAKRFGGPESVKAGLVDGVGGLEEVLTLIRERGLQKKAATGIY GTMKEEMYRHSLDILDGHTANLAWREQLEDKKDQAQRDGLKAVEAFEKQRNAKL AOR_1_740194 MSEQPYDPYIPSGSTTNAPAGASAAQNGDPRTREIDKKIQETVD TMRSNIFKVSERGERLDSLQDKTDNLAVSAQGFRRGANRVRKQMWWKDMKMRVCLVIC VILLLVVIIVPAVVATKH AOR_1_742194 MLVGKMFSLRTAVRRASTSKPLRAFSAPHAISSARLGARNSLKA SAVPLLQGRHYSRATDPQLSSTRSTVVQLLSNIGSKREVQQYLSHFTSVSSQQFAVIK VGGAIITEHLQTLSSALAFLNHVGLYPIVVHGAGPQLNRMLEDAGVEPQFEDGIRVTD GKTLALARKLFLEENLKLTEELERMGVRARPLTAGVFSADYLDKEKYNLVGKINGVNK KPIESAIESGCLPILTSMAETPDGQVLNVNADVAAGELARALQPLKIVYLAEKGGLFN GDTGEKISVINLDEEYDHLMTQWWVRHGTRLKIKEMKELLSDLPRTSSVAIIHPADLQ KELFTDSGAGTLIRRGNKVHIKTSISEFEDLDKLKDVLIRDREGLDARATVERYVEGL KERDFKVYFDEPMEALAVVLPPQQDASSPLAHLATFTITKSGWLTNVADNVFASIKKD FPKLVWTVKEDDENLTWFFDKADGSLSREGEVLFWYGIESGEEVKQLVQEFNQHGRQM FGDINLESRLHRAAEAATNIGKGFGASGASAEQKRAFSSTSNALRSSRFGRPSVFNRN SARAYSTTNPNPPLGEKNMSNTQPSKVALIGARGYTGQALINLLNAHPHMDLRHVSSR ELAGKKLQGYDKREIIYENLSPEDVKRMSANGDVDCWVMALPNGVCKPFVEAVDQGSE KGNVIVDLSADYRFDSTWTYGLPELVSRSKIAQASRIANPGCYATAAQIAIAPLVPHL GGQPTVFGVSGYSGAGTKPSPKNDVENLTNNIIPYSLTDHIHEKEISSQLGTSIAFIP HVAVWFQGIHQTISIPLKEEMSSRDIRNIYQERYAGEKLVKIIGEPPVVKNIAGRHGV DVGGFAVHSSGKRAVVCATIDNLLKGAATQCLQNMNLALGYGEYQGIPLE AOR_1_744194 MFYSHEMLTSPDHGVATIWLVATLGSRSISKKLNRKAILDVDVP KACHVIMDPEAPMALRLQGNLLYGVSRVYSQQCGYALTDVQAMHDKMRTLLKVLPGGG LDPTAGKSRPDQLILPYDPSFLPESDLPGMGMDLSRLCLPFDTATSQHSDLLWPNTPD LSQSALSGSPGLRFSFSFDNMILKDGGGIDSETNVPSSVQRSIDLGGLAATTFAEEGG ILLQPDFEFDEDGNLIELGEAHHQTAKGRMSRRASEAPLQSEAANIGLYDPTFDYQSM PIDERIETTIKHQDDEAVAAMPQKRRAPRLQTLDDRTALRNTDLGNMNSDYVQNMAIA SKQKRQNKLPTQAKKNAIYWVFGQGIGSVGIGLGASQVPHPLQQFSGEELYAALNPTV RHKGRKRSRLPSDESEDSDVRRVRAREEYEEQVGRGGVVDGHDIWQDVEIGRHAPSVF RDDNSFSSQMPWNITASVQSSQHGSSAASGLRGVANVSDPSASRGRDTTASHLVGRGR SRNRLTSASPLAGRGFPFDAEAFDRLVLPGDDDMDVMSDFDLSQYLQTEPFSAGHGHT GDDANAITYRGRVTLQDRLSKCSLDQESLNFLGFLTRKLEAMLVEHVGATDEDGFINS PATFYGSKVIGFSALLPPSETSPSVATQGLMHILTLATKGFLSVRQEDYEDRSTRYHV RYEFGEIFLQLSEM AOR_1_746194 MRSTFVFIACITAYSFAAPAFFDNAYNFSNDLSECLGRVSKHIE HSKDILNTATCDTSSVELPAQASGLPSPSDQKLLYVALGRGTQNYTCATPSSNSTPVA IGAVANLYNATCIAGSFSDMINMLPNIAYRIPMPSSESDRLPPANLDLMGHHFFDGST PVFNLDTTTTHQYGIARTKKEAQVDAPSNAIQGNNGAVAWLYLSATSGSVGDYSGVYR VDTAAGSPPKTCKDMPSEFTVDYAANYYFYGKR AOR_1_748194 MGFGAPRGRGGPPGGRGGRGGFGGGRGGGRGGLGSASRGRGGPR GGGRGGRGGPPGRGGRGGRGGARGGAKGGAKGGAKVVIEPHRHAGIFVARGGKEDLLV TKNLTPGEAVYGEKRIAVETPTEDGTTTKTEYRVWNPFRSKLAAGVLGGLDDIYMKPG SKVLYLGSASGTSVSHVADIVGPTGNVYAVEFSHRSGRDLIGMATHRTNVVPIVDDAR HPLRYRMLVPMVDVIFADVAQPDQARIVGLNAHMFLKEGGGVIVSVKANCIDSTAKPE VVFTREVQKMREERIKPKEQLTLEPFERDHCIVAGIYKRSA AOR_1_750194 MSIIITGANGYVGQELASALLSSSPDITVTLTDIVTPAIPAAAA AQHTSRVKCVQADLTSPKVVDEMFTESHRFDTVYLLHGIMSSGSEANFELGMRVNLDA TRYILDRLRAVMPGVKVVFTSSLAVYGLAPAGFVIDETNFPPVPSSSYGSQKLIIETL LNDYSRRGFLDGRAVRLPTVTVRAGQPTQAASSFASDIIREPFNGKKAILPVSKETEM WICSPYTVVKNLLHAKDIPKEAFGESRSVNLPGLKVSIQEMLDALEEIGGKERRALVE EKYDAAIDKIVQTWTPNFKTDRAIKLGFAEDVPMIENIRQYASRFA AOR_1_752194 MASRRLAFNFNQALRSRAALKSIQPVKRGFSSPVTLPSTTQSTT LSNGFTIATEYSPWAQTSTVGVWIDAGSRAETDKTNGTAHFLEHLAFKGTNKRSQHQL ELEIENMGAHLNAYTSRENTVYYAKSFNNDVPKAVDILADILQNSKLEPGAIERERDV ILREQEEVDKQFEEVVFDHLHATAYQNQPLGRTILGPKENIQTISRDNLVDYIKTNYT ADRMVLVGAGGIPHEQLVRLAEEHFGSLPSKPPTSAALALTAEQKRTPEFIGSEVRLR DDTIPTAHIALAVEGVSWKDDDYFTALVAQAIVGNWDRAMGNSPYLGSKLSSLVEHHG LANSFMSFSTSYSDTGLWGIYLVSENLTALDDLTHFAMREWSRLCFNVTSAEVERAKA QLKASILLSLDGTTAVAEDIGRQIITTGRRLSPEDIERTIGQISEKDVMDFANRRIWD QDVAVSAFGSVEGLLDYNRIRADTSRNTL AOR_1_754194 MGVPESESPAVQQQNEWSNGFWDCCSPAGTCFWGCCLPCCLFGK TQSRLEDPQLKEYSYMNGNCCLYYLTAQVGFHWVLLMIRRGEIRQRFGIEGSGVSDCC SSYWCPCCVIVQQEKEIEAQSERLQTGYQAPAGMAYAPQ AOR_1_756194 MQDRVFTVSKATAEIFLQKNTFTVTASELRTPKPEVNYEKIKFV QEDPLGCTTDASVEDSPSPVASIPEAHHQSAPIDSAVVIAGDRKDSVGKDNRIDLDEH YGDGGSIHHDTLDLSHWGGGLKISPDGTPHQDKDDAVQGLLALGSTAGSNDVRSESTN LSLPSPNIALSSLMNSRPSEVKEIALQAVTTRLLDDPGHTRINELSTSIMTSTGVESE ARKLELLRHYRYHVATWLDICDLRHPFGINVIQMATSSEKLLSAILSLSESCIIQRGH WNRAGLEQLTLRKSNQLDQLDHNHPDFTELIMLSLLEEIRTLVTDVPKAWIDWVNRDV PYVNHLVQHAYIKDIESTAYWMFLRIDLGVALANDVPLRIPLPMLPIPSLSLLSRTED VCERVSHYTNAVLWLCGKALALCHQEAIPHPLATSHEVTENWLQAFGELEQWHHLRPL EFEPMVEIDARDQVLNQGSEFPLLLFANGSGTFSNQIYHTAMLLLLQCKPRTALLNHP QSPVLSPLWHAYRICGIALNNDTREGWDPCLLASLLLAAKHMTHESQQQEILQGFNRI REITGWDTGEYLSHLREEWSFLDGI AOR_1_758194 MFSAEPPPKRRRVSSTASQHPLPAEEEQSPGANEITHSNPIDYH EFQPLPPQSYDGISHSSPASGGPFQSPGSWYQDGFSQDPGFLASQEELRCILFSLAYS AAPTRAPSPDAGILDRLGDRGTDRLGIDMVPQSHRERQREESHRSPFSNSKRIKYLKN YVTEVAPWLDMFDSQCTFRQQLPALARTFPALSYAILAFSARHMERKEGVQDLFDSLE LYQEAIRLLSPVLQDWRRHLEGCAALFDAFEIHGFSNGLLQAVFWCYARMDLCGALIS DGTQTTLLHPSKWLPPGYQEEDAYQLFQDARSPDMHANYAVYLCMRATQLVSDRTKFV ELHEQNGCNLEAFCLRWVRLWDELQRWFSERPSELLPVQTINPLPHGMPPVTQDDAQG DQIAAITQFIHVMPLWIAGRLFSHASEHKEITKLIRDIEAETGWGTCWRIRDLDIAWG YSTRRRTSNDHDQQFSTAREPPRV AOR_1_760194 MVKLNIFGTGFTLQAAIWAACGMAFILFGYDQGVFSGIVENEDF LDTMGNPGDSLMGIIVSIYNLGCFAGCIVNFLVGDWLGRRKAMWLAMVWVIIGATLQT SAFSVPHLMVGRFVTGIGTGIETSTVPMYQAELCEASKRGKLVCSEPLLVGVGIVVSY FFDYGMSFVGGQIAWRLPIACQMLFGFVVIILVFGLPESPRYCYKEGRDDEALQILSD VYGRPKDDPKILAEQAEILEALAIETKHGEYKWRNILKRDEVCTGHRVLLGYGMQFMN QLGGINLLVYFIPTVLSTNVGLTKNLSMIIGGCAQIMFVVGSFFPTFFVDRVGRRTPM MWGSFGLGICMMMVSVLLSFKGKENGHATSSASVAFFFLFMLIFGASVNCIPWVYVPE ILPLHARAKGTAVGISSNWIWSFFVVMITPVIINRLQWKAYLIFMCTNFAFVPLVYFC YPETAKLSLEEIDYLFTHPDKGAVKLSLELQKERKMHGHGASLVADTGVLRRTSVVAD ESLEKHHGVDEHVEKV AOR_1_762194 MTKSKTPMIEQYWHKGGLCGVISRAALRTLQFVFAIVIAGLYGV DLAHATEVNARAPSQWVYAEFVAAVTALTCIVHCFITVTHVAWSAWDFVLFVLWLAQV GTFGAIYISNNVLDEYKQATSSIPRMRAAVWISLVSMVLWFATTVLGIAWCCRTRKVT RRTDQVEAGKEQILERDSDVESGSICDEKRSMAAIPAAMVAEKSVKDKNGSKITSEIN RSDSDVSPPPYS AOR_1_764194 MADMRCFAVEDGSWELPPPYESISSSGGADGDPTRNNLATPVVF PISNASFPELSPTNDGRVDVHIGPRFSRNLEWLMGNEAGEAPSQTGREAAPSVPCPAW DLHLNIVIQVVGSRGDAQPFVALGQELQKYGHRVRLATHAKFEQFVRTADLEFYPIGG DPVELMSYMVRNPGLIPSIKSLRAGDIQRKRASMAEILDGCWRSCIEPDPYDKAPFVA DAIIANPPSFAHIHCAQALGIPVHLMFTMPWTSTRAFHHPLANLKYSGNDPSLGNLVS YHFVEWLTWQGLGDLINAWRKNVLGLDPVPTTEGPNLVEALNVPFTYCWSPALIPKPK DWASHIDVCGFFFRDPPAYEPPAELDVFLRAGPPPVYIGFGSIVIEDVEKTLSILLNA IQETGVRAIISCGWSNLERRKTPNVHYIGDCPHEWLFQHVAAVVHHGGAGTTACGLRN GKPTTVVPFFGDQPFWGNMIAAMGAGPEPIPHKNLTARKLADAITYCLTPQAVAVARG IADKMRQECGVRAAVDSFHAHLPRRKMQCDLIPSEPAVWYFKTGRRTVKLSKVAARTL KHQGRIHGKHLKLYQTKPFTIDIRRWDPFTAISSASLSTLTGMADATAGIFIDPYKEY KRLRKSDRNRDASNPATVSHPQLATPETSSTAESALITRTHSSSDILDTECSSDDPDY ARQMAIAAATSLGIFLGRSSRGALVDLPLAAVEGMRAVPRLYGEKVRLHDPVRDWKSG AGVAWSTFSHGLYEGVTDIFVHTYQGKKKQGAIGVAKGLTKGLVSLTVKTGAATVGLI AYPNQGIYRSLMSTVRKRPAKRIEQARWTEAEWITRAEGGRPPEEGGNT AOR_1_766194 MLLAIVSTLALAGPAFASPACKVTPLDPSWPSNSDWASLNASIN GGLLRTVPVASSCWPGNPFGSSVSCGNVKSNWTNGMWLSTFPESIDYPIYANNSCLPP NAPGYVEERGCTTGGLPEYIVNATTEEQIATAMQWASERNIRIVVKGTGHDLNGRSSG AFALSIWTHNLRQLERNKSWLLPSKNTSEDVFIVGSGQQWGNVLNKALEHGRVVTTGQ DPSVGLGGYIQGGGHGPLSRTYGLASSHVLQMRVVTTEGKILVANDAENQDLFWALRG GGPGLYGVVTEYVIRHHPAPSSVTMGNLLIAPKGSSNRSAELSWDAAVTHLSVLPDLM DAGLAGACMLATGQNAMTFASLSEPTTGAVIKQVFWSFNSTPSAMEALVNPILSNITH AAGGNNSLSISFSASQSNYSSFFSAISGSDAAGGQSVVSSRLLGRAEVLDTPRHKRRA YLKIAMRAQNETAGTYATIGLQGGPGVRNTQQEEWGALLPAWRSAYLHFISNGATVDP VAAGSPKKALENAADFNEAKERMWREWSPKSGAYMNEANPFTSNFKQDFYGSNYDRLA EIKAKYDPSESLFVLSGVGSDKWQYDLDTGKLCKAK AOR_1_768194 MGKRGRKGQGGRGGGGQRQNWTDIPKTNEKFERFYNEQGFIPEE EREVFWEYLRRDLPNSFRFTGSRGHALAVQERLKEFYIPEITSIKYEGNFVEPPRLVS WYPDQLAWSMTTPKQVVRRFAPFANFQKFLVAETAVGSISRQEVVSMIPPLLLDVKPG MTVLDMCAAPGSKSAQLMEMIHAGEEESMSQASKQVKEGTAGPEPLGPEGLNDDGRTT GLLIANDTDYKRAHMLIHQMKRLSSPNLIVTNHDATMYPSIKLPSPPGPSGKVQNKYL KFDRILADVPCTGDGTPRKNIGVWKEWTPGNALGLYATQVRILVRALQMLKVGGRVVY STCSMNPVENEAVVASAIERCGGAANVRIVDCSNELPGLKRVPGLKTWKVMDRDTRMW NTWEEIEEHRASQGISGLGRVAAGMFPPTGENADLPLERCIRIYPHLQDTGGFFITVL EKQSEIRAKPEDSSKVIPKGTVAALTEELEFKQKNGNGQPLEKIDALDDMVTPNEDAA EEAQKNATVAEATHQPPYSATNQMSPAKRDAESMEDEVPSKRTKLDDGSEVVVGDRPV HQPAPVIEPDNMDTSDTTSTPAPPQQTTSATQAPPPQKRKPGQPVEEPFKYLDPNHEE LPPIFEYYEGSDRFPRDRFMVRNAQAIPARTIYYTSALARDILTANEGQGMKIVHCGV KMFVKQDVQRPGVCPWRIQTDGLRVLEPWLGPGRAVTLKRKETLRRLLVEMFPKVNDD GWKELGEIGERVRDIPMGCSVLHIEPDTSETGFSERMVLPLWRSLHSVNLMLPKEERR AMLLRIFNDDTPLVNITAKRANADTDADNTPAAAPAEVEEEAVKHENEVLGQDEQEHA ESRETWQKVGDEEDRFNTTV AOR_1_768194 MGKRGRKGGRGGGGQRQNWTDIPKTNEKFERFYNEQGFIPEEER EVFWEYLRRDLPNSFRFTGSRGHALAVQERLKEFYIPEITSIKYEGNFVEPPRLVSWY PDQLAWSMTTPKQVVRRFAPFANFQKFLVAETAVGSISRQEVVSMIPPLLLDVKPGMT VLDMCAAPGSKSAQLMEMIHAGEEESMSQASKQVKEGTAGPEPLGPEGLNDDGRTTGL LIANDTDYKRAHMLIHQMKRLSSPNLIVTNHDATMYPSIKLPSPPGPSGKVQNKYLKF DRILADVPCTGDGTPRKNIGVWKEWTPGNALGLYATQVRILVRALQMLKVGGRVVYST CSMNPVENEAVVASAIERCGGAANVRIVDCSNELPGLKRVPGLKTWKVMDRDTRMWNT WEEIEEHRASQGISGLGRVAAGMFPPTGENADLPLERCIRIYPHLQDTGGFFITVLEK QSEIRAKPEDSSKVIPKGTVAALTEELEFKQKNGNGQPLEKIDALDDMVTPNEDAAEE AQKNATVAEATHQPPYSATNQMSPAKRDAESMEDEVPSKRTKLDDGSEVVVGDRPVHQ PAPVIEPDNMDTSDTTSTPAPPQQTTSATQAPPPQKRKPGQPVEEPFKYLDPNHEELP PIFEYYEGSDRFPRDRFMVRNAQAIPARTIYYTSALARDILTANEGQGMKIVHCGVKM FVKQDVQRPGVCPWRIQTDGLRVLEPWLGPGRAVTLKRKETLRRLLVEMFPKVNDDGW KELGEIGERVRDIPMGCSVLHIEPDTSETGFSERMVLPLWRSLHSVNLMLPKEERRAM LLRIFNDDTPLVNITAKRANADTDADNTPAAAPAEVEEEAVKHENEVLGQDEQEHAES RETWQKVGDEEDRFNTTV AOR_1_770194 MKLLSVAAVALLAAQAAGASIKHRLNGFTILEHPDPAKRDLLQD IVTWDDKSLFINGERIMLFSGEVHPFRLPVPSLWLDIFHKIRALGFNCVSFYIDWALL EGKPGDYRAEGIFALEPFFDAAKEAGIYLIARPGSYINAEVSGGGFPGWLQRVNGTLR SSDEPFLKATDNYIANAAAAVAKAQITNGGPVILYQPENEYSGGCCGVKYPDADYMQY VMDQARKADIVVPFISNDASPSGHNAPGSGTGAVDIYGHDSYPLGFDCANPSVWPEGK LPDNFRTLHLEQSPSTPYSLLEFQAGAFDPWGGPGFEKCYALVNHEFSRVFYRNDLSF GVSTFNLYMTFGGTNWGNLGHPGGYTSYDYGSPITETRNVTREKYSDIKLLANFVKAS PSYLTATPRNLTTGVYTDTSDLAVTPLIGDSPGSFFVVRHTDYSSQESTSYKLKLPTS AGNLTIPQLEGTLSLNGRDSKIHVVDYNVSGTNIIYSTAEVFTWKKFDGNKVLVLYGG PKEHHELAIASKSNVTIIEGSDSGIVSTRKGSSVIIGWDVSSTRRIVQVGDLRVFLLD RNSAYNYWVPELPTEGTSPGFSTSKTTASSIIVKAGYLLRGAHLDGADLHLTADFNAT TPIEVIGAPTGAKNLFVNGEKASHTVDKNGIWSSEVKYAAPEIKLPGLKDLDWKYLDT LPEIKSSYDDSAWVSADLPKTKNTHRPLDTPTSLYSSDYGFHTGYLIYRGHFVANGKE SEFFIRTQGGSAFGSSVWLNETYLGSWTGADYAMDGNSTYKLSQLESGKNYVITVVID NLGLDENWTVGEETMKNPRGILSYKLSGQDASAITWKLTGNLGGEDYQDKVRGPLNEG GLYAERQGFHQPQPPSESWESGSPLEGLSKPGIGFYTAQFDLDLPKGWDVPLYFNFGN NTQAARAQLYVNGYQYGKFTGNVGPQTSFPVPEGILNYRGTNYVALSLWALESDGAKL GSFELSYTTPVLTGYGNVESPEQPKYEQRKGAY AOR_1_772194 MSMSMSMGTHRPLLLDHNPSPPSPSHPARATRRRHLRLCFAAEA SARAEAQPEEVEEEDEAEDAAEDYAGDCAGGGGACEAAVGGGDGDCGVGWAEGDGGEA FC AOR_1_1906194 MSYNKPDGPPPSYPAPVHDAGPYPPQGAQGDYYNQGGYPPQNYG PPPQQGYYGSPPPQGQQPMYYPPQQGYPQPGYYADDRGGGGSSGGGICAGIMAALACC CCLDILF AOR_1_774194 MSAEAKSAPATEQKVKPTKPSEEAFKADLAQAEKEHAAVQEKLN QVKAKIETAKPNNKDSPAAKRQQELRAELSSIRQKQSGFKASRSSTQEKINALDSTLK ARIAEQNNSKTRMSFKSVEEIDREIARLEKQVDSGTMRLVDEKKALADVSSLRKQRKN FAGLDEAQKVINDIKAQIAALKKTLDNPEAKALSDKYAEIQKELDAIKAEQDGAFKNL NALRDERTKLHGEQQQKWTAIREIKDTYYKARKAYKEYEDEAWRIRREKQKAQRDAFE REKKKKIADKKLEEASRLAYTDEILTAQGLIRHFNPSYDFAALGLDDKKDESSQFRAE IGRTIDDSAMKGMKVLKKDEDDYFVGTGGKKGKKGKKSNANGSPAPAEKFNLNVGIIE DFAKVKIDPPMNQTDVPAVVEKLAAKITEWKKNQASKTEENIKKAQEEIARLEEEASQ VDDRATDTAKKPAIINSGVNGKVSAEAELKQEKDAAADVSDELQKASLEETA AOR_1_776194 MDEDYSSGSVDADREMTRLWRTWRTVFEMLQDRGYEVTEEEVQI SLEEFKQKYSDPLGYPDRNKMKVQARPTEAMQHKYTAIKTNANPDPQPDCGIIYVEFC PDSTGVGTKQVRAFNHVVDENNFHTGVFITQTPISPSAVRLLSGVPGRICEHFQEQDL LVNITRHELVPKHVLLSPEEKAKLLARYRLKESQLPRIQVSDPVARYLGLRRGQVVKI IRRSETAGRYASYRWVI AOR_1_778194 MTVLDTRGLYPEIPPNARTKADDNPTLLVSWWATGFSLAIIVVR VCGRYVRIERFFREDKVMMLAIIPLLIRMVLVHVILIWGTNNTKTAGLTAEEIQHRIV GSKLVLVSRICYAIFIWTAKFTPFNHYWQVVPDPGAQCRSGYANLITMGVCDVITDLL LVAFPIPLIMMTHMPAKRKASLVILFALSLILVGITCYRVPSVIGHQGSQQYRSLLAS LEILAATAVSNAIVIGSFVRDKGVKKAKFKKAIGSASVSESMDHSSVRRTTITHHHWG SDSDLAGDLGIRLHPDLVSSDHKLPRPAPVVAPCEPFTARTGTLDPNWSFHRHAPATD DDRSSTTGSLDIKVSPHEYIPTNKTPRKPSGDSVPSSPGRVSMFDVGGLLDPSPRSSP PPTSHMQYQMPPPGQTTRARSGSTAFLQDVGGLLSAPTSGTPPNASPNFSRPGGLRSN SYGRRRGSSVHFSDTPESPAPPYRSQSDVTAPIPEGSDDVELQDVGGLLSKHS AOR_1_780194 MAFLRKMFREAAPALAGVVQGAMLFRDRPYEVMTLDEYHTALYG KFNGIGGKKGQSNYSAANTFLDASSAYR AOR_1_782194 MAARQSPFDLSKCARKNILQLQPYRCARDDYKDDGTNVLLDANE NAYGPGLALNSEGALQQSTVNGDSTGSSKPDIDFLGLNRYPDPHQIELKQLFCNLRNT RIHSQKDLTPENLFVGVGSDEAIDALLRCFCVPGKDKILTCPPTYGMYGVSAQVNDVD IVKVPLDVENGFQLQPEKIIETLSADDSIKMVYICSPGNPTANLIRKSDIQKVLEHPT WNGVVVVDEAYIDFAPEGSSLAEWVNDWPNLVVMQTLSKAFGLAGIRLGVAFTSPAIA RLLNSLKAPYNISSPTSALAMAALSPNNMAVMKKYREQIIAQRERLLQELPKIPGIGR FLGGQESNFLLVELLDKPANEGGKPSNQVALAAYEAMAEKHGVVVRFRGKELGCEGCL RVTVGTEEEVTRFLQELRVVLGGLLG AOR_1_784194 MKITSTIPAVLLGLAPLSAAVSVSGSAEGFASGVTGGGDAEAQI PSDIDELKEWLTDDTPRVIVLDKEYDFTESEGTTSGTVCASWGTGSGCQKIIQDDCGD SPSSQATWYTAGTTGIDVASDKTILGDGDKGVIKGKGLRFRDGVSNIIVQNIEISDLN PEYVWGGDALYFDGSDLIWIDHVTTARTGRQHYTFGYETNTRITLSNNFINGETTYST GCDGYTYWTFEMVGEADQITLQNNYIYMTAGRSPALSGGTLLHAVNNVWEKNNGHALE GGDAGARGIFEGNAWIGVSTIVGDYAGRLFNAPDSSSAGDCESALGRACEVNAVSDSG DLTAYTDTSFFSDFSGLTIAPATSATDAQSSVPNNAGMGKL AOR_1_786194 MMHEVTSLLLNSRTGSFMRLRPIYPSLPRCARIPTSLRAFSHDS TSASTLNGTYPLRNRTVSRGGLSSQSASSEHHLLLPSSSAASFSTSSRLSDPGDWEDN ANLSIDAFSELPSKDFGVNQHMVINQEFKEALRQILWQFRAPIRYAFAYGSGVFTQSG SAPGSGQCHPSAPAAIKNMQQGQGKMIDFIFGVSYSQHWHSLNLHQHRDHYSGLGSLG SYAVAQTQDRFGAGVYFNPYITVNGTLIKYGVVNLDTLCRDLSQWDTLYLAGRLQKPV KILRDHPKVRLANQMNLLSAVRVALLLLPAEFTEFQLYSTIAGMSYMGDLRMALPAED PRKVRNIVSGQMANFRRLYAPLIETLPNVTFNDKRCTEGDWIDDPNANVKLTQDMDPV KRGNMVRRLPESFRAKLYFQYQTRFQIPRGDFNKMMKESQDADQALVRRRQGGPFEQR IASDDNLKQEVQASITKTIRWPSTVQSAKGLVTSGIGRTWRYLREKQNKYKTSGKHAS PPSEESTEKAAKQE AOR_1_788194 MADEMETFAARLASFDLVLHPEKRRTSSAKAVKSIAWPHRKPSP AELAHAGFYYNPYETNPDNTTCFLCHRALDGWEEEDNPITEHLKHANDCGWAVMMDIQ QHSSNPAEIEDPTSDKIREARLATFGTSWPHDGKRGWVCQSEKMVDGGWYFCPTEESN DLASCVYCKLSLDGWEPKDDPFDEHYRRSADCSFFVFAQPPGKKGKGSRTKKARTSKA SRLSTQSTASEAISELEDPMDQSTVSQPATKAKGTKKSSKSKSKNAKSKKEEAAEPDS QMDIDTADYSQPEPAKAKRTTRGTKRTSDQVDREQVNVVDIENFEEAEPPTKKRATKV RNSTQHDSNQNDEVTVDAQLEESIPEDEAKKGRGTTKKKASSKSRKASSGSSTSKTAS KSRVPNDNELDAAPVADLEQPEPEEQSLEAVQKPSKKSKSKKKQKTTPEPQEVTNHAD EEEPGTGEALQPEQPEQPEQTEEPEEPEKPEESSPSPAAPEDKPSHVKSKRKSRGSNI PEPEPEIVTEKPPKTRKRSGADATLKGETDSRVHESFVSVEIPARPKAEPTNRSEPND KDLKKKTKQRSSTEKAKKSKKTSKAEALEREKTSAQQEFEPKQSPQVSSTGHDLPEQS EPQDPQEQEAEQPSTRRRSSRVPPKTAERYSDIPEEKQFARTLAGSSRSSDNHRMSDS ADQGNMSPLPTSKSTPSLSPQSSDVENQPPSLKPSATRPPVGSPSKQQTVRIPLAPNT PSPTKRNTNAGGLRTTHPWNPIDIDEILLAGNSDKENVDLSSALYSVKGDLTSPEKKM SVEEWIKWHAKNGEEKLRQECERLVGQFEREGARAMRVLEGIECID AOR_1_790194 MSKPTAATSDTAFRKTWDREEYAKKAADEESKRKEESKARYEAK LLGKKWHAPVDYSSLEATTSRKQRLDVASMVGKTTIVSAGSAVGKRGRGAGFYCGDCD LTFKDNLQLVEHLNSKQHLIATGQSGEVTRATVEDVRQRLRLLAHQKRVREEEERRAW QLDLGARLQEREEQEAKEREEKRRKRNEKRRKGGDGIKQEDDSWEGRLGIIA AOR_1_792194 MSDHGDLTHQAISSYFIGPQAENMSYFKDNISTILHELEEARKK YKFDGDQRFITSSIQNSEEFQRITRNFAQAMKKAARLMGSHSIPFWNPRYQAHMCTDL SMPALLGYFMTMIYNPNNVALEASPLTTVAEMEVGEQLCHLFGYNTDPSRKDVPTGWG HVTCGGTVANLEAIWVSRNLKFYPLALRKAMSETVVVDGSEKKGPLSFVADRFVVTTC KGERKLFTDMSTWELLNLRPKTVLDLPQELHEQFGISPKFVESALQNFNIQSTGKDAL EREFEVKQPIKCFLSNTRHYSWPKGGAITGLGSDAFEGIEVDDAARINLDVLQERLQR CLDEGQAVYAVVAIIGSTEEGAVDRLSAILAMRQRFQSKGLSFLVHADAAWGGYFATM LPRNLYDMPRGPSVSNPEDSFDGGAEGFVPDLSLKLETQEDLLALKYADSITVDPHKA GYIPYPGGSLVYRDGRMRYLVTWTAPVLSQGSETGMGIYGVEGSKPGAAAMSIWLSNK CIGLNPQGYGALLSEVSFTCTRLSAHWAAMTTKDDDYFMCVPFHKLPSEWKDPFNEHA IEKEKERIRQEILPKSNREIVESDVGKPTEEKLMTLLRGLGSDLNINAFALNWRYDDK DRTWNTDIEEANYLTRHVVERLSICSPDQDPTKIPFYLTSTEFTNELYGKCAKEFKRR LGLPQCDRPLFVLRNVVMSPFPTDNDFISTMVDYFRSVVEDGVRLCRKRNARGSAIHR FVMQGTDEIFLAYQPSFHLGKHRQQIILAVELEDHAKSDYIEIRESNPQDPIFLKSSV EIDLQQVVSECERGSPVSFNGTIYTHHGGPVRTSTPVTLKRVIKSRPLNSANREVDYP EDYMPFYLYGSGKQWHISHMLLQAPNATFSAGNVKLDDKLVSSLNKGHAEKGAILALT EVPETPMQPFPTSKSELPACFFFQPDKKYKVKVWDDPNDASAAGPGLLEGLGEPIEGT ITLSKEIHIDLEWINKDPFEREDRVGKWRDEFSQIGKKLEKRA AOR_1_794194 MQFKLSALTALLAASASVYADSISGSKVTNILDTLTKGARDLNS SLQSTQDASSTGQTILSGEQKAMAEKQDALLSLAAEGDRRVAPKDQSAVCQSLLTYFK AEQELLLTIIEKREIIQFPLGTAPIATALGADQEMTDAVSYDVMRKASICSDDVKNHH WMTKAAFTQASARYTLPTNPIS AOR_1_796194 MSPSIDSPAEYYKPEEDVFCNDGREDQLLTFITNHPQLPQIRNS PEAVLAAIDEFGRTRDFLMNVGPHKGKLITDIIATDQPTTILEIGGYIGYSAIMFGHA LRKASTATGRHPRFLSLEMNPKFAAVSKALVSIAGLDDIVKIRVGPCRASLHSLAKGG KHSGSSEQQQPWDMLFLDHSKISYLNDLKLCEELGLVAPGSTVIADDMKRPGNPWYSE YVRASPGKKAEDCLPFKGCLSDGGISLGNPGLVYETMLVEGLEPTGLMDAVEVSKCVG LVEVQ AOR_1_798194 MSETIRTDVLIVGAGPAGLIAAAWMAQTGVKAMLIDQKSHQTQC GRADGLESRTLEILDSFGLADRVWAEANHTVEIALWGVTVDGKLQRQSVTANSKPGWS RFHESTLGQAKVEEYLMEYVRGRDSVDVRRETAPTSLEIDYNTIDDHSTFPIRMNLEN VAPRLKPHFNDMKNPGSDTSSETHSDDSGYAGVGTVVEAKYLVGCDGAHSWVRKQLGL RLEGENSSDSWGVLDIIPLTNFPDIRKRFIVKSKYGSLMMIPRERKLVRVYVELPANV AMRYREEQDGEILMGQVEKIMQPYTMRTKHIDWSTIYTVGQRVCRKIGLHNRIFLAGD AIHTHSPKAGQGMNVSMQDTFNLGWKLASVIRGALHPQILETYQQERLPVAERLIALD KRICRGMCSRRNADGETFHGAFDEDHKRALEEENSSASGLAVIYQPNLLVTSVAHHQT TNLRIGARIPSMLIINQSDAQPRHLQHILPSTGEWSLIIFGGDIADPRQMQRLNHLEE TLCHPESIIQRLNNHKDSASSSMICQRSSGLGRRTMG AOR_1_800194 MDRAVPITLDYTLRDLYSDNDEDDLKTTLIYYPLQFGYMHESPS AKYVYGYTLQSDDEKAASSFAMAANMVPQRYAFSAGQMPLIILDFHSSNKRKEANRKA CQIIDELPAYQLDIRRTFSQLIPNQQPTLTFANSPESISLASGARIAVLLPTDCLSHL PHVVHPETHYEILSKRGLALSGLPTPPSQVIDTGLIDSDNPVLLKEEVARMVESIDQR QVPFVVKLPQSISGMGTFMITTEVERGRVKTLLTEQFGMMLRQLNRSNHHLYPCSMVL QDFVTGPVVALSLFITKTGQPRFIACCKQRFDEQGHWIGGLISYRQQAKLRETFAVIM QMVADFLHSKGYHGPAGVDIVTDDRSGEQLIIDLNVRVTGTFHLGPLTGHFTKRGLFE AGMTTVDFPCSREKFEQMFADEIRNGSLIVSGWVHGESSQLNHAAITIGAREPDELEE YFRRIKAATLHS AOR_1_1908194 MHFQALPVLATVLALPYLAFGEPTCYNSDVWKDEAARSEAAHAI DKWCNNLAPSKWEPRRERKQCLEVDYSPHNVNLWMNNGNLGDATITVDQCKKLLKKIV DTCPGGGYDHTKDGWKSK AOR_1_1910194 MNEVPHNEQPPKQPPKTLTTLPTELHLQISTYLPYPDALALKHT SRHFYALVYTGVHLKVDWFVERFEQKLECPMEKCSFRTDEAFCNLRIRRIMERRRRHL ECRRRAGGCLVVPGRTCQGDLVPGWLKGKGGLGGVVMFGNEGLVFVLGMLFLGVYLTW GVVFGWFFP AOR_1_806194 MENPLPANPAARTKSGVIIVSPSVLVNITVKAASSEAVNTHSSS PSTPEQDDPDAWLLRARPASTPISTFSNTEEPGSQLDDGFNLLVTARSLPTGYLGPTS FVAALEEDHELVSSPSERQSQDDAGTAFPSDLPLLSKAAVIPSLVLNALPSIQAMVDE AQLHKGPPEQVARVLRNTKQVLHVPSTMTGRHFHELFTGPNLRLEILGLFYAIAGRLS VFGLAHDKFPRQNGMAARERFSRKMLAASDAVLQICKLIAPVNDLTIWMLYEILLLSK VAHGDASSAKWRRLGDLSTHIFELGLHRDSQQSSSLPLFLVESRRRLFAATYQLDKSI ATFLGRPPRISLRHSDCRLPLDLDDRSLEADQSEIELALQDLDSDGWNTQGSLHRSTF SRQRFLVATFREEILEVSLETQNHRTAEKLRDISMRCHQTWDSMPKQLHYSPDSRDEN MSNTVCMMLIVSYLAYLYNDFLIQRLLVQQDPEAYSALLNVSSTILSTVLDFCAMRED MVDLRPDFMWTNLLYGFPSAAVLIKALQKQARTGKPIPYQGSRSVLIRHLSVFISHLE SMSRPGVVNQELFHRASKIFSSIIDEVLEPRVAVTLPGPDIDILAGSGTCMIGSDDLE FLDMLEFGGSIDQYMIF AOR_1_808194 MSYMVLGLAIGVIFLYFIRSFLARTKSFAPLPPGPRPKPIIGNL WDLPPQGTRDWLHWLKHKDLYGPVSSVTVFGQTIIILNEARLAYELLEKRSAIHSSRP SCTFAHMAGYGDIMTILEYSERLRTTRKVAHQQIGSNKAISRFSHIQDAEVCRYLLRM LRDPGNWLEHIKKQTGAVILKITYGYTVEPHGRDPLVDLAEDAVGKFSLAMVPGAWLV DSIPILRHLPSWAPGGGCTRAAEGFQTAARNLGNVPYAFTKQQMTQGSNVPSIISYYL ESENIQPGSEEEHLVKWATATLYGGGADTTVSTMMCFFLTMALYPHVQRKAQEEIDRV VGATRLPGFEDRGNLPYIDALLKEALRWHPIVPMGVAHMAMEDDMLEGYRIPKGAAIL SNIWAFTHDPNEYHDPMTFKPERFLSDNGHTPERDPHLLAFGFGRRVCPGRNLADSNL WLTIARTLAAFNIAKPIRDGKEVDIQPEFQAGLISHPEPFDVDIKLRSAGHHELILAG EKQYPWEESHAEELRRAIAVL AOR_1_808194 MSYMVLGLAIGVIFLYFIRSFLARTKSFAPLPPGPRPKPIIGNL WDLPPQGTRDWLHWLKHKDLYGPVSSVTVFGQTIIILNEARLAYELLEKRSAIHSSRP SCTFAHMAGYGDIMTILEYSERLRTTRKVAHQQIGSNKAISRFSHIQDAEVCRYLLRM LRDPGNWLEHIKKETGAVILKITYGYTVEPHGRDPLVDLAEDAVGKFSLAMVPGAWLV DSIPILRHLPSWAPGGGCTRAAEGFQTAARNLGNVPYAFTKQQMTQGSNVPSIISYYL ESENIQPGSEEEHLVKWATATLYGGGADTTVSTMMCFFLTMALYPHVQRKAQEEIDRV VGATRLPGFEDRGNLPYIDALLKEALRWHPIVPMGVAHMAMEDDMLEGYRIPKGAAIL SNIWAFTHDPNEYHDPMTFKPERFLSDNGHTPERDPHLLAFGFGRRVCPGRNLADSNL WLTIARTLAAFNIAKPIRDGKEVDIQPEFQAGLISHPEPFDVDIKLRSAGHHELILAG EKQYPWEESHAEELRRAIAVL AOR_1_810194 MSSDTWIQSISQILGWAYFVLWSLSFYPQVLHNHRRHSTDGFSI DFALLNLLGLTAYTIFNACFLFSPVVRTQYAQRHPQSPKPTVQWNDFVYALHGALICC YLRSHFLCARFWNFKSKPQRVSNSTLVVFLGCMGVFYLAVLWALVSASWEWIDVVYVI GMIKVFLTAVKYTPQVIINYRRQSTAGFSIGAILLDLAGAALSLMQLVLDSSLQADWS GTVGNVTKLLLGNITLLFDLVFIFQHFVLYRERRVGKKLGLSEYNPLLGRRDET AOR_1_812194 MTNANQRPQTASPTSSATSSTASSESSGSSTNKGAIAGGVVGGV CGVLIIIGIIWFLLRRRRLSSASRDPGKPALPPGSKYGHETAELGESGNIRSELYGSP VVRELPTDIQSRQELPGSATKQSPLEPS AOR_1_814194 MPPTPQTTKLTSTLHLLIPRLRLLQKKSTASSVVQRRELSHLLS ENKDASARIRVENVIATDIAVEVMEMVELYCELILARANVLDQNAFSEKGVEARNRAK EAWVEMRRKEQGLGSSPGSAASGGDAAGSGKRSGFGFGALFGGGGSKREETVAVESTG VQGVGDAAYIDSALDEAAAAIFYAYPRFPADVRELTILRGLLADRYGKEFMTLAQDDR FPEADGLKVPERLVKGLRVKPPSQELVDSYLREIARAYGVAWGGDAEELGEAPAEFVD GDGDDDATAGGDVPVTPRKEGRPADVERRMSETAELNRATPPKGLQSGKSPVSVAPPG PRSDNPNPRVKVPDGNGKGEAEVEPRSPSKTTKGGIPELDELTRRFAALKR AOR_1_816194 MPVPKLDLQQSSRLRSISTSSNSPVPTPALTPTHQRTFSHSNSK NRNARHTRTTSWTSGHDGGEPSPLDFPAQQRRRRESNISLADLSEGSSDRYGDDSDDR MALRNTSFEQSGHARNRSQSHAQASFMQPQQFSADPPPRPGPVTWMTLPRKKQLALLG LCRVFDFLQIASLQAYMFYQLKSFDEGLSDADVSTQAGILQGAFTAAQFATAIPWGRV ADAEWGGRKFVLLVGLLGTAVSCLGVAFATSFAQAVFWRSFGGAINGTVGIIRTMIAE NVKEKKYHSRAFLILPIGFNIASLFGPVMGGMLADPVRSYPALFGPNSSFGGANGVQW LERYPYALPMLANAVFLSFAAFCVGIGLEETLEACKGKPGLGVFTARIFARGIRAVVP SSSPLYQRLPFADYDEEGPLLSNRRDPTESYELEEKAAKPRHKRTLPFHRLWTKNVLC TLLAQAFFDFQMGAFNNLWLLFLSSPRYDSNDPAAPAQRLPFIFTGGLGMLPQSVGFA TAILGVIGMLLQFTVYPAINSRLGTAKSYQYFLTLFPLAYAFAPYIALAPSSAPPPGQ ANGPWVWFSIIVVLFLQVTARTFTLPTSIILLNNCSPHPSVLGTIHGIGQSVSSAFRT IGPIFSGSWYGYGLEIGTVGFAWWLIALVSVFGCVAAIFVYEGSGHEIILPGEEDEFN DRH AOR_1_818194 MAALLVGLLCCLPGVFAEIDSVFRSRPDLYPPALTIEHSVPGKV TPGYIFVGPYEAANSGPYIYDNEGNLVWSGWGSSGPGNAHGMHVCKYKGEDHLCFFQG AQQNGYCRGHGVIMDNRYRTVKTVVPGGGMASSDMHEFLPINDGKTALLTVYQQRQFD MSLWNVKTGMGWLMESIFQEVDVETNEVLFEWKSLDHVDPTVSYTYPGHTDTSGTGLD PRSPWDYFHINSIDKNQEVIWQLHGANPSFTNINFSFSQQHDARFLNENGTHTLLSLY NNGFNGFNKTHEYSSGMLILIDHVAKTATQLHEYAPLNNNMLSSSQGNMQVLPNHNVF IGWGNNAYISEHDQNGNLLLWGYIDKDRIMNYRAQKFQWDGMPTDVPALWTYSRSTEP FSSLTLYVSWNGATRVRYWRFYGAMNMTGPYALLNQVTKKGFETSYTFPHYYPWTYAE AVDLEGKVLGKSRHKFTFTPSRELQQYCANDMCENAQAYGLPGEEGASAFIPPLGLTT VPWVDPENPEAHYDWGESSEPASQPLDEAKETLKIAYDRGWFVPVLAVGVAAIGVYMV VRKCRLQRPHAYPVKERRSFEPLDDAIDERKQPDEMSDMPWWHWRRWTGASDGPHYFP LREPDSSRNEDVS AOR_1_820194 MSLTYSDNLAPQPWTDVFTDDTCIDRRKCHRTVPMKVLALGVGR TGTASLRIALERLGYLKCYHMMSASVENPPDCLMWHDALLAKYDGVGEFGRKEWDQLL GECQAVCDWPACAFAKELIEAYPNAKVILTTRDVDSWHASVMKTVFWRVSDPEHSFVS NFSWAASMYYPMLNKFFETFFRGDFPNKGKQVYQDHVDEVRSLVPPERLLEYKISDGW GPLCEFLGEDVPDTPFPRGNDMADFFKRCRTRNRHQMMNAALQAVTMGGALLATGLAA TMAFKRFCR AOR_1_822194 MVLSFILVQNRQGKTRLAKWYAPYSDEEKVKLKGEVHRLVAPRD QKYQSNFVEFKRSTKIVYRRYAGLFFCACVDATDNELAYLEAIHFFVEVLDQFFGNVC ELDLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE AOR_1_824194 MPVYMLYGFKWPRAGFTGIRVYIVLHNLEDATAEYLQAPVTTQL LLESFAKTEPGIVSRLPDLRFIEQYDPDDTSDEAVSKPYAYVAAKVITMPESGALSLD AEELVKESGLDENAMAALTEMRDKYAAGEKIGWYIVYNGDPERWFPQIDEDDDESMMY DDEDGEASDYGSASQPPSTPTTFFPQKLTQFFGAKKAA AOR_1_826194 MLYLVGLGLADETDITVKGLEVVKRAERVYLEAYTSILLVNKEK LEAFYGRPVIEADREKVESGSDEILAGADKTDVAFLVVGDPFGATTHTDLVLRARELG IESKVIPNASIMSGIGCTGLQLYNFGQTVSMVFFTENWKPSSYYDKIKENISLGLHTL VLLDIKVKEQSYENMARGRLIYEPPRYMTVAQCASQMLETEEERKEGVYGPDSLAVGA ARVGAPDQKLVVGTLKELAEVEMGAPLHSLVLLGRRAHDLEKDYIREFAVDKATFDAS WQKGYGATS AOR_1_828194 MSTDRTPKYRQEIQQMMFVSGETAEPSVETTTLIEEIVRQQVVE ILARSTTLATRRGVRSISTDDLIFLIRHDKAKVSRLKTFLSWKDVRKNVKDSDDKGGA DAAEFAGADDPLAGGVVAGPQDVASKPKNKRARVGLAWDVNSFYSVQVPERDDEEDEE EEEQNYATLQRLAAADERTKHMTKEEYVFWSECRQASFTYRKSKRFREWAGFGIVTES KPNDDIVDILGFLTFEIVQTLTEEALKVKEREDREKNRRGGAENDSGETKKRKRETGL FDPPEEGRTPVEPKHIREAYRKLQATPNKNIAMLLHNGRVPARMPLRLI AOR_1_830194 MSSTINTSKGEQPIDPYKAKSLEDPPLAQKVEDLVDFISEAKFG MLTTKIAGSEYLTSRCMALAGKEHGGIDLLFHINLFSSKTLDINTNPSEVNMSFLDPV SGSWASISGTASIVADKALIEKYYSPTLPAWLGDLGDGVHDGSPSDPRIGVIKLEAKL ATYAVATRGIFGKAIETIKSATKGDVPAINSIRELSEQELAEWRRTHK AOR_1_832194 MSRETESEAIRLPTVAEIEAATEIISSPDTSAKVVRVNKHFAVK MGHGVTLMEAENLKFLATNSKVPVPRVYAAFKDPDTKKTYIIMQYLHGDNLQKSLPSL TQVEKATICSLIKDAITELRSIPPPDYLGMLNRRPYLDGVFWTEGLISKISGPFENQE DMNLAIIEKLRQTESEPYIRLLRNMVNRTLNGHRTVFTHGDLQPKNIMVEKLRSRDGG PEFRITLLDWESAGWYPEFWDFCNATIACRFKPDWLELVPDILDQYPVEFLMMQVVYS SVFY AOR_1_834194 MASKVHLVRHAESVHNVTHDFSQLDPELTPLGLQQATGLGQLFP YAPQVGVIITSPLKRAVQTTLTAFSHILDKRYFDPDSGYGVENGAALFLEPDLQERSA LPCDTGSPTRVLDAAFPRLGVQDLAEGWQVKEDFYSPADEAVEERAQKMRSRIAAVCE DLQHQGRTDVVVVTHGVFMRFLLGDPNIDLPKAGWRSYAVGNHSSDVVLSPL AOR_1_836194 MWLIVRLLSALAYARNDKYLVNRKEKRAFVGGARRGPELTEKRN SVQYAIAATSGCFMSALLAVVAADWLELVLKDFQEDYFTTDIDQSVA AOR_1_838194 MKTFTSALIGAAALAQDVNSSPLSGPAGLEFPNVTGLMNHTVHV SNGGSAHCVSGTVKVNATTDKNLKFAYNLPPNQSQVTQTLVSLWSSGGDGYVKSLTSG TQRVTGSFDIEATYCLPAGENSKTTKVQLLTHGIGVDRYYWDFASGYSYVDTAAAAGY ATFLYNRLGVGASSKEDPLNAVQSPLELEILEALASKLRQGTLGDRAFSTVVGVGHSF GSILTQGVTAAYPKTLDAAVLTGFTLNSTGLPGFGLGLNAAIASETQPYRFSGLSAGY LVAGTPVSNQIAFFYDPGFDPEILSLADATKGSFTLGELFTLTHVLNATSEFQGPVAV VAGNEDLPFCNGNCSSPTNILADLVPALYPELSEEDTATYVAPAAGHALNLHYAAPGA FNFIQDFLKKHNV AOR_1_840194 MKLTIASSVLLGLIAAVEAAKHGHGHNHNHQRDVNSAAEVEAPL EKRGGSCEFPKDAGLVAVTPNLKNAGWAMSPDQPCKPGNYCPYACPPGQVSMQWDPEA TSYSYPMSMNGGLYCDEDGKIQKPFPNKPYCQDGTGAVGARNKCNGQVSFCQTVLPGN EAMLIPTLVEELATLAVPDLSYWCETAAHFYINPPGYDTETACVWGTSDQPLGNWTPY VAGANTDGDGNTFVKIGWNPIYLEPTTPFRDVVPDFGVEIECEGDGCNGLPCKIDPAV NGVNEVTGSSSKGAGGGAFCVVTVPKGEKANIVVFEKSGSSGGGSSSSTTVSSSSSTV SSSSSSSTVKTTSSTSTTSSTSTPTSATPSTTATSTTVSETSTVSSSPSLTPTATSTL ISTPSVTPSSGWVSSSALASSGWPIQSPQVLAETDAQSYAMSTDGAAASSPSPTQVAK ENAAPTAGASMLGLVIGLIAAMVL AOR_1_842194 MSASQIPNLNTLRRGGGRGRFRGRGGADGSLSGGGHGPRGTTSK DRVVQGTDNDASVSRLSAVALGYLHDPFAKTVLGSGFETRRLPIINRGTYVRTTAIDH LVTQFLSQEPQTKKQIISLGAGTDTRAFRLFASQSPPNLIYHEIDFAVNTAAKIKFIR STPLLQRALGIGRPEDATISDAGDALHTDAYHLHPLDLRCLKRVPVTDASQADSRVHL RGLDTTLPTLLISECCLVYLSPSEAADVVGYFTETLFPATPLGLILYEPIRPDDAFGR TMVSNLATRGIHLQTLHQYASLAAQRKRLREQGFREGQAAADVDFIWERWVSEEEKER VSGLEMLDEMEEWRLLAQHYCIAWGWREGSVGVFDGWRGIEGQSAD AOR_1_844194 MSKKFKSQASSSRAASTFGSFGGFSSSFSGLGREPSSLTYVAEP PDLSQIYEAQLAIAFKNFLKKDEVTRTKALDDLRDHVSTVESRNGTLDDGFLEAWVKI YPRASIDLSRRRIARFLPKVIGAWLAGLYDNDRMVHRSAFESFTRVFSTEEKRNSVWK IYQSSILDFVDDVILQQTPQTLSDERTVKSDDAEAKYARVVGTAVLLFNRIIGSSTRE VLEKDIATVENLLGSKSLWSLCYHDDPFVRRSVYVLLRSAVSKDPEQLDWKLISAAII GKSLHVSQLGSSSDLSELLLQVTAARPQLWTDDYTGKSSSSKRLIQYIEKGSEGGLAS FWQNLYELLQVIPSQTLVKVDVKSTNEDSVELSSATKLTQSFQNGLNSRDEPRQNRAV GWKAYIDTGMWLANKLPQNDRESFLHERLSPLIMQYVKPEQDRSEWELPAQSAEALCA DYLDILATHNYDGELHKLWTELSDNLLEAVKLSSPEQSKDFRSSQDAVGAQAERLLGL EASVLSRVADTGRESKLLQAFKDTNLGLLGNCLEVLQTRNGKPYGAAAVVEGMVQKVA QIAQQSQELLRFVQDDAPELLFSPSADRLISIILSCRSWDGFGPSFEKVVERVAQSEP ESSNAHAVQKLLSTLDFKEVDERSGLGSLIARALDRACRGSSLHWSIVIAVLQNQTSH GELTESIFLSIIDALSDESKMFDTLHGVSQIATTVPTALREFQTGPHGSKLTGKLLFL AESPSEEVAGLAESLTRKVKESAVSETSARSTFEILQHNFNNVNEESLSIGSLLNVAE EFLHNTKPEDLKKVVKDILPSRQNWEDALEPFLALPPRLSTAITSPLKGTVHIIDRHI SDESRRRYETVPRDSSHCSSALRLAYFTIRTLSSLDITSQLGVEELQTLFFYLPLAVQ LIDDDLSIDNCNGITGVLLLEQREDYMEIVNDGRSLINRWAHLEESVAPSITAFWESK LESLTGTSPADYRVGEAFVKIMEGQDSANSKSSEEVAKLCKDVRTANAIRSASWVAIL RQSILSNPAGTRLCNELVAESTGLKPHDERKDGLRKLSLLNLLVVGLEEVIESIPTQR VVFLVKHLVQCLQSENISLSVKAEVFQTLSFALPCIHEMYGSHWEDCMDALSTTWREA SGSDEALPVLLGSFRLFACLRSIVGNEDSNDDVKDTWSDRKAGLFNDLTATIKEFDSS ITFYQPRDVTVDLLCRLINSISIETLEDVSRIFPLLTAQSRAVQRAAYTVLHRYIPSV QEQVSFDVALSKTAVKLPDELLSLLLEAPTMDSISLSYGDDKVWADIRSYLLSWKIIF DHFVNASFAVQENYVSSIKENDVLIPLLEFTFDFLQQSHQKMVDASKFDIRSFELDQS DSQEKEIQWLLVHLYFLCLKYLANMTKSWWIDAKKRTKGPVEAWTEKYISPLIIADAL QGITEWISTQDPNEDRALTVKISPKTSEIIASIPVDEESPPVALSISLPPAYPLQPAL VVGRSRVLVDERKWKSWLLTIQGVIMFANGNIVDGLLAFRKNVQGALKGQSECAICYS VISTDMQTPNKRCATCKNTFHSVCLFRWFKSSNQSTCPLCRNNFVYV AOR_1_846194 MKFFIDDLPVLFPYPRIYPEQYAYMCDLKKTLDAGGHCVLEMPS GTGKTVSLLSLIVAYQMHYPEHRKLIYCSRTMSEIEKALAELKALMKFRTQQLGYTED FRALGLTSRKNLCLHPSVKREKSGTIVDARCRSLTAGFVKEKKERGEDVELCVYHENL DLLEPHNLVPPGVFTLDGLLKYGEDHKQCPYFSARRMMPFCNVIIYSYHYLLDPKIAE RVSREFSKDCIVVFDEAHNIDNVCIESLSIDITENSLRKATRGANNLERKINEMKSSD AEKLQSEYSKLVEGLREAEQAREEDQFISNPVLPDDLLKEAVPGNIRRAEHFISFLKR FIEYLKTRMKVTHTISETPPSFLTHVKDLTYIERKPLRFCAERLTSLVRTLELINIED YQPLQEVATFATLVSTYDKGFLLILEPFESEAATVPNPVLHFTCLDAAIAIKPVFERF SSVIITSGTLSPLEMYPKMLGFTTVMQESYSMTLARRSFLPMVVTRGSDQAQISSSFQ IRNDPGVVRNYGNIVLEFSRITPDGIVVFFPSYLYMESIISMWQGMGILDSIWNYKLI LVETPDAQESSLALETYRTACCNGRGAILMCVARGKVSEGIDFDHHYGRAVICIGVPF QYTESRILKARLEFLRENYRIRENDFLSFDAMRHAAQCLGRVLRGKDDYGVMVLADKR FQKKRTQLPKWISQAMLESETNLSTDMAVATAKNFLRGMAQPFKAKDQDGISTWSLAD LERHREKQMLEEERARRQALANGHGANGVANGAAAAADEFDDDIDDDLMMLDAQ AOR_1_848194 MANFARPVASSISGIDFSVYNDEDIKATSVKRIHNTPTLDSFNN PVPGGLYDPAMGAWGDHVCTTCRMSSWSCTGHPGHIELPVHVYNVTHFDQMYRLLRAQ CVYCHRLQMSRTQVNAYTCKLRLLQYGLVDEVAALESMELKKGSKKSAKDGDESEDDG DEDEDDLVKRRNVFVKKAIREAQASGKLKGLMAGAKNPIAAEQRRAVVRDFFKDIVGI KKCANCSGISPGYRRDRYSKIFRKALPEKAKLAMQQAGYNASNSLIILQETRKFNRKE KEALVNDNASTTSESHGAEEEVARGNAILAQVDNKKTGDGGQFMPSPEVHAAISLLFV KEEEILNLVYTSRPMSKKESRVDAGMFFIKNLLVPPNKYRPVASQGAGAVVEAQQNTV FTQILKNCDIINQISKERQNGGSDSAMRVRDYRDLLHAIVQLQDTVNGLIDRDRSGLS GPAAASAPNGIKQILEKKEGLFRKNMMGKRVNFAARSVISPDPNIETNEIGVPLVFAK KLTYPEPVTNHNFWEMKQAVINGPDKYPGAAAIENEFGQVTNLKFKSLDERTALANQL LAPSNWRMKGSRNKKVYRHLTTGDVVLMNRQPTLHKPSIMGHKARVLANERVIRMHYA NCNTYNADFDGDEMNMHFPQNELARAEAMMLADADHQYLVATSGKPLRGLIQDHISMS TWFTCRDSFFDEEDYHELLYSCLRPENSHTITERIQVVAPTMIKPKRLWTGKQIITTI LKNIMPPNRAGLNMKSKSSTPGDRWGEGNEEGTVLFKDGELLCGILDKKQIGPTAGGL IDSIHEIYGHTIAGRLIGILGRLLTRFLNMRAFTCGIDDLRLTKEGDRVRKEKLSKAS EIGREVALKYVTLDQTKVADEDTELRRRLEDVLRDDEKQSGLDSVSNARTANLSTEIT KACLPGGLVKPFPWNQMQSMTISGAKGSSVNANLISCNLGQQVLEGRRVPVMISGKTL PSFRAFDTNPMAGGYVCGRFLTGIKPQEYYFHAMAGREGLIDTAVKTSRSGYLQRCLI KGMEGLRAEYDTSVREASDGSIVQFLYGEDGLDITKQVHLKDFDFLASNYKSIISQVN SSDFHTLENEEVGEWHKDAMRKVRKTGKVDAMDPVLSIYHPGGNLGSTSELFAQAVKK YEDGNPDKLLKDKKKSIEGILSKKSFGNMMNMKYLKSVVDPGEAVGIVAGQSIGEPST QMTLNTFHLAGHSAKNVTLGIPRLREIVMTASAHIMTPTMTLILNEELSKEHSERFAK AISKLSIAEVVDKVEIRERITSGSTKAKVYDIEISFFPPEEYTAEYAIKTKDVQIALQ NKFIPKLVKLTRAELKRREDEKKLSSYSTAQPEIGVSVGTVQEAPRGADDDDAPADDD DEEDQDDAKRASGSKNRSNQVSYEGPEGEEEKMVQEQDADDDDDIEDSGEKQTKDVEM DDASDDESDNEAKDTKLREEDIKGKFGEITQFKFNPSQGSSCTIQLQYDISTPKLLVL PLVEAAARTAVIQSIPGLGNCTYVEADPIKGEPAHVITDGVNLLAMRDYQDIIQPHSL YTNSIHHMLNLYGVEAARASIVREMTEVFEGHSISVDNRHLNLIGDVMTQSGGFRPFN RNGLVKDASSPLAKMSFETTVGFLRDAVQERDYDNLKSPSSRIVVGRVGTVGTGAFDV LAPVA AOR_1_850194 MADLSGNMLKRPHPEDEDNNTQKRSRSNNGSPLPGQGAPASGKP DIERMVAEARAKAEAVRARLQAARGGSTPSAAPSPSPTPPAASPAMSRLEQMKARVAA ATGRASVAAQQRPVEPSPTPQPPPFEEDDGSSKGRGGLDVGLHPALLSDTVEFRGAKG RQSTQSKNRRTESPVTSGRPDRAGLDLSGPSLEEIRNNPYYDPSLGPKATIAKPRQSR QLIFNQKGKYIQQGAALRRQAQLEAMKKRIAERARQAGIDEDLDIEKAFLVPAPPAIE WWDEGLVDGEDYSGIEDERNLKIDTPDTIVTQYVQHPVLLDPPQDKHMPEQKPMYLTP KEQAKIRRQRRMADLKEQQAKIRLGLEPAPPPKVKKSNLMRVLGEQAVKDPTAVEARV TREIAERRNKHEEANEERKLTKEERREKLARQQEKDAEKGILMSVYRIDSLANGRNRF KVSKNAEQNALTGVVVMHPKFNLVIVEGGSHSINNYRKLMLNRIDWTENAGPNAVREG NREAQVAWLAAEDEQTGDLKDLSSNTCSLLWEGQVKTRAFRKWLGARVCETDSQAKDV LARAKLENFWALGKSAKQSESWS AOR_1_852194 MSDRQPPVQPQHSLEDANEGPPVKRTCMNDTPPIALTPADDGSD FYNTPWVARTPAEPSENPGAAIQGQTNEPATTSHPSTLIPGLNLVNDSLKDLQPAQSD PSPSPNPGPQEGAHTDTQNGMDLEETEPKKQEPTVENIGNVEGEQNSEPRSQAEVPNQ PQADDNMTNGDASGTGTVPPPDAMEHDQAEQDEEEHPEWEIDFSPYESSSDDSSSDSS DDSDEDDEDYPILSPEEQARILMQAELGSDDEGEGKGKSGGHIRTANEIPEEAPPIPD VTVTPEMKIVHLGHVEAIVENTVLIAANTSGEYQVLESGSLLCFEDRSVAGVVAETLG RVENPLYTIRFPTAAAVEERGLSKGKDVYYVEQHSTFVFTQPLKGMKGSDASNFHDEE IAEDEVEFSDDEAEAEYKRKLKQKRQERKEARDGPAKAKKGPPGPSKLSQTELNYDDN AGEDGYTPLARPKNFHEMMGRQEAPVENDGPTGRGGFRGGRGRGRGFDRGRGGRGRGG GPRETQRQSYQQQGSDAQPQSSNYSQHAYQQTQPNAYGMPQQYPAYPSFPQQQQQQQP QQQPQPQQQFGQAAAPPGFNFQMPFQQAYPQQNPYQFPHNMQINPLFLAALQQQQQQQ QYQQQQAPGQQPAQAATMNFDQVKAQLDLLRQLSNNNQGPPRS AOR_1_854194 MASARKTIEVSSMPTGQAPRSKKRPPPPPYYIPLNITLYVFLIS NAIAAFLAPVQDCDEVFNFWEPTHYLDHGYGLQTWEYSPVYSIRSWLYVTIHAIVGKV ASLALVTKSSQFYAIRFFLALVCAACQTRLYAAICRTLSPKIGLFFLMIVAFSPGMFH ASTAFLPSSFTMYMSMLGLTAFLDWRRQKIAQGIMWFGLGAIVGWPFAGALIVPLLLA ELLVGVISGSLGTVVSGIFNGSIRCLAILAAEIAVDYAFLRKFAVVPWNIVAYNIFGG EGKGPDIFGTEPWTFYIRNLLLNFNIWFIFAMSAAPLLLLQAIFRPQATKKEIPLRTL AVIAPFYMWFAIFTAQPHKEERFMYPAYPFLALNAAIAIHIILTYVGSKDSKGSKGTL LAQAKLTIVTAVVLAAINAGLLRTVGMITAYNAPLKVFEPLERLDIPQAGDSVCFGKE WYRFPSSFFLPDGMRAKFIRSEFRGLLPGQFPDATDYPALFDGTSQIPEGMNDLNQED PGKYVDISQCSFLVDSYFPSRDATELEPDYIHDESQWEVMSCKPFLDASQTGLIGRLI WVPDLPGLPDQLQRKWGQYCLLRRRDVSAETD AOR_1_856194 MIFTALPTTITQHHLSNVTAICLLATLTTYLLHSLWKKHHSSKS PESDPEQKSLSPASKFKQPTRKPGEWTPSDFKRPPAAPYPDWDVHTTKPKPYRPFRYG PKYFITMGLRSMKWDEWIELDNHYPRYHADKARRIKERGAKCSKTAPEAMDAAMELLE ELTTYLPERYPTLFRKTPTGITNLLTQETLTTVPPLSEDPMQTCGRLIQDDLALMLER PDGEYYLLAGSILLAGFWRLEDKYGMRLSEIHTSGSVPGYKEKLEKGMLNFFRRLRPE DPVLRNNYFIQVDESLPWSHSIGSEDGETVSWNTAEKNRAIEHHFFRSERQSLRRLPR SGAVVFTIRTYFEPITEVVKEPYVPGRLASAVRSWGEDVARYKGREKYGEVLLEYLDR MHERQVAEGLEVDKEEEVRSYPF AOR_1_858194 MSCPQSSQVLMKDTISDCSSNYGSDFTPDEEDILNELLAQAVTE HAALHATPPPPPPQPSTTSPAAKRTNHPIGDIEDCHVAPSSPRTPKVLGRQKPVWQVS RTWGSPVAGRAGQMASNGGAAFVEHPNSTEGRTKERERHVAREKEWATGATDAVADNR APIERFRKPPNKAFSVTDLISPAWCELQYWYTLTKFGRKRRTPAMKQGSTIHKTLEDE LYTTVPVEITTKEDALALRIWNIIQGLRTLREYGITRELEVWGLVDGELVNGVIDQLS YECPDSELEATAASYYADVEASRAVLPEYQMSLSDYLLSPSQGGKRLTDLSWNGEQED SLDDSGIGSQSSSEAFSLPRIYMTDVKTRASASVPTVKSSSFRPTLLQLQMYYHMLNR LATSEDVSIELLASRYGLDPTRTFTDAFIAEVGGLNDQFFDTLSSQEFDRDFTPEDAA GRRTSYGADSAPPGSQDSTSILLAHNNLTSLWKLMKDQLRLTFLPPAHSTPVSVAPSI PSEFQPGLLEPYPTVLSPLLTARYLSSAPTTDTESRLLGSRSFLFDPTALTAYLSDQM EWWRGERNPRGVEVMDAWKCRICEFRDECSWRQERELAFAKRRRRRSSSLAV AOR_1_860194 MRHTLVTQLGKRPTLFSRSHLSSRIRPQGNRSTSSSPKSPEKNV PSQNAQSKAAPPSSTQSSCSSATPRTVPASARSRSLRDVIVEGPLGKLGRSYSRIQER RPYATQFWSSIVVYLCGDLSAQLLFPSDNKSVKDTARENSESEDNDAATSGGGYAPWR TLRHLTVGAGSSIPSYNWFMFLHHHFNFASKFLSILTKVCVQQAVFTPVFNTYFFSVH SLLSGATLEETWERLKKALPVSITNSAKLWPAVTAFSFMYVPAQFRNIFSGVIAVGWQ TYLSWLNQKAAREVEANELAEAAASQIGIGASATLKA AOR_1_862194 MSNEKGAPGESPMSRKIPYWRMVIDQGAVTQEVIDHPYPGSGTE EDPYAVTWLPNDPRNPMQFANSTKWFITMLAAISTLAVALVSSAYTGGVAQIRQQFHV GTEVSTLGVSLFVLGFAIGPLLWAPLSEMFGRQIIFFVTYLALTAFNCGSAGAQNIQT LIILRFFAGSFGSSPLTNAGGVIADMFSAKERGVATSLFAAAPFLGPVLGPIIGGFLG MNAGWRWVMGFLGAFSGFVWIVASLLTPETYAPVLLRRRAERLSKITGKVYRSKLDID QGKVSLKQAFGTALSRPWILLFREPIVFLLSLYMAIVYGTLYMLFAAYPIVFQGVRGW NQGVGSLPFLGIMIGMLAAVAYSIIDNKRYVKTDEKHGGFAPPEARLPPCMVASLAIP IGLFWFAWTNYPSIHWMACVAAGAPFGFGMVLVFLSILTYLIDTYTIFAASVLAANSV LRSIFGAVFPLFTTYMYSDLGIHWASTIPAFLALACVPFPFLFYIYGPKIRLRCKYAA ESDAFMRKMAQQIKQQQGEPEDKELEPAYDRTEAPGVEVSDSSDDESGSHVGELPPVQ QVRTKSSVRTAGSARQSIYDGNPYDIDRVHTRESFK AOR_1_864194 SLKMARTKQTARKSTGGKAPRKQLASKAARKAAPSTGGVKKPHR YKPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVEA YLVSLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERS AOR_1_866194 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G AOR_1_868194 MSMYPSIRNKGFISEPTSTPFINFQDPVFIQDEFLPDLSQEAAL QFYNQQLPRLQSPFQYHSGLEFYSPPSSAPSSPTSSPASSTTHLPATTAVAAEYPNSF EAPSMTPVSSYNSAFNIQHTATPPSSQPYLSQYPQYLFENSPMLAQQPVANTHGWENN LQLLSSARMQHKASPSTSSTRSAPAGSSYQRSNASTLSKPLPTPVQTPIQNSFLAAPY QQNYDTSVHDGSQAEAEMQQKQQQQQSHHQHQPSDYSLAPSVSSVSHNSPVTPQIKPE ELDEASKAMVNDYNNHNGNNLPIGIPKINRTMSDIYQDELYNPALMPTPQVSKQTTNQ QNLLNPFRNVFADRLQAANQGHMTARSHSPVVNMHRDRSPFRQNSPLAAEYNNGFQQP QMATSVPMTQNVGQSQGEGEPKTMSPKDALLDFNEGDDAGIPLFPTSQPDFNLGEALG LRRESSSSFPQSQNFTSMESFPTQYTTPNGLPQQYPFAQQQQDHQQQQQNNLLHQTPE FPASLPHFESTNSDAGVNNGVASPPAQPTMAMRPVKEEITRPERTSADSGTYTCTYHG CTLRFETPTKLQKHKREAHRQTTPGGHLVGRDTSARNSQAGPHKCERINPSTGKPCNS VFSRPYDLTRHEDTIHNARKQKVRCHLCTEEKTFSRNDALTRHMRVVHPEVDWPGKQR RRGRE AOR_1_870194 MNPCARDNLSGRTRVLNGYSPISREELFAYTNGHFLVDEQRQLD RRYLNFDLDTLCDVAASAGGDSSRIVTIEKLEGGFSKALLMKKGNGKEVIAKLPCRIA GPTSLTTACEVGVLEYVRKYTSIPAPRVFSWSSDDSNPVGAEYIIMEKVAGVPLFEQW GKMAEIEKLELIKNLTKLEAQLAAIRFPAYGGLYRQADWPVFLKPPQNYTKGLVQPKL PGDFDELDEEGKAAALQEWSQSKLAKAYEVATVLENGVAHNAMNIPRVFRELFIRCGE VSEVGVVPLRECLIEIFRNWSSLGFTGQCPYSFSNEEITTHEHQFAEYQAWDEVQQLA QECLDTDAEGWIAPQLDIEEKRRQNRELLSLYIKRMAGEKSPDEARAMWPFPG AOR_1_872194 MAEPTKIKILGQESIIADFGLWRNYVAKDLISGCPSTTYVLITD TNIGSIYTPGFQKTFEDAATAVSPAPRLLVYHCPPGEVSKSRQTKADIEDWMLSQSPP CGRDTVVIALGGGVIGDLTGFVASTYMRGVRYVQVPTTLLAMVDSSIGGKTAIDTPLG KNLIGAIWQPTRIYIDLEFLETLPVREFVNGMAEVIKTAAISSEEEFTALEDNAEAIL TAVRSERKPGQRWFEGIEDILKARILASARHKAYVVSADEREGGLRNLLNWGHSIGHA IEAILTPQVLHGECVAIGMVKEAELARHLGILKGVAVARIVKCIAAYGLPTSLKDSRI RKLTAGKHCSVDQLLFNMALDKKNDGPKKKIVLLSAIGRTYEPKASVVPNEDIGVVLA PSIEVHPGVEPASNIICIPPGSKSISNRALVLAALGSGTCRVKNLLHSDDTEVMLNAL ERLGAATFSWEEEGEVLVVNGKGGNLQASPSELYLGNAGTASRFLTTVATLANASSVD SSILTGNNRMKQRPIGDLVDALTANGASVEYVERKGSLPLKVAASGGFAGGRINLAAK VSSQYVSSLLMCAPYAKEPVTLKLVGGKPISQPYIDMTTAMMRSFGIDVQKSTTEEHT YHIPQGRYVNPAEYVIESDASSATYPLAIAAITGTTCTVPNIGSKSLQGDARFAVEVL GPMGCTVKQTDTSTTVVGPSDGILRPLPNVDMEPMTDAFLTASVLAAVARGDGASHTT RIYGIANQRVKECNRIKAMKDELAKFGVVCREHDDGLEIDGIDRSTLRQPAGGVYCYD DHRVAFSFSVLSLVAPQPTLILEKECVGKTWPGWWDTLRQKFSAKLEGKELKEEESSP LAGAGRATASVFIIGMRGAGKTTTGRWVAKTLNRPFVDLDTELENVEGQTIPDIVKQR GWQGFRDAELSLLQRTLKERSSGYVLACGGGIVEIPEARKLLIDYHKNKGNVMLIMRD IKQVMDFLNIDKTRPAYVEDMMGVWLRRKPWFQECSNIQYYSQHATGKLAKASEDFTR FFNVVTGEADSLSIIKRKKHSFFVSLTLPDLRTAGDILEKVCVGSDAVELRVDLLKDP ASDSDIPSVDYVAEQMAFLRSYVSLPLIFTIRTKSQGGRFPDDAHDAAMELYRLAFRS GSEFVDLEIAFPDEMLRAVTEMKGYSKIIASHHDPKGELSWANMSWMKYYNRALEYGD IIKLVGVAKNLDDNTALRKFKSWAEEAHETPLIAINMGDNGQLSRILNGFMTPVSHPS LPFKAAPGQLSATEIRKGLSLMGEIKQKKFAVFGTPVSGSRSPVLHNTLFSQAGLPHE YGRLETANVEDVKDFIRSPDFGGASVTIPLKLDIMPLLDHITPEAEIIGAVNTIIPVA DGDKPARLVGSNTDWQGMTLSLHNAGVETANKDASALVIGGGGTARAAIYALHSMGFS PIYVIGRSAPKLQSMVSTFPSSYNIQVIDSPETLKTIPTVAIGTIPADKPIDPVMRET LCHMFERAQEADADVVKTGEKAHRVLLEMAYKPSVTALMQLASDSNWHTIPGLEVLVG QGWYQFKHWTGISPLYEDARAAVLSS AOR_1_874194 MGVPALFRWLSNKYPKIISPVIEEQPYEVNGEQIPVDTTRPNPN GEELDNLYLDMNGIVHPCTHPEGKPPPANEQEMMLEIFNYTDRVVNMVRPRKLLMIAV DGVAPRAKMNQQRARRFRSAQEAKEADEKKEEFRKQFLKKSKGDQEIHEEVIQKTWDS NVITPGTPFMDILAASLRYWIAYKLNTDPAWEKLKIIISDATVPGEGEHKIMEFVRSQ RAAPEHDPNTRHVIYGLDADLIMLGLATHEPHFRVLREDVFFQESKARTCHLCGQAGH KAEECRGQAKEKNGQFDEKGKGTSLKPFIWLNVSILREYLAVELYVPHQPFPFDLERA LDDWVFMCFFVGNDFLPHLPSLDIRENGIDTLIAIWRDNIPVMGGYLTKDGHVEFKKA QLILQGLAKQEDAIFRRRRQVEEKKLANEKRRKEEAQARDRARKRRRSSPNYEPSEPP ASNRARGGGGDSAPPNDVELIIPGRGELSRENRELTHSMVVNRGAVYRANMANKSAAA ILKSKLMKGSQEGDDTAESTPMPDADGASDSKIEPTSPSVLGKRKAEEPEGETDTPAD NTDSTPKPSKDDEMPPDTVRLWEEGYADRYYEQKFGVDPQDKEFRHKVARAYAEGLAW VLLYYFQGCPSWNWYYPYHYAPFAADFVDIGDMELSFEKGTPFKPFEQLMGVLPASSN HAIPEVFHDLMQDPESEIIDFYPEDFAVDLNGKKFAWQGVILLPFIDEKRLLAAMEKK YPLLSDDERHRNTVGREVLLLSDGHPLYQDLVANFYSKKQGAPKYTLNMRVSEGLAGR VERNETYIPHSSLVSSLEEYGMPTLEDDRSLTVNYEIPKSNHIHKSMLLRGVKFPPPA LDNADIQATRSKAQHSGRSFGGAPFRGGHGNRGGRINYASDRPNPFAAHLDPNFMPPS NAGAQGMPSGWAPPVPGSANFSRGPPPPPRGNHRNHYGSGHAQQQGYQQTNYGRNDYY GRGQQGHQHQGSYGNQSGQYSGRQSGYGGAEYRGGGYQRGGYQGQGQGRDYYNSRNQG GYGRY AOR_1_876194 MFRILESQAPAKQTATDTINTLSSRLQSATLLEDRRAAIQGLRS FAKIYPASVASGALRPLIGCLRNDQEDVDTVKVVLEALLMLFSPDESSPEASDEIALW LSDEFTQCATGEDAGVYSNSSVGYSEASQCPGRRSRAGSKWYAKDRIREIVMQLLGSL LLTTLLSLEALLLLIALTPSSEELQKLVAFENAFDLLFTLIEKEGSLSHGSEVIEDCL SLLANLLRLNISNQSYFRETGCVKRLAKLLADVNHEQESDEPTPQWTLAQRDKNIWGL LVIIQLFLVRGGINTPANQMAFWHSGVMEQVLSTAFSQRFSVNVTSKALATCADLIRG NQPLQERFGDVEVFWGAQPAEGASNGELANQGLQPINVIEALLKLSLEPATLQLLDAR LAACECVKAFFANHAGIRVHVLRRAIDGHLSGQDEIPNILTALLLPPESRGNADPYQT WMASVLMFHLLFENAEAKAIAMEVTEGDAESGEEVITCIQSIVGNLLTGMQRGDDERI SVGYLMLLCGWLFEEPDAVNDFLGEGSSIQSLLQEIKHRYVSNVLVSGLCTILLGVIY EFSSKDSPIPRKTLHQLLTEQMGREQYIDKITRFRESPMVRDFEVLPQTVGGQYEGGL PEIFFDKTFVDFLKDNFGRMIRAIDREPGLEISVITNGIERGVSRELVDSLKAELEER SQAVQKLESDLVALHNRLEQEQLEYRKARETSAMEITRIQQINESLQRHHAQELAALE EQHQHAKNELVKQYGEQLRTIDYQLKETSVEYEKKSYKARQHHEAEVADLQKKIRSLE AELGRAQEQHTGEAANLKATIQALRSSADKANENHAAEVSNLHNTVQKLDFEASQSQR QHAAEVSNLNQTIHSLQSEVEALKQQNESNSAEHKITNERLQKELDTAKEKATQDIQA VHDDYTSKCAALEKRAEEAEQKTGQSELAERKSAQELQEARERLKKAQSEVKEKEAAA RKSAKSEAEGLRKELEKVRSEAKKAEEAALKSANSEIEGLRKELEQVRSEAKNAEDAA RKSAQSEADKLRKELEKVKSEAKRTEDATRKSSKSTKSEAEGLRKELEKAKLEVKEKE AARKSTQTEITELQRELEKVKLEAKDQAEEARKAKENESVAQKSTQEIDELRKELEKL KSEVKEKEEARKSAQSELEDLLIVFGDLEAKRNEDKKRLKDLGQEVSEAEDDDDDDEE DEDDEE AOR_1_1912194 FGNSHPHVKTERSPAMSIDFPKEEELTLKRWREIDAFQRQVELS RGRKPYTFYDGPPFATGLPHYGHLLASTIKDIIPRYWSMKGHYVERRFGWDTHGVPIE YEIDKKLGMSGLEAVEKLGIEKYNEECRAIVMRYASEWRETIERLGRWIDFDNDYKTM NTSFMESVWWVFKQLFDKDLVYRGYRVMPYSTALNTPLSNFEAQQNYKDVQDPAVVVS FPLVEDPETCLLAWTTTPWTLPMNTGLAVNPTFEYIKILDEASGKHYILLESLLRTLY KDPKKAKFKIVDRFKGSAMKDWKYTPLFDYFYEEFKDHGFRVLNAEYVTADDGTGVVH QAPAFGEDDYRVGMESGVISETRLPPNPVDETGCYTAEIRDFVGQHVKAADKPIIKHL KGIGRLIVDSQITHSYPFCWRSDTPLIYRAVPAWFVKIPCIIPQMLEGIEGSHWVPSF VKEKRFSSWIQNARDWNISRNRFWGTPLPLWVSDDFKEIVAVGSAEELKELSGYQGEL TDLHRDKVDKITIPSKQGKGVLRRVSEVFDCWFESGSMPYASQHYPFENKEQFEKSFP GDFIAEGLDQTRGWFYTLTVLGTHLFGKLPFKNCVVNGIVLAEDGKKMSKRLKNYPDP SLIMNRYGSDALRLYLINSPVVRAEPLRFKEAGVKEIVAKVLLPLWNSYKFFEGQVAL LKKSQGVDYVFDPKAEATNTNVMDRWILASCQSLLKFVNEEMAGYRLYTVVPRLLGLI DNTTNWYIRFNRRRLKGENGVDDTLHALNTLFEVLYTLVRGLAPFTPFLTDTIYQKLL PHIPEALRSEDSRSVHFLAFPEVREELFDEVVERRVSRMQKVIEMARVSRERRTLGLK TPLKTLVVIHQDPQFLEDVKSLQSYILEELNVIELILSSDEEKYNVQYSVTADWPTLG KKLKKDAQKVKKSLPSLTSNDVKKFVSDKKILVDGIELVEGDLIVRRGVKEDASSEGM EPNADDDVLTILDANLYPELAHQGLGREIINRLQRLRKKAGLVPTDDVKMEYAILSDP ENVGLGEAFQTQAQAIEKVVRRPLERFELVDGKVPSGDEERMIMEEEQEVQKATFMLR LLKL AOR_1_880194 MPSTRTRPIEKFAKATSKCSAEAAAYGKCIVADYNSVHKDMCAK EFMKLKDCFLAASKKV AOR_1_882194 MPPVPPQRRHLSYEDPSLSDEDVGLLYQVITRAERDPEVERLPY RVLFKAYDEVIAEHGVDPDPRYACLRFLLKMGSKNILGDSLFEKFENLLERMGIVIEI GEDDYEGNDTYADSVPSTDGRLKAKVDRDTAYGESTTQPTPRRRASFNSMYDVGDDPT QRSFANRPSSRSSLSRLPIDKPEFPSTRPSPKRALAKQADSTDRTQLIAQFLDVGRRL MNKMDLLDRDTDGRNNGDHVSNGVHARYAVDRDRSKRMAKASRSRTRHSLSSEGSDGD AESSSVSAEGDDSVEKPEVPLELLYRPSLSDLLRDASTFNMYRQRAINRRLLTQWLKK AIQTRQSHQHMEVVAINRDRGTLLRQAFETWHSIIQTQRRAAQTDRFFKHLEERASRA RDLYLVTKAFTHWAQVASEEVARTSAARRHVLSVKYFNAWREITAVNELKAQRFALRR PFNIWKRKVHHIKDSEKEVTVAHDKRLIHGMYWRWFWSFCERRAPQWYDYCLKRRSLL YWLRKFRTNRERNHEIEVKNKHLALSAAWQMWSQRSKAIATGNKEAAVKQRQHLLHKT LGEWKIQSHLAPPASRVSGMVDRRILQTAYVQWVKRIRMLQHAREMNRLRILRNSWTT WNDLLRCQALSARIEERLKIETMYKWILAERFSLMQRIRDQRITREVFSRFVTNVRRT YTRLLDHAEVHEDRRNEELLKAKLICWRDQFALQRHREFVAFEFYAPRLLQESLIAWR SKSQHVAKLEGWANNAQFYFTAIKTLNKWHAATLESAKRRRQAAYAKTRRKLKMNLAA NALENWRARAHDVYISGHALVILGGPHTLLQTIYVDDTESLEAVTIDEASGKIAVCGG PDIFIYQPYGIKHETLKVRYYEDGSGELDIHSPVAWSLVQTFRYENDDETIRTLSWGS PDELLLGNSHLTLWFLNDVPRPVWKQKLANPVKFAQFSPDSTLLITTGYYDRLVKVWR RLSFGADDVRFEVSYLPHPAIVTGVHWRKPFHKEQSIDNVLYTLCADNQIRIWAMMEH HSPTVLQLWTQIDMGASVQPRHTADQRDMSRRYGFVIDSRDFCVATEQAVQRSAGSKG NHALEHIIEVANKSPEICVVIDGQGHMSAWALEDVGSKSKSDLNAFNILHVEGLNFAF MRGLAADEDYAQICAFQSTHSGDSLSILVHHFDGRIEWYDSQVDTLFDPSPRKDRIAL KASWTGHNGPVKKIVRNAIGDTLVSLETGIANPSLASGSSIRKAALVDEDRSHLTIWD TNGAQLEYEEHFGQKDIIRDLDWTSTPDMQSILAVGFPHKVILLSQLRYDYLDARPSW TQVREIWIRDLTPHPIGDSCWLNNGHLVIGAGNQLFVYDNEIDANDRLVSQLRIPSRR HGFVDLFEVVSRLNGPLPVFHPQFLAQCILSGKSNLAHSILIRLHRKLKFYTEGDDLD GFLDMSLEDFYLEHDTSQKASSKELHSAYEDLSLEDEPSVMDENTAIVLNENLARVAL PQLSSQEQFRLADTIECVATVEKHRRSMDDNAARYLLFFRQHMLRRTQGVANKDTVSW REIVWAFHSGSQDILLDLVSRQFGGKMVWKAARESGLFMWLSNTTAIRAQLEVVARNE YTKTEEKNPIDCSLYYFALGKKNILQGLWRMAHWNREQGATQRLLANNFQEPRWKTTA LKNAYALLGKRRFEYAAAFFLLADHLRDAAMVCINQVGDLQLAIAIVRAYEGDDGPVL KEILEERVLPEAASDGNRWMASWAFWMLGRRDMAVRSLISPVETLIPSTPASPGSPGM IPLHAKSYLSNDPALVVLYKQLREKTLQTLKGASKVSGPAEWGFVIRNARLYDRMGCD LLALNLVRYWEFLAEPPPAKGVREISFDLQQNGVDYRKMLRRRSSLVVADMPIKTGVP PSSKAPDAPKKPQPPPTMIHEPDPNSLLDSFGF AOR_1_884194 MHWTNILPLLTLAHLPVTSALSAARVWANFSPQCPADDHSSYPD DPNLSLHEEFTTAVDVVAGKCQEVPVPLRYTMEVDHVSIDAELFWQDSLDQCTIAVHE LPGCTEPPLIQKKIEQGKALSECEERNFATFTQLLGTMGDLQMIR AOR_1_886194 MHFSTLFAASLALAPAVYGNSVSVVEVEVHGHDNCHVGRLPGNN LEEHSTIDSKPKKVFPTENSCPSVKLPDHDEVDTYSFTVTALNKKSFEQCRGLGVYTN KECAGNPDWIVPFHPGELRASSPCLPEYGFEDYVSLQLICNDDPVHRDEGKSEAHGEG NKEGEGEGNKEGEGEGNKEGEGEGSNKGEGEAEQRQGQAEKPAAKSNSIFGKLGL AOR_1_888194 MAPVIESRTDVLIIGAGPSGLAAAYWMARCGVNARIVDKRATKV FRGHADGLRAATSELFDSMGFQHRVLHEGVEITEFCFWARDEKGNLKRKKQVRSETLD NAPYRMHGLSQGRIERYILDAIKDSSDLVVERGVAAESLEYDASLENNHEEYPITVKL RTLTEGELSAASAYGCSQSLSRDNVAPDEVEDLTPERKHEPGTVEIVKAKYLISCDGG RSWTRKQLDIPFTGSTTEHIWGVLDVVPITNFPDVRRASTVASELGTLLVIPRERQLA RFYVPLTEVDVSSGRFDRSSITLDMMREKVQQMLKPFQFDFKVCDWWTTYQIGQRIAQ NFTKGRIYLAGDAVHNHSPKDGFNIGWKVALVAKGVAHASILDTYEPERRPLAEMLVD FDRRWSPLFLKQQGGSSPPDAEARFEAMKEVLDSVEPFAEGILSHYGDSPLVHKNGQR IAKNLSPGEKIIPAKVRNQADGITRWTTRVFQSDGRFRILLLAGDIRTEEQKRRVLTF SEYLTSPDSVLRRFSRKPRKLHATIDVVTIHSAPVEDVQLFDFPKALRPFDDDNGWEY DKIWGDEKCHWDLQCDGKAYEKWGVDRLKGAVVALRPDQYIGWIGDLEDVEGLSKYFE GVFRSPKQRARL AOR_1_890194 MSPPQLPNHHHMVVLQGDFVQIPEFNLPEPYTYTKTVYPQTTLA NLHERIYDASILVLCAFPVDATALSEEKSPHLKLIVIVASGTDCVDLEVCRKRGISVT NCPGANIATVSEHAIGLYFTMRRRLVDMHAQTRAGEWQKKILMFRYLDKYGDPPLTCE DEVVGIIGNGGVGKRIANLARGLGMKVLISGRKNFGSSIGPEGEDRTPFDTVIKESTV LFLAVPLLETTRNLISTPELEAMSHHAVLINVSRGGVVDEEALVKALREDRIAGAATD VCLKEPAGPNNSPLLAEGTEDLNLVVTPHLAWLAQKTAQGYSKLFKDAVEGWFTGNRY NVVV AOR_1_892194 MADGDGSHFRDSDDYIRSRTDNDNAGFPSSSVLEGSPVTSAQSF TTRSLLVGLIIGALITFSNTYFGLQTGWISTMAMPSALIGFSVFKVFSKHLSYPFTPI ENVLIQTVAGAVGTMPLGCGFVGVIPALEFLIRDGEDGPSGDGGVGEGGPLKLSFWKL VIWSLGVCLFGVVFAVPLRKEVIVREKLRFPSGTASALMLRVLHGSGQSDEKAKGRVS DNTGLGRDEEEEAPILSRVSEESGTGTLLRKTTLEEESSDKKDWRSKMRLLVGAFAVS GVYTLFSYFVPQVRDIPIFGLSLAHNWLWTLNPSPAYVGQGIIMGPSTCMHMLFGAVL GWGILSPFAKARGWAPGPVDSWDDGSKAWIVWVSLAIMLADSIVSLGWLVLRPAVKHA PKLKAKLLSSRLWRRVSSRGSAEYHPHDYISYSALSPISEESSIPSHLPALLAGMQAE AADEEDAPPSQLISTRTVLILLPLTLILNVVCMHFVFGDVMSPLLSSLATLLAVLLSI MGVRALGETDLNPVSGISKLTQLLFSLATPASHFSRRTALVTNLLAGAVSESGALQAG DMMQDLKTGHLLGASPKAQFYGQMIGSLVGAVLSTAVYKMYVNVYEVPGPMFQTPTAY VWIFTARLVTGQGLPPMAWEAASIAGAVFVVVTILRIVGTSSFANGGKRDATAWWRSY IPGGIAVAVGIFNVPSFTLARAIGGLIAWWWSRKHAKAQDLEPPTQAANEEQPQGNVR GADTRNPAAGPAPGQAIKDADAASSTVVVLASGLILGEGIISIVNLLLASGGVPHL AOR_1_896194 MPAVVPIEIDDHWSMGAGAGLGTAGSAPDGGGVRGAAKGLGPGG PVASPVVAFLGDRKGPGSSSEAALVEGLALARMIGEQEEIEQGTSGAVDEDAVAGAQH SQDEPETWDVLGTWGALATLGDHLGDRNEDLA AOR_1_894194 MVHAHNHHHGPSLEARSPDHDDDGQEVTVVYVTASADFDGPIGG YVTGEDPKTKTAAATVGVGPAVQQSRTSTKEEPTTTEKPSKKTTAVETKPTATTKIET TETTKEQPTKTKETTKATEKETSTTDQKDTPTAMTTFSTATSSTSSLSNTGLEEAAAT HTSSLDKSAASSTALPGASGSEGLTGGAKAGIAIGVILGVGLIAVFIFFFMRKKRQGQ KIDEIEPENEKAFAASSVAPPPPPPKFEPMTPTKPPQLNVRPVTQFAPDLTPSATGAL SAAGAGATLGATAAASRNLTEHASPPHTPQSNAGTSDPFTDPVNPFSNQAEAPSPSNV ARPITPPTAPETMTPPDPVPAEAAVGAAAAGAVVAAAAGGAAVAAVASSKSTENDHPS QPESSEPKIDSQPEHAETSPVNAPDDNEAPGPSPPVVAAVPAFGPSEHGPPPPPAPTN VHRVQMDFSPSMEDELELHVEWIIHSKEWSLVHVSLLAL AOR_1_898194 MADWKKALGFTDRLTAIQSLTTAYQRASSSAAFAEAQSQAKRFE TEAYDQATSKEEYDQFCQKAIDAAEATGSAAPVISCPDLVQNKEGVEDWPAGQDIGPY KACLHHFDGLHSTIYKSRLPPHDAKCEVQLLRETAGTHVIPLLETLKLDGGRLILVFP FMKHDFEHLLRRGMLTATQTRSHLRDLFRALAHVHELGVIHRDIKPSNILLDSPDGPA YLADFGIAWKEGHNETEPADKKITDVGTTCYRPPEILFGYKGYDSALDLWAAGCVVAE AVAVGHKQLFDSGPLGSDLSLIQSIFQTLGTPDEEIWPETEKLPDWGKVEFYRYPAKS WDDILRGASSKGRDLVSQLVRYESSQRISATEALRHPYFSAC AOR_1_900194 MDLSRQEYPALLASLQPGQATSVLNDRIRLINKINADIADWLQE RRRVEEAYAQGLRKLATRQQLDNGAALGVFQIPWQRIVNATEMVASSHETFAQKIEED VERPLRDYHTKNRELASLPGVQSDLATLAKNLEASLKKVEKAREKGPKGADKLAGAVA ASEGVRQEWESRAPFAFEQLQAADESRLNHLRDALTQLETHESDQVERCRQAAETCLN VLLNVETADEIKTFAEKVNGGRPVVLRQQVSPTPAAAPSGPPPRLHDDTASQRSETSG PVRTPPAPEPQPRHTTPLGGLKRLGTVMNRRKSIIQPSGGAAFFSDKKHRSPFASFKR GDSREMQIPESLPDEERPGTALTTQENHNEVTRITSETNDHEGHGPMTSIPSTQPAPT TANGTTSPEPPAETSFAASDSNQPRVDSEGFSEPPQTIDEITRAQREAAGMEEAGINL TIRDQPIFEDEDQAKQAMDDMANQLRMRAQQTGVRRNVGTLRGRRDVRNTVFIPNPTQ GSDVPTQPSGSDVPMPVSPGLPSKHAASPSIATEDHALSDTTSVRSGHTLHGSSGAVA HPDLHEPGLNASIIETVNAWFSDGVVTKSSVIGELALAYNGTPNANTTVRLENFQVLE KVAANPHFVSEEKDKDLSDEKRGQYSIHIPTIARPSPIVAFKYQLHVDASDSSAYCPV IFKPVWNIEEHQASVIVFYSLNPSFTSSVPRESVTLKNLILTVNLDTSPEEGREVAHA TSAVMYPNTGASFRRKHSAVTWKLPEFEVTAGSDGKLLVRFSTATSWPRKGKVEAKFE VHTLDAGSRLGISAASPTEEITPKGSDPFADEDSGAPDDAQPSLTWKEVPTTRKLVGG KYVSS AOR_1_902194 MNSSRNSHPSWGSPPRSASPLSIPPAPSPLRQSIRPSQVSKPDT EKEELRVQVNTLRYELENIKQERDLMVLRHEKELRDVQLKADADFRKAQAAESASHRA NHKSETLAKELKEAQETALNEKGGLERKIRSLQDQNQSLQDDVDDTKAQLLDQERQAK YHINELETIRSSLQRTLEELQNDLQSARTDVQSTQEKLREREADVANLETENIRLKAE GSDAETVTVLKRELSEQVSHIRNLETTNREQSAELRLLRKVQKNVEVVEEQKKSLENQ LQLMKEVESELRTVQIQKQMLEDERSSWTSLLQDNDEQAEVDSPEAVVKALLQERIEK ATLVDKLGNVEAQFLEKDELIRSLETERSHLRQEIEKLRSNSAANGGAMAESRIRARL DRQRALAVKEVEYLRAQLKTFDTEEVTMNAEQSQFDVHKSEQIANLEKIVDEYRVELE KAHEELSKREPTEQQDAEPRGVKRPLSPAESDAENERLSILSRKNRTLQESLSKSEQA STLLRRELEATKSQLKSLKAKSRTRVLELRDNPTAQAENLKLSTITTLKAENRDLLAQ LQGDHNSVKVVPVSTLESLKLEIQEMERVVADKEKRMRRLKEIWTAKSSEFREAVASL LGYKLDFLPNGRVRVTSMFHLSPAYRHGDGDVPSDSRGPGSMGNGEENSIIFDGENGT MKISGGPNSLFAMEIRPLIKFWVEERKDIPCFLAAMTLDFYDKTTRAARM AOR_1_904194 MAKDKVEKKDKREKKEKRSEKDGVHKSKKDKKEKKDKTALADAV EQELTTKVLDGIDDAVAAEATANIETEVMDVDVRPIGALVPFAQPLVEDKSAKKVLKS VKKAAVNKCLKRGVKEVVKALRKSPVPAPNAPAGTPNGVVILAADISPMDVLSHIPVL CEDHGIPYVFVTSRAELGNSAATKRPTSVTMVVPKSAAKGKKGDSADDEDFSSVYDEL VKLVQKEAKKVNL AOR_1_1914194 MAEHVVAPIGKRLMVQVIDDVADRDPERKVCAVPKGSEISDGFF DLTFRELAHAVNYMSWWIVEAFGRSSTMETLTYLGANDIRYLVMVMACNKTGYKPLLS STRNSDEAHLRLLETTNCSKLAYSTERSQRAMEIKALRPDLTTVEIPAPTEILRGATM PYLFSKSFEEVKDDVAFIAHSSGTTGIPKPIQLTFGYFGALDAGAYVPIPPGRFSGVP DRLSKDDVILAAAPFFHLMGFSLLIISVFHGIRCVVAPDKPLSTEFLTSMLNATKPTA ILLPPCILEDMTLSQASMEALWNLKYVFWGGSSLSPEVGRKIREHTPLAGFMGMTEAG FILSLAPEDKEDWEYFEWWPTNGIEMELVDDDLYEMVIHRHYDPFLQPIFHTFPDLDE YHTKDVYTRHPTKSNLWKLRGRLDDVVSLSNGEKFNPVTMEKIIEGHALVARAVVVGN GKFQPALLVEPNWNQWSEDDPPRDLIAQIWPTVQDANRINPAHGRIMKNKIGVALKNK PFQTTPKGSTQRRLVTRDYEKEIEDIYTKQDDGFTEYQIPDTADLSGIQEFVRNVVSD ALGFSSFSDQTDFYSLGFDSLQTMQLSRTFQGSICSCRPSTKSRAITAQNIYGNPNVE KLAKFLYGITSNVEQSTVARSEKIDALVQKYTIDLPEREAGTRKATDQHTVILTGSTG SLGNYLLHALLRNPSVVKVYCLNRSEAKNRQVKSFQEKGLEYDFSQGRVEFLVASFGE EKFGLSGPKYEEMLRTVTTVVHNAWRMDFNISVDSFEDVHIRGVRHFIDFSLQSIHNA HIFFMSSIGTIGGWTLTHGPSVPETPLEDCDVTLRQGYAEAKHICERICLAASQKAGV PTTLLRLGQIAGPTTENGMWNRQEWLPLIVATSKAMGKIPRTLGSMPVEWTPVDTLAT IITELIQTRSTSQTDPPCSVFHLVNPSATSWESLLPAIQERYNVQPIEMSEWVNELES ITNPSPAEVAAKPALKVLGFYQGLVEGEGALSAPMEVEKTKEASITMKSMRPISIELM ANWLKQWNF AOR_1_908194 MDLQGQPIGQGPRSTKVTTDPEASRNPIQESSGPVIGDSLAAES ATKGGVYSQNRGAQPLGVTGQQTTLNTKDTSAASELPSAPVGAARENLDRQEKYPEAL GGQGDFPGPHLSTSGYVGGPTGSKQQQGTQQQSNASQNQQRGTANASGSGYQTRSKTS AAPSYAEDVVGDFSSKKPHGKNLQEGGFDDSNNASFTTDIGGENDPGRLATKGFQQKQ TESGPTATPAGREQKGVDNQHWYQHLQSDQRA AOR_1_910194 MSSTFEPPADSQQKEPTLSGILVVQNLVGKDRLHPDGPPTAYGI L AOR_1_912194 MSDQTFHTTTQDIRKPESKVSQAHQGNVPKNSDVSAMKSLLSEN TDKKQQIEQTKSNLPLPDQPPVASDWNSLDQRTVNVGSGRHQGPVSGEGNSALREPAT AGSSVRQDGDELHKQTQPMGNVGRQGKDNLSDLPKDALAR AOR_1_914194 MPRDPLIGLVGKPSSGKSTTLNSLTDATSKVGNFPFTTIDPQRA IGYLQIECACQRYGVSDKCRPNYGGCIEGRRSVPIELLDVAGLVPGAHQGRGLGNKFL DDLRHADALIHVVDVSGTTDAEGKATRGYDPSQDIEWLHSEIVRWVLGNLMQRWGSIK RRHMAIKATAVDTLQGQFSGYGSTSTIVARCLDRIGLKEPLENWSDETVEKVVKAFID EKFPTVYALNKIDHPDADKNISKIAKMQDPQSIVLCSAISEVFLRRLAKQNYIKYVEG SEFLDTREDLIDMGEPDGGGLKEMDEKLKTRVENLKDMVLYRFGSTGVVQCLSRAAEL LGLVPVFPVRNIHTFASGAGSDAAFRDCVLVKKGTTVGDVAHKVMGDIPISYIEGVGG IRVSEDEIVQVGKHDVLSFKPGR AOR_1_916194 MPSSSRLPTPTSKLHHLLTPRPPRLCLLPLYSVWQPAAFHTPGS VRVRLNSGITQDWKGTSTDDHAVDRSKKNDNTDPTVEGASSGMKDREEYEGVARGDKP QAATEREGLKHWKKAKEEHPKAPEPIIGMNDERAQKGH AOR_1_918194 MKREYLPIETLSAWTRLNGISVDGVTFRKVRTEDGIDKGCAIVA TGEKSNESSETGEVDTETLLRVPSDLILSLRLVETHAKSDRYLREVLDAVGDFGRTAR GAILIFLVLQITYSSPDFADEHHRIGVSNPWTEYIQYLPSSITLPTFYTVEERELLRG TSLKLAVDAKIVSLENEFELLRQSTENISCCRKHWWDENTGRFTLDDWKYVDAMYRSR MVDLPSSGHAMVPCIDMANHASEDIVKALYEEDTEGNAVLQLRSGRKLHSDEEVTISY GDDKPASEMIFSYGFLESERGGAKQIFLNLDIPEDDPLIMAKKRVCKAPPGLRLFDAP TAERGSTDWDSPFVWWLCVNQEDGLEFEVLQTNDGGREVKVSWKGEEIKDPNDIKSLL AKDPLWDIFQLRAVVTVLDRLESHFLILRETQIMVEEINHNEDMLALFRPEVYNTINS LRELEGKLLEKGIEDLVQQRQDLMASEAVAAYLTQQQADDVEEDFS AOR_1_920194 MPGILPMKVIKVGNGAQSRIAQACDRCRSKKIRCDGIRPCCTQC ANVGFECKTSDKLSRRAFPRGYTESLEERVRALEAEVRDLKNLLDEKDEKIDVLSRIH SFSPSSQHRAAASARSSSESVKSSAPDTNEGVIQVQEPVLVGELSDVETGIASIRGYS GIFTTRLMDQGRLPPNVSTKALTASPTPIAASRTDQVIKTAPRLVSDQLINIFFQEWA PLYPVVHRPTILKAYEQYLSNTETLQGSKHDMAQLNLIFGIAALASTTRTNQDPTFFE DNWSPVLESLSGDVSVSTLQCYVLAQMYCMTKGDYTGLLRYRGSAVSLCHQLRLHQSQ RRFSANALVAETRKKVFWCQYVVDRFTAALTGLPVLLREEDIHTEYPEDIDDENVTET GFLPTLPGESTRISSAIALFAACRVLNKALEDLYPSDGGYEIPISKLRSVAGQLDGWV KNLPPHLRLEFSQDKPSTNVTSSRSPLLSLVYYFIRSLIHRPAVCFGEEQIRSPSSLA VSDCSKRIVQILQLLDERRLCLSISINRRELVFSSGLGLLWQSIGLKRDSKLIKESQK LLTAIIDQLESESPAAAAEFSTLASALVSLDGGKRATSDKPREMSPPEQKPSRSPKKQ LQALKSRLAASAGFGQPAKQDSPSRRNTISGASPHIAQRQIRSSSWASLPTPENLRLP GEKMYYPSHPLGYDQGHMLSSSVPSDVAHGAITMSDWEFVLSDMDRGYSNIFTGIYGG KECGDDAGPFASLTAEYAPKPDSMTAPMPVSHNDLQGLSPEAWSSSSNSDVAPTREMA AQSVLSYSDESMGSTEEAVPYNDLRLSPEEQANLLDPFQGVVIPAAEDEVTEYGLMNG WDRRLAV AOR_1_922194 MGYTDLDQLAINTIRVLAVDATSKANSGHPGAPMGMAPVSHVLF NKFMNFNPQNPDWVNRDRFVLSNGHGCMLQYALLHLFGYQLSLDDLKNFRQLDSITPG HPEAHDTPGVEVTTGPLGQGFSNAVGLAIAQAHTAAIYNKPGYDLINNYTYTFFGDGC AMEGVASEAASLAGHLKLGNLIAIYDDNHISIDGDTKCAFTEDVMKRFESYGWHHVWV KDGDNDLEAIEKAIQECREVKDKPSVIRLTTTIGFGSKLQGTGGVHGNPLKADDAESV KAKFGFDPKQSFVVPQQVYDLYHKTASQGAAKEQEWNQLFEKYASEYKDEHADLTRRL AGKLPEGWEKSLPTYKPTDPAVASRKLSEAVLEKVHSVIPELLSGSADLTGSNNTRWK NAVDFQPPEYNIGDWSGRYLRYGVREHAMAAIMNGLAAYGTVIPAAGTFLNFVSYAAG AVRLSALSRVRVIHVATHDSIGLGEDGPTHQPIETLAHFRALPNCMVWRPADGNETSA AYYSALTSKHTPSILALTRQNLPQLENSSIEAALKGAYVAIEAPNAAVTIISTGSEVS IAIEAATYLKENHNVVARVVSVPCFEVFDAQDKDYKLKVLPDGIPVLSVEAASTMGWE RYAHEQFGLNRFGASGPYKQVYEKFEFTPAGISKRALATIDFYKGHPVRSPINRAFQQ IL AOR_1_924194 MSEKTHPTIQDGWFSEKSNMWPGQAMSLKVNQILHHEKSKYQDV LVFESSDYGTVLVLDNVIQCTERDEFSYQEMITHLAMNSHPNPEKVLVIGGGDGGVLR EVVKHDTVKKAILCDIDEAVIRVSKKYLPGMSIGFQHPNVEEFVGDGFEFLKTHKNEF DVIITDSSDPEGPAESLFQKPYFELLRDALRDGGVITTQAENQWLHLSLITDLKKACK EVFPVAEYAYTTIPTYPSGQIGFMVCCKDANRNVREPVRSWTREEEERLCRYYNQDIH RASFVLPNFARKALN AOR_1_926194 MSDLSKDPPTILADQHASEVLRLFRAKHEAFYKNGVESAARHLV SQTDMTRFSQTAVEFLATLISLPESIKLNVREGLVEWMSDIVEERCPPTTVVPFLEIL VGANKGRNKGVDSFDIWKAVNRHFGNSNTKIIFPFLSLPFELRLIIYDYYFELETTNT SKKLIDNIAPQGENRLSLMITNHQIYSEARKVLYTNFAFGLKSVPHLRHFFNNLRGYS YKIIRKLQIEDISAEHVNTLAQVLSGQGLLGVRSLKLIATPHYMGPASLRTQVGRSRK RLVYPVFKKKLCIAVDKLFYRSGLSIPKPPTLILVDFRKDPAWDVIFPRFWSVSVTWK E AOR_1_928194 MTEINTFDLLDSISWSALRHAYGSAWDVPAQLRALRSGNAEIKE NAQRSLCSNIFHQGDRYEATAYAVPCLLKVLEDSSSSAFARVFLIGLLVHLALGYADT FLPNGVNFPEWQEFAEKKQGPEFEAEMHQSHEGFVNRAKNHEERASCNEFRNRMLEKH CYRDSVTVLKGLLEKEEDTVVLASAIISLGLLNGRFDDARPEGIDGLVSRLRSYSTDT RPLVRWAAAVALIRLRYEEPEHVDTLISILADRSFKGLDARECSARTSFPFQEGDVAG YSVKVLGTINADDYPGAVTAIFDALPGSSGLGIIMLLEGLLALVFGPEPEHMKVTPFE QLSLVQQLTVAALAGMDDKMWERADSKYPLDIWNIPAGS AOR_1_930194 MGGHLDPKNGVFLGTWGDFGCPTPQRIASYSLSPNRQRPLAGTT HAAFFNTFRRFRHQVLYVAPPFIIAYAAMNWAIEKNHYLNSKPGRLAEGGDE AOR_1_932194 MAANGLYNIYRLALPVATGALIFNNSIYDVRGGSRAVIFDRLSG VQEKVVNEGTHFLIPWLQKAIVYDVRTKPRNISTTTGSKDLQMVSLTLRVLHRPEVPK LPAIYQSYGTDYDERVLPSIGNEVLKAIVAQFDAAELITQREAVSNRIRTDLMKRAAQ FNIALEDVSITHMTFGKEFTRAVEQKQIAQQDAERARFIVERAEQERQANVIRAEGEA ESADIISKAVAKAGSGLIEIRRIDASKEIAHTLSTNPNVTYLPGNDGKEGGKNTSLLL GLRS AOR_1_934194 MGPPRSTMPKKSSPGQHTRDNKKEKKKPAQAPRRQTNPQTDSEE TNQIDLSATIPVTLQQLLLNVFRSALLNDVYGWDANAGKGDATDATSTESRQLDIKTL IQTIKSHLYNRDFDSAFTDAKEDLLRAYALRWSASRALGYAGVFRSLLKVLVEQDGRL VSSTGSNHVVCIGGGAGAEIVALAAAWRDLVDEVERREALSAGVAGVSLDGGDCAADD QGKSISHPALSVTAVDIADWSSVVDRLSYTIRSPAVMGSKSHPAPLLNLGKRGDGEDE GEDAAGFAVRFRRSDVLSISEDELKDLLHLETSDKGNTTVMVTLMFTLNELFSTSMAK ATAFLLRTTDLVRPGTLLLVVDSPGSYSTLKLGKGKAQEGAASAAAVQERQYPMKFLL DHTLLSVAEGKWERVYSQDSRWWRRDAARLGYDVGEGAGLEDMRYQVHIYRRLAN AOR_1_936194 MASISLPLQPQTTSAFSQYLDSADQFPGRHSRRNLTMSTPLPNP PFIFPARDPDATTQTPTAQPGGPPPLPAFSFNPGSAHSSQPSMPNLPVNRAGGHRRRC SEFVGAEQIVSSGTVEASRGDEVNFNTTPTKLPVPGPGFSAGGPGKRRHAHRRSAAIS SVDLTAISNTLDLKPAVGSAPLFSTGAKRDLAASDEALRPTCYSATTLGRFTPPASPQ IMINDESLPAVQLETASDRLTDERPISEISKDSGSTVRPEDKARNSEKPGPPTSELPS SVDRAQKPRPRTADASLMLDPNTISGTDDASRMKRPLSATGHSRFRKSISSGIIDAAL RRHHGGDSRWTESSRHSSSDDGDSYASTEDARGSFESTSASKKKSKTKKRQKKVRSWA GAILTRGKGKRHSSKEETKDETNQSEVPPPAIKRTNSDLGSAVDVNFDDDEDIVIIRT PTNPAAPRSHEPTSEVEPHISLENSWKPRSFYEQTTQNDALSPIIDLDAALGPFNTPD GRSRCTPESGFSAATKRMYSGGRRGEFVGPEMRYHRRAESAPEMPPFDRSFLNQARLA NNASLENPDVFYEEEEDAFLAATSDSPKHSDEIIAAQAVSSGAESVDLQSEGSKVSSD TLTREHTSEVNTQQSGLGIQKDGLPEPSEPNAISAYQDNSSLVGQQYAIGQLHNAKNP FSSRPKSPGPAEIIKQEIWQRRLGVPPSPDVSPRFLPADNRPSTSPIELTPNIPPFSL QGGSSLSNSSFPSPDFTGSSSDAPRSITTSSTTDRNFSSPSYNPSMDFPHASVEDVPS LTSSASTTTNPLNRFSATFFPRPRLSTDRSASFSAAVHRRSSQANSSKRSSLASLSKL VVGSHAERSKLSYEEKPPSDEPEKAKRKSHRISRLMHFWKTKDKDKLSESAVPEERLS AOR_1_938194 MDSSDLIQPTTKSVSSSSPTHRSSSPRSSNSQPRRMSPSNARDQ PNVAPDQGQPPPFNEITPVVSNGSDGRNYQSTEGLRNRDAGFGDSQPKSSGQRDTREA AQQNLQSDSAEPHVSWFSRVADRYGSLELENKGSVARDHLALERTFLAWLRTSLAFAS IGIAITQLFRLNSSSSSTAGADYSSQALPPLLSPPFYDPTTIKVTATSERLRSIGKPL GTTFIGVAILILLIGFHRYFESQYWIIRGKFPASRGSIAIIAFVAAALIIAALVVILA ISPGSVEA AOR_1_944194 MASEVSASQGVPKPKIDLSKKLSELRSNRKSQPALPSRPSREPA PVTPPLSNPPDLSSHQYARPVCRILSNHDHQLFLSSSSYSLILAFIFGLSDSVRGRAT TDSKDRPVSPNVSKILAVVESIRTLLDQHPSIDQGGSRFGNPAFRDLFDDVAAQSAKW HREILGIQDSTAIEEASAYLIHSLGSRDRLDYGSGHELNFMMWLLCLRQMQLYSTADF EMIVFRVYVTYMHLMRDVQSAYYLEPAGSHGVWGLDDYHFLPFLFGAAQLVEHPYITP LAIHNNVILDEEGDRYIYLDQVRWVDSVKTVKGLRWHSPMLDDISGAKNWSKIESGMK KMFVKEVLGKLPIMQHFLFGSLIPAAPGMGEADDVQTDGHDHTHSHDHAHAQHTDHFG DCCGIKVPSTVAAGAEARKRGTGLRPIPFD AOR_1_942194 MPADEYSVGGGGKLKLKGSKVSDGRIEKKKKKAKKNPETGSKKE SEDAPSASTSQAVDEKENPLSPPPAQQDESDFGVSAGATGKTEAERKYEEMRKKRLQE RLKREGVKTHKERVEELNKYLSRLSEHHDMPKIGPG AOR_1_940194 MAPRKPRCNFKECKEAAQRIVGDCSFCNGHYCSKHRMLEAHSCT GLEDCKKESHARNADKLNSERTHVIKGV AOR_1_1916194 MPREIITIQAGQCGNNVGSQFWQQLCLEHGISQDGNLEEFATEG GDRKDVFFYQSDDTRYIPRAILLDLEPRVLNTIQTGPYRNIYNPENFFVGQQGVGAGN NWGAGYSAGESVQEDIFDMIDREADGSDSLEGFMFLHSIAGGTGSGMGSFILERMNDR FPKKLIQTYSVFPDTQAADVVVNPYNSILAMRRLTQNADSVVVLDNGALSRIVADRLH VLQPSLQQTNQLVSTVMSASTTTLRYPGYMHNDLVGIVASLIPTPRSHFLITSYTPFT GDNIEQAKTVRKTTVLDVMRRLLQPKNRMVSINPSKSSCYISILNIIQGEADPTDVHK SLLRIRERRLASFIPWGPASIQVALTKKSPYIQNTHRVSGLMLANHTSVATLFKRIVQ QYDRLRKRNAFIEQYRKEAPFSDGFGEFDEARAVVMDLIGEYEAAEKETYLDPDSGKE KEMGV AOR_1_948194 MAEPSKSRLKILIINPNTSTHMTDALKPIVDNLGFADVQFDYLT APSNESVTLEDGRVIEGVPSINSGEDSATSALHCRPFVEPFIPKYDGFLVACYSAHPL VGMLKEAISKLENSALSVNSKPRRKYVTGIFEASVLMSLSLVGSFHMTGGSGLHKTQS KDMFGIISTGSIWRDELSRAVEAFLVNSDEKTSSTNRFAGVETTGLTAVELHTTPAEE VRRRISEATRRLIQAAPHPLTAICMGCAGMAGMEEAVRDGCVEAYGRQEGNRVRIVDG VVAGAGMLVTACKAGF AOR_1_1918194 MVAFGSMRLPLLLLLLNVTFGSAHHWFNWQYEVGCESDTFIAPA DEKGVADFLKKEFPKQSHIKVVGNGHGFGNLTTCTNKGSTNKTSHIVSLTNLKKLEIN KDMTVTVGAGWDVYDLVNELKEHNLSFNYLGAMRVQNIVGAISTGTHGTGQNITNMAT QVVSLRVADARGEIRTIDAHKNAEEMKAFRVNLGALGLITEVTLKVQPTHFLKKTTKV LNATTDYTKLYTELADLYKKHDRMTVWGPHFNWDAKAEDWVIEPTFYASWWEPTNYTG VRNCTLNYCANGCGDCIKDYICYDETSDAVSCPPQGICSSQFYAEIEHFFPMEHFVDA AVNYTKYQQAQTPKMKGFENEKMIFQFRALGGDDSYMSPANTYNLGSENSGVFAVLEI DWMQKVNHWDTLYNNQKFAYDFQDEFGSVYNARSHWNKMSPNDPKHTLAVFPKLPEFL KIQERQDPNCQFANDFLVSQLGIERCRSALNL AOR_1_952194 MKSAIFSPILFSLALAQNYSLEKHFDVQSSLISDPKEVSEKTFD YVIAGGGLTGLTVATKLTENPDIEVLVIEKGFYESNCGSIVEDLNEYGDIFGTDVDQA YQTVPLAVNNRTELIRSGNGLGGSTLINGGSWTRPDKVQIDSWERVFGNEGWNWDSLF EYMKKAEHSRPPNEAQIAAGHSYDPACHGTNGTVQAGPRDNGKPWSPIMKALINTASE RGVPTQQDFHCGHPRGVSMIPNAVHEDQTRSDTAREWLLPNHERPNLKVLTGQRVGKV LLNKTESGAKATGLNFGTHRKVNYNVYAKHEVLLAAGSAISPLILEWSGIGLKDVLSA AGVEQVVDLPVGLNMQDQTTTNVRSQAQASGAGQGQAVYFASFNETFGDYAHKAMELL NTKLDQWAEETVRNGGFHNVTALKIQYENYRDWLLNEDVAFAELFLDTEGKINFDLWD LIPFTRGSVHILNGDPYLHRYANDPKFFLNEFDILGQAAATKLARELSNTGEMKKYFA GEIIPGDNLAYDASLEQWADYVKENFRANWHAVSSCSMMSREMGGVVDSAARVYDVEN LRIVDGSIPPTQVSSHVMTIFYGMALKVADAILADYSKN AOR_1_954194 MPLVAQNPLPRALLGLMTFGPDESKGARITSLEEFNKCLDYFQQ QGFNEIDTARIYVGGAQEAFTAQAKWKERGLTLATKWYPHNPGEHKPSVLREKLELSL KELGTNQVDIFYLHAPDRSVPFAETLEEVNKLHKEGKFVQLGLSNYTAFEVAEIVTLC AERGWVRPTIYQAMYNAITRSIETELVPACKRYGIDIVVYNPLAGGILSGKYKTKDIP DEGRYSDKSISGALYRKRYFRDATFDALRVIEPVVEKHGLTLPETAIRWIHHHSALNM TDNGRDGIIIGVSSFAQLESNLKDVQKGPLPEEVVEALDKAWLISKPTAPNYWHLDLK YTYNTQQALFGPKSTA AOR_1_956194 MPVVIARSLQKDAIASVESDSSKSSDVEKNPIILTSKPTTASDD STLGDTSDDRRFWFQRSKSHDSNAIATQPSVFDDPELISEYRPRPEWENAHRFDPSAR WTWGEENKAVRRLDMRIMVLACMMMTALELDRSNIQQANADNFLSDLGLSRNDYNLGN TIFKLFYLLSEIPAQLIGKYIGVDRWIPIQMTSWSLVALCQFWLRDRTSFLVCRALIG FWYSAQAVADVLAGLLAYGILHLRGYAGQAGWRWLFLIEGSFTLLLAILSFLFLPPSV TQTASWARGKKGWFTEREEIILVNRIIREDPSKGSMGNNEPLTAKLVWQSFKDYDLWP LYMIGLIFLVPYTTISQYFTLLMTDFGFGEFNVILLAIPCSVIGILTRIILTYAAEIL GSLAWMGAVAQVWTLPMLIYMNVVDFSQTKRWVAWTVLTLILSFPSPHALQAGWNSRN SNSVRSRALSAAMYNMCTQLSGIIASNVYQDWDAPRYVQGNRVLLALVCTNIAVYALT KIYYILRNRHRDRKWTGMTEEQRIDYIATTKDTGNKRLDFRFAH AOR_1_958194 MVASDSSHLLGQEEVRDTEPVLDAPDSDEEMTTNRPPMHRSVTD GRAQQPLLKDEHRRLSNASFGNGAASEGRPMLHHHTRRPTVRSSGTEHNAEQDTRRKY IIASGFLLLSLASFVIQTETAVYIAGELGWDKPYCMLYLTHGSWSLLWPVQLLILRLQ KRKLSWDAFWRRHVFLLRTTAQMVESQDMHVTSRRSPIPYMLKTTAFVTMSLTVAGGS WYLAVNMTTASDLTAIYNCSAFFAYAFSIPLLKDKLRFDKVFAVIVAIVGVLVVAYGD RDEGKHADGTPGKGEGQVNNRLLGNLIIGIGSILYGLYEVLYKKYACPPEGTSPGRSM IFANTFGSLIGCFTLFVLWIPLPVLHILGWETFRWPTGETAWMLLISVAANARSFLVL ISLTSPVLSSVASLLTIFLVAIVDWLRTGQPLSTSSIIGGVLIIAAFLLLSWSTYREM NEERKKCLENEQVESDSDD AOR_1_960194 MAEMPIVLDGGTGFLKVGYAAQNFPEHQFPSIVGRPILRTEEQA GDIVVKDIMCGDEAAAARSMLQISYPMENGIVKNWDDMQHLWNFTFYEKLGIDPTGRK ILLTEPPMNPLKNREKMAEVMLEGYNFGGVYVAIQAVLALYAQGLSSGVVVDSGDGVT HIIPVYESTVLNHHIRRLDVAGRDVTRNMIALLLRRGYALNRTADFETVRQIKEKLCY VSYDLELDKKLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDVDQPGIAE MLFNTIQGADVDVRSSLYKAIVLSGGSSMYPGLPSRLEKELKQLWLTRVLHGDPERLN KFKVRIEDPPRRRHMVFLGGAVLANLIADKEDMWVTKQEWQEQGARALDKLGPR AOR_1_962194 MTRIGWYGLGSMGLAMATNLQKHLATKNAMNLIYSNRTMARGDP LKTLGATPETSFAKLVGQCGIIFTMVSNDSVLQQLITSAIGSGHSLRDKIFVDCSTVH PETVGLTVSKLKEQQASFLAAPVFGGNPIAVDGKLVFAIAGPKKASEVVKPLIQDVMG RKVIDCGEDATKSSLLKIAGNIVTVNMMEAVGEAQVFAERTGLGTGPMEELIGEAFGA VAGGYSKRLTTGAYAPPLESRPGFGVSLAIKDANHAFAMAKEHNVELPGLKVAHENMV AAREYAGECLDSSSMYGVLRQKAGMAFWNEKSRKE AOR_1_964194 MANRLPPLNDVAPSSALDSSSGTRETPVATDTVNLDTEYVKLED SPTPSALTPADADAETPAPDTGGPAGRKRKLNSSSARGVANLTPEQLAKKRANDRQAQ RAIRERTKAHIDSLEHRVRELSSQKPFLDLQAALKQNEAIRAENRDIKQGLKAIMDVI QPLVGKHEASSANPPASAAAPSGTHSTPPLSTAPPFAKTSYFSDTRPTNVDHSYSEAS ARIETPSSTHSAPLLGTIRRESATNGTSASFRIAFDYQRHNLTHGLDFGSDERMAFNF LLDASQQVPKVEGFRRSPGNFRAPPMNAPPAYPSPIHGSMAEQSLPAYMTPIRNIAPT CTLDAILLDFLHHRQREAASGVPQQKLVGPPYPSVSSLLNPERGAYSHPLSKVFTDIL RTFPDISSLPEQVAVLYVMFLLMRWQIYPTPENYDRVPEWLTPRPSQLLTPHPAWIDY LPWPRMRDRVVMAHRDYPFDNWFIPFTRTLSVNWPYEETDCLLSTGDNDDLIINPVFE RHLRNLNNWSLGSAFAEAYPSLAETARIKNQP AOR_1_966194 MSNLPSHASPTFTAQASSSHRAVDDMSLDSTSAAPPSLNSRIDE LRGDAASQTDATEHTRGDITPAVPASLLSPSFTPPATPGGTINQAQLLQQTQQPTHTK PPKLLSCLPNVECIVRARIPTTNGAEMFLHLYHNDLDNKEHLAIVFGNSIRSRSLDAI RPGETEMDRMIRGAYIGTLRPGRVSSWYDSSANTESASSGQGRSTPDAPVSSQTSELA QSSTNQAPLVRIHSECYTGETAWSARCDCGEQLDEAARLMSLPMETLNEVASQQDLSV PSNASGGVIIYLRQEGRGIGLGEKLKAYNLQDLGSDTVEANLLLRHPADARSYGLATA MLVDLGLGVDSNPHGIRLLTNNPDKIRAVEGPNREVVVKERVLMVPLAWRTGGKMGIT STEVEGYLRTKISKMGHMLQ AOR_1_968194 MGSTNNGVHWPAKRLPLNRDGNSASDSRQVGEPLSSPRPLEPGE HPTEETLSSPLSSFSRPHDLRQGAARGTRSARRKEIVSAFTWEALTIGKARTGELATS SGNSKGPRQLPIAVAPPNTPPSKMSRNRPRGPPLPRDNGLAANEETDMWNKILQDLRK AKEKNDKQKSLAEQISALNEKIGKDGGKPSLHEHNQLDSLYRQMLKLCEDERAILQDE PSDVIKNLGLLTALRQASEAEAPLNRAAALGKSRKKRNDVDGSATDSPGPSGASLPDK AGRMKGGIQRGSSVSSNQARDSRDSRDVHVKVEEGTEGTKGTLAERNGHLVVGAEVVF KHNKNKQGAEGEGIQCIIKGISGDGHKKRYDVQDPEPNENGEEGAVYKTTAAFLIPIP QVGSTLPSFSVGKQVLARYPDTTTFYRAEVMGSRKDTYRLKFEGEEDDKEMEVDRRFV LDIPGK AOR_1_970194 MQVPLLRLQCGVNSYDWGKIGQESAAARYAATTAAPDFSIESEK PYAELWMGTHPSLPSKDVETQRTLLDMVQDNQALLSKEVSEKYGGKLPFLFKVLSVNK ALSIQAHPNKKLAEKLHARDPRNYPDDNHKPEMTIAITPFEGLCGFRPLAEISHFLNA VAPLRQLIGTDAVDQFLGAVKGSEDSEDPTVMQKNKDALRIVFTALMNSSSENIEAAT KELTAAAQNSPETFGTSASTPETNPSNPAELAAVITRLNGQFPNDIGLFVFFFLNFVK LAPGEAMFLKADDIHAYVSGDIIECMASSDNVVRAGFTPKFKDVDTLTDMLTYSYAPI EEQKLEPKEYPYAILNASAYSSASSSMLYDPPIEEFSVVKTDLKRTGAKATFDALGGP SILICTGGTGKITVGHKTEEVKEGYVFFVGADAECIIENTGSGADEGNVFTTFKAFCD LTGKEDMVNGH AOR_1_972194 MTSTSISLWERVDLMHGQLTVLGTALYNAITGIFRGQSGASGYG LHIGNAALRKLCNRLSAEQFQYMNGPTRSVYETALQKKGLQPETVPLKHGAQGHWIGN KNAKNVVIYYHGGGFAVPGAAGHMTFYGSVIDTLNAEGHDIALFLITYSLTPHAVYPT QLRQAVEALRYILTETNRDPANVIVGGDSAGGNLAVAVLLHLSHPHPEIEPLSDIAPL AGLFAFAPWVSFVHEGASMQENQYKDMIGPEILNRWSHMYLAGKESDAWSEPNRAPTE WWRDAKVKEVLILAGRDEILFDSINAFVKKFQSVVPNTKYLVGHGETHVAPVYGAGFI GKETQQGNGLKEWLQSRL AOR_1_974194 MDPFSAEGELINIHNAFHQGQYQEVIDFDTSALSSENHLPARVL KLRAKIALGQTDQVLSDVDGEEDTPDLAAVKALAQQTAGDSEAALKLTQDLAENYPDN ATVQALGGTVLQAQGSSEEALALLAKHQGNLEAVALIVQIHLQQNRSDLALKEVQTAK RWAQDSLLVNLAESWVGLRVGGEKYQSAFYVYEELAAAPSTSAPLSIVGQAVAEIHLG RLPEAEAALTAALEKYPEDAELIANSIVLNVLAGKPTEELESRLQNVQSSHALLADIQ EKSAFFDTAAAKYAPRVSS AOR_1_976194 MAYAATSSASTVNGDARSSLKLTASSSNPPKLHHVITSIPPAPN PSSAPSYTSSLTTTPNSLRPAYFPSNASSPSGTPLQIATDGLRGRIPPFPAIPSTTFE LGESVMAVPGNAPASGSGDAVISNSKGPGLMRRISRGAANKLTRRRQSASHNEKRDRS SGPVTMRRRSDSKTGSQNGRDNALESSNEEDSNDALDSLGVWCGSESSSLPNESFMAA SRHTGTIAPKIDSAIQRGTVLTKVTKKRRKQVRFFLDLDAGKVYWDVSNPAKRFYIDD IKEIRVGVDARNYREEHQIPQDTENRWFTIVIADDERSKGRTVKTLHLIAPNTPILEL WTTTLEHISRYRIGLMAGLAGSGQSEAVLKAHWQREMSRLFPHGTRSAEQGSLDFGAV ESICRSLHINCSKNMLRAQFSKADSDVNGKLNFSQFQNFVARLKERKDVKEIFKDSAT DAKAGLSVDEFLKFLRNIQNENVDSDRSYWVSVFDKCVRKSKSRVPSIPEFEEEQVPR MDLDAFSSFLASPWNGLYASRAPQSRFDRPLNEYFISSSHNTYLLGRQVAGASSTEAY ISALQKGCRCVEIDCWDGADGRPIVSHGRTMTTSVLFADCITVINRYAFITTDFPLIL SLEVHCNPEQQLAMVKIMKDTFKDQLVLEPLLTNSFVLPSPEELKGRILVKVKTCDEL QEGVRQEPVGTFAVHGRKRSASSPFIRPTASESSAITSLPPLSSPPTLGLETVGSFLT QDRRSFTTTSISSAAEESDGALATIRKEKKRRQKSKITKPLSDLGVYTRGYKWHSFSS AESQRYNHVYSFAERSFEGICRDAENKALFEKHNRKYLTRVYPSGFRLRSSNFDPLKF WRRGVQMAALNWQTYDIGMQMNQAMFAAGSDRTGYVLKPESLRALCPADEEKTKATEW KLVRFSVDVISAQQLPRPRSIGPDDNINPYVEIEMFSADDRGQSFVYGEGGMNASARN GMSGIGFPHRRRTKIEQSNGYSPIFNDRFRLSLETKYPDLVFVRWTIWSSQDGRSAGS NSSVQLATFTAKLSSLSQGYRYLPLYDGGGDQYLFSTLFCKITKEDPVSVQRLDAEEL RAERMGILRQIGQTVFKRTSSTERERGNDMATSAEDKDSSPDLTPTVSAASTSSLPP AOR_1_978194 MNTAKPVDAAFTKSLPKIEVHAHLSGSISRQCLHELWLQKRAAD PSFDLPDPWVVMPPGKVDYTLDTFFNTFGTFTYHLLTDLPSITYATTSVLTNFHADGI KYLELRTIPRPSPHFTQEAYISTILSTITTFAEKHPDLTTRLILSLDRGEHTPADADA VVNLAIAHKPLVVGVDIAGNPSKGDMAIFGPALANAKAAGLGVTVHFAEVRTPPKEGE LETILGFGPDRLGHVIHVPEDLRGEIIRRKVGLELCMSCNVHAKLFDGGFLEHHFREW WRVEECPVVLCTDDVGFFCSPVSNEYLLAAEHFNLTRADIVDITRRAVRVIFGGEEEK KRLYRLLDEFEAGYRE AOR_1_980194 MSTSGALPQTLRSITDIKIAELSKQRSLFEKQREGILKAAADAP DLRSKARVLLEGITKLNGYPNDAFDREDLDTHSEDSGAETTVKDATARAAHVNIRRFL LQSQFDPSVSDRSLGDWVAQLEEELNFLHTRHEHASFYSNLVTEWLAGLETEEEAAKA KEQDSESDATRRAEMQEQRATWEQYVFNAANVDEKTINEYLDGLFTKTNQSQQALKTL RQKMKTFGEEYTSTRTHFDVDTLQWVSKALLRSDSLTKEKSDILKDFMLNKAVAQEVA DVLNMRLATLDNWHWPPEGLPQEMRRQLNGKYRVFQDEDLLDSLLLQYLGLHWAVTFR AAFVNFQKTWAWKSLRQPISKSERARRQHFLGRDHARCIRSVNEERRDVYRTEYFMTQ LPRSLTAGGLGYDDDNNDPDDIEEENRKNALETKHSLLHLLIAESIVQTRIHGQFTAI RSDFKYFGPSIPHTTMLTVLAYFGVSQNWLDFFKRFLECPLKFTQDGPEAAVQTRRRG IPISHTLSDCFGEAVLFCMDYAVNQSTDGAYLYRMHDDFWFWGQKQTCVKAWNAMTEF AQVMGLEFNEEKTGTVHLENKDKSGQQPYQDLSSSTKIEETEGSDTLPTGDIRWGFLK LDAQEGRFIIDQEQVDNHISELQLQLSACKSVFSFVQAWNSYFGRFFVNNFARPVMCF GRSHIDMAVTTLNRIERTIFPDSHSGVTDHLRKMIAERFDIHDLPEGFFYFPVEFGGL ELTNPYIPLLAIRENIESTPSGRLQKAYNKDEAEYHAAQERFEKDGPEEPASEEAFGD DEDPTAFMSIEEYTRYAETYSYQLLGAYNDLVGARREVMIDQSPKFRTNQMTLEEDPS SRLVLSNNWDSMPPYWKWTGELYQGEMVKKYGSLAAVSKELMPLGVVQTLKQGKFRWQ G AOR_1_1920194 MVSSTAFIVVQVALAALAAHVIYQCYFHPLARYPGPFLARFTNL WRLFTFFGGQHHLSEQHLHDKYGHVVRVAPNWLSFSDLHDFDAIYGFNKSVEKDDFYL FGRPRDNRVPSVFALKTDADHRQRKRKVVGPALTTAKITRYESVVTKHVDLFFTRADA ASASRQDGEMAAVNLAPLAHRFTMDVMLELIYGPDVVSHPYTDSATGADMCSAMRKLV KMAWSFSLCPSYGWIMNSRLISGVLRTLTTSKQGGPTGMMALMTSSHTMIFRRPEQVS LPGQPGIVKSWLDIPLDDSSRMTQDEVFSEAVNLVFAGPGSVAAALTAMVYQLGTQEG QLWQEKLRKEADVEAPPFSLELQAVVKETLRHCASFPTAFPRVIRRGAETIVSTLPAP LPIGTTVSANSYILGRSRKIWGHDADQWLPQRWLGDESQRREMEAKLVAFSKGSRGCV GKELAWLVLAKAVMAIIRRWKFVSVGELRGKSYLEMQYDDCWIEYEELA AOR_1_982194 MGMEFVFINVKEPKDALQLAKEPEIRSHVARYQWKKIENRPSLK RKRNAVLSFCMDISCSATWQSRSDSEDDSPEIPDTSSTISIPLQLGGLRDDPFRSYPA SFKPFMPVLVDHYLVHMAVDIPELDQPGNKGLLRTSWFPLVMTNRALFLVIMLLAASH YASVSEHAAGMKIDLLNLRCKAVQAINDALKYQPPDRVNDALIGAIAKMGSYEAMYGD MASYSVHMRGLTRAVGMRGGLSMLGLNGLLRRIVVWIDRNAAFLHGSALYYPGATFAP GQAPEPNPGHFLASS AOR_1_984194 MALNGKVALITGGVKNLGAAAARELASSGANLALHYHSDSSKGD ATTLEAELKKSYPNIKVAFYQGNLTSAGAVTKLFQDALKDFGKIDIVVNTVGKVLKKP ITEITEEEYDTMFAINSKTAFFVLKEAAAHVTDGGKIITIVTALLGAFTGYYTSYAGS KAPVEHFTRGVCKELQSRRVSVNNIAPGPMDTPFFYPQESPEAVEFHKSNGMGNRLTM VEDIAPIVRFLCTDGAWITGQTIFANGGYTTR AOR_1_986194 MARIKEWVEKLEVPSEPGLTNTQLMLTNHDLRPVEPERRQWKWF NFVAFWIADSLNINTWMISSSMIVDGLSWWQAWICVWVGYFIAACFVCLTGRIGAVYH ISFPVVCRSTFGVWGSLWPVFNRAAMAVIWYGVQGYIGGQCVTLMISSIWPSYNRLPN TIPASSGVTTRDFVSFFLFWLLSLPALWFPVHKIRHLFTVKAIYSPIAAIAFFAWAIA RAKGLGPIVHQPHTVHGSALAWAVVKSIMSCLGNFATLIVNDPDFSRFARKPKDALWA QLLTIPIGFGVTSFIGIIASSSSAVIFGGEMVWNPLDLLGKFQVGASSAERFGIFVIS TGFALAQLGTNISANSVSAGTDLTALLPRYLTIRRGSYICAAVGLAMNPWNLVASSNS FTTYLSAYSIFLSSIAGPMLCDYYIVRKGYLRVKELYTAQEGSAYRFVYGFSWQAYAS YIAGILVNIVGFAGAVGRKVPVGAQYIYNVNYFSGIVVSALMYYILTRFFPVPATSST WSEADQDVDSLSIAYGQEVDAYDVPEPVKADSLNYGTLQERKGPKAGSSAAV AOR_1_990194 MPSFAERPRSSQEALLRLEKGSGSPISQLNEAAQIIGLDLALCA SHPEINKTTYVQARAAPKEEWVLRWLLKKLRAGKNYRVEPASFLLLRQLIDLIQPKTL ATTLKDQKFLAILDHAITDLEDDVFAGLENGMTELGHSDSESSKTLSDSSPQSDKKGT KRKRTGDSEQDGMDIDEQPQTPTSCFLSFIRALDCLYSLVMLASRTLEIDEVASSHLK HALKGEPETVAVTLGKSFRLAAVASTQFSNARKTTDLQHLLYVFPAILDLWDLRSNRR VDTDSGSSNESFAKYCFQSALRLQHSVRSIQLDTDERAQVLHGVERLIALHVVLPARA AFFDRGGSGIDYSASEPDWSAVKPVSDTFRPILCELELPSQNTSGDIVKKKTLWKTAE LLPEFFDIACRSVPRDTFRRQTHDAPWLETLFVAIAELAFSIVKAENTTTYLAEFVGV LERLFRVALDRNVQLSLHTLLTHASYTGLHRDGLSQVEWSLTALLIELGADIFLPNSG LSNSTQLLDALLKKINLYWRSGASQTGGSYETIKNGVLIPLMRAFMSARDLAAFMQLW YEQLIEVEEARSQDSSLGLFTVWEDDDVCNVYGDLMRSPLTHTYASAQMHAAATEIRA EDGKFSKSAGAYAQFVILEAGLRNRDLNATDSYDDLVSIIETLKSTLSSKQQLHWRWR LWRLARSLLENNVHYTDSTLAATIMGLVEAAAKSIKRHQKDRMQKLCAPLECFEAYRF TVTAISVSAKSSYTDEFNTLTKDIAEFIESSSGTSTQPNNSPWNGRVDTLDSMYLVLA YFLTLVRCPDVWRQVNVESRRSLFQNMLSLATSQYHPSSTLETPPSEARFLQAWASVV CHEYLLNAPAIAIDLIAVLSERVKEDASNRRLYVESLQRIPTALITRRQRGLLLDLLQ DVVVKEGSTAEITVGLLSLMAKLADMPKSTAALTSDWEPIWTVAKAVSLQGTEVDLQI MKAFRNLHRAVVSKLLVLSEEDCRRLFKKMYRKVSSKASKLRSIDRNSMDCFFLRISL SQLWLHRKRLSGVDETELAACRQKFFDLVVMEVKSVKDQCKKQKLEETITLIKILDAL EDFEDLATDHTEVEKFLTKIENYVEKSVDSGSSLRRLIRRRVLAGKGTEKSITLPVIS CAETLPLQHMYGEEQQLFIRSTTARFQSMTVDQLTQAIQDIRELGFDGENAAYHLLVS GLAVASLTPVEDKESGTAKELSLLCTAITDSVRRSRSIEHFTLATECLDILLRNHTRC ITQWNIDSLLACVAVCASKAGPLISPEYSASIYIRLCRLMGVLFGIHRQKLGGRFHLI LPAMQRLLNCLFARSKKRTRSMLAEKRSAQQPFWLAPLQAAHAVHFTRLLTSLCDPTV SAVSRPTQTGLSHEGLTDQTKKAKRIAGQYLQYLIMEYAESSLRGSLAPEVKAAILPG LYSVLDVMSRDTMRALNAGLDVSGRAIFKGLYDDYVKFGKWNKG AOR_1_988194 MADSNESQPIVRATKPVSEALLNEKWDRAISSMIIRSSLGLGFG VVFSVLLFKRRAWPAWVGLGFGAGRAWEEADSSFRRGDSPVRDALRR AOR_1_1922194 MPLDEEGAKWSCEPCIRGHRSSKCQHFDRLMMKVPKAGRPLAKC PHPKGTCSCQKTYAFMIRSTCLCRPVYQVPVDSTESAPPTPTTLPPTASPAPGKIQKS TRRQIKTAPESIAKALDSIPDFGKQQHENGTSNHISPFMPQNPGLGSMGNSLHQGIAA TGTFIPHQALNNGDDSKPPGGSCCSQKSQPPVQTKPQATCCKKPESPIQNGQSAELTT DGSSAPYTPSLNATSFTPVSTPQIPSWQDFNAAGQNHYYQPFVSHQQSTGQPAYVSDY TLHTSPKAVSMGFPHQDITSSSLTQSFAPQPFPQDTNNSGYMASATLNSDPTHNCSCG DNCQCLGCASHPFNNTTRQHVQEMGLLVAFDGDDRTVDNLNGYQTPSLHGRQHSATQL DYPYTNFSHAFDNSAQHLMMHSYGEQNQTSRILGNGYSSPPAEYLSEQQFMEPSEYYT LEYPVGLPSGCSDVTGSCQCGNDCSCVGCLTHSGHNGLSLGPVTTEASSLSPIAPVTS SDNPGIGVSGIQALDAITVPSTSPML AOR_1_992194 MTPEGRDTAGQALGNVGISRARDYFAVPAPIKRIFDQFPLVTYS PNDLPQRGASNRTGNRLFVFTDAAGAKSHKPSFNPQCLKWQAYLRFVGIEFDIVPSNN HASPTGALPFLLPGLPVNNNAPIPSSKLQKWAIEQVHCEEEQQLNLRFEVYTSLLDHR LRNAWLYTLYLDNENFNAVARRLYVDPSTSNSLVRATLAVQLQQAARDELLKTTQYID VSALEAEADDAFEALSTLLGNNEHFFERSSPGLFDASVFAYTHLILDKGMGWKRNRLA ELLQKHENLVQHRERLLKYF AOR_1_994194 MARTIQETLASGSLSDTASSQKPVQYVETVEAYNRWAEVYDTDG NFLQALDTIEMQQLLPQLLNKVAANTQPGPAKLVDLGCGTGRNTRQLLKFAPQDAHIV GLDASPGMLDVARTAIDKEKELDPSLANRVSLEIYDLLRSPPAPPECSLGAAGVISTL VLEHIPVDRFFEGAAAVMRPGGYFLLTNMHSEMGSISQAGFVDTATGTKIRPTSYSHT IEDVLGAAEKAGFEVEELGGERVRERKVNEMMVQDLGKRANKWIGVTVWFGICFRKRL AOR_1_996194 METLLAHSFDYLSSYEPSKVRKGLRQVEGLLAQICLSKSKQPAS DKRRSLLSFGAPQPVPKALSELKDDPAFREFFKLQEGFQWNGALLLHPPSRTLFAREI YMNILLDLLDPINCPAIQSATLLTLVTALLDHPANTRTFEELDGLLTVTSLFKQRATS REVKLKLVEFLYFYLMPETPMIPAGAGASAANAAAIGLQRSPTKLGGPRPRSANGPGA HHGGRGNRDTRTTDEKQALLGRYLNNVEDLVEDLKETAPFGATVY AOR_1_998194 MSSETSSIQPPTAIPPRTSSAGATDGASTNPSVTGQSNLAAIPE TNGDQLQTNTNIRRKHTRNRSSLDGTKYKDGQWSPENEVIVLGPYDYMLQHPGKDIRR QLINAFNEWLKVPAESLAIITKVVTMLHTASLLIDDVEDCSILRRGAPVAHNIYGTAQ TINSANYVYFLALQEVQKLNNPAAIDIYVQELLNLHRGQGMDLFWRDTLTCPSEEEYL EMVGNKTGGLFRLAIKLMQAESATGKDCVSLVNVLGLIFQICDDYLNLSNTTYTKNKG LCEDLTEGKFSFPIIHSIRSDPSNHQLINILKQKTKDEEVKLYAVKYMESTGSFTHTQ NVVRDLRDRALTLIAEIEANDNSKEPEGHGNRVTMRAILDKITESTLKDARKTDD AOR_1_1000194 MHEMSHMGPRAFNHEQSSDAEAEYDRLRGLAREEAEKRGSCFQR SQEAYSAGDGAKAKELSEQGKAHGRKMAEYNKQASEFIFRENNAEGRVEPDTIDLHGQ FVEEAEEILEERIKYAREHGQTHLHVIVGKGNHSANHVQKIKPRVEQVCRELGLQYAT EENAGRIYVNLTGGPADMSEVPAHSGYGQSHGQYPGQQQHQQPQQHQPQQQQHQQQQQ QDPVEEMVNAVLPRVLRKLEKACCIVM AOR_1_1002194 MGCGMSTEDKEGKARNEEIENQLKRDKMMQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIYSNTVQSMRVILEAMESLELPLEDARHEY HVQTIFMQPAQIEGDNLPPEVGNAIGALWRDSGVQECFKRSREYQLNDSAKYYFDAIE RIAQPDYLPTDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFVKTSIILFLNKIDRFKE KLPVSPMKNYFPDYEGGADYAAACDYILNRFVSLNQAEQKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI AOR_1_1004194 MTITTYPGALTSDPNLTNLSLSRLLARLEHNLLSSSADLKPLRR SEYQRMRVGANIEYARATLQELERSLPQIKPVDRRHEIQSDITRDRQTLKRLQSVLNQ LNAEAEIKLSAVGGQGLPGAEGIYEDEDEESVDGEDLLGTPEEGSTPDEGQEKGTSGD EREGAEATAQEESKAIPGQSPESLSHEGVAPTATADATATATTVSPTPTSTLRNRNNG PTDLPATATGSSLHEHNTSAQPPSSSTAKIQATEETLDTHRREQEDITNSLLSLATQL KSSSQAFQSSLESEKSVLTRAVEGLDRTTGNMEAAERRMGMLRRMTEGKGWWGRMMLY AWIFGLWIVAVLIVLIGPKLRF AOR_1_1006194 MGHEKDNDAVKDIRVLSFWISFTVGCILCILLLVQRIRRRHLGR YAPPERQMDPPPFSFGEKEKRGGDEELAGLVGHGMPYYPGDPSTYGVAGLPSACDILL PLSRSTHLPSSGYLAAVLGRERSVSTAQSYQQTQAPCVVAPSELSPSSGDSRPSTAGM DAGRSNGLLPAEGSGSLGSCRRTADRPAESQTIDTQEFSGILAPERTCSVQKRNQIVQ HLYDVDEGGVRTYKRTIVQYN AOR_1_1008194 MPFKSRWQVHVPDAHLATVLFTSPTHPLSKTHRCFSEAARPDTH YFTTHDFRLWSKRFAAGLRKAGLQSGDRVLLFSGNDLFFPVVFMGIIMAGGIFSGANP TYVARELAYQLQDSGATYLICAEGSLDTGIEAAQVAGLSRGRVFVFNNAIFDGRGEGK MGCRYWGELVASAEEGSQFEWDDLSTPEKANRTLALNYSSGTTGRPKGVEISHKNYCA NMLQANQSFYLNPDWKARNARARFLCFLPMYHAMAQNIFIANALKREVPVYIMPKFDF IKMLEYTEKFRITDLILVPPVVVALAKHPAVRSGKYDLSSVEGIGSGAAPLGREVCDE VEALWEPGRVNVKQGWGMTETTCAILGWDPTETSHTASVGELNPNCEAKIMADDGVTE LGRNQRGELWVRGPNIMKGYWRNPQATKETKTEDGWLKTGDIAYVDDQGKFYVVDRKK ELIKVKGNQVAPAELEALLLEHPAVADVAVIGVSVNDDERPRAYVVLKPGQSASAQDL IAFMDGKVSAIKRITGGVVFVDTIPKNPSGKILRKVLRDRAKEEVASNPSIAAKL AOR_1_1010194 MAPVPEAYFPSLDKCFSGDVQLLSWKRAFLYTCNPEDDLGDTGS LHAFLSHPESVQLLARCLDGFTSSSGKTKSDFESKTAAIHSETTAQASYNLKELKEDA LWLSQKAGIDEISALRIAVLEWQNRPATRLLSGFSEEESTSLQSAAGVENFRMSLAGP SFAEIFSQKVGREDNASGFVSEESRRLRLRELYLSERTHIIKTARRLFALSLRNDVNE PAPQMSEQKRSDLLCQLGATLFNDNLAGDKCRTFIQACIKAIQGRLSALESDGGWLGL AESNEAVEDLWRTALVEEILHIVQILFLRLQSSAELPSADVFVSWLRLMGDYNFLETL QIPCQNPPEVLLPLQAFVSLTTLAFMKLPLTIPSIINKTCTPESNTKPPYFLSKDEIG QVNEIFVSAVVESKVASPAAFAWGLVLNTMRELALNDKENRELEQFHSAVDSFQSNTP QSTAGGASEISLYEELLECARTPKCTADDSIALLTSDEVKIMAFEIIMNLATKVGSTS AVDDMLTNRWTRTVLLDLIRVAVVYLDYSPEIVESVLAILVGSSTEPLWPINNLASPS SDPRCVFIRDELLMDNIFRLARSRFPYETVPFLKLCRALISKDLVNDEGIPVILNEME NMDTFTQALPPDFQGYETIREDENANFVTLVESLPMLGSSPRRQLSEKQTSNALVVTG SSQVPSTTIGQIVSESRPAVIMWQHQYSSLSYLGSWLEEWNENGGYSAGWGEDSIAEI IGLLADLLITSKDTQRQNGDDSGAKRILEMASDGLARQSDIISVVLDILERSLSHIGP RAGSETVLDSVIACLRFITALIEVLPGRVWPFLSRSSLFGSDGKGGIMTAVISALEVT SGEYPFLLSCVRFFESIVDDAVSRAVLRRSPNSVMGKTATVSDWTAGVPSHMMRGVLL DFVRIMVEVYNSSINWRFNSPAQRFEINAALAKTFERILYYAYGTNDSTKLDAKVTGV FSSSATYILDVLRPHSTDDLPFNPILRLIADGLQTPPTLYLRYLTLVEKQAAQLLSTP PSLLEEQLFKAAPVLVKLYAQHDAYRLPIISLLNTLITGAALNSDKEPPSLIGHLGAE SSCLFLDVLSQFDKPLSDRNLHLGIWQLLSTFVTKRQQWLAVYILTGSSPRQTLKKTD DQKAPAMRGTPFLKIALDTLSNIDQVDLQVALALLEFVSHAQENWPWATSELRKHSLF FTSLVQYVSKLKIASLSVVDQIFTTRIAAVVADLCTVYLHSAKEMQDRSFYKTLIPLV SWYAKDAVEVSGYNASLHANLKKNFEMRYSGCKLADFKRTTLQPRTLGRDYCYDIHLG EKLLSYDFAWAGTRNQGFDQEFERANLNLSLIEAKVSLLHSWKFFAIEHCSDFMTDRE VQKSMAVVVQRCLEANTNGVPQEAIFARIQQTRVDFAQALLQRLVEIGSRGAEVFGLL GVVWDALRSRRATYEEAIINDDTEYYRSLLNVLFLALQFHQDSPSRTAPETLSKKAEV SSDLGLVVEIVKTVVAQGFKSLTAYLHDQPEKCTPKDFAIITAILQSCLQVKNVDRVY EHIVYHIADNDTGRHATSLFSWADQLAVAGDPVYGELSISFLVKLSTIPMLAEHLAVE VVLVRLSTCRLTNILRQPKGFGPFDSVPRMYAIWTGGILPLCLNLLYHVIRTAPEVAA FLNQFEGQLTRASEAFAADRTAGSTARRICLSMTSEAYSLALISFILDRFREAGPSAG MDAESIQELKWDRAHVKEDIEDLLERRQLLRARIVATNDKEAELVRQKPLNASSGAEN RLEEKIVSELKATLVCLGGEEA AOR_1_1012194 MAGSDLVDHSPHHPTKAARLDSASNVILIDNYDSFTWNVYQYLV LEGATVQVFRNDELSLDELIAKKPTQLVVSPGPGHPTTDAGISNAAIQYFSGKVPVFG VCMGQQCIISSFGGKVDVTGEILHGKTSVIKHDGKGAYEGLPTSLAVTRYHSLAGTHS TIPDCLEVTSYAQLGEDSSKTVIMGVRHKELAVEGVQFHPESILTEYGRGMFRNFLKL TAGTWEGNGKQSSAQTASNSTPKVDKKTSILEKIYDHRRAAVAIQKTIPSQRPADLQA AYDLNIAPPQVSFPARLRQSPYPLSLMAEIKRASPSKGMIAENACAPAQAREYAKAGA SVISVLTEPEWFKGSIDDLRAVRQSLEGVTNRPAILRKEFVFDEYQILEARLAGADTI LLIVKMLSVELLTRLYHYSRSLGMEPLVEVNTPEEMKIAVQLGAEVIGVNNRDLTSFE VDLGTTSRLMDQVNENTIVCALSGISGPKDVEAYKKEGVKAILVGEALMRASNTSAFV AELLGGSYEKSTQTSRASPLVKICGTRSEDGARAAIEAGADLVGIIQVQGRKRTVSDD VALRISQVVKSTKRPVTHTASTTQGTSNATSVDYFDHSTNVLRHPNRALLVGVFQNQP LSYILEQQQKLELDVVQLHGSEPLEWAKLIPVPVIRKFGLDETGIARRAYHTLPLLDS GAGGSGELLDQSGVQTVLDSDAGLRVILAGGLDPTNVADTIKKLGSSGHKVVGVDVSS GVESDGVQDPSKIHAFVQAVRGLQQ AOR_1_1014194 MGKSFAKVHLCSAGRLGDNGSKIPQWVQANGGTYSRQVTQDVTH LVTTKDAYMNNIPAVKEARRLGTVRIVSYEWLEDSLLSRNRTPKREKAYLIENILKEE RRAAKEKARKTPKQQDKSTNRPGGKSTGKANTKGPSGAKRGKRAGSSTSGCHVYTDTT ACLTYKATLVRQAITKRNEKFQLTIYESSEEHVTYETECRYSRVGKSNSQILAPAGSS LDTALVAFERFFEEYTGKSWALRGNGILPQPKRDSAGNLLPPHEGWYIYEDNTNMFLD FIQKGSASTTDAFGK AOR_1_1016194 MLRRQARERRDYLYRRALLLRDASIAEKRAQLKASLASGKPLDP SIANDKQLREDFKYDESLPTSDKKDKDADMLDLDDEYALTSGVVDPRPIVTTSRNPSV RLGAFAKEIRLLLPTSIRLNRGGLVLPDLVSSANAAALTDMVLLHEHRGTPTAMTISH LPHGPTASFSLHNVVLRADIPNAARGTVSESYPHLVFEGFKTKLGLRVVQILKHLFPP REAGKVGNRVVSFVNREDSIEVRHHVFVKTSYRDVELAEVGPRMTMRLFEIRGGSLEK GSSGDVEWALTQYTRTSRKKDYL AOR_1_1018194 MAALGDDSRELDDITGGLRPRRHDDDDDGSDNGDDDLESTTSAP AAENAENQRHTEEEKELPPHACAYCGIHNPSSVVKCLSCSKWFCSARGNTSSSHIVNH LVRARHKEVQLHPASSLGDTILECYNCGTKNVFLLGFIPAKSDTVVVLLCRQPCAAMP SSKDMNWDTSRWQPLIEDRSFLPWLVAAPSDQEQLRARHLSPQLIAKLEEMWKENSQA TFSDLEKATAVDDEPAPVLLRYDDAFQYQNIFGPLVKIEADYDRKLKESQSQDGLIVR WDLGLNNKHLASFILPKLELGDVKLAVGDEMRLKYTGELRPKWEGVGYVIKIPNNQSD EVTIELRAKGDHKSVPTECTHNFTADYVWKSTSFDRMQLAMKTFAVDEMSVSGYIFHR LLGHEVAAAPMKTQMPKKFSVPGLPELNGSQINAVKSVLQRPLSLIQGPPGTGKTVTS ATIIYHLAKLNGGQVLVCAPSNVAVDQLCERIHRTGLKTVRVTAKSREDVESPVGFLS LHEQVRLNDSNIELLKLNQLKAELGELSSQDEKRLKQLTRSAEREILNNADVICCTCV GAGDPRLAKLKFRTVLIDESTQSAEPECMIPLVLGCKQVVLVGDHQQLGPVIMNKKAA KAGLNQSLFERLVILGCSPIRLNVQYRMHPCLSEFPSNMFYEGSLQNGISSIERLRRD VDFPWPISDNPMMFWSNLGNEEISASGTSYLNRTEATNVEKIVTRFFKAGVQPGDIGI ITPYEGQRSYIVSSMQATGTFKKEHYKEIEVASVDAFQGREKDFIILSCVRSNDHQGI GFLSDPRRLNVALTRAKYGLAILGNPKVLSKHPLWNCLLQHFKERHCLVEGPLSNLQE SLIQFSRPKQAYRGPQRFQMAYNHASSVTSGMMNGKNGHRNDFHDTGSVVGYIPDDVS SVHSSALGGVGIPSGYPPMFQNFADSWPALPGNRRANGNRGKGAPSIAGESIAATESD VTASIIDGKSVDQGGVSLAGLSIHDMSKQPSLSQSDRLKRYVESGGREPYKPGVADNN SIFGGSSASIRVTRGVPGHIIDDDDTRSVSTAFASQVGGNYD AOR_1_1020194 MGDGSDYPSPRSEGPGGPATVLVTAAQEPLPPAPKMTDQLSPSF MEGTRPRLSVRRARDPPKNAAGQIYCDHPECQHAPPTFRRPCEWNKHMDKHDRPYKCL EPGCDKIQGFTYSGGLLRHQREVHKKNINAKKPLMCPYADCNRSTGNGFTRQENLKEH LRRRHMHTDNGHASELPIVPVPELDGTAALPVTSPVKRKRDSIDSVEIPDEEENGVDL RNEIKRLRREAQMKDRRLEELERIVADLQQRIPQTAVSQG AOR_1_1924194 MAHSSPFTEVPMSQQTDSPYVRTQQYTRGAGKLLQPPAVPMQAE WSLPHLDPVTSATHIFPEGEFVPHGFFEKISPEWFVPETEQKEWKYYMRREAQKILPF LYLGQYASVKDRHWLKGQGFTLLLAIRDERFPHICGKNAAAELGIEAATFAVPRIQDF VSIGSHVIRTINDHISSSHTPVSNEWPYKKVFVFCETGNGYSGLALVAYLMVMLNLKL QHALAAVHMQRLSVEADEPSRQMLASFESIVIAKRNVEEARRAATEGTSLMVPTRTVC KKRSFTDRDEDEAMEDIMDIGADEHATFDRKPVAPFQDS AOR_1_1024194 MRLRDSVRPPERYESEHFYTSLGQKSLRQNRNTNRPPYIDFNPN LPPAVFPTLDLHRPEVPESDKGAQRRGGEEADNATTPQHGREQAGNHGETKDNNVTRV DLEDIPISQVENYVSSNGDLNQVYIQNMATMAAVDSSSVSDGLHDETDGIDVHDESAH EISDPKWSDLCPGIQVEVFDNLLQFYTWKDACHKLNLSREDQEEVEEHISARDKQMER EESQMKNMRRKQLRALLKIDNSARHLQDSHQFVFRKISRGTTGHLRRGTSPDYLMCHA KEVMKAKEYLRQQGLDPRYAGDWGNSISPTHPSGNDQDQDMSNLGKQVNCAQCLELAI DTADDYMRDFALDYKAPASILDKQSFINTIGAAATASPRDMALCRASFSGE AOR_1_1026194 MSNSDTPVETGGMRQDSTQPSSPAGESVPEKMEVEEENTEEMDA QAKALMHLLSTSEVFVAIMADKMKKQQEEAKLEAAKQREQKSAEAMTKKKPTAEPVGK RATRTSARQSAAKEPVAEEEAKTEEQGVAKSKRGRGRKAAAPAAAAKSNTISSYFKKA DVQVPEDNPTIQEALEHAADEYEANPSVLGGQDLVATQQPELITGGQMKKYQLEGLEW LKSLWMNGLCGILADEMGLGKTVQAISLLAFFKEKKISGPFLIAAPLSTVSNWVDEFA RWTPSIKTVLYHGSKDERAEIRRKMMNMKNQRDIDFPVVCTSYEICMNDRKFLGQYQW RYIIVDEGHRLKNMNCRLIKELLTYNSANRLLITGTPLQNNITELWSLLHFLLPEIFN DLDSFQSWFDFSSMLDSSDKTNVIERRKRTLVSTMHSILKPFLLRRVKTDVEMSLPKK REYILYAPLTAEQKDLYREILNGTGRQYLEIRARERLMAKNERLTRSGSVKGRVDSSG DTTPNKSLKSSRSSTPASTTSTTRRRKGPQSYKEISDREFNSKLRKLEQGIEDDLDIE GPSETEQEEIERAKTFKLAKQEVAQKKMQNPVMQARLACNSPHNFYWPWNDEPVDESL ITASGKMLLLDRLVTRLLANGHKILIFSQFKSQLDILQDWATQLRSWNCCRIDGAISQ TDRRDQIKAFNTDPDYKIFLLSTRAGGQGINLMAADTVILFDSDWNPQQDLQAQDRAH RIGQTRPVIVYRLATKGTVEQTLLEKADSKRRLERLVIQKGKFKSLLDPTSQDDVEDL RKALGEDEFERFEAGTDPSRLLSDKDLDILTDRSEEAYARAEKGLDHSGRAFLAVETK KDGLMAQITGKG AOR_1_1028194 MRSWVPFVAALAALSPATASPTSMDQWDVLAGKALGNQILYHYT NPEASSTCTPYTASARREWGALSKKERRDYIDAVLCLSSKPSKSDPSFAPGARSRYDD FVAVHINQTMFIHSTGNFLTWHRYFTWAYEQALRNECGYTGSQPYWAWNKYADDPVNS PIFDGSEYSMSGDGAFVPHNATEAAPGIFLQPSNGGGCVKSGPFKNFTVNLGPLLPSL KIPGLVAQNGTGLNYNPRCLRRDISKQAAQWTTTKNVVDLIVNETDIWDYETTMQGDF PRGFLGLHSGGHYTIGGDPGGDFFASPGDPAFFLHHAAIDRAFWTWQNLDPVKRTYVV NGPTVLPGIVESPPNATLDDVVDMSAALAPPKTIRELLDTTGGTGGPFCYIYL AOR_1_1030194 MYSSGDLLPRQAAAASANIPSDSRAPHILAIIGSLTGLSGLLVA LRCYVRLFLLRKFLPDDGVIVASLLCAFGVLACFIGESHHGVGLFSDDIKPEDFQILS EYMFYHAIVIVLGISLVKVSLALFLLRFASPNKNLKRFITGALVFLIIFTVACILTLI FQCLPVRAAWDFSLRENARCYSMKTYLSIGEFNSAINIATDFVFATLPAFMFYKVQVN KRTRVSLMGILSLGYFACAAGIVKTVLQSQIFDEPDPYRDCQYLIWNCIELNVGIIAA SFPTIKPLVKSVIGTTLSFTSGVRSGKRNGQGYHVRSSYIMHSLQQNRTVNEDHKYSV QIGTLDGSDRGSEENLTQRPRRGSLSRIIQTTEVIVHSEESADLGVLRIGPARTVDDR V AOR_1_1032194 MSNKKIEQWEIERYWEIFASLANGQPRLNNSQAASVLRNSRLRD EQLEKVWDLADVDGDGELDFEEFCVAMRLVFDLVNGELQTVPAVLPDWLVPESKSHLV HATRALSTQPEQFERIEDEDDTPGLKDGFEWYMKPADKSKYEEIYNANRNQRGEIAFE SLQPLYDSLDVPDTDVRSAWNLVNPSASHTINKDATLAFLHILNYRHEGFRIPRTVPA SLRASFENNKIDYQVDNARPAQKWGADGDTETPTGRKTKFGDTYLSRLGAGGKSSYTP KGTNFSDTIQDEEWEKVRLRRELAELETKLNSAQQASEGRRDQPRNDGRTSWGLVKKE ALQLLEYKERELRELREGTGRSKEGQNLERLREDVKAVGEQVDGLKSHLANRNEVLAD LRRQIDEEKVSR AOR_1_1034194 MRLFVIPISTRRALIYARPLRRDHAKELSILDRITNKAAETWAK WEEAEKGWQKHLVVWGNKVQQRIPYEEWGLKSIPSLNAQRRLDESYGSKKIDVLLPGN AVKTEKLKSILHKIATDRQDLHRKRMWWSFIASPFTAPIGLIPLLPNIPFFYLVYRGW SHWRALNGSKHLEFLVEKDLLNPISHPALERLYAKRVSRALEMSNIEQSISSMVEDVE KSDDRLLLRMDDAKKLASILEAPELALEAERAIVQVEEKLRTDSSSPGDDEKKNNPKE KES AOR_1_1036194 MTSFLNFLTMALEEASTEDGQDNVVLGKRLRTLPAWIRSVDYKE PEGESSAASRLLPSHPDDAVVAQHNHSPYTTAKVDFAGRGTSLEEGRATSPRESRWKS FSRSIAYPRDPGVEEKLVTPEWLNENHGDYSQPWRGRLEDWSGEDTEDPLRMKRRREI WFKRFHHTLLKSPIVPLIFRLTVWVFSLTALALGASIQHMSREYAHPQGPSALMAIIV DAVALVYLVYITWDEYTSKPLGLRSPSAKARLVLLDIFFIVFDSANLSLAFESLSTVS GACTIGEVNQQVAPKNDAICDRQIALASVLLIALLAWLTTFAISVLRLVDRAAQ AOR_1_1038194 MSFKSPSDIEVTTRQIPEWGDIPNTSIQSKPLLIYRHAFDASPS QLQARLEEIGEVEPQWVYTMYQQTHFHSTTHEVLGVVSGRARLCFGGEANPRRFEPTV EKGDLIIVPAGVGHRLLDDLGTESFSMVGAYPRNKHWDMCYGHPGEERKAKAIERLDW FHRDPLYGADGPVLHV AOR_1_1040194 MPPSLIPQTPHKDDLDETWSFLEKGVDSVMLKLEEGVDMKTYMA LYTAVHNFCTSQKAVGNGHGLQAHRGAHLLGEELYKLLGEYLSRHLDAVHHESKGHAE EALLGFYIREWTRYTTAAKYINHLFGYLNRHWVKREIDEGKKNVYDVYTLHLVKWKDD FFMKVHEKVMEAVLNLVEKQRNGETIEQSQIKSIVDSFVSLGLDESDSSKSTLEVYRM FFEKPFIAATKVYYENESRQFVAENSVVEYMKKAEARLEEEKARVGLYLHPDISKHLT DTCLDVLVTAHSELLRDEFQVLLDNERQEDLARMYRLLSRIKEGLDPLRTKFETHVRK AGLAAVEKVAAEGEAFEPKMYVDALLQVHTRYQSLVNEAFNGESEFVRSLDNACREFV NRNKICASSSTKSPELLAKYTDSLLKKGSKAAEESELEEMLVQIMTVFKYIEDKDVFQ KFYSKMLAKRLVHVSSVSDDAETSMISKLKEACGFEYTNKLQRMFQDIQISKDLNASY KDWQDKVLDDDDRRKLVDAHFQILGTGFWPLQAPSTDFLAPPEIVKTAERFQNFYFDK HNGRKLTWLWQLCKGEIKTNYIKNTKVPYTFQVSTFQMGILLLFNETDTLTYEDIQKA TTLAPEILEPNLGIFLKAKVLTINPEGSKPEPGTSFTLNYNFRHKKVKVNLNIQIKSE QKVESDDTHKTIEEDRKLLLQSAIVRIMKSRKKMKHVQLVQEVIQQVKSRFPPRVPDI KKNIEALMEKDYIERLDGDEIAYIA AOR_1_1042194 MASVPSRQAPIVMVIDFHHARGPEIELCFGEDGTDPAAENDWSL LPFMALSDGAHMSTEEFSYFTLRRKETATEPATSLFGISCARQIDSNLLLYRPSDVTR STVQKAVVVITDSPQSLGQLREKLSIVASAWFAQRDFSDIDILKKFRESLVINLNKDE SFKDQNLGLSLREMIHEFKYQTLVLFKALLLQPKMLFFGSRCERLCMIQFSLVSLIPG LINYLQDCADPAFESYTKTVEKPTTLKTSDRSSLLAYMGLPLQIFGKGSMFGPYTPLQ QLDLLADHGTKSYVVGSTNSLLLQQKDRYSDILINLDEDTINITSPSLRNALALSVAD RRWIDLLTQIINDTWDEAHPEQPKTHGYMGSEEFIRLQFEEYLLALLSCMKYHEELDS FNAGDPGRRSREQLEAFNIEGDPALEFNAEFLAQWQNTSNYELFKRLTSDALLYSIVE PRHPCAGGLTMDDVQRRLSQQVAELHLDERVRESREALNRHISTGQKKVTTAFNNFWA DIEAMREAQRKRNEEKAQSERSSIDKGPSPPFSPSDTASVHSTSGSSWFGGRKAPSVD IAQAQASVSAAGQRAGAYLNSWSTWASEKRKEWQDKSKTPSSPSSVTSPSAPTLAGTA DQSDPPERGRRSMQASRSEDPITLSRSGSRRKRWSNIFLRRDSGEYGSPNRKDDGDGS EYDTANSRSHLSNEVPVHDDSSHLTEQPSKEAAAFAEAQVSSISETQTPSETKNTTTT EASTEQPKPSVNPQTTIDKKDTITEEPASTECKTQTEAPTTTGQAPQSQ AOR_1_1044194 MAEFVRAQIFGTTFEITSRYTELQPVGMGAFGLVCSARDQLTGQ PVAVKKIMKPFSTPVLSKRTYRELKLLKHLRHENIISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILINENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAACIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVIETICSENTLRFVKSLPKRERQPLATKFKNADPDAVDLL ERMLVFDPKKRIRAGEALAHEYLAPYHDPTDEPVAEEKFDWSFNDADLPVDTWKIMMY SEILDFHNIDQGTEASQVLVEGAGDAQQNFV AOR_1_1046194 MGSCVSTEPADNEPKKRSQAIDRRLEEDSRRLRRECKILLLGSG ESGKSTIVKQMKIIHQNGYTVEELALYRLTVCKNLLDCAKSLVGAYHQFSLEPSSQKV RDYVQYISDYNIDPDPHTTLDAKVGEAITYIWNDPCTSTVLEHQNEFYLMDSAPYFFE EAKRIASPDFIPNVNDVLRARTKTTGIYETRFTMGQLSIHMFDVGGQRSERKKWIHCF ENVTSIIFCVALSEYDQVLLEESNQNRMMESLVLFDSVVNSRWFMRTSIILFLNKVDL FRQKLPRSPLSNYFPDYSGGNDVNRAAKYLLWRFNQVNRAHLNLYPHLTQATDTTNIR LVFAAVKETILQNALKDSGIL AOR_1_1048194 MSEARRERKPSVGAPVSELQGPIGPGFSRPKHKRTYTGLAPAEV KSVEASIPEPLREAWRKHSATGFTNQDEFEQELVRHVETTLARSLYNCDELAAYSGTA LAFRDRLIIDWNKTQQRQSSADQKRVYYLSLEFLMGRALDNAMLNVGMKDAARDGLKN LGFRIEDVIDQEHDAALGNGGLGRLAACLLDSLATLNYPAWGYGLRYRYGIFKQEIVD GYQVEVPDYWLDFNPWEFPRHEIAVDIQFYGWVRKYQDDNGKTVHSWQDGETVQAVAY DVPIPGYGTSTTNNLRLWSSKASSGEFDFQKFNAGDYENAVAEQQRAETISAVLYPND NLERGKELRLKQQYFWCAASLHDIVRRFKKTKRAWAEFPDQIAIQLNDTHPTLAIVEL QRILVDLEGLTWDEAWKIVTNTFGYTNHTVLPEALEKWSVPLVQKLLPRHMQIIFDIN LFFLQTVEKKFPNDRDLLSRVSIIEESHPKMVRMAHIAIVGSHKVNGVAELHSDLLQT TLFKDFVQVYGPDKFTNVTNGITPRRWLHQANPRLSDLIATKLGGYHFLTDLALLDKL EAFVDDESFRQEWAEIKTANKIRLAKHIKDTTGYSVNPTALFDIQVKRIHEYKRQQLN IFGVIHRYLTIKSMSPEERKKVLPRVSIIGGKAAPGYWMAKTVIHLVNSVASVVNNDP DIGDLLKVIFIQDYNVSKAEIICPASDISEHISTAGTEGSGTSNMKFVLNGGLIIGTC DGANIEITREIGEQNIFLFGNLAEDVEELRHRHYYGDFQLDPQLAKVFDAIRSGTFGN PGDFSALIASIAEHGDYYLVSDDFNSYVTTQNMVDEAFRNQDEWIVKSITSVARMGFF STDRVINEYADGIWNVEPLAVKD AOR_1_1050194 MAAQSKLLPPERSVRQIFSSLTTLYLRHRTGISRTVYLALFAAL AKRIHNAISEQKAASQQQVELRRRPGTSSIGDGGDRPRKKVGVNREFFRNLLRLLKIV IPSWRSKELRLLAGHSVFLVLRTLLSLYVAELDGRLVSNLVRGKGKDFLLGLVWWMIV AVPATFTNSMLSYHQCKLALSYRKRLTDYIHDKYLSNMTFYAISALDDRVKNPDQLIT VDVSRFSDSLAELYSNLAKPVLDMAIYNYSLSKSVGGEGLFIMSLLVQLSANVMRALT PPFGKYVADEARLEGEFRFLHSRLIDYSEEIALYHGHEAEKDTLDKGYFTLIKHVNRI LRRRLYHGFMEDFVIKYFWGALGLILCSIPVFFKIPGQITQTMGDRTESFVTNRRMLL SSSDAFGRLMFSYKEISELAGYTARVSSLLEVMDDLLAGRFEKKLVSSASTEENAAVL SGRGEVEESDSIEFTDVPIVSPNGDVLVRKLSFTVHPGDHLLIVGPNGCGKSSLFRIL GGLWPVYGGKVKKPRFDEIFYIPQRPYLSRGTLRQQVIYPDGLREMRAKGVTDDDLYD ILSIVEIASVVDRPNGWDAEEEWRDVLSGGLQQRIAMARLFYHRPKFAILDECTSSVT MEIERVMYETAKRLGTTLMTVSHRRSLWKYHKKILQFDGQGGYIFTGLDWERRLKLED EKEELDLHLRAVPELQRRMAELSAS AOR_1_1926194 MVWDPTVDGGQRNGPDIVVAADGLGTKSHSVVLGYPTRAVSTGY CVDRAAYPVSVLKDSPVLLKAIAELGRPQWRMYNGDRCHIVVCITKDRVAINSTHADD GTATESWSTGVTPEQMAAALPNLERWDPLLVKAIKNTPPDNIVRWKLCLRNPQPKWTS ATGRVVQVGNAAHSLLPTSANGAAMALEDSISLAECLGLGEKEGAAVATRVHQILRCV ISWPFSMVLC AOR_1_1054194 MKTNLSLRGSELAQQKPVFLDILSDAWGPVSNPEGVVNIGLAEN TLMHSEMLQFIESRSQVTSHILTYGDGFSGSRLLKESLCHFLNQRFSPLTPLSPSHIS VTSGVSNAIECCAWALCDPGDYILLGRPYFNAFKSTFGLRAGVAVYDVKFGAIDPFSH EAVRQYEQAYNQAQRDGIRIKALVLCSPHNPLGRCYSEDVLAEYMVFCNRHKLHLISD EIYALSVWENPALPDSVPFKSILSMDIKSLMDPSMAHVVWGLSKDFGSTGLRIGCLIS QSNTHLLEAADGISLYNFPSSLADNIASSLLRDDKFTSSYIATNQHRLAESYSFVTSF LRRYGIPFYESNAAFFVWINLGAVTKDGANDTEIMARLREKKVYVGAGYMYASEEPGW FRMVFAHPTPILQEGLQRIAQALEI AOR_1_1056194 MDLRLDEDLSLYSKYVTFYIPGDSEGGLERNALIKIDPTGFFIR QPPEPHNLNETQTPEASLFQTIHMGAAVVDPIKWRLIVDGLVERPISISLEQLKQMPQ THVTSFHECYGSPLAAPTKNVWRIGCVSWTGVPLRCLLALTRPDLSIGTYVWSDGLDS GTFAGVQSDRYQKDLPIEKALSSEVLVAYEMNGKPLGKERGGPVRLVVPGWFGTNSTK WLCRLSVQDRRSPSPFTTVFYNETDPTDMTGKKIRPVWGVEPNSIVVRPRPDEFYERP GNIEVWGRAWGSEEIIRVEVCIGHGKTWTEAYVEPRKQFEWQLFRLTILFPDVGTYII SARATDRRGVQQPLTMRRNHVPSVRIHVGRSIH AOR_1_1058194 MAQQRLPKPKPTQDSLVTVRGHDLPQSLWSQKDVQEACLMRYFV DELAQWFDTCDPSRHFAKVVPQRAANCPALLYAILSASARHLSRSQYLRTNEVLCLGK KLPFLGDDAALEYQSSCISQLMSLSGNPAEVADESLLAAAVILRFYEEVDSPLVGVDD ETYLRGVQVFLDAQGTVAVRDGGLRLAAFWVGIRQEFHTSFIKQRIFQFDLSCCDHST YRLLDQAEDPTWANRVILHCAHTLTYCYDERSHTMKEYEKLWDYNQGWDRMVPPTFNP IYLRQPDRSKGEVFPELWFLDDCIVTAIQHWHLARILLLAFDPRVPRLGPGRRAAVGR REAEIKESMFVLCGIAQSNKAAPALITACMGVSMCGDRITDRLEQEALLGILTTTEET HALSTTKAQVQLREAWGWTNSDGRLA AOR_1_1928194 MRPLTLTRAAGLNRLRSRFVNSSARGYMTILHPPKFENEKLLSY AKGSPERTELSETIQKMKNEFPSTIPIKVKGAELETKQSRSQVNPYRHKDIVANYALA TPDQVNIAVDAALKAKPSWEATPFEDRAAIFLRACELITGKYRSEMVAATMLGQGKNI WQAEIDAPAETVDFFRYHVQEAWALYSQQPKVHPDGNWNKLEYRPLEGFAYAIAPFNF TALGATLVGPAALLGNVVIWKPPDSALHASWLLHKILLEAGLPKDVIQFLPGDAEEVT NTILKRPEFSALTFIGSTATFKGIQKKIGDGIGQGVYNSYPRVVGETGGKNWGVVHSS ADVRSAALNTIRAAFEYQGQKCSANSCVYVAELETSKIMTTVTPVIHERSFDKLNDFI EAARTDSELELITGGKASKEKGYYVHPTIYKTSNPRHDIMKRELFGPILGVYVYPDAE WEETLKLIDTTSRYGLTGSIYARDPYASRQALTALKHAAGMLYLNTKCTGSTVAQQPF GGSRDSGTNDKTGTMAHLQRFVSTRTIKEEFVPLVKVEYSSNEV AOR_1_1060194 MGSEAVGFSPAPSATPYLPPDDQQTAGWQTAADDSPIRNPGRRV DTDERQEYHDSEFSKNPLVDKEEWTFAATPDGRYWYMGPSSSWSFCRRVLALLGKRVP ESNSPPDPWHLDGMAFKLQWKQVSLEESPDVTNLPPLDYALFLYNAAKYYLASLSFLI DETLYLQELHEFYRDPAAKAASPVYGVDSASKGALIRDIQSILHALADLSHDITEFLD NSFHGMVGRGSKMAVRLMLAQHHCVVLTTRLLVMCALNMHIDSAERQPSQSICLSSPV ASLLQCCADSAQTILQTLRSLADDDLMDAFLPFQVEHASSAALVLYLIRSIAPSLITN ESWCDNLDCVIEKLTAKGNIAAPLRKLELKHLDLMLTPLTPRSANRTMPPITSDDIQD NNDDTYALDQVDIGDEVEWDLLALNHSVSLPPRELLDLADQLDVDSIMHSMEA AOR_1_1930194 MIRLRTLGLFLSTYTTPPRTALKYQPPRDLRQSSSMAATATATA TAEASESSTAQQNYKIVQDIGNFAETEAQRPDFDHAKPIETTKSPYPTWDYGQGVPDH GASQSQEHHEVDPYAPDRPSVNNYRLLVSAIAPRPVGFLSTVNSKGQKNLSPFSYFQV IDHDPPMFIVGFSSRPGRVKDTYRNLKETGECVINTVSENMIEAVNATSIDAPYGVSE WAVSGLHEAPSTTVKPSRVKESVFSVEGKVIDIKEFADHQRPGMSIAATVLIKATRFW VKEGAADADFSHIQLDQLRPVGQLGGVSYGRVVSTFERPRTKWSSEVVKSELLARLEE KGEN AOR_1_1932194 MPDKPDMLGLRKQAKGAHYEGIKPLIAAGKLVDGGAILETHSTE TDDAKIKGSMVVYTAENEEEVRGIIEKDVYATSGVWDLEKVQIWPVSVPSP AOR_1_1062194 MGILEEIYEGTLDLSVPPEQLALDHEVDLVPWLSIGLILQQMQE LTAIDNLDFPELIAALHEAGMTLGGMDETIVWKIDKVISVRFDPSDDALVDQISQLVL KSVWPPILDRFKLDRPEFIRQLVERIGASLDRDHLTIRVSFCFLNMIDFAATDSEFSE GLSLGPTNVKIYALEIFGRRGTNKKKLWISCRFHSCSATVDDNSEERKVHKLLSRFFK EHGPAAGIDLNPIMEQLSI AOR_1_1064194 MEGVGTVDVSWLHHTQKDNLSRCKSASSTHSDKPNIDTDPTVAP RTKGIPVERTSPPPPYEPPSPAAAPTPDAQKNAQRTEKEVRSDDKTTDGKSTGSSPNP QKSAPKPIGRRNSWISTLSSKFSSGSTPPSQSSLKSQPTVKATSPVSKLDMHNPFGAA YSPKDRDDDRRDEPNPFTSTSPKGPSFLQNAFRKLSSSASGGSGKVATNGVICERRIM NIDQGRDRCKIPDLDQAKLRRVAFCVDVEIAGISRRESDDESSPANAKHRIVPDLNGK TKKPAKPKDKDEGSALKHPQTVLADKEKRNQDNTASGKQVGQPEATPSDGKVNGEAKE PTRKQEKKKRSEEERKERRERRRRQAEANGSIPLQLTADDDEDHPPAPLPGNPRSRTQ SHPTTDPVRIYRRCCQLRETPVLKRIVDEISAPSSILAESPGTVGVLDLTNFPMTPQD MATFCDWLAVVPVRKVILEKCALTDDSVRSILAALLSTKTVEQMRQRRRRTGKSGSQA PAKEERNSVVEKLSLKDNPKIGKEGWRHICLFVHLSKSLKAIDLSGIPLPKTLITTHE LNGQLPKTSCSTGSAVDVATLFSDSLSRRFGGDHLEELLLSECKPTTEEVKKICDAAT TLGLRRLGFANNELNREGLEHVIRYLKSSKCEGLDLGGNPIRDHLDLITSAIEKETNL YALSLADCALTPTAISPLLQALARLPNLIFIDFSHNPELFSSQPDALVTFRRFLPKMP SLKRIHLADVNLSADHAIALAEVLPECPKLCHLSILENPAISALAAATDSSNQEEACA VYASLMAAVRVSRTIIAVEIEVPSAENNEVVKALASQIVAYSLQNLERGAIEGDLSVP GDPSGARETVPVPEILQHIVGHGGGDEVGDEDDEPAPDEDYVIGGTGVVKALGVCLGN LDHHMPGDQSGPPSGTTTPRHRKSRSYAAKRPRDMSKNLLESARNIRTRIQSALVRED RAGNDINYRRLQFLDFTLHRMIQRFEDEYPETRIIPQPVFATAPDSSSQNSGDDVTGG ATFGTQPITDQGDECAIDDEDADQYALGISRASSMTSLHSRAMTSEEGHVHRLGQNLR RDFLNPSFNQGDGDSSLTLDDAHIAALREKLERLQGEQEHSHFDGVGADKTFEQLGTT VEELWAAHKQDAETFEKFKQSQIAAQINSGMRTSSSGNGAGSQNRQD AOR_1_1066194 MKSWIQGTILGDTIRLLLGSRWLSYPEDDPTFDIRQLKCASCLD GHSHRDLESGQETFRYAEKLSAATKTDKSLIIDWYTPDDPENPLNWSLGRKAWVIILI SVYTFIVYCGSSIFIPSYEFMMHRYGVSLEVVQLTLAIYVVGYGVGPLIFSPLSEIAR IGRNPPYVLSFILFVVVSIILAVIDNFPAIVVLRFLQGFFGSPSLASGGASIQDLFSL IDAPYGFISWVTGFYCGPALGPLLAAYAVTSDWRWPLWEIVLMGAPLLLVIVCLLPET SHETILHRRAQRLRRFHPAVLAPAETKRLDFKVILIDALIKPIEIAVKDPAIAYMCVY SALVYAIYYSFFEAFPITYGGIYQMPQTMLSLIYLSLIVGCALAAVLYAAYLKYIFIP RCHNGNPSQEDRLIPALAAVWLLTAGLFMFAWAARRSVHWIVPTIGIAIYSGSSFVVF QAIIVYVPLSYPRYVASLYAANDFIRSIIAAGFVMFARYMYLDLGIGKGVTVLAGLSV GGIFGMIFIYLYGARLRARSKFAVGD AOR_1_1068194 MSHLTYHNFEGSATKRNTNTVKPYAPVTASNVPGKIDQAFANVD MNLKHAGGKGWEQVFRVDSYHIPLDDEALEAMVRNLKEYMPNHEPIWTVLGVSRLAED DMRVEIEVVAHDPK AOR_1_1070194 MQNGANQRRQTGSQRQTVKMPFHKQVKNSAYYSRYQTKYRRRRE GKTDYYARKRLITQAKNKYNAPKYRLVVRFTNRDIITQIVYSEISGDKVFASAYAHEL KRYGITNGLTNWAAAYATGLLLARRTLKKLGIDEQFPGVEEADGEYSLTEAVETDDGE RRPFKAFLDVGLARTSTGARVFAAMKGASDGGILVPHSENRFPGYDIETEELDAETLR NYIFGGHVAEYMEGLADDDEERYRGQFHKYLENEVEAGDIEDLYTEAHKAIREDPFKK DEDEGSKKTKEEWKAESKKFQKKKLTHAERKARVEQKIRELAA AOR_1_1072194 MKSWKTLRVMQTTPNIPVLSQDTGDALLWDDALITKNMATKWVN GFYEFSWGPELPVGKEFLLRLPDLFDLPHVKIDTSALLVYYNVLLQGLFMDRGLGQRR KDYASYMYRKLLEHAKDWDFEAQPTPTDLYAALLLTFATNWFFDRELSWKFHCSAYRI ACNLGFFLLDADVNDNCPSPHGQSPHKDQMRFCVWNLVHNDCIFRFHLGKPSLVNPST MVVRFPELSTWNPNEGLNRSAQINFLVATRLAFAKLRFFDMMDDARQNGSQPCDHLIE ELITESQTALTDWKIDESLGTAVKYSQSAWFYDELIQNACTTIILLNRERSVSNSQTA RKQSLEAARRAIETMKRAMTIDSTDSHWPIGYFSFYSVVTILTIFANILETNEPAAIA HDMSLAVWFGAMLVEWTAEREELRPVEAAVSTLNDICRQVKLCTSSIQVQPNSQLVTG VTPADGMILCPSSVQTLNCIGIKVHDLVQSPHETILLVERWVYSQRSSSPAI AOR_1_1074194 MALAMDGGTSSGTQTYANSERSDLEQKDKLAENRAEIDEQLTNL ARKLTTKSEQLHHRSPFEAPEGGCLDPNSPNFRARDWAKAFYNARYNADENCPPRVAG VAFKDLNVSGYGSPVDYQMSVGNALLKLPTQIYQFLGGKKRKINILQGLDGLVLPGEQ LCVLGPPGSGCSTFLKTIAGETHGFQVDPAAYINYHGITPKQMSTDFRGEAIYTAEVD AHYPQLSVGDTLYFASLARAPRHLPGGISSQEYATHLRDVIMAMFGISHTINTRVGND FVRGVSGGERKRVTIAEAALSYAPLQCWDNSTRGLDSANAVEFCRTLRTQSDVFGMTS CVAIYQAPQAAYNLFDKVIVLYEGHQIYFGTAHDAKSYFERLGFLCPESQTTADFLTS MSSPTERIVRPGFESLAPRTPEEFAKLWKESPERQSLLRQIDQYATEHPFDGADLDRF SQSRKTEKSKNQRQKSPYTLSYWGQIRLCMWRELQRLKNDPSVTIVMLINNFFEALII SSIFYNLSGNTSSFFSRGAILFMMVLLNAFSSMLEILSLYAKRTIVEKHNRYALYHPS AEAISSMIMDMPYKIVNSILMNITLYFMANLRREPGPFFFNYLISFMMVMSMSMFFRL FASLTKTIQQALAPSSIILMALVLYTGFAIPVSYMRGWASWIRHLNPVAYGFEAIMVN EFHGRTFPCASFVPSGVGYENISKDERVCSVVGSVPGSDLVDGTTFVKSTYGYENSHR WRNFGIILALTIFLALCQIIATELVASERSKGEVLVFRRGSSQKARAKQHQHDEERTQ APVIQNEKHSEGPDSTLGVEKQTSIFHWENVCYDVKIKSETRRILDHVDGWIKPGTLT ALMGSSGAGKTTLLDVLANRTTVGVVGGDMLVDGRPRDSSFQRKTGYVQQQDLHLHTS TVREALEFSALLRQPPQYTREEKLDYVEKVLDLLNMRDYADAIVGIPGEGLNVEQRKR LTIGVELAARPKLLLFLDEPTSGLDSQTSWSICNLMETLTKNGQAILCTIHQPSAMLF QRFDRLLLLAKGGKTVYFGDIGRESRILMDYFTRNGGPALPPGSNPAEHMLEVIGAAP GAKSEIDWPAVWRNSPEYQNVRHELSNLRALANQPSPVSDTNDKSSYAEFAAPFATQF VQVGLRVFQQYWRTPAYIYSKVLLTIGCSLFIGFSFFKADNTAQGLQNQMFGVFVFLF VVIQLIIQIIPSFVTQRTLYEARERQSKTYSWQAFVVTNILVELAWNSIMAIFCFLVW FYPVGLFHNAEYTDTLHYRSTLTFLFIWVTFLFASSLAHMLIAGIESEEIASSLSNIL AIMMYAFCGILAGPDALPGFWIFMYRVNPFTYLVSGLLSTSLGEAPMHCAEKEFLSFS TPANLTCAQGGEDCHFCATGNTTQFLQHVNIDFSTRWRDFGLMWVYVVFNIFAAISLY WLCRLPKGKKNK AOR_1_1076194 MTETTRPIGLLFDIGGVCVLSPFQAILDYEIANKIPPGWVNFSI SRSAPSGSWHRLERGEIKLDADFFKAFNKDLSNPELWKKFHETLQKKQSATGTPSSSS SPLPPLPQLDAEFLFWEMMRISRTPDPYMAPALKKLKASGKFILGALSNTVVFPDGHA YNTDESGVKGQFDFFISSAHTGLRKPDPKIYEFAIREMNRLAREKGLREVGASDIVFF DDIGENLKGAKKAGMRTVKVNLGRTQDAVKELEKITGLSLLEGSDRARL AOR_1_1078194 MHSDEAPRFSYFSSQTQQVVSSSNWEEIFSDQFITHLQESERTE GNVWWLDICDATEQDVDIVSQALSIHPLTAEDITMREPREKVEVFRNYYLISFQTLVT FADESEEKGRARSPASIFWSTPSSAGCYILVFKNGAVTFSPSGNGHIRRVRDRIRRLP DPSILSGDWVCYALIDDIIDSFEPYMQAVERESESIEDQVFIARVDDVKSLIPRAENL RKKITYLIRALSGKVDVLNGFVKRCQAKDKQPVFPDGDLIMYLGDVQDHLVTTMSSLA HFDEIVGRSQSNCLAQISANNLRLSLNINEVLSKVTVLATIFVPLHMVTGLFGMNVTV PGQDVPGLAWFFGIVGCFIAFIVVCCTIAARFKLL AOR_1_1080194 MTGNTNTSLKETHNTSSEFTFIQVPGLLRSVTKSEQNGDQEAPS GCWMPRSFDKAVILVIDALRYDFAVPPAPQSSGKDAYQPFHNALTILHEKATQEPQNA VLFPFIADPPTTTLQRLKGLTTGTLPTFIEAGANFAGSALLEDNLVTQLQNAGKRLVH LGDDTWTKLFPSQFLPNLSRAYDSFLVADLHTVDQGVEEHLIPLINHHQDEWDVIFGH FLGVDHVGHRFGPAHPEMSKKLKDMDRVITDVVNSIDENTLLVVLGDHGMDKHGNHGG ETEDEVQATLWMYTQRRYFGHLLVHPQELASYLNKSAVYQIDIVPTLSLLLGIPIPFN SLGSPIKEAFLGAAGDNWGQLGSPTFQEEYRGSYVPKVIDTGYGLQVVAPDTPYVAAA GPNKLYFIDTQFDPETVKHVKEQIEKATVPNPEEYVAIDDVSATAELKNSVTGETTFV LDPLYARVLFARGMNRHNPELKLPDHGAVGDWLVTYDLDNIRLYTAVQWQLSDLPPL AOR_1_1082194 MDSTRTISELKSSFIRAQVRILSESLEAPEDWRSYAAASEEDDL SDKVVGDVLQKLNSALKQHNRIVYSSQAIQHVAQQIASLYWTSVNDAIRDQNSFERGI EKTVDLSNHLNLTQLPVELEDQSASEEERLRYQKLRERLVTLDNQRQQRRRRLEQLRR LQRLLEPFQEPQQNIQPNLITRDGELVQELEEMRMLVARVGGRIQHSKKRFDSQEDPA SYQLDSDRKLEALLDMTG AOR_1_1084194 MLTALEGDLEDRNPASESPVLDQFGDSEGDTAEHAEQTTTPSRT VRFLLPVSLTHSLAILTESVVAPNQPIIIGETTPETPARRSFVPGHRRRSTHVTRLDL ERFRRDVLGIDTPGFGFDDEASSPAVDPSFDPQLESLNRDFEAVARSMNSGSTPDSGF FSSSVDNASNASNQSNMTNVPRQSMSPAMSHTPSQVNGAGIAGMNAGIPLNAGHQMDL HHLYDMVLELSEVLKNNRQMTQSIVSSAEEVMRRSASEGTSPSLQQVNGEISAARITE LERALAREKRLNEVLRNEQEENTKLIGEYEQAVGTMVEQIRNYCQNNNLQYLAQKRHY NNLLQNERDAHLQSRLERDHWHNQTMKCAEMIRTAYRIRCEEENVPIRVVAGLQNEVR AYRNALGMEPERPEEEYGWEILKDVPGGPAPGE AOR_1_1086194 MPVRRIAQIVHLKPSALAAYKECHANVWPEVLQQIKECNIRDYS IFFDNDRTLFATFKYVGDDFEGDMEKMKANPKVREWWAMTDGMQESPTPGAVGSAEGP SWWKPLEEVFYTD AOR_1_1088194 MDSVKLLFLSPEVNPSNRKAMSIPILNPFDKYGRVYFFSWLGFM VAFLSWYAFPPLLTVTIRKDLKMTQPEVANSNIVALLATLLVRFVAGPLCDRFGPRLV FIGLLLCGSIPTAMAGLVTNAQGLIALRFFVGILGGTFVPCQVWCTGFFDKKIVGTAN SLAAGWGNAGGGITYFVMPAIFDSLVHNQSLPAHKAWRVAYIVPFIIIVVIAVAMFFT CEDTPTGKWSERHLWAEETSRFEGNIVNINSGISSSHPSSPPSTTNIVADLEKKGNPS PPESIAPMPGQLESLRTDTVVAPTFKEAMNVLLSLSTAAVAIPYACSFGAELAINSIL GDFYAENFPYMGQTKTGQWAAMFGLLNVICRPAGGFIADLLYRHTQSVWSKKILLSFL GVGMGAFQLALGFSNPKSEATMFGLTAGLAFFLEACNGANFAVVPHVHPFANGIVSGA VGGMGNLGGIIFAIIFRYNGSHYARSLWIIGIIAIAANLAVSWIRPVPRRQMV AOR_1_1090194 MPLLEAPRNGGAVNNGVLSGVSHSQSFGIDTESHLDDPNRRQQI VVVGLGMVAISFLEKLVKQDSERRKYDIVVIGEEPHVAYNRVGLSSFFEHRNIEDLYL NPKEWYGSFKDRSFDYHLNTRVTDIFPDRKTVKTSTGDIIAYDLLVLATGSDAVLPTH TPGYDANGVFVYRTISDLERLIEFASNHKGETATTVGGGLLGLEAAKAMTDLEDFGKV KLIDRNKWVLARQLDGDAGTLVTRKIRELGLDVMHQKRVAKIKTDDNNNVTGIVFEDG EEIDCCCVCFAIGVRPRDELGTTAGIQCASRGGFVINESLQTSIPEIYAIGECASWEN QTFGIIAPGIEMADVLAFNLTNPDKEPRSFRRPDLSTKLKLLGVDVASFGDFFADRDG PKFLPGRRPSVATIPRVKDTTEKESPVKALTYKDPFGGVYKKYLFTMDGKYLLGGMMI GDTKDYIKLNQMVKSQKELEVPPSQFILGAQNGGEENGDDLADDTQVCSCHNVTKGDI VESVKSGTCKSIGEVKSCTKAGTGCGGCMPLVQSIFNKTMLDMGQEVSNNLCVHIPHS RADLYNIVAIKQLKTFEDIMKAVGRSPDSLGCELCKPAIASILSSLFNGHIMDHEYHE LQETNDRFLANIQRNGTFSVVPRVPGGEITADKLIAIGQVAKKYNLYCKITGGQRIDM FGAKKQDLLDIWTELVNAGMESGHAYAKSLRTIKSCVGTTWCRFGIGDSVGMAIRLEE RYKSVRSPHKLKGAVSGCVRECAEAQNKDFGLISTEKGFNIFVGGNGGAKPRHSELLA KDVPPDMVIPIIDRYLIFYIRTADKLQRTARWIENLPGGINYLREVVIDDKLGICAEM EQQMQELVDSYFCEWTETIRNPKRRKYFQQFANTDETVDTVELVKERDQERPTYWPSE GAKEDFKGHQWSALSWQPIIKADHFSDGPPAISSANVKRGDTQLAIFKVKGKYYATQQ MCPHKRAFVLSDGLIGDDDAGKYWVSCPYHKRNFELNGEQAGRCSNDEAMNIATFPVE ERDDGWIYLKLPPVEELDSVLGTEKWKVKKGEAPDPFQKCDKKYKGTRGKKAGDRPSP TKQSKTIDW AOR_1_1092194 MATITEVRTDALVPTDLVLKTGQIKIESEEISTRDLSDIPLPPP SKRPTEVLSVDKGTPDSHVPRDPRLIRLTGVHPFNVEPPLTDLYKEGFLTSPELFYVR NHGPVPHIKDEDIPHWEISIEGLVEKPLVLNFRQVLQQYDQITAPITLVCAGNRRKEQ NIVRKTKGFSWGSAGLSTALFTGPLLADILRSAKPLRKAKYVCMEGADKLPNGHYGTS IKLNWALDPNRGIMLAHKMNGESLRPDHGRPLRAVVPGQIGGRSVKWLKRLILTDAPS DNWYHINDNRVLPTMVSPEMASNNRNWWHDERYAIYDLNTNSAVAYPQNNEVLNLLSA GPSYTVRGYAYAGGGRRVTRVEISLDKGKSWRLAEIEYAEDKYRDFEGELFGGKVDMY WRETCFCWCFWSLSITIPELENSDAILVRAMDEALGVQPRDMYWSVLGMMNNPWFRVT ITKENGNLRFEHPTHPSMPTGWMERVKKAGGDLTNGNWGERHEGEEPTEPEPVQDINM KKDGLSRVIGFEEFKENSCDEKPWFIVNGEVYDGQAFLEGHPGGAQSIISSAGLDVSE EFLAIHSETAKAMMPEYHIGTMDPEGLKALKDDASSSTDEIRPVFLQSRSWTKATLKE RKDISWDTRIFSFKLEHEDQTLGLPVGQHLMIKVLDTSSNNEAIIRSYTPISETSQKG TVDLLVKVYFATATSAGGKMTMALDRLPLGSVVECKGPTGRFEYLGNGRVVISGKERH VRSFKMICGGTGITPIFQVLRAVVQDRQDPTSCTVLNGNRQEEDILCRAELDGFMATD SRRCNIIHTLSKAPDSWTGRRGRISEELLKEYAAPEDESMVLICGPPAMEESARRILL AEGWKESDLHFF AOR_1_1094194 MEQEDYDTDPFSSENLWRLSKFTLQSLQPLERLPWNEELPDLTG GFFRSPLELLNEDCFSSSQLNLFETDVFDPDLLPESTTDASSETSAEPSIARSERGDG SEDIWVLNDVDVKFDGKRFLKTWENCQNRLYQEPTSAYFSESGSRGFDASLANQAATN GLGKLGRVARNDVFLRSLFRLGLGWSSLFFRYNRERQMFERVFDDIRLSGVSVAALNS LTDEMIQCGTDMQRVRNFVGKAPSKSTKLSALSTLSSAVAVVIYIVEKRLLRDADNIL SLIQVRALFQRCGELAGVLADLVEAVEGVVSDAQIFSIVLEKAAHYSQTFGHMEDLFR EIVIRTVYPFLKHAETWIGLRLEASTLKELAANGRSFVVLEPSEENPKTTSQSTRLEY RYNPAEMPSFIPTDQAELIFESGRSLRLLKRFHPQHPIASDEICNGDVPRLSCASTWT DIERIQEKAKIYENRLRSEILRYNRGRPSATKNLIINSQPPAHTEASDTLKDTFDLFD IDDAQNMTGLLANPSSIEKDELSRLIEQGNHKDLEVPEDHRCNFGPELASALYLSLAP LLSSQALLIDFSCLHLLFKEHKLRYHLSLQWRFQLLGDGFFTSLLSHALFDPEMQSGE RKSGVVRSSVHTGLRLGSRDTWPPASSELRLVLIGLLSECHGFDDRSESSAGNEPRKE RELPGGLSFSIRELTAEEVTKCKDPNAIEALDFLRLQYKPSDVLEAIITSRSLNKYDR LFKHLLRLLRMVSVVKGLIRDSTGRDSLSGHPRNVYQKFRIDCQHFVLSLSDYCFHVG IGSTWQRFQDSLAKIERCLDRGDIDGTIEAAHSVPRLRDYHEDILDQMLFALFLSKRH ADAAKLLESIFGTILTFAPLSRMDGTSGVRHESEAIAYQLFATFRKQTSLFVNYLRNL DGVNASSKSFGRSGTTFASREAPTCVFDHLLARLDMRKYY AOR_1_1096194 MPPRKKPKLTAQSEAAQPSANTPASDSAAQPNTDYDPVTDPWTD EQETALLKGIIKWKPVGMHKHFRMIAISEFMKSQGYAPAHAEHTRIPGIWKKLGTLYN LPALDEREDSLITDTSDDVDGSKELYCPFELPEDEYGELMFERRLAMEGSASPVLSAH AESRRGSTVADTDEPRSSPAPSRGRKSGRGGRQPARGARSSRLQVEIEAPRRSLGTGE EEGDSEDAGANEGDEEGSDAAKDDSEGDEEAEEDTGGSPTARSTRAQTTRTKQKEKRS SGTGTRRGGRRR AOR_1_1098194 MFATRNFALPARQCLRSTRVSPRIASPLSQLRGYAAATDERVAK FKGQKDTDGKYTVTLIEGDGIGPEISQSVKDIFAAANAPIKWEPVDVTPILKDGKTAI PDEAIESVKRNYVALKGPLATPVGKGHVSLNLTLRRTFNLFANVRPCRSVAGYETPYD NVDTVLIRENTEGEYSGIEHVVVDGVVQSIKLITKEASERVLRFAFQYARSINKKKVR VVHKATIMKMSDGLFLNLARDIAKEFPDIEFDAELLDNSCLKIVTDPTPYNDKVLVMP NLYGDILSDMCAGLIGGLGLTPSGNIGNECSIFEAVHGSAPDIAGKGLANPTALLLSS IMMLQHMNLGEHAARIQKATFDTLAEGKTLTGDLGGKAKTHEYAEAIMKRL AOR_1_1100194 MADADIANYYNLPSAFPEEWPAELDESDHSEDEALTRTASRRSR YFALERSNSHQKGVNLGSFKGNNGRENLAQMDEPDPLGTGESVLKILKKGGLSVEEES RLRNKFLLSSTSFSPALFLSQAHSDASIKSLLNGLEFLSRSIDQKSASLKVLVEANFE RFVRAKATIDSVYTEMRNQGKAESLSVSQVHRRSGHFRSLSSGKHDITPATISDSGPG KNALTKESEYGMKGIRGPLLEASVKAEEVWGPALGGREREQVLKSVVETMEKHRDVYE IGGLLSKSIKQRDYDSVFEQYTRARTLAKEARNIADMATSKGRPLTDEETHMILAMGR MWMDVDQQIQAFKHDLWRRLSESPIASTTVTALGPVDEHMELIGALLELGVEDNPIWV WLLGRYEYLKTKITTFCERCKTELEILRRRLAAGEKPTPQALASYLRLAPRDGAASDP TTLDTGQVIELWECVHTYLIRLLSSQGGLLGEVFDFWEAAQSFIEGSKQKLLPTGFEG ESRKHHRLSPNDSSELQKGVVELINLIRESILSLFADPPVEDFTILASPIPPPSPKSP MSGGITPTESRFKLDPKNMPFPTPKRGEAWEDFAFWPPFSNSLSGIHYLGQFLVLIGR AASEMAALAPVRSTENAYDLLKSLVSVTRERSVRAACYAWGKDAEVCKMLEDWTRDSE KKDLTKMPGLFVAFENAILSGMQKILYISEAMVKSGDVDVVTQPPAKLLQMVRTQFVS SIYKALSGLVENAEHPTLPQEDSEWVLAEPAARSLSDTSTALFIADAVDARNRNVRIL LTLSNIKAFQADLVPQLVANFETSFSVKLTEEANTIRDVLSQIDDRLFQSYTKPTINK LNTTIVDGITAPDWEPTVPRPEQVRPSSAASNRSSPAGQPPLLIIILTHLLTKVSSSL LSAFSSRSSYTLAALMQATLDTEFIAQTMSQFSTDEASAVQSQIYVELDRRTTHEARA RLQSELGEMRGTLKRLRERTKGEFACFKKQRSRTEPKTPA AOR_1_1102194 MSAKRSRDIDAKSEEQRTTEPPVKKKKGFSVGPANLPDGTYRRK TQKIKADLIQKAKVKKAYAKVRAEELAAAPRKSVYELAEENAEKDNALEAEPASLELH PDRQAMLDQPAPENTPRPERARNQNGEGRRKRKPKRSAFAKEMELAEKRRQEAQKRQE ERDFRQKDREAMARARRPDQHGKRRLGRESNVLLSRVQRMVGQT AOR_1_1104194 MPIFQDPDRQRFYDLWSDDHPPIREDERQLGGSSWAHHHRELES AGRRTNFPGETFLSDCSPSDLRTCDREELIHYIKNADTSAWAHRLYGDSNAGHSISRT PDKTFDMKADFNARGIPSTSPGTSGQELGSPADIQRPRSALHSGDFREGTRQDPQPLP QSPLPGLDAGSRFPLLGSSPTAPWFTAPVFASPTRTQPVTANVSNENARPPSRTRAPS VGSFSSSYVLKAPTSPLVYQANNTDLDFSARTDSTEQLGPLEKASRRRTLPPETFRHL QSSPTTHRGAFNFQSSHSSGKWDEPLPFHHCNPRRSLNSAYTLQLASSVQSPSLRTRR PSLVSEKSSRPHAPLVGSYEESILRGRMSMNPSKPLDFTAQIGVLGKGKCKANLKCPP HVTIPFPAVFYSYPTSGSGRSISDDNPSPYVGLIDLENSLPKDTAVTSRRRRHHHSPA EVCGEMTDNPPPPKANDQDALRRREKRHRRAESPKCPPGGCYRIPQQGQLQVMIKNPN KTAVKLFLIPYDLSDMEPGTKTFIRQRSYSAGPVIDMPLTARKNYGTDRPEASLSSSE DPNDKPTLRYLIHLNICCPSKGRFYLHSSIRIVFANRVPDGKERLRNEIQHPEPRYAP YKPARDVNQTQMNTKLVTDRGCRRPAADQGSIPASLPDLPSPSPHPFRPIPSLREEVL PLHDHDAAETYQTGSGSYSKLTKGDHGYGGYPFSPMGGSEAGESLLAKRLRGLDVQKH NSSGMD AOR_1_1934194 MEGNLRIRDGFQPNYATITARAVAPTHAGLEKRAPARTSTALGD CRFTPGASVTIPAYKGPQNYVQSAIGGALPASLSMSRWYSKTTVDCAPTITMISDEQV QPFLGNDKQSPTIEHCFELNWMDRFWDYLFNEKGYSCDNFNRLMFDKCNNLQPVYNSL PGDDHWDFIGITQELNKFKAMIGGNYDADVVQQSENVREKKIISEYKPWRASEAIIMS RMGLLERIVLACDIWTSSAIFGPLDSTNYRIYSALKDVDANLATTYRYWMTNVRIPGQ MEAGSEQAALLITSIEEGLNLATGKAQETADGAARLDEYREALQAIRLLQMAPRDPPL ARRDSCPFPITTKDPANPTSTSTAPTKTTTSLSIATATTSSDPIYCFNEHNDGSYVPF KVDGAKAAMEALCYNGNSLKPGGPPYTYVYSDPSGTNVIGSVQWAPDQSGCEPEKEVE MKIHCETSMEHCFSKCRNPTEGYGGAFVENQGFGCIQWMLYAQKSNTQCSCNENGCTC CANGSCGTSNALMSTEMKLISLDEVDPALSLSLSTKSTEDD AOR_1_1106194 MSTSETEPKAQAHGLQLSDGFSPATGSVTKGQSALLVEVIVLVV VPVTLQPTVGMQVVDVHEVVVVAAALVVTVQYSAQIVAVSLMLHDGSVGVDPSSSPPP PPSSPPLQPTCTAAEQPSAATPETCTAATSRATAGTAQSEAGTTGTCATGAMLSLTTA LAIRNNTIRSYTAAAVLSPTSPMMAVPTRAAVAVRTSTLAVMTVRPRASDAVVAGAVA IMTVRARAAAAVVSLARTIVAVLADTAIIARTFAAGANPVGTSAATACPFPTIRTGAD TILSTIRSRTAEAKTAVVSTFSILSAVISHAAGRDAVVRHTDTVSASRSKTSMDSTLP KGGTDAIQAARSTAAKGSAKKPRALAVLTIRTFTLTIGDYRPWLFRSATAAAAAATTT ITTAS AOR_1_1936194 MPPLQTGSVQNNSVQMLAAMRDNLRSSENSTTGHLHERATGLKV MIVGDSISQGREGDFTWRYWIWEWFQSQGVAVDFVGQHHRPHQHYTGNPNQQARSNHF AVWGRAAAVDKGLIKEVMAAHPADLMLLLLGFNDMGWFYSDSIGTLDSIHTLISNARA ANPKIKFAIANVPQRSFIGGREDLPVSTNIYNSLLRDTIPKWSTTASPIHPVELEENY NCQPSSCPVGSDGLHPNAMGEYQIARAFSQTLVKDFRIGSSALSIPNDVPARPLPVPS NFKVFTSPGGVTATWDPVYGAYNYDVRSKIKGGIPNFSSGSVSSNRWDATWPIDGWEY EVQVRASAGDTIKRAWTTTLTATAHPQTAEAPQNVIVSATTTGFDISWDPPTGSYRNS VIEYNVLYWDKDAECDLLLEPRSQALRPT AOR_1_1108194 MDFHPSERPSEGDLFEFERFDKGYDAEDICCVICGAPSFIKVVE AEVRDKNLERYKWFVPQAIRAENYHYEKDWDIASLPNHDLPLEVLGIDDIEIDGVHYG ERLLRLEAVRYGEHTSKLVHYLYTEHPYVTVHAECLDMMRRLVDYRQALLKAGVSVGL KHPTTLSQFYEVFEQRLTRVHSKYPLGRNGSFNPRRVVEPHWYYFRDTNLYHNVAWAF GEQKAYEYEMAPSPIPGLTQEILSYLQPLPPSLRGQTGLSPELEALPAEVQDMIYNNL HPFVNPGQTCTRSLPSGLWRDMLFNRHILPWLWDLDISALRSYPVTPDAGNALTYEAE DVWDWERLVRTLAQVEVFEPGNPLQHAPLRLRNRRRIWRLLEEAKGEDIEEWLDTHVY KRK AOR_1_1110194 MATSHMHNLTTLIKRLEAATSRLEDMAMGLDDPSSPKTLNAAAA PETVAPEPPKPAFPPAPAAPAVPPQIEDFDTLINKDVRNFVDLGNKIGDLVAEQSKAV LQAFEAERTYLYVSTKAKKPEPQPAELMTELHTASDSINMIRESNRASPLFNHLSAVA EGIVALGWFFEPKPGDFVSEIVGGIEYYGNKVLKEYKEKDKTHVQYIQSYYQIFKALA AYLKKHYPKGLTWNEQSGIDALEALRQVKGGSSTGASGSAPPPPPPPPVPTLNVPGGA PPPPPPPPGVPPPPSAAPGGDMSAVFAQLNQGEAITSGLRKVDKSEMTHKNPSLRASS TVPERPDSQGSISRSKSPAPSKKPKPESMRVRKPPRKDLESNKWYIENFDNPGEIVEI PAQQNQSILISRCNKTIVKVSSKANAIAIDNCKDLSIIVDSLVSSLDVIKCTKFALQI DGVAPTLLLDQVDGATVYLGPQSLNTEVFSSKCTALNIMLPPKEGTDEDTKECPVPEQ IKSYVKDGVLVNEIVEHAG AOR_1_1114194 MTEQSGPTSFANHVDFCTLGMFILDDIDFGGTRPNVKNILGGAA SFAVVGARLVAGKEHSHAVSWIVDVGSDFPSEVLDVINTWGTACVMREDNNRLTTRAW NGYGPNEKRGEHLTFFRMNSRKDTDGLDFKYLTPKLRLEPWMLSDSQVFSRTFHMVCS AGRCVSIVQNILQRREELRREGKAPSSSQASERPFFVWEPVPDLCTPEEQDKFFVANR VVDVVSPNELELGMMFGQPGWNEESEFGKDIVKRILDSGIGPNGNGHLVIRAGKDGSY TFSRGQRIWLPAYHQPDASANTPVVDPTGAAKIVGSVLAGSAVWERALEALGKQSYIL SSLIFATVAAGFVVEQIGVPHLSTSTEERELWNGTEFTERVRLYTQRLYRTLEESPRK HLQIN AOR_1_1112194 MGGGGKIPYPKEVWSPAGGWYAQPANWRANTAIMGAVVIGIAAV TWSISADRERRDRMPEPGRFFPSRYWSKQIIEHERQQAAKQDS AOR_1_1116194 MKRLQLQRWSSSVLSPRARTGGRLQQHLYYNLRRQSTVSPAPQA ENEPLFEEQSLNSSTHIPQSSHFKYLLPSPPVEAARESAKLAALHARLYLPSRLPLET LARSLVDASADSNPNFNNEALATLGNDLLSHYTSEHLVCTYPRLPLTVIFAAMYAYVG PKSLAAMAKEWGVEMAAVPGGEVDPGLLQFKRVLPGTDVNAGPVTGTERPNEHRKSWR KSMTSRVVYDNEYGDPVGVSGESATPESQNTQGVTAEHANATFVRAVMGAIYLHAGRP AAKRFFEQHFLSRHLNISDLFNFSQPARDLSRLCARENFEPPVAKIISETGRKSRHPV FVVGIFSGQDKLGEGAGASLLEARSRAAVAALKGWYLYSPLNVRVPSSMEEEGAAPWK PVHVDLGEVIV AOR_1_1118194 MLPVDSHSLPTAISPADPSPNKRSTVPPRPKLRLQINDTRHPGS NAFLLLVPDIASTIDKALADIIENLYTPPHPQDEPRTAKGPTTPTFTPSIPPTRSVTF FLRDIGGVAYTTGMELDDDHKEIHLSLQYILTAMKLPDPKAEIVGVLTHELVHCYQHT APRQDNASVPRPPGGLIEGIADFVRLKAGFVPLHWKRPASAQERAEKWDQGYQHTAFF LEWLEDVRIGRGAVGMLNDRLLRSGYIGESEKLEDGRPGFWKNLFGSGVSELWDDYGR YLDKHGQMSTDRSGGDWEDEIVNPSE AOR_1_1120194 MGFYSLTSFVTEFAFCLWVLNLYFVSPLYFSIQLGCQPVSTFEF ILILYVKTIRRLLVYSDVVLLYVIRVVCRIEHFLAPNMEGKATELLAVLKNNNLAIDV KVSHLLSIKSDIKQKNVPDNAVHLIFESLRLAITSHHAALYAAGFSTFGHFLKRLFIQ DQAHIVSAYARHFCPVLLERLGDHKERVRAQAAQIFTDLWPAASADVEHYVLEVALTG KNPKAKETSLIWLSNMSRNHGLLFRSYVPSVVSCLEDADSFVRHTAKSTVVELFQGAP ARAKADLTKEMTAQNVRQSIVNAVYANIGLEDHSSTARPRSRVEPRYTPCTDSHPLRS ASRAEVVHQQPAAVVSSAPLRPSKEATPMVEPEPIKSRPGSSKSDKGRTIAAAPEAEK APHMETARPSSQDGEAPQPLHAETSKQVEDLFRVLSPAFEGRESEDNWRHREKYITSL RRLTYGNAPHDFPQPFFTGIKTNLDGIFKAVNSLRTTLSTNGCLLIQDLAKIGGSRID PMVEVIMQNLIKLCGGMKKISAQNGNVSVNDVLANVTYTPRLLQHVTSACQDKNAQLR LFAAGWLKTLLNKQSHHKSSLEHGGGLALLEKSLKRGLTDANPGIREAMRGAFWTFHQ MWPARGNNILSDLDNKTRHLLEKDPANPNRDQSSYLSSDTLTVPSRSALKEAIAAHKK ARLAPAKTLPPRPESAQSSFSETKVSDPPAKSTGRTARAPLSSLSSAPMRPGAKPRRP ELARPATADPYSSRKTAATDAVHIDSSPRPRRVANLGQAPSSTKSKPKKLDIPMTMAV DPVAPSASNENETQVATQVASKVRKRSSLSEQFAAIKRGIRARTSPKTTPKTSPKLSP QTPPNEPDDRDINALSELSINKTEHPHEIAVGESSNNEAGRHDDHAPCEISFDKLDRH DENKLSESPTPGPDHHDENALGELSINVPDRRDETALGELSINKPQRRDENALGELSI NKLDRRDENTPSKSPPSEQDRRVEKTIDSAEHTSQAQRRVEESEPYFARFKIRSRLSN KRRNISPHSEHLENAKEMVRVAGQRIRSRSFDLFAYRKLQDLIHYHGEKLFTRPVFDD LLDGLLVELRKEPSPDRKHNGDYADVKTQVVGTLRLLEKSCPNLFVIDYDAIDAIFHA RRYFETNSWIVQELQQTALEWFRNCEPSKLEGMLDTLVQYVQRETRDEPGYRSILMAL SLLTDLIGDANKKGAWFSNEILEQVGTIAARDILAEDTDIRKKSIELCVQLYVMSTNL YQDKGVSFWRLVKAPEGGTRQLIMYYIARQ AOR_1_1122194 MNWTGGRLHRHSTTNAPKHKHKGQAIRKPTQGPQQVTLFHKFKQ TKAQDPDKHTPNDKDNQTSHQKQSPTILASLSPNHRSSKESTRLESIKHQLLVKTDWA AVSAARPLKMTFPPLEEQFGKRRKLTEADRRRLDKSDNQVFRGEGPLFRYGFRKNETL SKIGTIDGLEIRINGKNAGVDQVPAFEDIQQSNLSSQPMLLDHEETVLREPSRTSMVT MPTGEYSSVIPDYHSSRTSLLSSPSNIWISQSRVLSGYLSDY AOR_1_1124194 MPCHIKNDPEQVSLSQSALKNMDHISLPRHTDLIPGISNMASRS IQDDDLSSQDNFSLCSSTHQSHGTPHGLPSWALGDVDSLDNGVIPSTKPESPEVQMLS FNLSHQLMPSTVGPTDVMYHTGSEYPGFHDNDLDLTHPQDFNPYSMMDLTAYDDVSGQ SGNQSCTDDALSSHSSHTDDSHLAASDAWNSMVTDTRNYHGSPLEQFSSSMFHPVPVS PPLTEASNDVSVTSSCSHTGYPSFMTHEDAMLKDVTTTPVGSHGINLGDPLFPLTPPL AEQDPNKTIRASKNARRPALHTPPSQTQVKQDPEFFPPLPKEPVRQRSTKESTELRNP RDHPYYSLPTHNDGKYYCPFANGDKPCNHAPTTQKCAYHKYLDSHLKPYRCKVPACMD AQLQFSSNACLFRHEREAHGFHGHGDNPHLCLFEGCDRSIPGYGFPRRWNLFDHMRRV HDYASSDRPSSPDASPTTGQAAKKKEAVGRKRRVTGVSGAQTMKRTRSTQSQTNPLKA AQQTSAHHSQRLQNAERNYYNCRSRLLEELSKITPQDSSMHEKVNASLQELITLGLNY RHIEASQAAAQIANGLPA AOR_1_1126194 MPLLILTGYPCSGLTHRANQLATGLQETQEAVFPDAEKPPYKIH VVSTHDENRPRTVYDHARTEKEARGAAYARARRMLGKDSFVILDGMNYIKGYRYQLWC EAKALGTTCCVVHVGTPIDQCVANNEARLNRQSQSQSEPEPESQSQSETTTPATDTNT TTEKPTDPEQPYPPELLQNLIFRYEEPSTHSRWDKPLFTVPWSDEKPPIADIWTALTG IPPPSTAAAETEDQLPTLTSALTNTHLSSAASIAPSTTTKGGGSFRRERPKIKPHLAT VQPTSTDSGLLYSMEKRTSAVVSAIRSFVVENPSAEGALAKAGDHADGIRIAVPEVET PVFVPAHVAMTATTDELGGAGGILAVPRLQRLRRQWITLNRAYVAKVAGGDKTSLGAD QVGDAFVRFLNADFAGEGVA AOR_1_1128194 MMLTSSSSSSSSGSNSPILQHPHPQAYFPSLPRRREPVKCPRYY STPLRSDSPESTPPQMYGLEQREPSLYGDRPSTLLRRFSHALDDIKEDFSLQLDPRNT TDKIRSKRRQSTLMMMDTSAPGSRAGSLSGSESPAEAPRARPMSIMSTDNSFSPPSRR LSRRLTLLGFRKNRLRGAQAASISQPNLIGSSTQI AOR_1_1130194 MDSRVQIPDNSNNHANNNMSTTQQALLTTSPQPHNPLSLASKQP IPTSGASIHERSASHHPHTQPTEYSQQRLSQLPEASGQQPNALESYPHQVSGSGGPTA TAPFLRDFSLVAEAAKRAQMSVVMRDLEAVTL AOR_1_1132194 MADADDFETGDLFKDPEGFYEPEKEPTFAEHHMLSGQTVRVRLV GDHPLYGNLLWNAGRTSSHYIEEHAHELIAGKDVLEIGAAAGVPSIIAAVMGARTSVM TDYPDPDLVGNMRYNAEISAPLIPKNSSLHVDGYKWGSPVEPLLAYLPAGSTGFDVLI MADVVYSWREHGNLIKTMQMTLKKSPDSVALVIFTPYEPWFLPRTETFFPLVEQNGFR VTKIFEKLMDAVLFENDPGDEKLRRTVFGYEIRWADDQLK AOR_1_1134194 MAQPFLLSRAIVYFGSTGSRLPRDTAGDLIRDSAIVFIGIAISN ATYEHLGHRAMAMLRSGTTALVYHTMMWLHIDIAHESGAISLVDADIDSVAEFVRRTA IARAVYARKPFAFFDDVLNDLDQVTARKVFDRVFSARGILRRIGLNHLPQADLVIAFG EDGLEIERPRNSREENIATDEMAAKRRMTRGAANIEDQTRETNGLAIYATAVVWPKVA LLLGFLVMEAGLGVLRYVWVTMWSESEDGVSNSRLGFWLGIYASIGLALTNPAIDLYL KLDVVGDYARCFEEPPWGYVKGNHDINGKTKMLHLKLTIPKPINDSVIESLTARLKKI DEDFNLTSIDQRFAEAFYDCPDSSESELDVVRTDIQQLLKDPNPLIRGYTIDHHW AOR_1_1136194 MKLQPIPLFLSLSLLFILPTHAQNRTCYFLDGSVATADVPCTSD ATTNCCNKNDICMSNGLCYLQGSHGMSLSRGSCTDKSWGARCFAPCSSTNRNNGFPVV NVGFSGSSSKYCCGSVTVKDGSTTCSSEGDPFTITMGTAIPGVAALAVNNSDSDSGSG SGSGSDSNNNSTCDDADYKLKEKALDNHDVAIGVGVGVPLGVIAIASIAWALYERRQR RSAVRGVGEKGEGGGYMGLNGGSLSGSMNMGGNGNMGGNGNMGGNMGMGGMPLAELNT TQASTQRPVELDSGKVHR AOR_1_1138194 MSVMTRNLDCIPYDVFYQVASTLDCHDFVHLSRVNRSLNALMRN ESLARKTVENHLLHTKEGQQATEKKSGYRKALGRLYDIKEAVATADPYSASIIGYGSA FLYSGGALCYVFNDEIRALDVHGASQVEHVLNLHAVLSRVIPDCDPTEDPTQLSLMNY SHGVLAFSVEIVERRETWLLAVDMRRKTEGGKGRLRLRRQLENKQRLFVRHNQSYLYY GTHSGAGYHGYKQWIVNCFELATGKQTNEKPVQLHDFAGNEIGQTVCFDVHQDHLYAV STQTAFEMEEVDWTSFYVWVCVAPTNNTKRVTLNRTWRRQHREGPINDSWSDISLRHD EATKQLIILECRREWHKGGSENFRTYYVQPLPSPAEIMQSKHQGEACRPVSLPDEPLT KTLDPSSKPNYERPRKRLRRHYHPEYTLGHDDPVHRQDFILNRTKLRTYNLSASTFVD LVNDPCPTPGSLIPHDRLRLRMVSRKRKCPIDEAGEEGTPNLLYQPEITEEDQEEDGQ AAGCSEERFASRGVRLWPPDNAPAELTQLLCPSKRTGKVEAMADERTIIYTVNQEGLS SDLQAIILINFDPALRLPGLQRLDMSGTPETQPNTTTGPPTFNPASPRRANHKEPSVR EERAMHLHIQRGFWLR AOR_1_1140194 MYHPNYNYPPPQPGWGGGYYPPPQQHQQQQQWSPPPPQPYYSNG YPPPSQSPHSYSPPQYPPHGQYEYGHHTPTPPPSSGSQYRSYHSHSPSWGQMPPRPPM EAQQFGKGAPSNYRFQYSACTGRRKALLIGINYAGQPNALRGCINDVTNMSTFLHERY GYRREDMVILTDDQQNPMSVPTKANILRAMQWLVKDAQRNDSLFIHFSGHGGRTPDLD GDEEDGYDDVIYPVDYRTAGHIVDDDMHAIMVRPLQPGVRLTAIFDSCHSGTALDLPY VYSTQGILKEPNLAKEAAQDLFSAITSYGQGDFASVAQTAIGFLKKAALGESARERTV KTKTSPADVVMFSGSKDTQTSADTFQDGQARGALSWAFIKTLQARPNQSYLQLLNSIR SELEGKYSQKPQLSCSHPLDTNLLFVM AOR_1_1938194 MAWSYIKSYTSRAYISIHPNEFDVSTPAPRGQRLGIAMEEKQHY LGSKAAKYALGIHRGPLPQDDGEGVAIEHVETANTISLEKREKTRAERARRHWARFWC CYIFWSLIFLAVFLPVFFTVIIPAIAQRVLDNASLVVTETSVMQPRPDSIMLSLKTAL KLPIGVPVRIEPIAISLFNRKEKGNGTWAKVYLDGATIDGNTTLGIDNQFTPLNVEQW KEYVHSVVFEKNAPLSLNGKTTAYLGKLKNHVTMDKDVKQNTLNSFSGFSVADPQLLL PPREDGTNLIANATLPNPSVMTLEIGTTVLDLKSGDLVIGNATIDNLVLKPGNHSSPI HGIMDLKVLLKNLVPILQSQASSLKNGYLTLDTVGKSVVYDGVEVPYYTEVMRNLTMT AQVPLGGLITNTLRGILHDGNGANIFANLTDNSSSDSGSSGGGLLDLLGRSDKGLANM LTSRAVDELLNNPEKRSSMINVLEGFL AOR_1_1144194 MSFDEKKSPDQISQTQSASSDSSRLEALDQLEILPQILQEGILF AGSGSALLLQAAFPGIRNRTSDTHNASNGHNSNLATELGDALQANLSYIACLVFGTRE EKKTLLELISQGQPPLRGSENFSSHRPTQLWVAATLYATATDFYQRVYGLVNYTTAEK AYAEFTILMHAMGLPSGTWPENRQAFWKYWDDQVEQLTVTADAHKFAQDLLHRTDYPR WVSVMKPFLRVLTIEMLPPRIREAYGLKSTFGTRGLYRTTMGFSVAVYPALPTSTRGY PLRYYLQELRKHMNVV AOR_1_1146194 MRFFKYLVFAAPLALATPRPNPVAAPAPDGGLLSELPALIDAVK ELLSTDTLNDIQTIVKGGAVLLGGDTPKNLQKLLSSENIDKLQGIVDNADGLLTPKFV NETTTLIDAASPLVDNVSKLLAGLLGSLV AOR_1_1148194 MKFAWLLACLSATVLAAPEKRDAGPTTTDSVNWSALGQALKSVT LTMPTGSANLDNISPPPRSLIPEIIKNVPPSALAQLVVPAQRSALASEIDAGNTPSWY LALPSDVKSYMSEVKKEMSDGALTATTGKYAPAKATAESTKDGGASSTTSSGMAAAAA KPTGGLVLGGMGALGVLGVALAL AOR_1_1150194 MAWGWDESDEAHQKVYGQEKHEGKLSHELIAGAASFEAMKAWED RQRKEGKSVKHSFAKEAISGIVGSQIDRLVETKGLDAMDAHKAKKHAEENAHEMYNEH YGRHGEEYDPSRYARHERFEGR AOR_1_1152194 MFKRGLFSSLASLFLRTVTALALPATDSGTFQVLQQPNLNFTKN AAAIHFSDLAKYNVTLNSTYAVTAITAAKQSGGTPAIPLIWESEYVCPVVIGGQTVYL DFDTGSSDLWVLSTLTNVEGIGHKIYDPKFSPSSKLLPGATWDITYADGSGSGGIVFK DHVVIGSSVAIDQAVEVALFVSPQFASNPFNSGLLGLAFSTINTVSPVHQLTYFENIL PTLKEPLFTADLQHGRPGTYNFGFIDPAAFKGPIAWTPVTKPQGYYAYWQLDVTGFQV GPEPYHEHIISGIADTGTSLLYLPPLVVLEYYSKVAGAFFDSSNAAWVFPCTSPLPDF TFGVGAYRGVVPGSYILFQPLGDDLCYGGIQVNTGLPFSIFGDILLKAQFVVFDHAGP RLGFANKL AOR_1_1154194 MPTIPARRFAMQRLHAGQSIKVIDSSGGQVIDTWAFTIPSTPAF PRYMSMTHTRSTLQKLLPSVNESFLDNRRDPILTIVEDTSPGAHDVLYAACSPERYLQ LGGHKDHDNCADNLRSAVQQCTEPSFSHVVGFLESGWMPDPLNLFMKVNINGTKLQCL DPDSKAGDYIVLKAEQECIVIMSACPMDLSACNGGEPSSAAFEVL AOR_1_1940194 PNLDQKWDIIAEIPDLTGKVAIVTGAKYIDYSRWKGTIADHKSS SPQGIGYHIAHQLAIKGAKVYVGARNREKSQNAIDEMLQSTPSLVHERLVPLAMDLND FQQVQSTARGILEREERLDILVNNATR AOR_1_1158194 MTARQAPGVRIVNVSSRVHLALPTGVQFSSLDDFNQDFGSEDDH QSNRLRYGLSKLAMVLFSKEIQRRANEEGIPMVATSMHPGGVRTDGALTPLFAAAHPL PFIERGKYGGAYLVPFGDIGKTSENGDSEQLAKDLWDTSERVLKDVLSAGL AOR_1_1160194 MSDNGASTLKSYVDSAAGMVQSAVGAVTGNSATKAEGDASQQKA AAEHDASHTTAKLGPFSADPNTGATAKDREQRSTGAWDQTVGSAKESLGNLIGNENLR KQGEDQNLRGKGAEAEGQLKDFGEGAADRLQGGLGKVAAAATGDRSEEAKWTQIHDEG KVKQRGAELDMQKHA AOR_1_1162194 MFFNDSFEDFHLDIVGFLAILGEGSVSVNYQVSTLSAFTFLPRL LPAPQAFMRPSRPLRLDDVPGTVLGIHSGNCRPHVYRIPHIILPGDESMKSDSDYTVR KYRITINPGGDPKDALIKAQAFSLLSLLAIIGCAMSIALLGLSIHFNDGWALIATILL SCLSSLLGIMCKWSLKLGKRVTGRDDIPTGDVIICYPNGAFIIVECDESVARPLFFAP ERCNYLLSGTWYRSLALLGTMMLMFGVIALGNSGARMQVAFGASYLLLNAAYWMVAAL PERLHWDYSALHIQEVGPVSQAPREKRSFRQALWNAIKLTGSTRWVKTGRIAPDTEAW DCWLGQAQLAVNGEDGLNPDTWEWSDRLDDCLGLFNDRPRKPVPEERACTV AOR_1_1164194 MLAKLLLISKLFGPIFGQIYAILGEAVSTRLHRLTYRAVEHPRN VVVIGASFAGYHAAKCLASSLPTGYRAVVIEKNTHFQLTWVLPRFSVVNGHEHKAFIP YGPYLDHVPKGSYQWVRDSVERIVPGENGHTGKVELASGKDIEFDYLVLATGASGALP SRVPAGSKQEGIYQLLAEQEKLRAATNVVIVGGGAAGIELVADAKSRYPEKSVTLVHS RKTLLGRFGPRLGEKALQALEELGVRTIMGERVLSDNAEGRNVTLSSGETLACDYLVK CVGQSPNSKLIQALSPESISETGHVKVRPTLQLSDTSFKNIYAAGDIVDMDNIKNGRA AVEQAQAVAQNIVRSIKSQNQLEYRPQWWEGMTKLHVGLGKALVWMGDGSAEIIMSMK CRAEELDSAKVWKFFGVKPYMDEGYELKRD AOR_1_1166194 MFGNKFDVNGKLVVVAGGSRGLGKALALELASKGANLLILARTE ATLQDTQLEVEAACVSSKQIVDTRVVDLTKPDEITRALRHYHPPDILVCTAGGTPTQV GFLADIPPENLTSCMESNYYTTIFAVQCCLRLWLVAPQTPSPRHIILTSSTAAFLGLP GYIAYTPTKVAIRALADTLRQELLLYGKDAFRVHCCFPGTFLSESFSQGQEHKPGLTK VLEGTSMPQEDLEQKIPGAREVARKIVWGLEKGKTYIAVDFQTELLLNNMRGPSPRFW AVCDFFLGLLASFVWWIVRIDFDRKTTRYGATRNARDSRV AOR_1_1168194 MGSISSTSPSVVKADSTPYFTPANNAGAAVNPDDPNTPTLFKPL RIRDVTLKNRIIVSPMCMYSAESDPTSPFVGALTDYHIAHLGQFALKGAGLVFVEAQA VQPNGRISPHDVGLWQDGTDSEQFKGLQRVVQFSHSQGAKVAVQLAHAGRKASVLPPW VAAQAGKHSLRADESVFGWPKDVVGPSGGEENIWDPAEGTYWAPRELSTAEIKEVVQA FAKSAELAVKAGVDVIEIHAAHGYLLNQFLSPATNKRTDEYGGSFENRVRIVREVATA VRAVIPKGMPLFLRISATDWLEGQPVAAESGSWDLESSLRLVEILPEVGIDLVDVSSG GVHKDQKIKLGPGYQVDLAGELRKAIRKAGASTLVGGVGLITEAEQAQSIVQGADEAH QAEAIVTAKADVVLLARQFLREPEWVITTAKKLGVKVTHPHQFWRAL AOR_1_1170194 MALKPGDSFPENVVFQYVPWTEEKGDITACGIPIPYNASKEWAD KKVVLFSVPGAFTPTCSVNHVPGYIQNLPQLKEKGVQVVAVVASNDPFVMSAWGKANK VENNDILFLSDPDAKFSDSIGWANNGRTGRYAIVIDHGKVTYAQIETERGVVKVSGAE AVLAHL AOR_1_1172194 MNPLRYLAPPRPFTDISTSTTKEIKERICYVDAMKANPHFKIFE DRDEALFDYLESCHTLTNGSPTNEERQAAQACIREYEKSLENNAPARLSFDLATKVKL GEELDNLWNMWLFNRYEKYLPEDIVNAAKSHPSSQVSDPWHKAFWTPFNGRLEAEKAS FDKVLVGQNYHNECPTFLLLALLCERHSLDWDETLQLIKACASEGDKVDLPAVDLVDF LKKRDVAGLATRLDRDEASISLSTEYVMGVGSLVLAFFATHLPEKFFNRDEEADPANW TPREPLQILFDLNEEHLEKTFRFMLQEMFHKMADGDSDDDDDDDDVYDDWDNDDDSND DIAMSDASEDY AOR_1_1174194 MKLNAILLGAALASAAPSLDLRALPNAPDEYAPANVSCPAVKPT VRSASKLSQNETDWLESRRKEVVSPLKNFLGRLNLTNFDASAYIDRVSTNTSNLPNVG IAVSGGGYRAMLNGAGALKAFDSRTTNSTASGQLGGLLQSATYLSALSGGGWLVGSVF INNFTTINDLQTTENTWDLRNNILEGPDVKHFQIFKTIDYWTELVDTVKTKKEAGFNT SLTDYWGRALSYQFINASDGGPDYTWSSIALMDNFQRGQTPLPILVADGRNPGELVVG SNSTVYEFNPWEFGTFDSAIYSFVPLEYLGSDFKGGKISDNGSCVRGFDNAGYIMGTS SSLFNQGLLRLNGTKIPKIFKSAIESILEDLGQDNDDIANYPNPFYEYTGATPNIANR SHLSVVDGGEDGQNIPLHPLIQPERHVDVIFAVDSTANIHNWPSGKSLVRTYERSLSS AVSNGTVFPAVPDPNTFINLGLNKRPTFFGCDSKNLTKPAPLIVYLPNAPYTYLSNTS TFDLSYSYEDRDDMITNGYNVVTRGNGTEDANWPSCVGCAILSRSAERTSTTLPEVCS KCFKDYCWDGTINSTEPENYEPQIMIKTSLAPRELPGSVAAIFSFALALAMMF AOR_1_1176194 MRSQMRQTQRVPRSCIQCTRRKVKCSKRIPCDKCIERGESAACM REVVKVAGKVTVAVDEDVSDNSATGTASRLLEENMSLKMRIRQLESVLSRPEMLKVEA NIPRRTAAVEDFQSSESILSEFQRLPFQLSVEPGQCHADSTRPWDDHVMLCLPARHWS EVIVKFSLRELGWVHCALDASVFIREHDEFWDSLIANEGNSLRDHAWIAVYLSVLAVG VYFISEEKIENLQLVYESFSARDPSVRSSLGRGSIELSLNWRAAALKELNYANYTGKP SLRTVQALAILNVIHKNLGESDQEYILHGTAVNVARLIGLDRLGHDRGTVSTHMESLE SNSSQQNVLRRLWWTLVVCDCCVVTADHDPPGVFHNSIGSKGRTKYFY AOR_1_1178194 MAKEADAAHHEFTVTKDSAQNVPTGTVKLVEDGEIVLIPTPSPD PRDPLNLPQWQKWVVSSTLGGFAVLSVLMTSGMGPITTTISAYYDGNPRTNDLMTYPT LFMGLGNVIAVPLAEAIGRRPVFLTSALILTVGSIWCAASGSLDSHIAGRDVLSLAAG QSEALCPLIIQEIHFVHERSSRLAWFSAIQSIGSAALTIATAYLVQSLGWRWWYGIFA IISGVLFLVSFVLVPESLYERPTDAFSGQVHIQHEGEDLAIVRATTKRRVELDFTRYQ PRTWRHSLKIFHGPAKWSVAIDCWKHMLQCVLFPNILWIALMNSVVLGIYVIMVTVFG SILTAPPYSYPATALGLVQGGQIVVSMILVPVMGYGGDKLHEWIARRRNGIAEPEFRL IPILLPVAVSLISCVIFGHAGSDPYHWSPWAITVGFNGIYFGFIGIILIGYTYSLDSY GERAGPILVLICTSRGLISFGISFGVTKFVGNLGYKQAFDICAIIMGVISAFGFIVFF FGSKIRSLTMKYAVDKKTAEI AOR_1_1180194 MAPSRIDVPEPTSIPNPLKSHQSTKQETHYGDFRDDFFKNGYTV IKGVLSKERAREYQSEALTWLESFNIGFDRNDRSTWKKENLPQSFKGGMYLHFAAAHE KYMWDVRTEPGVIEPFAKLWGTDELVVSFDTVNITLPQSIVGEYDSKPWPHCDQAPER KGLACVQGIVNLSESGPNDGGLVVMKGSAALFDKFFEENPVTGPMPWRTAKHKDFHPF SDKDLDWYREHGCELIKVCAEPGDLILWDSREMHWAQFGDSDLIRTIVYATYTPAAWM TEEDRAAKKELFENYETTTHWPHTNLYTHGKATIKVDGEEVPDPLERDEPLTKPVKTE RLLQLAGVVPY AOR_1_1182194 MPSPVPVATRPIDKPSVGRNNYQPFGFREEVLPAGWSQNGSRPL PCDIHASHDVGVKVRDGSTLYCDIYRPANTTKPVPAILAWSPFGKKFNGISMLKFLPW GLGIPNGVLSGLEKFEGPDPADFVPRGFAIINVDARGSGDSDGTVGIMGTQEAEDGYD VIEAVAKMPWCNGNIGLAGNSHLAIVQWFIAALQPPSLKAIAPWEACGDLYREQFVRG GVFDAGLFDFIIDTNIQGHGGVEDFHEMYRRYPKADSLYWKDKRPDIHKIKIPTYITA SYTSFVHTMGSLRGWLQVQSPHKWLRLCPWQEWYDIWNCKDSADDLARFFDRYLNGAD NDWEKTPKVRITILRFNQEPLYDIAEEDYPIPRTEYRKMYFHPGGRLSVDVPETETSI SYNSEKYLDCASFTHTFDTRTRLAGVPKAGVYMSCPDFHDMDVYVLLRKLDANGKALL NLNILWSSIAHHGVSQDNIDDIPPRNKNNLMFHVGSLGILRASRRAIDSSRSLLENYP FHPHDRDEYITPGDIVKLEIGIWAMGVEYEAGESLQVQVHGNSPLLRGEFKEDNEFQE LASHGTHNLHIGPDYPSCIILPFV AOR_1_1942194 MRVINLLQTWSVGGSLIVFGLWFQSCNTESLGNPVTPGTLPLLD NSTTVDNCSAYSDNALKPLSIRGEGKHEWESDIGSFVEGVLKDDHSLVDEKTTLYKGN NDPNDNYGHAIGWERNKRNVRFRCGCSRPRVKMIETAWLNIVTMLKHMAPVLQKLRQH HQNATPLAQLPAAEQSILNLFRVVYGSGPAVQELDRIERMRSSILKALSSLWYELNFW CGDLEWILDEEYQIIQNKPKKCATKTNIQSGRAPLYRLNSDQVMWRNKHDNWVTYMAK RESYCKGNEKAMPAILPDPHAIAKGDHIIICRQSLVLGWRIHVTALHKKTQREIMDNG GQRDLYNLAHRTPEGGILKLFAISESIHGGRMTEDEAQKFVLLSLG AOR_1_1186194 MASNENYEHSLSYYTPSDSRRDKLFLACGPIALLLVFIFFGASG LLPPTSPLQAPQDLADYYQQNKDHINIGIFLLLLASSLWPLFAVGISNQLARIPGINI TILILQMAGGCLLGFALALIGTFFAAATYRSGRDPVITQLASDLAWLLYMCIGSPMIL QTFAISWAIFFDNRAKPLIPRWVGWTVSTISVWAIPTEYVAHCFHEGPLAWDGLLSFW IPWLWGAVSYGPLFLSMWQAAGVITKKHVA AOR_1_1188194 MTIVYVRTVMTKFFVRNKSRSVRKSQNPPNGDKLRSKESTPESS GRLSSDTQPGQEKQVCEDGNSKVSTKPEKNIVLAPYNYIAGLPSKNVREIFIQGIHIW IQVPQPTLESIKSITNILHQSSLMFDDLEDESQLRRGKPATHMLYGPAQTINSAVYAL VNTFSEVQRLDSSETTEIFIDELQNLHCGQSFDLYWKYHGHIPTVEEYMMMIDHKTGG LFRLCVRLMQAKSISSGPHLELTHFVSLLGRFFQIRDDYQNLMSEEYSHQKGFCEDFD EGKISLPLIYYMQSSGLESDQVKGLLFSRRSSGDGLPLGMKEFILKAIKTNGAIGKTK ALLQTMHNDLLTELHRLEASFGLKNPILEGILTKLQV AOR_1_1190194 MDLTLHLILFLCFWACYLLFEKQLQQRSPLPPGPTPLPIIGNIL QFKRKQAVWETISQWSDQYGPIMSFKVASRTIIVLSTNQAIRDVLEKTPVNSSRPRFI GVNENLTRSMMPIFLPISDKWKAIHRVQLSLVNSRSAKGIAEIQLLTAKQHLFNMLEN ANYDIADHINRFTSNVVSTVLFGTNIGSVSQAKSNGKFGLADQFIASICVEHALVDLF PILEHIPGVARLGASKGNAWFESIRQQYTEDIQRAINSPTWSMIKAAHQQKIGGMSED AFRMWNVEMEFALGMTSSMMIANLVAMAVSHSHEFRQVQSEIDRVVGPERLPTADDLA HLPRLHAFVKEGIRVAPIVPFSVPHAAVEENEYMGYRIPVDAIILPNQWHINREPKYF EDPAEFKPQRWIDNPNLPGPALVGYGIRICPGRQTANNGLLIVLALMAWGFDLNHAGG VKEPPNVLETLIMKLPTSSIRYSCRSSVHRDLIQKEWLASDDDPSSILNTLGSSLGF AOR_1_1944194 METASIALCQERLCSKIDRPHLLSIQYCYDWIQGLVPYVQPTSL LFNDYMDCQPDSPLSIPNRAEIHALLIQHSIKLDPVKANMPWRSSITNVRQNIHWQAV VDGYTKILQAFAQDETAKRTPSPDVITVADMAMKELKRVENGSSRFVTYMYPFASEHR MRLLAEMQCLSLLFDESWEHHEHDHNQTFFLSLMPPINNKDLHPKTPLQEAVWKSIEA IYEEDEHAGGNGGTGLVEFLTNYIKHPTPVKEMKTFTDFMDYRFNDGGMMVCWNSAKF SIGSNLSLQEPKMARIVRLFSDQMLESNDIASFDKEKKHWLSGNAKQFLTTNGTAIIR DLFSLKSDEAAKALAYANQMETERQMDRELGDLLSTGQLSTDEWHLVNAMLYCVTGNL ISAVTMCRYGGEAARIPTTKKRPDANGLSGVVFGCV AOR_1_1192194 MAVYNRKSEGDVTFDSNSVSEEFADTVSGINEKRLMFKIDAHVL PILCILYFLAFLDRINMGHAVVFGLEEDLGMDPKSNQFNTALTIFFVPYVLLEVPSNI VLKKLQPHVWLAGCMFCFGILTIGQGFVKSYGGLLVTRFFIGVTESGMFPGCYYLIGM WYRRPDALRRYTYFFNSTTLAGAFGGLIAYGTGYMQGMRGYGAWRWLFIIEGAVTCFV AVLAWFLISDFPEQARWLTEAERRWMKKRMEIEHGTESAEDPIRFIDVVEVLKDYRIC LGALIYFSFLVPSYCYAYFSPRIIKSYGYSTLQTQLHSVPPLAMAFFLSLGIAFASDR LRHRYLFVLFNLVVAIAGLAILLSVHDKPRVQYAALFLVVFGPYCGMPVAICWFTMNV GGHRRRAIGTALQLGFGEIAGIVSTFLFKAKEAPYYHTGYSVAISFFTLAVFWSTCYF FACWMENRRRGRLMSDADDSPESIMVGDGDLDVSYRYML AOR_1_1194194 MSQPIYESTSAAKLSIAIVGAGIGGLSAAIALARDGHHVTVYES TPELSEIGAGVQMSPNGVRYWLNWGINEDLWQKSSLPSELNMRRWRDGGFIARTELNP DFENRFGAPYLVIHRAELHSVLCQHALKQGVDVRTSSRAVDYDMDAPTITLATGEIVR PDLVVAVDGINSFARTKLLGSTEKGGPRKTGVAAYRLIVEVSDLLADAETAWIVSNPN LNLWYLF AOR_1_1196194 MAYMISNGTRLNLVLSHPDASDTSNMSQEELTQEMMSYFHDWDP MLMKIVQKKKSIHNWPLFEVEPLDKWVSDSGKFILIGDAAHAMVPYLSMGVTMAVEDA ATLSKALAYVTDKRDLRLVLQLVEKLRIRRAKQVQQASLANGRVLHLCDGPEQDARDN AMRPSVEGIPLEKSPYGMTDPQTQAWCYGHDVQRDFEKAWERIVSDRRVDASL AOR_1_1198194 MQDVASYSYRNSLRPWNVVCQSTCLAACALVMAMRIYTKFFLRR SASWEDYTCLIASVGFIGYATISLEADKVGSGIHETEVAKEDLVQYAKLANASQIMYG PLIFITKLSILLLYLRVFAPAKRSWMYIFIHGLLWFNAAFYLADTLLEIFACVPREKI WHPDVHGHCVNVNVMILATAILNTISDFSLLILPIFSVWRLHMRNTQKLGISAIFAAG LFACFSSAMRISISVQKNNTSDRTYDWFPEFLWTSAEISAGIIASSLPAVPSFFRHIR GKASTAISSELQSTRRSNRYNLSKRQRWSSNGASGWRNGPIESLSQMEDNELDEIHEW RCRGSRLVDRGATSIADSRTSQKGILKTVEIDVEETEIR AOR_1_1200194 MAQTTSFALVAGCSSGIGKALAVAFAAQGVTVLATARRVEYLKE LTSEHENIEAFELELSSPESIAKLKDAVSERTDGRLDFLVNNAGTHYASTAMDLEIEE VEKVFQVNLFAVMRLCQIFIPLLRNSPRGRIVQLGSVTRNVPMVWQAPYNASKAALSQ YSKTLRLEVKPFGIEVIELVTGFVQSNILHHGMHAPETSLYLPLKKTIEEIKYEGNAN GMPADAYARSVVAKLVKPQVSAEIWEGVHARTLRLLVTILPLRLFNWFFYRRFKLSLL ESREGDKPKSS AOR_1_1946194 MILSSEQRQALLDGPAASPPSGVVPNLADPPNLQAVGRALILVF WSLALITFAIRIYTKAFIIRSFRISDYAMIVAWALSIGYFPIAWKVGDIAPGIDQWNL QVKNLIEVLHWFHTGLIMYSIIICFIKISILRQFLEIFSLKRDYFFWTCHCLICINIL YYTVFTFTIIFACNPISKYWDVLRTEGKCLNTELQMFVAGIINTISDLTILILPHLKV WKLQMSPRKKHAISVVFLFGLIACVGSSLKIYYAVRLLNTENNKSYLIYLLGVCTLPE IGGGIIAGCLPSAAKFFTHMLQTPLISSYKAYFSKLISASSRWSSSSTVARSPGNSSA VDLEAAKKSQSDDQYPLTSVPAATHLEYSLTEFRVYALNISKFKLGEHKQDMTFIIRV KTDILLPNEYAC AOR_1_1202194 MAPTILIVGATASKTNYRIIALTRSATSLTAQQLAKIPGVEIIE QNWTEITADWLQEHQVVRAFIASHNAPNQFVEESAFHVAALNAGVEYVVRISTTMPTV RPDFKGYYPRAHWAIEALLSSPEFSTLKWTSLQPNAFLTYYVASAVEYIKQYKRTGEQ GTLRLMAAKDAPVGSVDPNEVGIFAAHLLALDDPSSHSGAKYVLNGPEDITGEQLVGL VEQHIGTKVKDVSYQDLGFLDALLASGFGGLGQSKTVMGSLKYGLLTMWEGTCSASTT SKEVLEIAAPRTTPAEMVNKLLEE AOR_1_1204194 MALRYLITGATGGLGKHVLNYFVENIPFSEFAAASSNSQNKSKF EDRGIAFRHVNYDDPQSLETGLRDVENLLFVSSSGYSRGEQHARVINAAKKAGVWYTS LAFGGFSDDSKAPVQQDHLLTEKLLRESGLTYTSIREGIYAEAFTVFLDWYPAKTTVT LPADGEVAFTSRPELGEATARIMIRGGYENQIVLFTAEETTTAKELVDILNDSTGRQV KLNLVSREEYLDTHSIHDQRGKPREHFEWIATIWDEITKGSLRTIHPLMHEILGREPT KPRDANIMNFKRSVFWGATTSSTPPMGINTVNY AOR_1_1206194 MAPKVLVVLTSQAQIPDRDHATGWYLPEFAHPWEVLHEKVELTI ASPKGGEAPLDPSSVEAFKSDPVSSKFLKEQESLWKNTHKLADFLPRVSEFDAIFYVG GHGPMFDLHYDETSLSLIQAFAAAGKPVSAVCHGPTVFIKATTKSGQPLLANSTVTAF TNVEEDQAQLTALMPYLVEDELNKIPGCKFVKADQPWGEKVVVSKTSDGATLITGQNP ASATGVGKEILKALGL AOR_1_1208194 MVQFTALALLALPFVNAIPAPAPVPTPPGIPATTTAENELAGLT VAAQGSQDGYDRDLFPHWISQGDSCDTREVVLARDGEDVEKNDSCSPTSGTWYSPYDG KTWTDKSDLDIDHVVPLSNAWKSGASDWTTDQRQAFANDLENPQLLAVTNSVNREKSD DGPEDWKPPLTSYYCTYAKMWVKVKSVYNLTITQDEKSALVDMLDSC AOR_1_1210194 MSQAPDKKNSLWNKTKVIGKKNFDKAWDALDKLGPPVNRLTNKL GSEAFWPMTIDKESEKVARILQSFCKDGVYVEESKESTPVPETKGGKQPIDKPRGKPK VLQKIPSEVIKQAKGLAIFTAMRTGLWFSGAGGSGVLIARVPETGEWSAPSGILLHTA GLGFLVGADIYDCVMVINTYEALEAFTKVRVTLGGEIGVTAGPVGVGGVLESEVHKRR APIWTYVKSRGFYAGVQIDGTVVIERIDENERFYGRKISAKEILSGQARTDDPSVKML THTVRAAQGDVQFEQTPAGVNMATGPSPSDLQYEDLASTQMAQVQPPPNHGPQYNIGP QDTSGAQYNSQPPYNVESQHNAGAPYNAGPYNAGPPHNPGPQPNVFPDDPSAIVTCPI CEQSVSSLKINEHIDSNCQNFVEPKSSTGDLTSSQPNHGPPYNAGLQSNAGPYNAGTP YNPDQQNNAGPYNTGLQSNAGPPYHPGPQNNSGPYNAHPPYNADPQSNTGRYNAGPYN TGPQDNLGPYNAGPQYNAEPQHSAGPYNAGPPYNPGPQSNAGPYNTGP AOR_1_1212194 MKTLLDVVKDCDNFPYAEDPDSAHEQVQSSLWKFYLPEDPRPHG LLIDAVVEDMPWTADFRVIPTPKKEVHLIRPEGANWQEKCAEIIERQAEIAREKGVFP KFGKKRHEQFPIVGAKFPVGIDRSFFSYLGIIGRGVHMTAYTRTESGLKFWIPQRQFH KAYGGLLDNTVAGGMAIGEQPLECLIREASEEAAMPEDLVRKNVRAAGTVNWITISDE RSGGHPGLINPGVLYVYDLEVDSDMVFKVVDDDVYAFHLMDTDEVKEAMLNGKFKPAS ASVLVDFFVRHGLITAEDVEDYPEIVSRLHRKLPLATTPH AOR_1_1214194 MNFTNRGITFNILTTSAVDLQQLLEENKTTSAQIVEEYLAQIDR YEPALNALISPAPREKVLKIAKARDEERQKGFVTASELGMSTTAGSYSFLGAKVSKNG GITQWLIDAGLIILGKANMTVILEERDDKKEKVATGVEVVGGEKYFASREVIVSAGAY RTPQVLMLSGIGPAELVNHNITQLVDAPEFGRNLHDHFSFVRWWKLRHPEQGFLSVLR YGVTRHIR AOR_1_1216194 MDHTRGDIPTYQEDHRKCPSLDETDTDSEDLSDETANHVNSLEL ERINTYRLQQKTTVGSTRGPLPREQWLPMGAGKEYPPLLPDPEQYVVEFDGPDDPLHP YNWSMLRRAFLVCILCYATFAGSFASAVFSAAIGSVSEQFNIGTEAASLGVTLYVLGF AAGPTIWAPASELIGRRWPLSVGLFGCGVFSIACATAKDVQTIMISRFFAGLFAASPI SIVPAVFADLFNNAQRGIIMSIFCMAVFIGPFAAPFVGGFIAMSSLGWRWTMYISAIM VFLGFILVLIFLDESYPPVILVRKASELRRQTHNWGIHAKQDEVEVDFKELIRNNFTR PITMLFTEPILLLISIYIAFIYGLMYALLGAYPVVFQGVYGMNMGVGGLAFVGLILGE LLGGCYVLFLQGAYKRKLAANGDKPIPEWRLSPAILGAVLFTGGMFWFGWTGYTSSIH WMAPMASGVLTGAGIFLIFLQCFNYIVDCYPTLAASTIAANTILRSAVGCAFPLFSRQ MMQNLGVQWAGTMLGCIAAVMIPIPVVFKLYGPWLRARSRLACSPVYDVEKKAYDV AOR_1_1218194 MNDEMEDEDDDEEDNTGNNTEGVANLSRPTSLPIEPATEKEQNL ITNVPTDPLPSSKDHINEISSLTLGETIHAISNLIPGLIITVTSNGEYLVTHHNHEGT VGLDRLCEVYDNCAKRWLQEHTSLEKNLLQGSLDTLVLSLYRFGDLVLNVGLASSETM ELPDDCGHQAARVA AOR_1_1220194 MSGSVASPAEHAGARSSITNDQAAQKHPWRFWAITIALSLTGLL STIEGTIITSALPTITKAFGGSSAYIWVPNAYFLASLAILPLVAQASDIFGRRSLLLM AVALFILGSGLCGGATSMRMLIAARTVQGLGGGAIALLINTVVTDLVPLRERGKYMAW IQMTATIGAALGPFLGGLITDHSTWRWVFYLNIPIGGAAFVALFLFLHLNYERDQTWK QRLARLDIAGNAIFIAAIIAVLIALTWGGTIYDWGTYHIVVPIVLGFVGIGLFITFEW TISKEPSFPRSTVSNRTSIAALILCFTHSICVYWTFYFLPIYFQAVRGVSAMRSGINT LPVFAGILPFAILGGILLSKLGRYKPLHFLGFIPLTIAMSLFSLLNANSSTAAWVCFQ LLFSVGAGLLSGITLPAMQAPLDESLVAVTTGVWSFARGFGSVWGVTIPSAIYNNECR KNARSITDPAIAHYLTGGRAYEYSTKAFLDSIQDPASREQVVQVFQKSLRTVWLVAIA FAGLGLLVTLVEREVKLRDKLNTKFGLDEKGGDESSDKV AOR_1_1222194 MSQYQFLSLATKPNAQLCYSFQPAVGTVDHVLVVFVNGLGLPQT SWEGVIARLQAQPPAPGLPAMLTYDRYGQGQTTDRDPGDITAEDPMHGHDTLTVVRDL HQLLTQIASEKMGISDLSRLPLVLVSNSIGGALARLYAQEYPGTVAGLLFLDSVLANS DFVSIYPDPDAPGFDPTSLPEGVTVDSIRAARAYMQRVFHPSNGSREGLSRKNLAQLL PDSDGPKLQGPDGRGPWVTVVGHEFEAFKVEFEQMSGALPRLTEVYMNPYWHRFNQGL AKLTEPDRSKGPLLAPGAGHFVQRDNPEFVFNELRGILDRVL AOR_1_1224194 MVQIQDLPIEVLSRCLSFIKWPGLPLNPFTIDTFIIPHQAMRNL MNISLVSRKFRDLAQPFLFRDLEDLSLDGTPSRFIRFLKAIIMRPQLRKYVHSVTLHP MESDSLEKFGENLPSHDIEFFTRALQEEALLSDMTVGFASLLLALLLTKTPNLRMLSL CGTAFSMRPLNPLFVRDPSFLSNLEELHLEGDQQNPDYNIATFHRLFTRPRLKLLACD SGQLEGNDIPTSWAPNTLAVEEIRLTNCDLNYNSLKRLIQTCKKLVHFIFLGWSIDND PTDVWSSSLTLHFDEVDLIEALLPHQDTLEFLGIEFFDSHVPSRLESLEKFPGGKMPS LRDFPVLSELTIQFSLLTPRPEFPSALKRLTITNCTTSIWDMTHCIASDCKSGRYPEL EQIIVLTVDITQLMELDKQNIPDGQTPEECLLSLRNLFKGTKVDYQIEPYPKREDLFP MRGFMNDGFSEDDFSEGESETEGSVDHDDREDQLN AOR_1_1226194 MGRPPNVRGSSYVAYETQEDMTTQPIEETSPLGSSSSWNILDSV PSLTTTNNLSIASPQPDLLEFLNILPESSFGGVFTPNYGIAGFDVEGSSTGVLATSEV PASQTHVSQSNSVPPGDTTSHSPEEHLQCLRELSQSHTTLDTTSDSSGDASTTKKPSF SVAELQIGQILSLTARIKKLVAENGGSERQFFQDRSTALLALGCYTRLELIYSRMLDT LQELQSCGEHLADIEPIMPDLSIDGFSLGGCRDVQLRFAMQICQEALERLRRSIGNSG DIRVRPLDRTN AOR_1_1948194 MANHHVPLEVDTEDENFITVDTGPSESGLEDSQSELTSLRSSIT NYYYENGRRYHAYHAGAYWGPNDDKAQESMQIGHEVYRLLLSGRLYLAPIADKPQNVL DVGTGTGLWAIEFGDMHPSAKVIGTDLSPIQPSFTPPNVQFEVDDCCDPWLYKNDTFD FVHVRGLCGCVSDWDEFYRKAYKHIKPGEYIEQLEQSVQGKSDDGTTNGSMHNEWAQH FLKAGDA AOR_1_1228194 MGKNLGFVRAYYTVALACTGSFLFAYDTGIIGGVLTLKSFQNSF RYTEKQKSTINSNSNSLLQAGAFFACFFVWPFTAKYGRRWSIALASFIFCIGAIIQVI PTHSVAAFYVARVISGIGVGMATVIVPMYTSEMAPKSIRGKLGSFFQGFFVLGVFFSY WIDYAVEKHIPETSDSQWQIPIGLQLVPGGVLGLGMLFLKESVRWLAKKGRHDEAMRS LVWIRGGEETEEVRAEMAEILDGIEAENLATEGVTWKEILRVPGNLHRMCIAVTIQIG VQITGNTSLAYYAPQIFQAVGAGDNSLFISGFFGVAKVISCWFFLLFLVERIGRRWSL IIGAFLMGSLMLIVGILAKLFPPDPDATTISSAGIASILMVYFEAMCYNMSWGPVPWL YMSEIFPTRIREVGIAVGTATQWLFNFVFSQATPHALDSMGWGMFLMFCIFNWILVVY AWLFIKETTGKSLEEMEEVFNSRVGLYHKERPLDEQVQNKQ AOR_1_1230194 MSKKKSGINRPPPNLKADIRQAVRDMYSKTGQVDNEAIKSRFLA KHPDQENHINNVVVDYAFSLQQRSGKDVETQSGPSKTDCNQNENTTDTPFLHQRLDLL DSYLDLKGEHNGDYFIDGGITILDLSCPFMDQATTCLLFRIAIELFLHAHSSRGKMIV ADEAHKYMSETPAAKDLTETFLNIIRQQRHLGVRTVISTQEPTISPRLIDLCSMTIIH RFTSPEWYRTIRKHITIGDQSNNNSTEESLDGLREIACLRTGEALVFAPSAYLLDENN SVINATHKGFKMAIRKRITWDGGRTILCVR AOR_1_1232194 MWFSSTAWLLPLALAGSVQALPKGAKIGTTASHVRKGGVTYNVF EHAATGARLEYVNNSGICETTKGVNQYSGYLSVGENQNMFFWFFESRNNPQQAPLSAW FNGGPGCSSMIGLFQEHGPCHFVNGEDTPSLNEHSWNNFANMLYIDQPIGVGFSYGDN PVNSTWTAAPYVWKFLQAFYEHFPQYESRDFGIFTESYGGHYGPGFASYILDQNNAIE AGSQKGEKVNLVALGINNGMFDSTLQEKAYITFAYNNTYRQLIDESLKDKLLEAYESE CLPAVQKCQQTQTNEDCQNAGSVCGESVENPIMQAGGDFDVYDVREPSNDPNPPETYS KYIARPDIMKAIGARSDYQECADAPGQKFGATGDEYRSTLPDLSEVIKAGVNVLVWAG TADYICNVDGSIAVANAVDFSGHDEFQGKALEAYKVNGKEVGQFKSVDNFHLLTVYDA GHEVPYYQPETALQAFTQILQKKPLSST AOR_1_1234194 MKISAAISTALLAVSAAAFDKNQPWGKRDYNCVNVFQGIPDNST VAPGAEINIKFNRNSKNCESLTQYKGAGYSIYLYNNPVRNLDTIHFDKELFIKKDIPE QDGAVTITIPSQEQLGTVADDSVWYLRLSTSLNDAPQMPTLFNAAGPFAIRA AOR_1_1236194 MSSGDISEKHDIDTLRPIRTAESVFLPVSRDVFEKLYLSPKHPS VKGDLRKQVGNPTPISLIGFLVSATPNAFITMGIRGSGESGAAILPVFIFFGGMIQIL GGIGEWVIGNTFSCALFFTYGTFWIVQGTGLMPLFATGLHYSSTGNALEGMQTPSYNA TVGFYYIALTILTFVYTICSIRTNICLFTALFLLVITFALFAATYFQLALGEVALAAR LQMAAGAFSLALVVPVWHIFIAQMLEAVDFPIAIPVGDLSTVILGRSQKIQMRAEE AOR_1_1238194 MQPGQHQEPNSTTVDDVNVPTVPTIHNDGFDSAPLSTRSSFSFH NRTASTPATGAQTPDPLLGPKPVNNEDLLKKLPTTITQAAESGDEYELGEKRGRAAAI DGLHNRRPSAIPGRDMADESASPPPKPSQGRPPEIPSFTAEIVLVLVCSAGLMLFSFL LGNMLVVQLELKKALGIGSTSLPWLVGAYACSNGVSVVVSGSLLDLTPPRRAIVGAFA WLTIWNVVGAFSLDPSREVLFFVVRAMQGLAIGVLVSGSMSVLGRLYSPGIRKNRVFA AISATAPLGFSLGAIEGGLLHGNLPWIFGVNAIITGLCAVAAFFSIPPLRPVADVEGT EAPTLRQFDYIGALFAIAGCVCLLFGLTQGSVTKWSVYTCVLVALGIAFLICLFVAER YVARPLIPSRLWRTKGFTPLMVAYFLGFGSFFGAWQFYAIQFWLRIQHATPIAVALYH IPNAVVGIIATFIVSRILHLVPGHYIYIVSMFAFTLGPAFFLPQTPNTIYWALSFPGI ALVTFGPDMAFAAASIFITSNVPRSYQGSAASLLVTNQNLSSAIITSIADAIGAKVDQ GPDGDVGLTGLRAIWWFALACQLLAALVTVVWVRIPKEEEKEHVT AOR_1_1240194 MAPSSESDDNISAYGATRSTIKGQPLDADEVRKMDAYFRASMYL CLGMLYMRDNVLLKEPLKVEHLKARLLGHWGSDAGQSFTWLHMNRLIKKYDLDVLFVS GPGHGAPAVLSQSYLEGVYSEVYPDKSEDEKGLQRFFKQFSFPGGIGSHATPETPGSI HEGGELGYSISHAFGSVFDHPNLITLTMVGDGESETGPLATSWHSTKFLNPCTDGAVL PVLHLNGYKINNPTVLARISHEELKALFVGYGWTPYFVEGNDRESMHQAMAATLEHCI VEIKKIQKQARESNKPFRPRWPMIVLRSPKGWSAPREIDGKLLEGFWRSHQIPITDVL TNPAHLKLLETWMKSYKPEELFDKNGKLVEELKALAPSGNSRMSANPVGNGGILRRPL QLPDFRDYALKDIDPGVSVRGSMTNMSKFLRDVVKENMTTFRVFGPDETESNKLAEIY KAGKKVWLGDYFEEDKDGGNLAFEGRVMEMLSEHTCEGWLEGYVLSGRHGILNSYEPF IHVIDSMVNQHCKWIEKCLEVEWRAKVASLNILLTATVWRQDHNGFTHQDPGFLDVVA NKSPEVVRIYLPPDGNTLLSVMDHCFRSVNYVNVVVADKQEHIQFLSMDEAIEHCTKG LGIWDWASNDQGQEPDVVMAACGDVPTHEALAATALLNEHLPQLKVRFVNVVDLFRLI NEKDHPHGMPDRQWKAVFTDDKPIIFNFHSYPWLIHRLTYKRPGQHNLHVRGYREKGN IDTPFELAVRNQTDRYSLAIDAIDRVGSLGNTASHVREKLINQQLAAKQEAYDNGLDA EYIRNWKYPKKA AOR_1_1242194 MRMISAISTCMGGTRKTDSRPSINDKMTQSTSLRYADVAVTYTA DQFQGIYRGKQYHEPDFAEVLKRAKEHGCEKIMLTTMTLPGAHENLKVVKQFPDMCTM TLGVHPYHAGEIYAENNGSEYLQNLRKLGETLRAENPSPLVAFGEIGLDYEYLDRADK EIQQRAFRDQLDMAVEMQLPLFLHVRESCADFISIIRPYLSKLPRGGLVHSFAGSKEE MLQLVELGFDISVNGVCFRTEEQLEMVRHIPLDKLQLETDAPWCEIQSNDEKIAPYLT NAKPLPPSRKHNKFILGQMIKTRNESCTIERVALVVAGLKGISLEEVSRAAWNNSVRM FGLGVQGR AOR_1_1244194 MFEISHFVGDCAQQLVLFALGNISSGHLRLISKYKDPHQINEFG DGQEPAVTVVVNNSNAWRRICACFDLGFAEAYMFQEVDCDDLSGLLDIYIQNKSQLGT GNFFMQLLPRVTMLLQPSNDPQNARRYISSHYDDSDELFANFLFPDMNYSCAHWSGDP DEALESAQKRKVQRLLQKAQISVDQHVLEIGCGWGDVAITAAQTTGCRVTALTLSDNQ KRIAEKRVKEAGLEERVRILLQDYRSATGPETNGGYYDRVISIGMFEHVGAEYLDEYF RVISSLLHPGHGVMVIDGITMTHKMRQSKSSVPTFIDRYIFPGGYLPTIHALLGAIHN GSNGELEVTSVMNIGPHYGKTLLAWRDNFLRNWEIIETAFRTAQPDASDENVEAFRRK WLYYFIYCEAGFRLRLLGNCVVVAAKTPELSIEYDETLGEMLQ AOR_1_1246194 MADTDDLTLTIHHQSKPHTLTLPSTSTLEDLSNTIATTLHIPPE TQKLLISPKPGMQKAPFPPTPLRTLLPLDSPKFKITLLGTPTKAIETLHAQSEETARR AAARASAFAAAAKHKPARTAGSGGVHTLSDSSSSYTFHRLLPLSYLPRPERSLEFLKR LRDDPGIKAAMAKHKFSVPVLTEMNPAEHTTMESRTLGLNRNKGEVIELRLRTDAYDG YRDYRTIRKTLCHELAHCVHSDHDRAFWDLTAQIEKEVERADWKHGGNRLTGQDFYVP EDWEAEKDMEIVDECGWTGGEFVLGGLREDEVGMRAGAHGVEGRREMLARAAEERMRK KRDDEGREGNK AOR_1_1248194 MATDRLNSILSHLKGGNTGLAAITQKNPDDVVITLALRTPLAKA VKGGFKDTQLDYIVYSLLKEVIDKSKIDPALVEDVCLGNVNDGKAPYLLRAASLAAGI PNTSGASSVNRFCSSGLKAVQDIANQITLGQIDVGIALGAELMSAGGDAVQPFSEEVL KNQESADCLQPMGQTSENVGSDFNISREVQDKYAAESYRRAEEAQKAGWFDDEIVPIT TKVKDPKTGEVKQVTLTKDEGIRYGTTAESLGKIRPAFPKFGNRSTGGNSSQVTDGAA AVLLMRRSRAIELNQPILAKFCGATVAGVPPRIMGIGPTAAIPKLLSKFNLNKDDIDI YEINEAFASMAVYCLQNLGLDHAKVNPRGGAIALGHPLGATGARQICTILSEARRTKK KVLVTSMCIGTGQGMAGLFVNEQV AOR_1_1950194 MPTPVAKGIIITVSALVAAGIAVYESPQFRQWVNTSRRKIAVAL HNLGDEIHPRTSASPTRQDTSMTEELGPEAEERRRIAREELQRRRSVLEEHRKRRESA PAGSFDALVDDDGRLLRTLSPEPSGGLGNSSAVEVTPSQAIQRGKNTGSQTPVAETQT TGAALTKQNLQVAIPAAAGAAAASATLIDYTPTSETSGMDFSTSTLNRTEEVERPLSR SSSHTEGYSEVLFAHPGSSTNDTGRDLRSPFSDLSDLDSTGAEHHERPSTPSTAGSFS QIYESAADEWSDDTLSDHGRSTQGVATPASWSEIGSVVSNEDLQNRL AOR_1_1252194 MSRPSSMSQRPLTLTEELEKLEQSITLTLQEIDHNFSQAHRIVT TSILPLVEKYAEHSHDVWEGAKFWKQFFEASANVSLSGYEERPNEDTLQEQSVTEDDS TADITPSNLTDTGTYETPSSEHLDINHRPDDLELTSLSLSSHSTPRPPVFDRPDDNDT TVTSSIARPSPYEALKREIEENDAPFEGEDDDLPTTPGRPFRPQGYSFNPRDELMSSS PFVPPVSHEKFSTSGKSPADPVLHRLQDKTYRVQATPLGKDYGAGRSKFTITPKLSTS KHGYDDSPISSPEPEAPQLHAEIFSSPLKTPGTNRKRRTSSHLRATPKPGISVLTPVK SGGTGRPVWDSDDDFDNDEDEGLGPSPPKTMQFHIPQSRLMKTPAKEASRRIVEDLLF TAGANDTTDDIAAEQSPSIIQRVQRIEDETF AOR_1_1254194 MESPAVYPESPMEQDDTPFPCKGCGEILEEGKAFELAGNRWHIE CFCCSTCGTLLDSDAHLLLLGDGSLICSNCTYSCSSCGNKIEDLAILTGEQAFCAQCF RCRNCKRKIENLRYARTSQGIFCMDCHESLMQRRRKRNRAAAPTKKPAPGVKLDKSLP SLPPEEADHARLADDLLPDTYAEPVTEGSSRGAAPALDAGRLPGSSRTRAAADQDNLI LPSSTYRSNRHSMVPREPENDGGEFLIPLAFDPSEEQRSPQHSKSPGSLHRSPREGTE SVPSGSSSPHIAYQEKGRERVDVDSARWRQDDTSAYSRPTTSDDSRAPPELPRRARSG SIQSSRSDLPSGHKETSTFSNSSPESTRSLVTPHRNISTADNSVAPPIRPSHELRRLH ENSGSLDSARSFLSSGSMQHPPKRGDSLESKLHLTRKEPGVSPRPQSIAPGEPWLDRT RGSPKLGESPRTSRNDTSLEHSKPPRPNSINTFHQPDLQRQVDQAGSPSVLRYSGGGD FSMDEDMARLMSSDDPLSAQNSESFLRRVSNSVRHGRSFSDKGSRLSKDAKWPKSPVN GSAPYQDLGSPTAVSPESGGPEEVAWLRSELRKERQRVLERDQKIVEMEAMLNATADV KQANTELNEKRSTMVVLDARKEIVMRELSVLTDHLEAEKRGGGGTLDLGKLTNQVLRE FVESIQKLKDSFTPQIEELVQKRNETAEELANFNRMKDKSFQEFEQLSSKNAQLAELN NQLVHQIQELYKANSSEGNRGANGLGIYSHGKEKSLSSIDALKAGSNDLAPSMSTANM SEEAEPATIVPGPQVVSIRKGQPRKFNWKKGGQNVAKGVTKGLNRAFGTSESATEGVP GLPRSQTQDPSRQGFGFFGNQRNKQAGTRMPTTDSVPALAEVAPTGLFGTDLEQRMEH EKSIIPAIITRCIQEVELRGMDMEGIYRKSGASSAIQAIRDGFERQPQDYDISDPDLD IHAVTSALKQYFRKLPNPLITFDVYEMIIETGEIASPTERIETLQKCLLELPRVHRDV LEFLMFHLKRVVEREKENLMTSQNIAVVFAPTIMRPQSLAREMTDVQKKNDVLKFLVD NCQEVFMGLQ AOR_1_1256194 MSQTENVDSGQKSLNGTNNGFMQAVKRHTPTKEDLGKSFAYIQL LFSLDYTITDVFLIICGTIFAIAGGVPFPLLGIVFGDLINDLNTVTCSASTDATTELS DSVTQKVLYVIYITIANFCFIYIHTSCWCTVSERLARRYRRRYFESIVKQEANFIETL PSGDIISRLVSDVEVIQSGTSEKVGLVITTISYFVAAYIVAFIKVPKIAGMLISVVPC FMVMSLVGGHYIKKFAGRITENIGSATSIASSSLSHLTLVHAFNANDRLESRFASYLS ATHMDALKKACTHAAQLGFLYFVAYSANALAFWEGAQMISHSVANENSGVSVGAVYTV IFVLIDASFILSQVAPFIHVFASAAGASQRLQAVINRKSAIDGTSDEGDKSAAFGEEN IEFHDVHFTYPSRPDVPVLQGMNFIIPPKKHTAIVGPSGGGKSTVVSLLERFYDPKSG YVAIGGTKFQDINVRYLRGNIGFVQQEPSLLDRSILENIAYGLVSSAHARHQELAPFI MDASLPELAEKVRGGVTEKDALSACDSRVAEIVDLVKKAAATSNALNFIEGLPHGLST SVGTAGNQLSGGQKQRIALARALVREPSLLILDEATAALDSTSEQLIQAALAKVSQHV TTVSIAHRLATAKDAHKIVVVQSGRVTEEGSHSELVAHGGVYAEMVRLQNLGKLSAED VVISEDAISDAPRGNYSTSGSVQAIDEKGGGLVGTGGSDVTEETVVAETPPGSKDGTE KDKKKKRSGWFTTKFTFSLMRPSLPFILIGLVMSTIIGGSYSAEAIVFGHTVGSLNPC NGADAISHSGNLYGLLFFILALVELTANVVGGAVFGWAADKILYRIRVLSLRSLLGQT MQWHGMDDRTPGTLITYITGDASALSGITGSTIGLLLATAVNLVAGLVISFAIAWKIT IVLFPTIPVLLVAGMMKLRVQAKFAERHQKAFAKATAITVEAIDNIRAVSAFSLEKQS YEVFGRALIQPYRSTMKAIVHGNVWLALAFSISNLVYALAYWWGSKQIAAGLYSQTQF FIVLPALLFSTQSCGQMFALAPDISKARVASSNIVELLNTRSAEEELTPGSSDSFKPS SSLLEEKTAMQDVEAVDSPRSQRKRTSDTAIGAQLRGVHFTYPNRPERPILRGLDIDI KPGQFCALVGPSGSGKSTTFSMLERFYRPSSGSVIIDGVDVTRQLGTEFRDDIALVPQ ENVLFEGTVAFNIGLGACPGHEPTQEEIEDACRMANIHDVIMGLPEGYQTMCSQDGKQ FSGGQRQRLSIARALVRKPRMLLLDESTSALDVESEKRIQEALATLAGRTTIVAIAHR LNTIHRADQIFLIEEGRCVEQGTHQELIQRSETYRTSVIHQSLET AOR_1_1258194 MRTLALLAIPFLSSAIPLHQPKTTESAPLPLVIWHGLGDDFQRE GLLSVASLAEKTNPGTYVHLIHLSDTASGDRQATFLGNVSEQIDTVCAQLASDRILST APAINALGFSQGGQFLRGYIERCNVPPVHNLVTFGSQHNGIAEFQECGWGDWICRGAE ALLRAGRWSPFVQGRFVPAQYFRDPTELDEYLASSNFLADVNNEREEKNETYRENLGC LNKFAMYMFEEDQMVHPKESAWFGELDGETGDVIGVRERDIYREDWIGLKKLDEEGRL VFRSVPGKHMQLSEEVLVNVFGEFFGPVEVDVDVEGEGEVRGKALVKQIGY AOR_1_1260194 MEGKGVQVVKPNSSELSYLLYYVSTRRSKLTKVGAFLEKRAARD VWRRKIGNVQVTLQILSALIEKVPRDLPIYARSVMTVLETVVRSQDISMVEDSIETFE TFCRHQDMAALSAEQDFATQYREVVRSYAGFAEGDPSTQSKLAAGPPLTVRWKTAGLR AIKGVVSSEAGLAADGGDSIRVILPVILENLYSPEDNLVGSLELKLLEADKNESETAH RRRVSTATVETVDAVEGDASLAAQNTADMDRKAEMDMRLLALRCLEQIVVNGSSRGQI RVTTQVVLDFILRKSRVTGNGLGHNHKDSWATSLIELIAKWCPVQVRFIILVAAMDIL HDIPPTEESLDESFAITYLIDRLLKSPVNMIGLSVIDVLLGLLRHMSFLISPSRAGKS TPDEKQNGHSNALELSVKRTEVLSLLQDCIGNLTTHIYYGEQVVDMVRTILTRFRPSR GNEQAITSSPTQSDVLGGASAMISSGEDGLIAFSLPNAKITALRAIKNILLVANTKRP GFTVTTESRHQVGLYVWEGTQWLLSEPDRDVRYAYVDAFLTWLNLETSTDDLKVKERT GRPASQPAKNDLSDPTERPGKRTASMSGNQREKVILIAQSNFLRLLHLTIFDLATDHP TSVSEITLLHLLLVSLVKHLGVNAVRFGLPMVLKLQDNMTTGDGQSFPALVNIGSLVY GYLWALSEKFDLDTSRIGNGIQSEVQKRQQLGVWLETIRLPPVNLDKIIHNSNVQASG RGAQDVSLLIPFDGGEELIQRIEASYGSFITLLTHSPPSSPGSVGSPPRSITAPVLPH VSASAATPKANVLPPAVREQMLSPWSREACLAAAENERAEAKSLSRSRTGTLVMRNHV HQNGTSSPSASSNASVPQSAYASAAGLQNAQRTSVPNSSGSQLISTSRESPVHVNELR RVLSVNEEGKARRMSPLRGRLDGSNRSVISSSSDSMVSGYSLSEFDDGASVKPQSTRG GRISLDGEETPKASALSFMADTNDIPPVPPIPPSISIQGGLTDGRQRSVSASRPSTAP GPRRPSVTNGKAGTPSTSPGRSLSRDKSRSSTGLAAAATDGVEPNAEKIDSARLDVQK LLDGFLSPADAETRGSRRKARSNTGRRGVSGGLGRPPY AOR_1_1262194 MDQPQPPTGHPEQPPPPTLTNPRFTLELEFVSSLANPYYLSHLA VTYPHLLGISNAGDEGDATKDTADPDAQAFAAYLAYLYSYWKTPEYAQFLTHPGATLR ALRLLQEDTFRRDIIRPQVIEGLAGTGISNEEGGATTEQEGEQDKEEQEKQEEAGNSN KSKT AOR_1_1264194 MRFLSIAGAALFASSAVAQTYQRLGGCPTLGCVFPPDQADFLPG QYFDIRLEVHSPVNGSEARQGQPDPDFKFTIAKKGEEGVAAAEYFEIDEPQLERWNFT WYEDLFAKDAQKPSLVNVTAKAYRRVALHEPGEYEATLTYYGQEKTVANWLVRDLPEK RRAKNVVLFIGDGMTTNMITAARLIAHRSINGKYLTKLQLDKFPVLGHQMTHSMDSFI TDSANSATALYTGHKTTVNALNVYVDSSKDPFDDPKFETISEIFRRRYPDAGIGIVST AFLADATPAALAAHTRDRGEYDHVISAYYEGLTKYEWTDWDGPDVLLGAGAENFVTSE DAPRDYYKLFSEKEYSISWNKTALQAAPNDTKALGVFSTSNLATWLDRNVYQENLRNQ SNYPDGSKRDAEDLPGLKEMTLKAIDVLNARHEDDGWFLMSEAASIDKQMHTLDYDRS LGELLELDDTVRATIEKLKALGQLEDTLIIVTADHGHGFDVTGSVDTEYLNAQEDGRD KRRAIGTYQNSGLSQYTVRGPNALRYSEGVHFPARWDPRYTLHAGVVAFPDHQENYEV HKEGPRKPAVKRSGSDGYFANYKDAVTGFLINGTLPVDADQGVHSLTDVPVFAQGPCQ ELFGGVYSSVDIFFNMAECLGLADHGKN AOR_1_1266194 MNASQQPPTLSYAYPPAMALGPQVSPVRQPSPQVPGVNLGSNNP FRNRALSPSNSIASGSRPERPTSTNPFLDDYGPLSPQSAPTGTGSMVSPIDRSDMTNN TRDLFENLSLNSNPAPQPTGYRPAPSRPDRPFQNGGISSSHRPTTSRERPERREKDSL DIFADPPSAGLPRPRDRDRRPRRNSESSIMERPKLLDPEDERRRRERRRREREARHRD GKPRSSKKNNYQLDIIDKLDVTSIYGTGMFHHDGPFDACNPNRNRKGQRTAPMQAFPA DSANMALGGSGPVNQDINLDLFHGRSEQGYNDYGVIETRKTEGVNFDPTSRIEPVHGE QSMGLGTSTFLDGAPASKAAIQRRESENDQQIRQGAGGLQRKKSLAQRLRGVGSRPSN GRVVSPEASYMAPAGSSHIGTIKANEKNPFFQDYDDAWEKKGARIAEESQGLGRARST SSPKQSSGLERRHTEDRSYGYDEGRNANGGGGGGFLNRMKSLRKPRPERRISDD AOR_1_1952194 MSSPLRPSYTAANRGLGNLNRRKRDREPDDETSSIAPPSSPPPS SPPMLPYEDDERDEEAELLEDIDDLDEMAEEGEGIDLFGDSFENDYTSRENDRYQGAD IDDEEQEELDIGTRRQLEARLNRRDRELARRSRMPRAFLQDDDDQNIDLTRQPRRRRH HYDEDREDIDMGEGDMEELSLEEMMDIKAPNVTDWVLQPQVLRSIYREFKAFLTEFTD DSGASVYGNKIKTLGEVNSASLEVSYDHLSSTRAVLAYFVANEPTEVLKVFDQVALDV TLFHYPQYHDIHNEIHVRIIDLPTVSTLRQLRQSHLNSLVRVTGVVTRRSGVFPQLKY IMFVCGKCNITLGPFQQEASQEVKISYCQNCQSKGPFTVNSEKTVYRNYQKMTLQESP GSVPAGRLPRQREVILLADLIDSAKPGDEVEVTGIYRNSYDAQLNNKNGFPVFATIIE ANHVIKSHDQLAGFHLTEEDEREIRALSRDPEIVDKIIRSIAPSIYGHQDVKTAIALS LFGGVSKQAQGKMSIRGDINVLLLGDPGTAKSQVLKYVEKTAHRAVFATGQGASAVGL TASVRRDPLTSEWTLEGGALVLADRGTCLIDEFDKMNDQDRTSIHEAMEQQTISISKA GIVTTLQARCAVVAAANPIGGRYNSTAPFNANVELTEPILSRFDILCVVRDLVDPAED ERLANFVVESHHRANPARPLRDEEGNLIDSDGNRIDEEGYRLDREGNRLPFTPEEIAA REAANRKIEEEKEGEIPQELLRKYILYARERCHPKLYQIDQDKIARLFADMRRESLAT GAYPITVRHLEAIMRIAESFCKMRLSEYCSSQDIDRAIAVTVDSFIGSQKVSCKKALS RAFAKYILSRPKPQSRRKAGIAAPNPYVPKATAPRAY AOR_1_1954194 MDNSETVPSNADQAKNAHNVNNRRESFSSQNSQTAKEFIESQMQ LEADAREILPYSFDSCTKALGPLRQTLYACLTCNPPPKTADEPHAAAGVCYSCSIACH GEHTLVELFNKRNFVCDCGTTRVPSSAPCTLRNDPKTGTKGVHSEEPHPENKYNQNFQ NKFCGCSEDYNAEEEKGTMFQCLGLGTAESGGCGEDWWHPECLIGLPRDWYKDFKKEA GGNGQIEDDEETPLPPGFPGEDDFETFLCYKCVDSNPWLKRYAGTVGFLPPVYKEGGL PKTTKTAPETTATDVKEEEPTNPKKRKMEDEEEGEPTAKRIKEDSENTPSDSKPEPNS APKEEPTQPPPLKNKHDSLTDPVPSGAFSLFLKEDFRDHFCRCRDCYPNLAPHIQLRE EEETYEPPLSEDGEANGGGSTGTGSLLDRGEAALSNIDRVRAIEGAMVYNHLRDKVKE FLKPFAETGKAVGAEDIKSYFEKLRGDEQHIRDAAGQASALSGKDDSNDNEGGGGRRE QNGY AOR_1_1272194 MSLTNPPSSSGPLTASSRPTAATVSQSLSLKKNLQAAVDEHLDP RKPSGTGYTSKVRVRDKYHIVGFISSGTYGRVYKALGKNGQKGEFAIKKFKPDKEGEI IQYTGLSQSAIREMALCSELDHANVVQLEEIILEDKAIFMVFEYTEHDLLQIIHHHTQ PHRHAIPAPMVRSILFQLLNGLLYLHTSWVLHRDLKPANILVTSSGAIRIGDLGLARL FYKPLNSLFSGDKVVVTIWYRAPELLMGSRHYTPAVDLWAVGCIFAELLSLRPIFKGE EAKMDSKKTVPFQRNQMMKIIEIMGLPTKDIWPGIVSMPEYSQLQSLAMSRAPGHFPR SSNLEGWYQSCLKNGGYATSSGAGTPGADGYDLLSRLLEYDPTKRITAQEALEHPYFK NGGPISANCFEGFEGKYPHRRVTQDDNDIRSGSLPGTKRSGLPDDSLMGRASKRLKE AOR_1_1274194 MKAGEYIYKKGDEGEDILTDVYWSEISSKRSSPIAIIYHAGGFV KWSKDVVPKSEISTLTDLGFVVLVPNYRLCPQISVFNGPIADSRDCLVWAANELPGLL QNESVEVDRNRIVAMGHSAGGTLALLMGSAPEVPVKAVLDFYGLKYFSDPTWRLPIPE FEQSPSWSQEYIDKIYEGHQVSASPRFLGDGTLPPRDAWFATHMKQGTLLDELVKNED KSTIDGTVRFSTLFPQTMFIHGTADKVTHHRFSERAHEELKRLGVVTDLLLADGEDHV FDLTLEEDDLKFVQYVLPGLKFLARAVGLL AOR_1_1276194 MVLGGSSGSKVTPYLIYLVFITTLGPLQFGYHLAELNAPQAVIT CERKSIHSTTTRGLPQCIPMNPSQFGLVSSIYTLGGLLGALLAGPVSTKHGRLFTLRA TTIFFILGPIAETFAPSIPVLSMGRLLSGVGAGASIVVGPIYISEIAPPSAKGLFGAF TQIMTNVGILLTQSLGYFLSKGSMWRVILAIAGAIGCLELLGLFLVPESPIWLADHQK GNVARQVLQRIRGRDADIEPEVEGWRTSAAPEHSSGEEQSLLSPPSGNMPPKQPPVTM MRAITDSFYRPAIIAVVGVMVSQQFTGVNSIIMYSVSLLQTILPTTAALLSVIISAIN LVITLACSPLPDKIGRRSCLLLSISGMGLNSVLLALAIYFNLKALSAIAVLLFVASFA AGLGPVPFILASELVGPEAVGAAQSWALGANWIATFIVAQFFPMLNDLLGGRGKIYWI FAAMACLLGSFIYWWVPETKGKANADEVWGRTNQRRQD AOR_1_1278194 MVRLPLPQRLSTHLTSRSNTPTPGQSRSTSPMRIPDAKPLVLKV VVLRGRCLAAKDRNGTSDPYLVVTLGDARQSTPMVPKTLNPEWNVTFEMPIVGVPLLE CICWDHDRFGKDYMGEFDIPLEEIFAEGQIHQQPKWYTLTSKRKSGKKKDNDISGEIL LQFSVVDTANPTAPPSETYQKFKTLIGCGEEDDDYPQIPATISDEAERDEDISDEVDD LGRPDVNEKRRRRLRLARLRRKSLAARAYQFSGAGNGVQGIVFMEIVKVTDLPPERNV TRTSFDMDPFVVTSLGRKTLRTPVVRHNLNPIYHEKMVFQVMKHEQSYTISFTVMDRD KFSGNDFVASASFPLQTLIQSAPESDPETGLYKFLDPMLDPTGSTESLSNNKAGIKIG VSPSPSTSSLSKLSRPGLVRSRSSTASLSNQAAQEQSTLPPPSAPKSIPTSVPSSLPE EGSSSYLSTTPTSVQNEGSVIAPLEGEGLETYRIPLLMKHKERWEDKHSPELFIKAKY MPYRALRQQFWRLMLKQYDADDSGRIDKVELTTMLDTLGSTLKESTIDSFFERFSAEN EASETMDLTFDQAVICLEDTLQALQKDSRTAGRRLTPTPSTGSQDSEEPSSDDNDLTL ESSTGMPVNADPQRTAIPTLSSEEQSITNEEDLQPDDLGDERGEEHVIELRECPLCHQ PRLAKRSDADIITHIATCASRDWRQVDNLVMGGFVTSSQAQRKWYSKVITKISYGGYK LGANSANILVQDRITGQINEERMSVYVRLGIRLLYKGLKSREMEKKRIRKILKSLSIK QGKKYDDPASASQIQDFISFHQLDLSEVLLPLEKFKTFNEFFYRELKPGARPCSAPDE PRIVVSPADCRSVVFDRLDEATSVWVKGREFSIERLLGDAYPEDVHRYKNGGLGVFRL APQDYHRFHIPVDGVMGTPKTIEGEYYTVNPMAIRSALDVYGENVRVLVPIDSVAHGR VMVVCVGAMMVGSTVITRQAGEKVTRGEELGYFKFGGSTLLLLFEDGMVNFDSDLVDN SKGPLETLIRVGMSVGHHPDVPQYEPDLPKKAENVTVEEMQAAKRRIEGSLAPPTDAS EFA AOR_1_1280194 MTVTVLETPRIKTKRRAPLKSIDMATSQAQARPASSAAPGRKGT RSSARLSLSSVEKSEEKTASSKRKQDVFDEEDEGFQFTRIKTKKSKPTVESIPEIPQP EAPAPNPSPRRGRPPKKRPVEKSESAVASKKSSESSTRQTRATAKPTLPEPETQPASA PRTTRTTRRQDNGETAPVEKKRRKGRPSKSHDDQRNGFVSPEPQQAGTSKITLPMADT PVIQRNKELRGAAKSGKGNRRSSLGMRGRRASSLIDSGASNALPHDQVDTAEFYKHIA SDLPEPRRMRQLLIWCATRAMSDKRGRSEDASARLAARVIQEELLKDFSTNSQLSNWF EREDVNPPAVVVKKPNPKNIQNADKIKELEEQIQRLQRERHALNALLRPPSIPQIKLS KQQDTAPEGQQEPPQSDSEQKSRTESEPIDLSLLDPSQQRIYESIDPDSAKQKSDTET QAASSSELGLPPITPSAISARLSRLTHSLAPTLDSLAAGIHDIELYRTMSDTVSSRVL RICAERLEERDAGNAMRRLAAEEGEGESKDLTLRPRPREDLGLILGALSRLER AOR_1_1282194 MSTSTITPRPTLDPAWTPSVYGCNRKGDFWSWNFHAENDQRTVL GGPSQTTNCLPSTWDPSQAVYAFSCVDNASKNPHGPWFPCMSQYDTSTKRRVTVTDFA ANTIDFTDVNQATNLHLFAMGISHTSPTATDPADSDATPSPSGTSEPSSSSSISAGAA AGIGVGSAAGVMLIALLGWFFLLRRKKAESNTPLVAEYPVQTSDTKDMPRNAQPQELY GEAARELPG AOR_1_1956194 MVYPGHPSRGCYTCRSRKVKCDETKPICLRCTKAKRHCEGYRDS DANNMREKQGATSGSNVDTLVAKRNSQNTALNDEFWGSIWMTAQFTTLLHSPSVALDR THLHRLYVDLIRLPQAASVYGGCLTYIPQLSPSLMPSSPLLPALSALILSFIVRKDNS RHAQEHAINSYHRALQLTRQIIDRNNTSRRNEVILTMLVLSMYEDLTNPCPNQSIFNP HLGGAIAFVKSQDLVSFQDETSKGLYIALLTRYMSTCLITDITHPVQEVPFTIPELLT LHDALLQTPFVSPRSQLQVHLCKLAILHSHISEFTINLNSGHTSPTTITNILNSINTT THHLSQWPNSLPKDWIYKTMVVPSDWNQWTPIAHTYSSFWAANDWARYRTLQICTCHL RLRFYHLLTTTNQANTACISLDSLAGDISTTHVKIRNLANDICASMLYHLGYKCMGSA ERLYPIEAYPQGKYARLMSASQVTWPLYVAGIVEGVDAAQRVWIASQLDVIGGDAGVQ QASAMAEVVRELALRK AOR_1_1286194 MDIHCFYHLRELTTPETTAEVRPNVDTLYSASVIDLSHHDVIVD VPVVDERFWVFPFYDVYGNNYANLGNVFNSSAGKYLLRYSPSSNETVGVQLCDETQSE EYEDCRGLRGWINAPTPYGAILARLVVKNNGTDLDKIHAIQDQIHIYTVPRKRTQKIP PLTVPMLNSSLSSDPATRIMQMTARFAPHNPPRNISDFARVNSNLKAAGIHDGTYRPQ VRNLTAAAVTAAATVSKAFALPANHIDFDNGWQSVSDTAQGDFNTNYEMRAFVTNWAY LIVDNIVALYPMYDPTANSSVHDLGANEAYVFTFNRKPALAENGFWSLTLYNADKFLI KNSLNRSSLGDRSNLTYADGIPVYGDDHRDGPFQLLIQPADIEPPANWTSNWLPGPSG GGQIDINLRFYGPGEGLQDDSWEYPVVEKQQAISGTGA AOR_1_1288194 MSPRSIVITGGASGIGLGITRHFISQPETHITILDINPKTGSET LQELQAEHPSASISFEECDVSSWESQAAVFEKIYAEQGRIDIVFANAGITEKGSLLPA KHDGNEGPPKPNLATLSVNLVGAIYTVHLAIHYMYKNATKDPAASSNGLIVCTASNAG LYPFPMAPMYATTKAGVVNLVRSLARPLVAEKIRINALAPAVIATNIAPMAQLVADES LTGKIAELHGENVTFAEPPAYVDEDTEKNIENFWNLGYA AOR_1_1290194 MPLGILDDDKLEHIPGTAPLHGLHETDTYSGINPSLLKHDSTGQ IVLVPQPSDSPNDPYNWPRVKKELFTIAYGWGCGCVGAVGPLLGGAFVPLAAEFGVSL STFVSAVQGGLISAIAVGSLIFNSLAVKYGKRPVYLGTTVGLMVSCFWAAEAKSFESF VASRVLCGLCMAPMEALVPASIADIWFVHERGFRTAIFNLGVLGGINLAVPIAAAIIE YGSYRIALHAMGGAFALTLIMVFFWMPESAYAREALNIDSGETKAAGDEKTDLEQLET APSTGITAAEARHSWAKELLPYSGYVNDVSIWNTIIRPFYLLASPPVLWAVLLFTNCI AWLVGISLTLSQIFSAPPYNFSVMGVGATNLSSFVASVLGTFSAGPLIDGLVTRMSKM NGGIFEPEFRLPIMVTYLLFTSTGFFAWGQSSYAQDPWPVPVIVCLGMINFGVQLGTT GVVTYIVDCHREKAGEAFATMNFLKNLFAFGLSFYLNGWIDSQGVRNCFFTIGGITMA AGLTTIPMLPLAVKVS AOR_1_1292194 MPPTKSISVSLHPALPTDAPTLDEIHSKAFPNDLLLEVMYGPRE ENTVGLAQDLEKAIRENPNARFTKAVDDESGRVVGWSWWIIYRDAEAHVKAEQEAVKK RATPPPRSICPRACLEYRQLVVEKRERWIGGRGVAILQILVVHPEYQGCGIGTKLLMA GVQEAKSLQLPAWLEASRAGYTLYKKCGFRDVGENLDLDLAKYGATGQKHGFCMLMDA VHVD AOR_1_1294194 MHTPRTSICLRFVAAISSLAALIAFGWSQSMFGSDTVMVADLGH EVVSPVTGATEYTFVWSLIIASIELSLPVPIHPAIYLTFDLCAWAALVSTLIIYLTLH EPYYTGDGYGCGINGRPDCDGKLVANVEHFGTAMAFLALIIHVGFFAWACRATHKARK SDSKGQDIDLDRTV AOR_1_1296194 MYRAWIIISLILSVFAIADPGGESSTGGDGFKGGLRRISSKIGN SVIHPRRELHVCSKVEATTLKQWDRTRWHIVAQCPQRDVGKLHKHKPGETQQQDAPNP NENPGVGVSRLPIDKCLGWDEQNGLFTWTKNGNGIEKGHCSDCKVVEGGLIKRGEPVE GKTADKGKAPDKGVSFTLTCKCDKKKGETGQADAKFELLGKVKVESSGVISCHRYKDR MHPDID AOR_1_1298194 MWLQLRFLRSCSLLLLINLVVICGFADGSLKGELNAVNSNHNER SEPPQTRATWDQHSLMINDERIMIFSGEFHPFRLPVPGLWLDVFQKIKSMGFNGVSFY TDWGLLEGNPGNVMVGDNGINNDTDIWNLDEFFVAASEAGIYLIARPGPYINAETSAG GIPGWVLRIKGAIRSMSPDYVGAIKNYMSTVGKIIADAQITRGGPVIMVQPENEYTTW PGLTEEEFPSQMNREVMALMAEELRAAGVEVPMAMNDNEVEGYFAPGTGLGEVDIYGI DAYPMRYDCAHPDVWPTYRFPYDWNILHEDQSPTTPFTIMEFQGGSGGGWGGVTEEGC AMLVNQEASRVVYKNNYSFGVKIFNIYMTYGGTNWGNLGYHGGYTSYDYGASIAEDRT LTREKYSEQKLQANFFKVSPAYLTATLGTGQNGSYTDNPRIAVTPLVGNGTKTNFYVV RHADFTFTGNARYRMIVSTSIGNVTLPQLHNTTLSLNGRDSKLHVTDYDVGGINMIYS SAEVLTWARALGSTRVLVLYGGENEVHEVAFSRALSEPVILDGPTSGIIIEQQQAAWV IQWRVTATPRVIQIGDLELHLLWRNDAYDYWVMEVPAAEPIGNYSSPSKDLIIVKAGY LVRSASIQNNHLVLSGDVNATTTVEVISTPQEVHGSSDSSFYAQNISLVPTTNNAGLS MGKPYTISILIDHMGYDEEAPGTDAIKFPRGILDYSLSGHEHQSDLRWKMTGNLGGEQ YHDLIRGPLNEGAMFAERQGYHLPQPPSDTWETRSPFTKGIEKSGVGFFTTSFPLNLP KGYDIPLRFVFAFNGSTNVVHTRNYRCQLYVNGFQFGKFVNNLGPQTDFPVPEGILNY NGNNHIAVTLWGLDGGAVLGPEGLQLVASRPIWSGYRKPTAVEWPGYVKRRGAY AOR_1_1300194 MGSRVDSPLFTIHTDLSYFESLDNYLKAVTVKTITQEQKAEIRQ AIKEQIEAEEQRNIAKASALVAWKNDPDAQANHRTFQDWAIDNADSYLELEEQVILTS GQVDLQSKYYGALAGTLREKLENLAADTKYSNPGYNMPCFIRDYAIDEAAIDADRRVE DIDGRYLVYQPLYTIDG AOR_1_1958194 MDLTSTRYDGWKELGHSKNISRRANKFFFFFSKSSSTSTAETHL NFNGSEWKQQTKITSYMVGPAQVFNITPGLWDDSGVRRTFPNLLKGENDTSLGLVRVS KILVGYEVSLKIRFAESLKTQVRNMVSQA AOR_1_1302194 MVKSLLVSGLFAATALAASRMTAPAGAIVVAKSGGDYDTFSAAV NALSTTETATQTIFIEEGTYDEQVYIPSLAGKLIIYGQTEDDTTYTGNLVNITHNIKL ADVANDDETATVRNHSPNSSIYNLNIINTCGQVCHQALAVSAYGNGQGYYGCQFTGYQ DTLLAQSGNQVYAHNLIEGAVDFIFGQHARAWFQDCDIRVLKGPSSGYITANGRSSET DTSYYVIHKSSVAAADGNDVPSGTYYLGRPWSQYARVLFQETSMTDVINSAGWSVWST TQANTENVTFAEYGNTGAGAEGTRASFSEKLSEPVAISTILGSDWAQWVDTSYIN AOR_1_1304194 MKSTLFKSLAVLPVLSIGAIASPLVSYAGYVYESCTGPSITATN IAATYCANVEDIPIKSFTAYVFSGVCDDAKSPVLNVYTGSNCESGLFDTVSVNSEKQC FEADTTIVSLGVECV AOR_1_1306194 MPNNTFSSSQDSGVTGAAKFVTSTLGNTVGGVSRTVGGVTGAAT RGIGDTITGATGSAGKPLGDGLGSIGTGVEDGANRVAKGVENAGQWKS AOR_1_1308194 MVSKPLLTQLLLLFSIFGSGSLARRGGGGDNDSNNDSDSSDGSG SSSGSSGCGTTNNLLTTTYIVPNNAWNWTSQGSRSADASPTIYDGSYFQGEGYISYNI TGGSRCRDANGELRILGYAWVGPQPPYPTGPLNPFIVGFKAWESDKPVNEIHTSYNPI KWTEDSVCPMEPDLVRIATTRGWIDLTAHTSGASDVMIMNVSMDSAKSTAVSFNATTA SNPEPAIDGGEGLIRLPGRTCSSYGISMGWPSTTSLNGSVTNTTLDLRFVGSGNTSSD YKNYRGTEDELHIEFSVTFSGQLDSINSTKVLNIQSGNQTLAWVPNDGVRVLPDRWWY MLMWVVGLCVVNWS AOR_1_1310194 MEGETLPLAQYLFKRLRQLGVDSIFGVPGDYNLTLLDHIVPSGL KWVGNCNELNAGYAADGYSRIKEIGAVVTTFGVGELSAINAIAGAYAERAPVVHIVGT PMRASQESRALIHHTFNDGDYQRFDAIQEHVTVAQVSLNDHRTAPSEIDRILLQCLLH SRPVRIAIPVDMVPVLVPVAGLSSKIQIPPAVRQPQVEEAALSAVLKRIYSSKKPMIL VDGETRSFGMHGRVNHLVRTISWPTFTSGFGKGLVDETLPNVYGVCTLAYKAFVDSCD LVLVFGPHFSNTNSYNYLLKPADEKSVLLSPNSIQVNKDVFRDLPVGYFIEQLTQQLD ISKIPTHKHDLVHPSLRTLPEVSPTDLVTQTGGFWKRFSPFLRTGDIILGETGTPGYG VNDFILPPQTRLFKPATWLSIGYMLPAALGASHAQRDLVISNKYHSVSNPRTILFIGD GSFQMTVQELGTIIHQKLNVIIFLINNDGYTIERCIHGRSQAYNDIAPWRYLKAAEFF GADQEGEYKALTWEVRTWADLDRVLNDSQLANGKGLRMVEVFMERLDAPDVLMGLLNN QVLRENAQSRL AOR_1_1312194 MTVQTTTMQPTKRQHSSGSLDSFHPIMVTPVIGNEFAKGTCNIV DDILHAPNAEQRVRDLAIMVAERGVVFFRAQDNLTNDLQKELILRMGELTGRPSTHGL HIHPVTNDAREFGDPDPQISTINSEGRKTLYKGSDYTKMAAVWHSDISFEKAPADFSS LRLVQLPKTGGDTLWASGYEIYDRISKPYRAFLETLSATHAGVGFMRLAQTGKFHLYE KERGAPVNVGGDLTAVHPVVRTNPITGWKSIFPIGSFPTQIDGLTRRESASMLQWFHD MITHGHDLQVRFKWNSPNDIAIWDNRSVFHTATGDHEGFGPRSGNRAVGVGEVPYFDP SSKSRREDLGIEGDLAPCHW AOR_1_1314194 MEQTTRIRKRVPKSCRRCHRRKQRCVGFPTCANCNAANEPCIRL ETSPSWHHAMSKGALAQRIEALEAHLSAITEGLPSNIREQPIESPERTPSALGEQTER EPREKSRATAYFGPSSGVTLTESLSTIVKDGAWTDRSIPIHGTEHHEPLSPAPTAEQG KAAAPDDTLGRQLLDAYFINMHTRQPFINCAEILQLHSRRYDAPGTGQLEQYGMFKLF MVYAIGAAMLQQLTEQYESTTASAYFATAMQFDSTLRDSLSIMGIEGRVLMVIYELRS SSSSSVWYNIGMAMRICIDLGMHRESHYRTLEPLEAQLRRRLFWSVYVIERHVSWSLG RPFSIAEDEIDVQIPVDIDDPTSRYFGVEHAMGDLSSDIAHGTNIPTIRRFIATVHLQ RIMSRLHTKIYRVDRNMPDLIPEISPLLASLEEYKRGLPALQPEDNDFIQMHWYNCVR ALLQPFLSILDPEDDLIRTCLHASGQMCQLFKRSQQNGLSGYSFLLANSVYIAGLTMC FCLFRSPQLWRMSVANDLRACSSAIFAMAERKSSFRKYRDDLENMINRAMAFVDDASS HNLCISNQPAAGVEGSSGSHFANLENSKDPMASTLGLQSAAILEAQEQEDSFNAQNPF ADMSLEDFWTGENLNFPTLDVFGFGWDSLGS AOR_1_1316194 MAGGYFDLPVASSRRSSVTEVPEGPGRSSAGPRVSSLPSPNNEA PQDRSMDLRGAQGRHRNAGILKNTNRVKFTVSEYGLGEVPSGSTGSSLPQKPLPTASI PSTEKASAEPQSFPLVDINDSSPTGVTGRTIHSRASSPGFIGDNEVSYEKGRAIYSAQ ERAQRLASLLGRSSKSPKPSPRSSLPSSIASTPTEVALPSEDGDEIPMISLPEKQYDF FSDDEDNLIDKRQSTRTAEAHQLVRQMTRKDFNFFSRIRAPSPGLRSGQITPLEERDP DAYVERPSHYRGGILSTLLKLYDQPSQQNYSRGRYGHSRQSSSSGLSGRGLSPDPGWK PQRPRKWYEKSPNQSSISLSGSTAKNSSSSPIAMLKRSRSIGAIPGMPKRMGKPQLED EIRVTVHIAELLSRQRYLLLLCRALMKYGAPTHRLEEYMRMSARVLEIDGQFLYMPGC MIISFDDASTHTTEVKLVRSHQGVDLSRLSDVHQIYKEVIHDVIGVEEATQRLEEIMK RPDKYPVWLLILIHGFASASVGPFAFNARPIDMPIAFVLGCLLGILQLVLSPRSYLYS NVFEISAAVLTSFLARAFGSIRYNGERLFCFSALAQSSIALILPGYMVLCASLELQSR SIVAGSVRMVYAIIYSLFLGFGITIGTAVYGLLDSDASSDYTCPASPITNEYLQHFPF VIPFTICLALVNHAKLKQIPVMIVIAFAGYVTNYFGSKRFYSSTQVSNALGAFVIGVM GNLYSRLRHGFAAAAMLPAIFVLVPSGLAASGSLISGIASAEQITSKITPYSVVANGT QGFVDAAKNMTTTTSNDQFHGVVFDIGYGMVQVAIGFTVGLFLAALVVYPLGKKRSGL FSF AOR_1_1318194 MASACHDAEGLQETISNPGSFEEKAQGKSSGNAVLAHTSTRASD VVYSAFSKAQKRYIVFCGLINLTLMSYMIFQGLSPMFVGDFADKAGRRPAYIGCFILY IAANIGLALQNNYAALFVLRCLQSAGISTTIALGSGVVSDIATAAERGSYMGFVTAGT LLGPSVGPVIGGLLAQYLGWRAIFWFLTIFAGTFTIQFLLFFPETARKVVGNGSLPPP TWNLSLINWYQTRSRRTEEEQEEATNTTEERNKITFPNPLRTLSIVFQKDTSLILLSN AILFAGFYDVSASIPSIYQDLYNLNDLQIGLCYIPFGLGATLASIISGKILDSNYRRL AKQLNIPLHNSTSRDLKDFPIEKARLQLAFPLLAIGSLTVIAFGWVLHFGVHLAAPTT ILFVMGLGLTGAFNTVSTLLVDLYPGNASAATASNNFVRCLLGAGATALIDPMLNAMG RGWCFTFIAFVMLATAPLLGVVVRFGPRWREERLGR AOR_1_1320194 MAEQPSSHSGSSTDMTVENKEKRTWYHSTLFNAFIIGGVGFFAP GLWNAMNSLGAGGAQSPFLINAANALVFGLMGFLCLFGGPIANRIGLNWTLLLGAVGY PIYSAALYTNNRYGNVWFVLLGSVACGLSAGLFWASEGAVALGYPEPTKRGRYMNIWL WFRTGGPLLGGAIVLGLNHSAEAKSKGKVGSQTYLIFVALQCLAVPLAWFLSPPEKVQ RSDGSKVRIVLQDSWRAEMRELWKLSCRREVLLLLPIFWAAYFNQYSGNFTTYYFGVR ARALIGFVSNFARLLSSGMISRFLDYRGISIKKRVTYSFFYVVLVHIATWVYAWVIQE KYTTNPPSLDWSDKGFTEGLFVILLWEFSQQALQNWLYYLVSTMTDNISELARYSGIL RGQESFAQAVSYGINTRNWYGGRVPLAVNTILLGLAVFPTWLVVRRYAPIEHDKDATI GQDEEQGSAQHVVGTDFGDKNVVRETVQAK AOR_1_1322194 MDTGGLAQMTYNPSFNNGSSLGAPSSGFGSRRKGPNVKRLSVPP PHISTIDESQPSAPIPTPRTSRSHLLAGLRTAPKSATVPSASARQQHLGVEGDRYGNL SNRTAERVPQTAMGTGFPRHSMALNQGLDMNTGRPVYTLPEQVLAPPAIDIAGDMPID ESLYAELMSTNLFLAAQQQRLQQQLISVTAAAQQFQGLSLGMPMNQQQEYPSLAVPGM GLYQQQLQQGVQPVVQPVPGQPGLFSVYNPLTGQQNYIYDNSCQQESSSPYQEEETQS PAMQVPAFRAEVSPPPETKQLSQPASPPSASPSPPQETAPLPPPSANAFRRGHKKSSS FNPARFSIDTAKANSNIAPAAPKTAALSQTPATGTFGPGQNRAGEHPIRQPRGPPSLE ELVAKPTSNHEGSKNFATRQRRRAVHNLVRAGLERRGDSRSFGCHSSGGTNTPASEKE FTFSDGDDATVRSGSLSSKPSLGSLRAAANGAIGSERKERSSRERRSQDSPYTTTPIS EDGGFFGGKLADVRTDPVSSPAGTPSVAAVVAGQKTAAQGPERRKTPMLVLSSAEKRK TPIM AOR_1_1324194 MAGVDFSKIYSATYSSVPVYEFKLDGESVMRRRGDDWINATHIL KVAGFDKPARTRILEREVQKGVHEKVQGGYGKYQGTWIPLPEGRMLAERNNIIDKLRP IFDYVAGDRSPPPAPKHTSAASKPRAQKNAAANRRVVHEEVFSAVKPHRSMGPPSFTH EQYEMNPGFDEDESIEQATLESSSMVADEEMMHITQNGNYPRKRKRGMNEVTAMSISE QEHILYGDQLLDYFMTVGDAPEATRIPPPEPPANFQVDRSIDDSGNTALHWACAMGDL EIVKDLLRRGADVKTLSVHEETPLVRAVLFTNNYEKRTFPPLLDLLLDTVSFRDWFGA TIFHHIAETTRSKGKWKSSRYYCEVLLDKLRVTCTPEEIDVLLSCQDSNGDTAALVAA RNGAFRLVHLLLTHCSRAGDLVNKKGETAASITQRANQSDRHIPPPPSSITMGNDHID GEAAGPINADHQSVAPAQDPSPSTSALLTKIGVIMAEANKKLAVGYGSSKANQPDPDD VANPEALYEQLELDRQKIQQQTADLAAKESKEEHVDAQFERYEQLRSRYESLLEQIQH ARLRERIASSTLPTNEDANSTSTDQNKLLTVYQLARRLCSAQKARRAAVKDLAQQTAD AGVSTKFDVHRKLVSLATGLKEEELDPMAAELVETLEFDRMNGKGAGGESPEPEPQGS ATFSLPGPPVSVDA AOR_1_1326194 MTVAESVKSAVGLADTPATRQEMSDARLPIQYRDSCGHLLIPLN RCRQQEYYLPWKCEDERHSYEKCQYEEFKKRVAKMDELRAAKNGARSN AOR_1_1328194 MGLLALGTALDWPEAKKRADQVRKWGIEQLLANWRRAKGKERDA LLWGDEVEYLVVAVDDEAKKARLSLAQAEILKSLAEDEANWKSGGSNSIQNKEHDGED PPHFHPEFGRFMLEATPGRPWGIGFKDLLKVESNMKWRREVAKSHMASNEYPITLTTF PRLGTKDDYIQPYYPPSGAALRSQFVPDEIANPHIRFPTLAGNIRSRRGRKVELNVPV FKDTNTPEPFNDPTVNYDLHNWPEDDDVRNGAAKEGHVYMDAMAFGMGSCCLQITFQA KNMTEGRKLYDQLSPLGPILLALTAATPIYKGFLVDTDVRWNQIGNAVDDRTREELGE APLKNDRWRIPKSRYASNSTYLSQDPRLRKEYLDPDLIVDEEIKKRLIDGGMDELLAT HFAHLFIRDPLVIFSEDLEELDLNKADHFENLQSTNWQHMRFKPPPPEKDDIGWRVEF RSMEIQMTDFENAAFSIFIVLVTRAILSFDLNFYIPIQRTTENMETAHARNAVLDRKF YFRKDPFSPRARRPHPQSSTNGEVSSATSSAVNTPPPSPPLKPVESEYELMTISDIIN GSADGSFPGLIPLVESYLNSVNVDVETRCFLATYLDLIWKRANGTLWTGARWIREYVA SHPAYKHDSVVSEEICYDLVKAVEEMSVKEGKHGSVGWELLRGKK AOR_1_1330194 MTPICSPPSPEEEAIITVIHGFLAALNAKSSADFEKYCIRAGGM SLWPPPPMVPRFCTIGVFVEQIANVQDEIDERIWDPEVKVYELGNMAAVWAPFRSKIN GVVNHVGVELFVLHKLNGEWKVTGLADSCRWPTEEEKNSLL AOR_1_1332194 MLTTIICLSALWVAGNAAPSSIPGPTAQVRNGTYVGVKNDHYQQ DFFLGMPYAQQPIGDLRFTVPQSLNESWDGVHDAKEYSNICVGYGTDSIWYPMSEACL TLNVVRGSSASEGSNLPVGVWIHGGGFYMGSGSDERYNMSAIVANSYRIGKPFIAVTL NYRLSAWGFLSSSEVASSGNTNLGLRDQRLALQWIKENIQAFGGDPEKVTIWGESAGG MSVGTHLIAYGGRDDGLFRGAIMESGGSITASPMNDTGYQAMYDEVVAKVGCSNAANT LQCLREVPFEELNTVFNGTDGNPAYSFSPIADQDLVRGRGSVQLDQHEFVKVPILAGT NTDEGASFGPTGINTTEQFYAYLTDGSSGFKLPSSTANEILDLYPDDPSQGIPAFLGD QRVPSEGYQWRRTSAYAGDYSMHANRRRQCEAWTETSTPAYCYRFNMRGADVPYLSGA AHFEEVAFVFNNIEGLGYHYGKPFAGMPESYSQLSTLMASMWASFIHDLDPNSGIKDG EVRWQAYGADQPVDLVFDANVTSYMEPDTWRKEGIDYINSMAETYWR AOR_1_1960194 MPPKAARKLKDSIEQEGRILLAISAIKKQEIRTIAEAARIYNIP RTTLRRRLNGHTFRAETRANGHKLTQNEENSLVHWILSLDQRGAPPRPAHVREMANIL LLKRGFSDTPTTVGENWVYTFIKRREELKTQFSRRYNYQRAKYEDPKLLHKWFERVQI TIMQYSIQPDDIYNFDETGFAMGLISTTKVVTRAELADRPFLLQPGNREWVTSIKYIS SRGPLPPCLIFKGKVHIEGWYEMGLPSDWRIETSPLDIGCFGPLKRAYGQLVENKMRL DFNHINKLDFLEAFPQARRVLSQLNIQLEATPPGSRPSSRSTNSVPKTPHNLKQLQKQ ETTLKKLLRARTKSPDSPTKIVIKQLFKGYERALNKATITKQEARELRAAHERILKKK KRSTRQLPIESGASVQEAQELIQGRNSTIEPITTASVDIGAPVESQRIRAPPRCSGCN ILEHKITQYPNRQTI AOR_1_1336194 MGSLEAGHRHRDHLPALGFLGKDGSGYPPKHPSVASAPVTSPGA LLSPTSMYAGQPLPCSYPTSSASVPSVQPGYISPTDSRRALEEEKEKQQSQPQRQSLP SIHEALGNDNPLPYPAPTSAAPQQPHHAPHSHLLSSNVIGRPTGEAPSGPPNPFSNVV SSGPYVVRDSAYPQSQLQAEASRASLASVTTQDSRNHSIQSLSSGKSPTQSQKTGITS IAGSQTGSAYEYSAPTSAGSIASPNGYGTFPQNFSFQSQPPPNAPTYPVAYDARPYGT TWKSGVPETARVEEMRNGLAGRAIAGQIPGDSVKRHLDVYDVETSLNEIAEMSTRTLD FSRHYATRAHQTQRSGPVIGSLPSLQEVEEMLSVQRRNQDALLRIRAAVVSQEHALAE QMAQRKAFKAGGVREDDHMAMYQDEYKGSGGFAGPDSKKRRGKAAPPGRCHSCNRAET PEWRRGPDGARTLCNACGLHYAKLTRKMGAKQASSLGSNLKPKTVDSASPTGR AOR_1_1338194 MEGITIQAQGTRLYDVNNRQILDFTSGQMSSLLGHSHPEIVEVV QKYVAELDHLLSNMITYPVVELAERLARFLPAPLEKSFFLNTGSESIEAAIKIAKCYT GKFEIVAFAASYHGLTQGSGSATYSAGRKSGGPCMPGQLAFPAPYTYRSPFRKPDGSY DWEAEMDFGWSMIDRQSVGSLAAFIMEPILSTGGILELPQGYLQRMSLECKKRGMLII MDEAQTGVGRTGQMFAFEQDGIVPDILALSKTLGCGLPLASVSTTAEIERGCTEAGFL WLTTHLNDPLTAAVGSKVLEIVERDNICQRAAERGAQLRDGLLKLQEKYWCIGDVRGR GLLQGIEIISDAETKAPGPDLGQLVSDRAMACGLSCNVVNLPGMGGVFRLAPPVTVTA EEIEEGLRILDEAFDSIIKTFSSSVESRASKRGRKKDSR AOR_1_1340194 METALKPGHIGLTCILPAYLAKSASPAAGQQTRRENVSCAGLMV QIVPILGQEISPNEAQPKKSQQAFTHPVDAVSPSRDFAPRTISRPAAILETQSCPPSH EHFTREAFPNLVGIVTEVGDNSSHIVSPVVAEDNDVLESYLSTVPDARRRSIIRTDPN SRRPVRPVLFNTVPRRPLGVSATQSLPATKCEFIEKYLEPEVDVIVDLFFHHANICFP IFDEMSFRHVYSTHKENISPALLCNLYANALIYWDNSPSLRSGRYPDIRFIWNQANEA LHSELFLSPGISTVMAIILNVCGRPSTSIFGNGGMVGTAVALSNALGLNRDPSNWNIR PMVKSFRIRIWWLVIIHDRWCSLAYGTPLQIHRAQYDVPYPAMEDLCSPNASHSQIAA ALVFLSLITLTDVLSTYLQHVYKVSESTAYPPEMSSVDLERLLTDWEESLSGDVRRIA LRGTHLNAPGAANFRLAYLAVKLLLRRIQLDLDVDNIKADDDTTSPFYTQAQRAAEEI VHLVRELDEPHLRGFWIPVHAFSLTSATTFLLRSGLRRRNAHSNAPLKLAEDMISTLR THRDRFSWDLADNCLTTCSDLVEKLSSAEAGNDSLSADPAFQDIDFYNSSFLDELLMG FPGLADTIETPA AOR_1_1342194 MPPKPEFKHALQQITQQFRAPISYSIAYGSGVFPQTTNKTSSNP QLHPSPPPAISQAQKAHPKMIDFIFGISHAHTWHTINLQQHPHHYPPLLRSLGPRAIS KCQENFGAGVYFHPFITVNGILIKYGVVNLETLRRDLVGWNTLYLAGRMQKPVMVLQD NAAIRDAGRANLVSALRTALLLLPGRFTEWELYATLAGLSYMGDPRMVVGGDDPGKVE SIVGGQLGAFRELYGGLIGGLENVSLNLGCVGGIEQDMDPVVRGDMVRLLPESLRTRL YWRYEAKLSVSPGRFDRIWGEMGECVRHSEDGLFERRIAGDGGLGSEIRKTIEETVRW PSFTQSVKSAVTAGVSRSWRYAMEKRRKAALGRSRD AOR_1_1344194 MSRRTLGGGRILGSANALSPSASTPSPQPKPRLLSPTASSVSLS SQASASQFSSETQDLTSRISLDNVGTSIPAAPAAAGAQLACPICSEEMVTLLQLNRHL DDVHQNLEDERQDEVKDWFKTQMEKARRFQPLAVLNQKLKGLDVFESNDNLQTFAGPS RPSGLMQAPAPEQPKPLDPDDIITKDHWQARGLYDVCLEPSCGKRLNATNGCVNCRKC GKLFCEEHTMYQMKLSRSAQHEPVRGLWCRVCETCYKSREGYNDHNGLVRDLTDDFKS ARMQTVDKAFLEVSRLEKRLTRLTQLLASLPVEQIQSNTSKIWSIAWQNDQRKALEQT VVSWQDDSSVLRCPFCQQDFTSYTFRRHHCRTCGRVVCGDPTTGCSTEVPLSITPLSK ASTEKSSNMDIMNIDVRLCKECRATLFDRRDFEADLMRKPPDLRAYENLVQFERGIRI LQPKFQKLLTALQDPRRPPSSAQIAEASKIRKRLIDSFAQYDVAARRIRDLPAESSAQ QRLQKAIYQQASNFLHLHMLPLKSLPKVLKHSTPNGRLPSSPTSSPSPSNGSTSGHRR QGSALSNLKYNSVAASVSNSSLASDTSSAISALEAEEKSLRERLIVLEEQKFFVSEMI ADANRRRKFDEVSSLAMNVEDLSREIDRVNGMLERLDFESVYTSNPSTD AOR_1_1346194 MSVPAKLVDDPQIKYASFHNPLPVQLHTYVWPFLIVWPAFFSVY LSPERYDTYIQGQEWTFVWLASIITAQSLLWLMTKWNINIQTLFTATSTKSIDSAQLI KVIPEANAGSAEICPLIRDSMGGKITLSFLFQKRRFLYYPERRCFGPLSYVLDAEPKP AIKVFQENQGLTSKAEVERIQHHYGDNKFDIPVPGFIELFQEHAVAPFFVFQIFCVGL WMLDEYWYYSLFTLFMLVAFESTVVWQRQRTLSEFRGMNIKPYDVWVYREKKWQEITS DKLLPGDLMSVSRTKEDSGVACDILLVEGSAIVNEAMLSGESTPLLKESIQLRPGDDL IEPDGLDKNAFVHGGTKVLQITHPNSNLEESEKSTSKVPSPPDNGAIGIVVKTGFETS QGSLVRTMIYSTERVSANNVEALLFILFLLIFAIAASWYVWQEGVAKDRKRSKLLLDC VLIVTSVVPPELPMELSLAVNTSLAALSKFAIFCTEPFRIPFAGRVDVACFDKTGTLT GEDLVVDGIAGLTLGHEGAKVEKDGAHTGLAKGGNIAVDTTLVLASAHALVKLDEGEV VGDPMEKATLQWLGWTLGRNDTLTPKNASAADPSRSPESVQVKRRFQFSSALKRQSTI ATKTKSTLVAVKGAPETISSMLVNTPPNYEETFKYFTRNGARVLALAYKYLSHEAELS QGRINNYTREEVESELIFAGFLVLQCPLKEDAINAVRMLNESSHRVVMITGDNPLTAV HVARQVEIVDREVLILDAPEHDNSGTRLVWRSIDDKFNRDVDPTENLDPEILKTKDIC ITGYALAKFKGQKAFSELLRHTWVYARVSPKQKEDILLGLKDAGYTTLMCGDGTNDVG ALKQAHVGVALLNGSPEDLTKIAEHYRTTKMKEIYEKQVAMMQRFNQPSPPVPLQIAH LYPPGPGNPHYQKAMEREAAKRGSAAVANAPNQGDGIPTITSPGAQALQQSNANLTPQ QQRQQQASAAAAGLADKLTSSMMEQELDENEPPTIKLGDASVAAPFTSKLANVIAIPN IIRQGRCTLVATIQMYKILALNCLISAYSLSVIYLDGIKFGDGQVTISGMVMSVCFLS ISRAKSVEGLSKERPQPNIFNVYIIGSVLGQFAIHIVTLIYLSNYVYSIEPRKSDIDL EGEFEPSLLNSAIYLLQLIQQISTFSINYQGRPFRESIRENKAMYWGLVGASAMAFSC ATEFIPELNEKLRLVPFSTEFKVTLTVLMIFDYAGCWIIENVLKTLFSDFRPKDIAVR RPDQLKRETARKAKEELEKLSAQEAQRKV AOR_1_1348194 MVEFKGRSEQIFVVTIVFLGISFISVCLRCFVRLRLVKAFGWDD IFMVFAMALNILFALCGITGAVYGIGRKLIDLEPQHIEAALFWWWLGQTSYVFTCVIA KISIALALLRLTVAKLHTILLWVVIGVSTVVGLVFWFMLTLQCQPVQYFWQRMRPGAS GTCMNVDHLIDIAYVYSVFATVCDFILGLLPIALVWNLQMNTKTKAALAGILSLGCIA SAAVIVRIPYLHHYKDTEFLYATTDISIWSNVEAGLGITAGSLVTLRPLFRWFRGTSY GATHSVKRTTGSVPLSSMNGNGTGQSKNDRAAATRYWRPDLDPEDSHAIVTTVQTQHS GNSSQEDLNPKQHPMNGVNVHKSFYVSSDEM AOR_1_1350194 MASKTWFDGLKRSFADVPVGADNSISTTEFLEASESLTTLFDVL GSVAFTPVKNDLLGNVKKLRDRQLAAPAESETVQSLSVNELKTKKHTASEGLLWLVRG LDFTAQALRRHVDKTGEELASSFREAYGVTLSKHHNFIVKKVFSVAVGAAPNNKNFYK SLASSTDDVSAETEAKIQEQLTREVKALEKIVSILQKFQEQPDAKW AOR_1_1352194 METDLHTAFDDHHHGLEPEFYTAEKLRYASANHVHVTSRRFFIG PIPKGWLQNHRKSWYKTRLKFKNYSSKTVTFRAETDVAQYTQDPERIELSPEQTKNDE PEDTTEGEQAEDSRTLSRRRKVKAGEIIKAERMLVRIEETEHELPEDYGENDSWSMET RVADNWREFLVVFRATSDEDAPFTLQMYKTRVIPEIQRYNTRAKPYHEIPLGRKKAKL NLYSSLDKTIVIWGPSKHGTKIYIIRPKSTAHAVEWYTSLGYALGRRRASSLSIDVPD LGVSLTFKNPFEQLEARLEENERSGILAHSAPQKAAAAAEIIIRGCFEVLDKHPEWTD VLHKWSKTERMGLAWKRYDRLEWVHGINEERMYGTLAMQRTHQLQLRPRQHYRTHVHN DDKRDEEPEPVEGFLIRLTSQRGVHQRMNKMFFKRLYCFTQDHYLFFCRPAKSLPPAP PRLRADDSNIPSTQDILNEMPLSYDIDPFPIQDGEITWLSSGNKEHIKRHDEEAFAQL RRNLHNLTNADGYIDICQVHEVRDVHRDSCPADRNIREGPDVEFNPEARDTRRDDGAT QKFDDDKTFEMLLDNDLVVRFQAYDERTKNEWMKRLDALVKYWKARCAADAAELKILR QRNLEILDIDEEMESVVGQFAQKWEVRRAEASPLLHNMCALTGCRAINMSGQLFRKPR RHSTFKRCNVILTDGKLLIYRNSLRKRNGVQIPHVHSSLEATIDLSDCYVYSGLLTES DLLYTNQTFDSNRPSHRTLPRAYLSTDAYTSSDEDTAITFVVWQPLRKNLFRARERGV KGETKQTLKQVSKLGVHGRTAVFKARSRVDKDRWVMSIASEIDRLQESKPEDVRVIAT NS AOR_1_1354194 MPTNPSSSQKPPGPKYQVLTPEAAKLRSQFGSSPSPPPPPSSTA PPLAQPAPEPPRKPFSLFSHFRSRYATLPVPVRTGLRVLRILAPIVPIGLFFSEHVLG VMWVSGPSMTPYLNEDYEQMHTKRDMVLVNMWPWGGAGWPWERTRRLERGMVVTFRSP ANPGHIAIKRVVGLPGDRITTRDPCMKPSQIVPFNHVWLEGDAADPKRSLDSNTYGPV SISLITGRVMAVMYPRFRMLKWTDWEQGLVEGDVERKLGDNYRHEVRDRVSKEAVKLE RPVLS AOR_1_1356194 MRQMVSFPSWGSWAFLQVYARGFADADKYAFADKITHFVGKICP SLATADKAETENQVADKLGELGPLLYRFANAFSSKAFKRKKKDKKRAKKKTKYQKDAA AAAAPNAPSAGSFMVLVPSLIPGLLRHFVFLAYRIDPAF AOR_1_1358194 MSPIVQLVLHVKKARAAINSINRGRKSDNKKNNPFKHNPFSSSV SSTSVVNKLNPVPTSSLWDILPVEIQVKVFAHCGITDLLPLKLVCKAFYELLTTHEHA ITRQYLRQRRHGTLPSPIDGEKTYTRHPEDDVVLLSDLFPPTKSAKGGHIYTFRYVYS LRRRQKLCSKLCYYIADRVMDRFTCSEPAFMKSMFPSRNERNDFVKRATARIWFYLTP LMYYTLYFLESYTLARREHTNVLLRDFEAGRLPVPIPPDVRKSMYRSLQVKILRSPPF TNTATLIATHHCMQLLVSYLQYTVPPDEPGTSDDSWISSLLTVSPFIRLVEYFSAEIG DGGNQRMQRKDFMHNFHNDITSNEKDDMNSLVFERAPNNHMHSSVQDVWFEVARQELA SRRAMQHRAEHILIRDNLPVLLGCQDCRDSMGYRA AOR_1_1360194 MAPSIPGQMLNTSWTLHRLSPLHHEKEFQSLLDNPEALKTYANR LRNQLTGNVLSGFQVGTSAPSTEEDTLSRTGALKTCTWEAISSLSLEDPNALPPENPC GILVVLEYENITYKAALLAPPEGSHFRKTSTYLPLLLTRLPGPLRQTFISFLSANFDT YCSVFRLPSQFLCAGLASYVDTLTQGRDRESATSRAILEDVVKEIQITVSFSTNVAPA LRSLNINIPRGSIESFLPAAGDSDQPSGSILSGLSSYIEKHLAMDLDLAGSSARDSPA RKHVRISKIACNGFVLGAEGKMKLVAQPIRTGSAGDDSAENDDDARNEKKRLALRASE VLLFSVIHRSLVGENQES AOR_1_1362194 MESSAVQEPTQQRSLRDRIFNLFRTSSSNDAPGLPARLVTAESA AQNEGSALIYPPREPDARTRLLESYDRGKRGLRNSGVHGTFSSRPEQEEIQKWDASSL QNAGNEERSQSPGGADGHIGSPGDVSGYPQGPENIPSLDSSFTALHMKNHKSLYISYY IPFFNWITQYRWSYIRGDLVAATTIASIYIPMALSLSSNLAHAPPINGLYSFVINPFI YAIFGSSPLLIVGPEAAGSLLTGTIVKTSVRPGPSGEDDEVANAIVVGIATAMAGAMI LIAGLTRLGFLDNVLSRPFLRGFITAIGFVIFVDQLIPEVGLTELAKEAGVTHGTTVD KLMFLIRNIGGCHALTTAVAFGSFAIIMVFRTLKKMLQPRYPQVIYLPDRILVVILSA VLTWHLGWDDKGLEILGPLKQNANGLFAFKWPFQFSQMKHVRAAMSTSFVIALLGFFE SSVAAKGLSGEARQEGVQGMPVSANREMVALGLANTVGGCFMALPAFGGYARSKVNAS TGARSPMSSIFLSIITFVCIMVLLPYLYYLPKAVLSSMISVVAFSLIEECPHDVAFFI RLRGWTELALMLLIFVSTIFYSLELGIALGIGLSILILIRHSTQPRIQILGKIAGTTD RFDNAELHPESVELIEGALIVKIPEPLTFANTGELKNRLRRLELYGSSRAHPSLPPTR TPEHNKNIIFDVHGVTSIDGSGTQVLYEIVDGYADQGVSVFFCRVATRNVFRMFERSG IVERCGGITHFVHGVDEALRLAESEDEIEI AOR_1_1364194 MSLTNCRFYEEKYPEVDSYVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRIGRNEVVIVLRVDKEKGYIDLSKRRVSPEDVIKCEERY NKSKAVHSIMRHVAEATQTPLETLYQNIAWPLNRKYGHSHDAFKISITNTDVWNDVEF PSEAVKKELTQYISKRLTPHPTKVRADIEVTCFGYEGIDAVKAALRTAEEANTPDSQV KVKLVAPPLYVLTSQCLDKAIGIKQLEEAIQRIEAKIKEAGGGCSVKMAPKAVTEHDD AALQELMEKRERENMEVSGDESQSESDDGVPE AOR_1_1366194 MALWRDILKADTGRARRHLQLERRRLLPAYDSDPFSTTGPAKEV TKVALRLKYQIEQVIPCEIEENIITSPTSRVITGNVVQTAKEAGGEDLRGSIEELWDS DLHAGRALACEVIAKRIIETEEDQDFLLLHTLLKRYSIFIDGEETVPANVIERAVDLH ALRVIGSSGYQKCIKYLWNGWFCQQDGNPTNFVPYHEKDNTNFAVHFHPDRMRTPLYQ NTCQIAVSLVYLALYTQVINTVNRTGDLDVGEGILYVMTLAFICDELTKVWKIGRHYF DFWNAFNSTLYAILAVSFFVRVAALTHSPSADDQKRQMLNELSYNFLAFAGPMFWMRM MLYLDSFRFFGAMFVVLRVMMKESLIFFALLFVVLAGFFQGFYGMAQVESDIPVVRNI VQGMANSVMQSPEFGTFEDFAYPFGIILYYLFNFIIMTVLLNILIALYNSAYEDISGN AIDEYMAVFAQKTMSYVRAPDENVFIPPFNLIEITLLIAPFEWWLPRKLYAKLNDVIM GIIYSPILLVTASLEVREARRIRWNRRRGEEDDDDVQEWEHAAEEVDFAIDDSWKQTV QDTTPNVTMDSCTLEVVQLKEQIRELTEMVRLLTEDKATKGTLYGGSSSTILERE AOR_1_1368194 MAAPYVPITEIPTVSLLYKLGRLVPVSSPLLQPSKPLNDTISLI RNDITKLQGVDCIVNAANRSLLGGGGVDGAIHRAAGPNLLQECRVLDGCDTGDAKITS AYELPCKRVIHTVGPIYRYELRGGDDRPEALLRSCYRRSLELAVENDMKSIAFSAIST GVYGYPSDEAARAALDETRRFLENPNNIGKLERVIFCNFERKDKVAYEETIPLIFPPV EQDLPSGSASKAESQPIADGESSPSLDLLAAKLPDPPTVDPTLDGQPGSKKQKVNATD GQSSRVYTEDKSEDDWEEVDKSEDGRTERLDDEPIEVDRPPSVTDVQSVQSSGIIDMT DSQSTGSLLGKDW AOR_1_1370194 MSAEDSVVNGKAPAQPGSHHDRGHSGLVSVQPARLADLQPKYAQ KLEHDAENPEAHGWYAGLIHSLGECIGFLGAIPCCVCCPNPYKPVAQGEVGLVSKFGR FERAVDPGLVKVNPLSEHLTAVDVKIQIVEVPRQVCMTKDNVTLNLTSVIYYQIVSPH KAAFGISNVRQALVERTQTTLRHVIGARVLQDVIERREEIAQSTSEIIEDVAAGWGVQ VESMLIKDIIFSDDLQDSLSMAAQSKRIGESKVIAARAEVESAKLMRQAADILSSAPA MQIRYLEAMQAMAKTANSKVIFLPAMNQTVQQQLAAADNAGEGPSRYGTGNVDDGFQR AMNARVVENI AOR_1_1372194 MAPSQNPGPARAAARTPISRPSFDITPDFTDPSFDPAEFLNDVL PPLTLASPQSYTPRGSTAVSLAELSTQVQSILTQINAQNVRLSNNLTQLTDEILRSGN RLAYEVEVLRGEAIGLSETLTETLRDDIARFVPDTVESNREGNLESEDDGEETQAADN REETVQDPDFIRKLRTLNQVRARLEEVVQTFGDSMEWPLPPSETSLTSSFISVSAPEP GSEAQSQEEKGQEVAKKLRTEVTGLLDSNGGGEEGLEAATRRVEALRVLATVWKGTAE EKARTRFVDGLAKMIDDRRRALENQGKINSSQQQGKPPVGHRRQESEGPGGGIFKNLQ RLREEIYLE AOR_1_1374194 MATLGVPNGPWADRYHLARSTITTHLQQLYHFRPRARPTRTLFL TVAAFLLFIVLLSHSPTEPAVNYWLKYPSYRSSRDRPEDLQIVQTGRPTLTRNDTLPG SLQKNNPSFHLVIPAKSKSPALCRMLTSAMILNYPPPTLINYGKKLPEGAKEYDVMKD RITGIYEFLDKTRHVQDNDFVLIADGTDFFFQLPPDVLIQRFQKLLKENNAKLQQKYG LVMVEKAFEQTPPETVQKYTQRVLFSASKECCPGLSHDAGCVAAPESSLPPDIYGWKT DRYPDGTLTRPRWIKPGAVIGQVADLKAIYAEILRFVEHNHNAQGDYVALTQLFGRQE YVRELERRRTSNPFMEWMYTQIGISEASNLTGLNPRLETGRRYEYGIGVDYESQLFFN MWNSKNDVEWLQYNNVSKTSSVQMQHGVPRERRLLLPEDLNPEQVSNPFIQPKVGKDE PLTPPYNATLDALPNPQHRSWHNLPLLTNVHSATVPALVRLDGDPKLRDTWWSKMWYY PWARALLRKYVRSPSGFEAAQSALLGGQEWWDLRGGKGGIWTEKGEWIDYSEVCVGYE RDLFNDGFGKWRREDGDSDEPVYNQFGQLIKGKED AOR_1_1376194 MRVIDPQSAVLTNIEVLAYLTANPPRRPPNPPPNLRHWVPSPDL RDHNTVVKEIHNYASRLSPHLYKYPKYTHQSPEQLQQLAQSQSQTDTPALPLIQSNAP TPMDIALRDLITQLQPYGLTKGEVLMIVNLGVGMQDAPAGAGEDGQEEEGEGEAGEGE GEVVNGNGDGMDVDVNGKGGAEGEGELGEEDYGALALLDTVIEEREERFSDEDVVAIL GIIRGTLGRGQAMNGAG AOR_1_1378194 MSGSAVASRGGRVRTACDLCRHRRIGCDRAKPACETCTLAGVPC TFTPTAQQRKSVREELADAKARVRHLEEALKAERENRLNSDQYTPPKALSSGSSPLEI PHQFLSSWSATTYLLESYSFDAALSNFRWHLGFCGPRAAPFALTPSFSSTVYERTGFV LNIEDFLNQLAQSFKLQYPTHSTRTIVPKWPPRSLIQRSIEYFSKNRLYSIFPAVDIE NTPLHLDPKALGNPDITTSPANYACLVALTALVTRIRGDDMAFADADPDAYVQAVLTL LPELMIDNANLRSLEALILLALYIAPLGQPRPAGILLAMATRMLYNMGANRNRAPPEE TLEQSQHRQHVRALFWLCYGIDKEMSLRECKTPLINDADCDLYLPAAYVSTSSDRSFF PEPLSTKELLFPSDLRLALIKSKIQHLLYSDHGIAQPEARRIQYIRELDQELSDIKSN FPVTCQPEDVLDRSVPDSLLHDLSLRGVNIHLEYYYCLAKIHGASNNGGRPSPQSWSL LPSSMEICHQSARSTLLYLSRVRHLIMPETFWIYAQFLLTAVISLFWQLITTVPPAPT SEEDLQIIEETAGIFTERNRDNQEASCFPPFYLTEPFIQRLVFLARQSLYRAANQ AOR_1_1380194 MFVSKLIFAALAATTAIAHPGPDHAVPRAEIQRRDGLAKQCANH AADFNRRRIAKRAMQKRWEGSGHNTTFEITTEAPYYDTIQNDTCVLNPEVTQGPYIWP RSQTLRQDMAEDQAGVPLWLDVGVLDMATCEPLPNVLLDFWHCNATGSYSSFTHLSPN TPFEKLLAELNITDFEIGVTDLHTDDTTFLRGMWPTDENGVMEIKTIFPGFYVERAIH IHVQAHTDWSVRGNGTIVSGNTVSTGQLYFDEALSQKVMSLEPYASHTQINRTTNAQD TIFPEGTDGGFNPVVSVVPADGEDISKGMIGYITIGVDTAAIETFEK AOR_1_1382194 MVQLASTLTLGLASIASIVSAHPGHNVEAEAAERANFLKKAPIR SRSLAHCATSLKARGVEDLNVARRENAVQLLRRDRGLDTGARYLKARDLDSVLATDHH SKLTHVDPSTDPRVLFGSEGTCIVQPEVTQGPYYIAGELIRKNVAEDQQGVPLFMDIQ LIDTNTCEPLPEIYTDIWHCNATGVYSGVVASGNGNSNDDSNLNTTFLRGVQPSGHDG VVRFESIFPGHYTGRAIHIHVVTHPANETKILPNGTIAGMYDGHSSHVGQIFFDQDLI SEVEKNIPYSTNTQELTENADDSILSTEADTTDPFMECVLLGDDVSDGIFAWISIGID AKRDDSLSPEGYWTETGGEVNDNFSMNMAGMGDLPTGAVSSAAPSASA AOR_1_1384194 MSLTPNSFYIALYIRTDPPIPDNFHWALYLHHHETGTKYHITNE STGWIAAHAPESAILNSFLLVGLIRIADFPSSSQSVLNEVDQLIRSYDDRVNDMGVTC RTWLLKVLELLRKRGLLCGGKVLEMGVLEREVMDWGNAEAGDAVRNVQPRPVGVSKVC GFAI AOR_1_1386194 MTLFILTETSAGYALLKAKDKKLLKRDDLATEAATAEGVSNLVK LKSFQKFDSAATALEEVASLVEGKVTPRLASLLDEVKDEKKVSLAVADPKLGNAIGKL PGLDIQLIADSTTTDIYRAIREHLPTLIPGLAPQDMSTMSLGLSHSLARHKLKFSPDK IDTMIVQAIGLLDDLDKELNNYAMRVKEWYGWHFPELAKILNDNLAYAKLVLKMGMRT NWESSDLAEILPEELEGSVKAAADRSMGTEISEEDLENIQALAEQVVGFTEYRQQLAG YLTARMNAIAPNLTALVGELVGARLIAHAGSLTNLSKSPASTLQILGAEKALFRALKT KHDTPKYGLIYHASLIGQATGKNKGKMARVLAAKASLGIRVDALAEWDEDATEEDKAA LGIEARFNLERKLAGMEGKPLKPRGVTIAPNGTPAQAKKFELNEARKYNADADAVDEP SSAKKQKKLVEEVQDTEMADADSDAEADSSDESEEESSKKKSKKSKDADLEKMAEKAG LSLKRYKRKLERGEIEFDAEGNPSSISKKDLKKAKKEAKKADKGEEKKRKRSDDNEDN EKKQKKKKKKDE AOR_1_1388194 MEGLFFNVNSGYVEGIVRGYRNSLLTGQHYNNLTQCETIDDVKL QLAPAYGDFLAALPPNPSTSALAGKMTDKLVAEFRYVLTQATGSTARFLEYLTYGYMI DNIALLITGTLHERDTRELLERCHPLGWFETLPVLCVATNIEELYNSVLIETPLAGYF KGSLSHQDLDELNIEIVRNTLYKNYLEDFYQFVNTHPDFKGTPTQEVMSELLEFEADR RAINITLNSFGTELSKQERRKLYPEFGKLYPEGSLMLSRADDLESVSLAVSISADYKA FFDAVGLTQGAGFGGGADGKSLEDMFYQKEMEMSKVVFTRQFTPAVVYAWMRLKEQEI RNVTWIAECIAQHQKERIGNFISVF AOR_1_1390194 MVRNIVVIGGTSHPQLTQNICGVLGIPPADVLLSKFSVGETRVE IKESVRGKDVYIIQSGGGKVNDHLLELLITISACKTASAKRVTAVLPLFPYSRQSDIP YNKTGAPLVKSSVPGKSEPANGYTFESTPTTPYPGKPESGSLLNGVDNLQKSLAKAQI EDISNGSPVKKRPTSGLSRSDTLDSQPESSKAAVSNGAFGEDSVNKINNFQPRPGYKQ WVAQAGTLVADLLACAGADHIITMDLHDPQYQGFFDIPVDNLYGRPLLKSYIQRNIPN YKRAVIVSPDAGGAKRATAIADSMGIEFALIHKERRPTRITDRQNATMMLVGDVKGRT AILIDDLADTSNTITRAAKLLKKEGAAQVYALVTHGILSGDAIDRINASALDKVVVTN SVDQTEHLRQCPKLEVLEVGHVFAEAIRRVHHGESISVLFQYD AOR_1_1392194 MGERSRSRSPGRRLDRERSDRERPRKNGGGGGGGGGFRWKDKRR DGDSRHDTDERRLNRGYRDREERARSPRRDRDTYRPEDRNKDSDRDRKRPEGDERDGR DKKKKEKKEKKNAVPQSSEPMIIVHVNDRLGSKASIPCLASDPIKLFKAQVAARIGRE PHEILLKRQGERPFKDFLTLADYSISSGSQLDLEVGTGE AOR_1_1394194 MPGRLILRRPSPTTVSFTVSNASRHTSTPAKILYYLQVLLRALV FICVVFFNVARLRHSFFNQDGSLLRWNAIWSSPLGSHVTRTVDSYSSWAVVLVSAIVI YGVFRKGYTEESLLVIRGLGIQTSTSSLTYLSKASTRFIPTTQIQDIVIHEAFKGFEV RFYLAIIVDGEPEVVVVFPKLLPSRAILEEVWRGSRHCLYDSKS AOR_1_1396194 MSGAGESLPPQGQTDYSTWTPENLISRITELERQLHSRNAEFAT SSKVANEFVPSNPALDTPAETAKFSSKKGARKYQPPPEDDITHTRAPNRPPKQPRVID PSRYNTRFIALKFAYLGQRYNGLEHANGNVTPLPTIEEEMWKALRKTRLIFPENGEAD GFEDTRGPRELKPYLINWEGYQYSKAGRTDRGVSAFGQVIGLRVRSARPKRDDVAQAD PSSDTTMQTGEEASALDDTAQDNWDDIADELPYVSILNRVLPEDIRVLAWCPHPPEGF DARFSCRERHYKYFFTQPAFSPTPGPLGFTSRANNKAGDSRAKYREGWLDIEAMREAA KYFEGVHDFRNFCKLDTSKQIENFERVIYHSDIELLDPRSSPLGYVSQPGFQALEDPA AQQTPGSPESPSPTPSQVYVFNLRGSAFLWHQVRHMVGILFLVGQGLEPPTIVPDLLD ITKNPRKPTYEMASDAPLVLWNCVFPDENSGSREDALDWVYAGDSRQIKSQVGRGDGK YGLGGVVDSLWSVWRQRKIDEILAGTLLDLAVSQGDQGVVNGEAKGVKDEQRKRSQKV FYGANEPRMGGQYIPVMQKRKTDPVEVQNARWLAAKQRKTEKGAEPSRMEM AOR_1_1398194 MTKQESPDQENTVTILLLGDPGCGKTTFLSALKQGRSRLNGNDS HSQAEPLRDSDQPFLYDIRFSKKSFSLELYDTACPNQHWSTLKPDVVLLAFDISNRDT LTNLKTWRHDIIRYFQHGQGERIPVMMVGLKRDLRVEGEGIIYPQETYRIAQELRCDR YAECSAVTGELLAETFEDLARLAGMTTTDRGGQTQGGCVVS AOR_1_1400194 MAPSQLPPIFNPTQQDIEQLLAAQCHLGSKNLQVHMEPYLWKTR PDGVNVINIGKTWEKILLAARIIAAVENPADICVISARPYGQRAVLKFAAHTGATAIA GRFTPGNFTNYITRSFKEPRLIIVTDPRTDSQAIKEASYVNIPVLALCDTDSPTDFVD VAIPTNNKGRHSIGLVWWMLAREVLRLRGTLATRETEWDVVPDLYFYRDPEAEENKEI ADESKVATAEEVGAGAIESGFAGENWDTQGAGAGVPGTAFAAASAAGPTSWEADGADW AASSAPAAAGESWAETQPAEGKW AOR_1_1402194 MPPRFLFQPSFKAFTGSSHVQSPLAALSLIASRTSIRAGSAETR ERRRHDPFLMAQSRQRKAANLSRQQALAEERESSLGDPVESAPTPFIQELKTVKSGPQ APSSSDARLNYFVTPEGLQEAMEYSKALTSPLENPDRDTADPQLEKEASERHLQEHRN AQEAISRIVKISNGNTQDQMRLHIQNCIETFGRHNTDKTLPPKPAAVSHQSATEHPEK TPRVGQDTGSPEVQVAILTAKILNLSRHLQTTNKDKHNKRNLRLLVHKRQKLLRYLRR KERGGPRWQHLIETLGLSDAAWKGEISM AOR_1_1404194 MSVGGHGTISEKIEANVGVSTEAPEITVASELAAVWKKPPNLGI SPTGNCVSSSHIGKAGDSVEERPQKTKVEVESVPDISLKSSAVKAARANTADSLHSAA VGSTTAGSEMLGSTPSTKGSPILATRASSKGRPESDMPPPSKNGVLDRSDKGDPMSLI LSI AOR_1_1406194 MPSVALLFSFAPSRSYQESVDRPYEEPRLTDTVNRKRAHEDTSN EDRTAPVTPAANKRRNLGPPGSTPFANRRTPLSRRIQTRAAPFSARLARREAEKHGRI ESTLFRLPDYLRQLEADRQKADRAPSSPGPQLPQTTFDFTMEPNLPTNQNPAEESSAP QEPSTPGRSTPETPQRGWNLRGLLSSVPRSFSRLLPFGRPSESSEDQSTIEPSSERIT RTRSLDPESAGPQTEGRSRWRLSEGPSQPPKKRARNLSYSLFPAPIDRSLYLGDIPKP STAPTEAAAPDSHRTEQVQAQKPGPVASDDQAERRQTSQAISDAEQRKRKRSPSPDVI PNPAGSSYGLDLDYFCYSSESEDEAAETPSKQSERKKADSLAKSVARSALRTERQSSK KVRFDASPEDTPSKLRSRARSTDPYRGTHFVGMGSDSPTPPARTEQPSQRHAGFVPNT QGTFQLDYDAVSDEFETSSLSSSTDVTAPSPSQAAREEDGRASTQPEGHDAQSPASRH TSRAQPSTPGKVDEEALARVRSQAEKYKPKTPSGLRTTSRYASPLTATPDIVASKQTT KKSDLNPQPGKADEPGNFGDDEFARDAEWLYQNCPSGDLSQLKWPARQSYEESLGVSS TSMKLLATIWDDSEIEPAYLAFRQSFEEFKKTLK AOR_1_1408194 MAPKPPAGTSSRAWDGVSPSLSEWVLEAVSSMGFTRMTPVQASA IPLFMAHKDVVVEAVTGSGKTLSFLIPIVEKLLRLEEPIKKHHVGAIIISPTRELASQ IYHVLLSLLAFHPPSASVINPSEDDDVPRQKFPSSTLKVVPQLLLGGSTTPAEDLSKF LKQSPNLLVSTPGRLLELLSSPHVHCPQSSFEMLVLDEADRLLDLGFKETLQNIIRRL PKQRRTGLFSASISEAVDQIVRVGLRNPVKVMVKVKGTSGAQDKRTPASLQMTYLTTP TIHKFDALKHILHSVDPTPQKTIFFASTCSGVDYLSAILPLILGDDFQLISLHGKHPA NVREKNFNRFVNSYSPAILLTTDVASRGLDIPSVDLVVQIDPPSDPKTFIHRCGRAGR AGRRGLSVVLLHPGREEDYVSFLEVRKTPVAPFPHPISFSESEATAATKAVRKAVLAD RALHDRGQKAFVSWLRSYSKHQASSIFRVADLDWESLGKAWGLLKLPKMPELRNFTGD RTLGVNLDWDDYKYKDKQREKRRIELLQESKEGDGTQESSNKRKATETTAWSNKLDDR NKKQKRREQKQRRQEKNKWEKMTEEERQKIRETEQMVESIRVKNEEERRLRRAGKAEA ANAGKDEEEFEGFD AOR_1_1410194 MSARDAIPETPRVISPSPAPSESRSRSRDGYSAPTTRSAARRQR LVDVSEESNNERQSGSRRSRTRSRSPTSPAGSTRQRKRKSNPMRPAKSPEPQTNGGAK PNGFLSPLAKADGIAHSISRSPSPMGLIPLHTRYRSFIHRHEIPRKVLHVSIGFFTLH LYSRGIQTTQITPWLFGALVPIAAVDVVRHRSETINKLYVRCVGALMRETEVQGYNGV IWYLLGAYAVLRFFPKDVGVMGVLLLSWCDTAASTFGRLYGRHTFQLRKGKSFAGTLS AWLVGVITAAAFWGFFVPNVGPFPNDPENAFMFTGRLNLVPDTIKNLIGWTADTVISG PLALGVMSVVSGLVAAGSEFVDLFGWDDNFTIPVLSGIGLWGFLKVFG AOR_1_1412194 MGIPGLINAIGPGERISLSKLAITHLERTARPIRVAVDISIWLF QVQAGRGGKNPELRTLFYRLLKFLALPVHPLFVYDGKHKPPFKRGKATGSTYGSAPII GLSKILVDLFRFPRHDAPGEAEAECARLQRAGVVDAVMSNDVDALMFGSTLTIMNFSK ESGSGTSAATHITCYEMGNFNSSSCSRLDRAGMILFAMLSGGDYLPSGVPKCGSKLAA EIARAGFGEDLLEVLNSEETELNVKLNEWRERLQYELEANESGYFQTKHKAVRIPESF PDRTVLSYYAKPIVSDSKDLEVLQRRLENAWDQEIDALELRRFAADTFEWNYRSGARK VIRLLAEPLVSYRLRLRKDLTAFPFRLSESDVPMLQKVYKSRTSFSTDGLTELQLDFV PIDIVGLDLLAEEPNPPLPPQETAASGDEEDAEANAEPAPQSPAKKRVTKRFDPYSSE KIWVFETVATIGIPEVVQAWKQEESEKASAPKKPSNRKTGPKKKGPIDPGMKRGSILK YGTLTKERTEITQLKQAQLFEAAISSTSTPKKHSASNVQGPLSASRDLSSHGLYYQQQ TTAFDRSQDFDYLTNMLSLCNISPNVGIKRHPASTRPPLRGRRGAVLSGDSEVEASKV PDADSLDCSLSSVSPGRIRMSYSNVSYNDLSTTDSTDSAQPVRAKCRNMSKPDQSISK SQGSQGVQKLEQAMSSLLLDDIKPQGSTKERSHSLNITIPPAKRHLQKPEIRKVHYDR GIPKPRPDDTLIDLGEVSPLTVQNIREKLAFRNRATQRKSSVETLATSPKPCKKDTSE PAKVETTTEDLRDRKNDANKPSSHAESVIVYDGYWALEPNPKAGMASDESKSEVDNNT TNESSKSHRGKKRLSRVSILDLS AOR_1_1414194 MTSGSTAYNLDDRRTSVSMSLRHSQSGRPSTPQHHLRSNNSSFA STSSASSSLRGDEDAIVFEFGSRWLRAGFEGDSTPTCVVGCGPEDSRRAGDYRGWLKA SLNADTLRPQPVKAEEWTGAYELWKMDVRDVDLGLVEDKIERMFRETYNKYLLTDAGT ARLVLVLPSIMPHPLLSSVLSTLFSRWRFPSITLLPSPTMAVAAAGLRSALVIDLGWA ETTVTGIYEYREIATKRSTRAMKSLLQETGRFLTRLSSNSSGDADEISVNFEFCEEVV SRFLWCMPQAGDNGSFTSSQSTDSQGGDSEPIGRDITGLSHKTVSIPSPSNPSSNYLE IPFSKLAEPVEKVLFAKGVADCDLDDEEKPVALLAYNTLLQLPPDVRGICMSRIVFVG GGANIPGVRQRVLAEVASIIDQHGWSPVRGKVIEQQRQKLQNLSLNERPTSANNEKDT TPPSPKTSIEKGDQGKRGNEEDEIDPVEEKLRRNRDKDIKPPVQGVLREVESLGPWAG ASLVASLKIRSLVEIEREKFLQHGLAGASRDLDTHGHVPDRRSGLRSGGDRSSWTLAG WG AOR_1_1416194 MIHSFKSYQGFSQLLGCLPSVLCPARITIRLLSVYCFIFLAVTT LLGIRMHLKSYLSAALYGLPTLALDAAASSTSNTSAVDPFKVYTITAENITAKLIPYG ARLTSLLVPDRDGNEQDVVVGYDDPKDYLKDTETDHTYFGTVVGRYANRIKNGTFQID GDKYEIPRNEHNGTDTLHGGDVGYDQHNWTVTAQSESSITFTLLDRALEGFPGDVITH AVYSVDSDVTAENPKGLPQLTTKLISLALTEKTPIMTANHIYWNLNAFKETNVLNDTF LQLPLSKRIIGTDGILIPNGTILGVDSYDGAPDFTTGKLVGQDIEKAEGLCGTGCTGY DNCFIVDRDNAYGPANSIVPVVRMNSSTTGISLEVASNQQAVQIYTCDNMKGTIAVKP SQAKRNKEEGIEGAKSVNQYGCVVIETEGWIDGINNPEWGQLSDQIYSPTGAPAVNWA TYKFGTV AOR_1_1418194 MSFRSSSSSPDILGPPGDVEYLVSSPFKPFSGRQSVMSPANFKL LQTPRFAKRRGSRINLSPAKSSHSIRFDDVVLPGSPSSKLIGRQRSLSPEKLQPDGNV SPWRIRVTLEATQDEEMNQDSPSRKRPRNSTMTTKVPLKDEADTMEQTPRKRRGRPRK SDTMVQSATPNGGSPGHTPGPGGASAQKRKRGRPRKYLPEPDAVDDVADQVANSQVHE PSVVEPEPSWAPLNLTADGESDDGLPDDQGYAEPFEGEAQMEQFDDNPQNQSPGVEYE RTYDTPNVDYMDDVYMQNDENIHSTPSKMPSPSRESQIISPDNTIYAGRTPRPPRQYP TPASSSLVDEERQDRGAQNSISRNRFRQSGVHATNDPTDEHREFDSIMESEGFSMVSL DTLPSAKQHGLSASSQVTKGALKPFLERESNGVLRRKSSIRNQANEEEAGLITQPEPS ALAQEKATVDYRSARAYSSPTSPAPVPVQASSRRRRRPIARFVRLVRVGIALESALRR PYDREYPRGLLSSPEIRVSQDQMSSLETSRKRLELLFSEFDSEIQRDLQSALKFGQEL AKRRVQAEIENARKAPEMETITETTPKGLSETSGSREMSREPDGLRDYDTPGSEMRRR MEEWQREREAISREIQLANSSQVIVIDSDVSGPPSPEGGMAAPKADEERDWTSDIDRG DADALSVHDKPEDGRFSEQEEEDEDDGYEDIWQQEANDRGDLSDRSSVSYVHQSLDNN RQESSPQTNSSISERSAIDNSYSPAYWTNAHDKVPFLGKSRIKELREQDVDISALLRP GATPKRSRYYYGQSSPPSTENGRDPEQPQPTAVPYSEEAKEDEYEEEEVQGVRQDEED HLLEPPQSDDYLESSPQRAPGEETFQLDPTTNFENARQHSDLWLEGYGDGNLSDAASP EPQTAHETPVLTPERQQPSSTRKQASSWLQKITNLTPQWLKVPKRRSFAEPALSVYDE ASEDEDDDGSRSRNVEENYMEEAPRLHRDHEQQSLSSPLDDQSPNVEAKDDTYDRPLP LAVSGYFSDDHYILLRRLYRLAKRHPERFIYYPGPGRSDIIGDWIWTSDGLHGVPITE RQFAIIDRFVQELAKADLQAGGTGQVGWTEADLHRRLISVIIGEQIREEMKAQLHDEQ STAGHSRRRRAPTSSWY AOR_1_1420194 MRVASTIQYIFHLPYLTKRTERSIWKGKGIDDSPSIEVTVHCHD DDSQSTGSEMAVNASELDLHHGGSSNSSGSRSRLVRVVSWASIVCDKCRWTPEQEREL AIAQSELGRLDIGIPDRIFALVDESFDDSDLPIAAHSVNRLHLSLEIEDTSLESKFFL AQWRFIVRGIGEGEHVKYTENEGVPVELLRTGTALVREGVEKVVLAGAVCRVYLRTQV TVGGAPHFFDEDEVLEEIKSMRRLAHDHVYSIYGSYFVDKTVCILFTGVYERTLMSFL TDIPQHFKRLPKAQRREILLNWPHCLANGLSWLHAHGQVHGAIRPSNILVDADYRIFL GQFEALDTLLPPVKVDDVESYQYGAPERWVRSASVQQAGPQKIDLPSGGRTARKQSAH SMKLNLSILKGSHRTEHESLSPRSESMVSQSTAIRVGFPGSRFSFAASSSSSGSSIGS ARKRVISSVKRPILYTPSITSSNSSGSSSNRASIMTNPVGLPGTNSSAAVVHTWESHQ TDPEASDIFSLGAVTLNIFTHLCKRKISAFAHHRGAKNRTAGRGGGVADCSFHLDRNL TQVTSWITLLDHDSKKQKDPVFQAVRPMLAVVRDMLNKEPADRPSAYQVEHHFSEAIH QISITTLTFNSGDELSYL AOR_1_1422194 MRPLSTVSALAWLGGFQALPVNAIQTISTVGSKFFHEDGTQYFL KGIAYQLIPDDPLVDTAQCKRDFALMAELGTNAIRVYHVDPKANHDGCMEALAAAGIY LFVDLDTFDTSINQDKPQWTHSQFERYKAVLDEFQKYNNTAGVFVGNEVINTKEGSAA APYVLAAAHDIKSYRNEKGYRNIPVGYSAADIAELRPMLQNYLACRPDSADRLDFFSL NAYEWCGPSSYETSGYKRLQSQASDYPIPIFFSETGCNAARPRTFEDQAAIFGPEMAN TWSGSMIYEWIQEANDYGLIKYGPPSGASPDKMLVQDGFPRQGEPIPVDPDFHNLKAQ WARLRPTGVALSDYIKSTSSIKPASCPTSTPGGWAVDSHQPLPTLQRATSDVAAAGPN PGSGSGPDSGSRPEQLSARVTSVAPSDYHSSRAVNGASAGTRGFDRLAGGSLFLCMLI GALALWL AOR_1_1424194 MSTSGVASPFRISRKDGEKLDRNETFIAVREHLRRQEMGMDAPS FCSHHRHSCSDQDKESFRLHRDIIHTLLLPLFLLHHQASRVAARALPSRKAAESERAF RGEARSAYAWLQCILTEEHDWYLTERCPACIVLHVLHSEPTIRFVAVACLLSDHLQGL DLLHGKNRLPSFEFWLEALETAVREDPFWGHDLWPDIEYRACALTDGVKQLVLQCLEL RSALDRQSHQSQAYDSSAHFRRESLRQSNHPIMKPSAATSRMAGEEQKLLSKVAATRC MSSYWQDRPQRLHARRHGDSRRRSVTS AOR_1_1426194 MASHADLDPHTNPAPGSLKQVYATSSPFEEKIGYYRAVRHGRQI FVSGTTAVDPASPSDAPQILFPGDARQQTRVALQECIRAVQALGGKGAENVVRVRMFV SRHKDCVAVGEGYTEVLGRASQPGVGAAATMVVVNGFVDERMLVEVEVDAILED AOR_1_1428194 MHQPQCHGPCRPASVVRDNFVMRSPYSDSSSSINSSYMPGEPGS PQQGAVTSPERQNSSQLSPVSPQQPQVPFSSDTGSSRLKRRASQGSLLTKPSPVSRFL SRSNASLHLPSFEHLGLSKTKSSSRIESRTHSADSRLRLHTTSAASSSLATRASQSTS TVWDFETKGDYPTALPPTPPEDDEYVAWNPRSGMLLFESPLSREPGPMPMDEGPNVDS AARGATSDGLSSPSDQLSNVSPSSSGSPGSSGDMDCDLNSWLESGIETTVSSLPFPSN SGEAVKIVSQMLPYPCTADKNAAVHTNDDVFCSLIQAVQRRIQSGQSPSYINITHAVP EQFSLSNLPYSPPNTPRSLFAADDYFNSSVFSSAAVVSAYHDFRGIIQGKALRFPMPV VPPFSVHLSVLERYLPPSSPQEYKDLFNPGRPSFLVDRMSELSRDGGSLLFIYPTKRG GSTFKSQYLGPILDPLLRQLVVVNELSADVGRYLGKLSTVSHMEDFDTMRSKLAQLCS DLSSSSSQFTIADARKGSAHLDRHVWTEWFIHQERTRMKEVLSLYWQNGRRLPAAKAA SNMSTNYLLEDKEVTSAMLLGEILDGIRKRPYGEETEPRDGIELGVFVIRRSH AOR_1_1430194 MAYSPAPASPVPGGPGPSLVNNLHSRGRSASPPTSVPLSKRDKR RSALQERLQDLTASFSQNRDTQFRQQLHALQCDMTLINNADPYSPGPLPDSSEEIAHL IETTVGGGKFAKEMSSLAGMWYSRFVQEVNQAKTEKDADLAMLVHRHNSNLERFQKEY AFRVHFAEEEYKHLSATLRERLVQTITGKRTRLMREKEQLDIADTNALLLHPNQFSIT NPASPGGIHGNRKTRHTRHRVDLVDELGNGILADFNKRKRKAPEEDVGSPVREGGYTN PAERAKAQVVQQQHAPSYSIQSLFTEKELSAHANQAHVATVHFFSTSKRADQPSGAAT NGNNTDAEDASGADGTEDNGTPATDMARTASQNFHATRSTRGHGNHALNALAELSDKP AVRPNLPYNILANYHARPSNNGAPPLPPLMNEEVDDDWARMDRLHTKPAGYVDKGLLQ LLVEPVPAEIDGIPQNPHRFSMLHPDFPPDMGIHLHPIESGKTRPEYSSDRAKKSRTG AOR_1_1432194 MRVATSVAFASLLAMAQAHPSGAWWGTDDCYTSPDNTNNECSDE MRGGFNWAGLAVGSFDFFAGFEFSGFSFSSSFSAAISGGFAGQCVESKLSKDDETSPE ISSGSDKTFSISKLHLVTAEEADIKIIYDMPDGSSCKHVAPCSPKGTVVTNDQCGGAS SVRFELAEEAAVDAVVFGIQNVEFECSPGQKTPTPSHHHTSPTATGHSSTPVIPVPSS SNAVTPPAPSTPVRMTTSTVYTTSLITITSCAPTVTNCPGDSTTVVTSTIAVSTTVCP VTSTETPAKPTETSVKPTETPGKPTGTPGKPTGSSPVGGSSEVPSPSSPAPTGTAGTS SGVHPTSHGGSSSIPPDSTTTVVTWETLTTCPVTTTATSGSVTTTSVYSTVSTVTLTS TSTICNKCTATPPTGKPTGTPSGTSPTPTGVSPEEPPEDSTTTVVTYTTVTDCPVTTT ATAGGTTTTSVYTTQSTVTLTSTSTVCTKCSSTPAPTGVAPISSTPAEGATTVVTYET VTTCPVTTTITSGGSTTTSVFTTVSTVTKTSSSAVKPTGVPSSPAPSSPPPANCPNSV PKCINTWLPLVPKCTSNAEPGCFCPNHEFTDKVISCIQAWGASKEEIQAALSYFTGIC APYIPGNPGIVTAIPSTITLIPTPAPTGVAPVTGTSAAPNPTAAPEVPRTTITYSTYT LTVPQVTFTTGVSGHSTTVGLIPGPAPTGVSPGHSSGIPNPWVSASSTWISSHHPSST AKPSSTYTPPPLANTASSVSTSFWLAMGVAALFSIFF AOR_1_1434194 MPPTAHPPRRPSKDAGRDNRRLNSSPMSRIGQHSPRISNKAISL GSLTADRKRRASSSVSSVSSVSSVDLSDDVNESDADDEEDPPAVRGPSYGRRDKAHKA GMKSTKRTKKMRLSEDDGYDGQKSSGDNDSEDSSDDVYAAVDYISDGDDDEDHDVEKL EELLIVESEDENDFNGILTASTVSVANSHDWAGPNVFDDHMLLSAASFFDEEQLYTAM EAFGETDLASETAVETPVPRRVHFEEDSDSSSDSDSHTEDEIPSDFLQQDSLDPQLRR MIENDNETYSNRRRQSDELFAESDYGHSNIYHVESDAVSEESESSGYETDDGETTDED LPPPATITHPRSILRRDSSASLQATTAEETNDSTSRRRGPIMGTFVADPHKPVALVDC TGKHLVIIPAYASSRHDWLESATNSICGTANNSPRATTMHLVDESDTDALASPNHVDL SPMLASSANLMMTALGNEVTTGGQVMGPPEAFYPSRDFAIDSSFEDDEEDDPEAALNV DDFIDFGNGSSDDDMDKEFDDDALASPMGAPTIPAAAGTPTPTRGGDSQTNSAERFLN HLDRGIVTAFRRNHNRYQALLRLPQHREFMPANSPSRPASVFRHAKHADQRTPTRKRK ANGYNGGEAVRRKLMDAHRRSQLPF AOR_1_1436194 MQQFKQWRRPSQTKPHDPVLTSEDEAFLRNIVSEPTNAAPSSSA GAVDDSRSPVSPIATDAPDTLVSPVSPLEEFRELGEEARKAREKEEQKLGPDPQRQSS KDGSSSQAEEKKKKTWSSWLRRKTTVKKDKQPVKTEVSGDAQTNPPANSKDENDARQE TEDMTEILEKLNLAADNNRVFSVSDEMQELLRKFKLIFKDLINGVPTAYHDLEMLLTN GNTQLQGAYSKLPGPIQKLIEKLPERWTETLAPEMIAVASERAAKSGVNIDNIGKAAA AANKMGIKVPSLKELVGKPAAIVGMLRSIMAFLRARFPAVLGMNVLWSLALSILLFVL WYCHKRGREVRLENERLVTEEEIEKLNEQSSSDEKIRTTETLTTTAPQGASAAEIREG VKDAQQSRERAIAAAQSTQLKNDTNDNNPKPTRSKSILSIWGRSGQKPEPATKIQPYP GT AOR_1_1438194 MKAVDYEEDLVSGRLPGLSVSCSVYESVASFVYINQLVRSFPFV WLLLSIFCLYVWMSLVSFLAKFMGMDDRLKTANRSFTRSDRVGKPRRSPRKTHRHVKA KKMQEKVESQSPANPLTSPIVTMIVSHEQRVFVAHEEILCRSPLFRSLLKDEFVGDST NKAVALPDEEPEVLSCVLEFLYKGDYFPRLIRNKDTGSWELENSQNATTHTGGRGSSE ATMFHSAVGDIVLRDTVVYCAAEKYGLEGLKSLAIRKQGLQSGIPIDVILRSARYAYD NTPDSEYRLRSHYLAMIIRTRQIFKTSGTMQYEMEMGHKLFFDLFVAMCNHMDDLEEM SNNESPKMA AOR_1_1440194 MPSYRLEQASTGRAGCQNKECKDEKVKIAKGELRLGTWVDTERI QAFFWRHWGCVTPRIIASLNENLGDGDEKDYEQLDGFEDLTPENQEKVKKALEQGHVD DDEWKGDVEMNRPGKNGFRVRGSKKKAAAPEEREAEEKSPEPKTKKRGRQSIKDEAEE TPETKKPKRGARGKRASEGDKTTKEEADDETATPAKPKAIRRGRASKNDTEDKKSPEA PAKAAKPTTRRQRKSIAKDEEAEPVVEEPAEEKPAEEKPKRGRRKKTA AOR_1_1442194 MDVRSALTNEATRTGGKKDVKFDIDDACYLKSNPTLVGHICITD HDADNDEPLDLGECLILSYTSVPQKDLEVFLENGVPPKGYVFVSFAEPSQGSSLIHES DLELINRTFDLGDTVKRYQDDTISGTVISTAATCSLEPIAYRALDPETGEYGSLKFSE KAIKREGSLATENDATNPPLLHEIPVGELKKYEEFSEGDYIVYRQKLGIIHGIDRDAI LLLPNQSVVSPLDPSALEIPFSFETESLVALPSNMSATRSYPLANGGTIWSTESTFLF PGQFSFTARNNLSRGDLSSSAASISQPDGYVLATPAMDIQVDWLCPNVFAAGTSHNGT NIDVIRASTLLGNAVVCDFGQAPQGNPNTKPVHSDTWLNIGDRVRFRDSISAAARYPS YQHLPADQTFGYDINILRVTSMKTEATVQWQDGTTTTEAATSLHKFFGVEDEVWPGNV VVLKDGIETIRESCKNHTGPFGHRMKETLHARRVGVVQNVDSRERIALVRWYKDPNIK MTHRGNMLVPGSFLGELSDAVTEVSIYELYAYSSMQRALNDLVLLAPGMVHRTSMPAI NSEPTRAAGPCRLSSLSPITFSATISYLESMKLSIVNSEWFKRTTEIDTSPVPSRYSL HHEEFNVKLRTNFIGKIISIDTEGTITVRTVGADNVHDIHVPWERIMMVIDDDNAIPP VSLPPFELLSLADIGRFVQPDDLPSTQTIEYEGGERLDNDSGDDDWTTEGESELDDED FEDVENNSDDTDRLMAPALSIINPPEKLDHDEMEQSGTDEAGAEDSRVDNRLLMVSLA LPPSCPPSFSLLESSPPSDHHFFSTVPIEAPGLRIKRIQKEFEILQSSLPTGIFARTW ESRMDLLRVLIIGPQGTPYEHAPFVIDFHFPDEYPTRPPAAYFHSWTDRNGMINPNLG ENGNICLSLLGTWPGKNPTESWSPTNSTVLQILVSIMGLVLVKMPFYNEAGYETLAAE EDRRVESTQYTEKAFLLTRKFIQHALENPIAGLEDVLAWHYFAGPQQEHNDTCIRPRL LRRAIDEALSMIEHHNRTPAGGKLSEEHAASAFVSRLSLGAVVMLRKHITTLEKIELA ANSFERP AOR_1_1444194 MSEPGPESIPTSADPRSKRPVKRRAVTAQSEQASQIESLFRDPA KEIKLPDSSKPRSSGSLPPPPEIVANVQGSSAGAGSGEFHVYKASRRREYERLRMMET EVSREKEDKDWEKQREEARRRDEEKTEKNRKRREKRNAAKNKKKGAGSNGKGPDNMAV DGPTKGALDGDKNEDQNWLADAVEHAETPGVIIHED AOR_1_1446194 MQAPVVVMNTNSGERQVGRKAQLSNITAAKTVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAG EMLAQALPQLERNIHPVVIISAFKRALADALAIVEEVSIPVDIDDDKAMYTLIQSSIG TKFVSRWSELMCSLALKAVRTVSFDAGGGKREVDIKRYARIEKIPGGQIEDSEVIDGV MVNKDITHPKMRRRIENPRVVLLDCPLEYKKGESQTNIEITKEDDWNRILEIEEEQVK RMCDAILAVKPDVVITEKGVSDLAQHFLMKANITALRRVRKTDNNRIARATGATIVNR VDDLQESDVGTACGLFEIEKIGDEYFTFLRKCQNPKACTILLRGPSKDIINEVERNLQ DAMAVARNVIFHPRLSPGGGAIEMAVSVKLGQLAKSIEGVQQWPYKAVADAMEVIPRT LAQNAGASPIRVLTRMRAKHAEGHTTWGLDGDSGALVDMKEYGVWEPEAVKLQSIKTA VESACLLLRVDDICSGKSAQQVGANLGGGDE AOR_1_1448194 MSGYFNPTALIPDPPSVHHERSSWEMNRYRSPEDGYFTESEEEV LNNLDEVAMAALPLHLQENMRELHLERVQRLKREKSNDPFYKPSYHATSPVIWYKDSI ATMEASQDTERSGRGGLPSSSSEQVDWYLWQRIHEMNERRHMEGDTIAMAAPYSHSSS ARFNLAGNLFSKHSTSTEEDMETGYTGGRQSRSTYISQPTGTKARACVIHTVEDTEAP SEGPNAHERFRQRGSSMISAMRGRVRKSIQRMSKVFKKQSSLQDHNRAYETFVSS AOR_1_1450194 MRHFFRRIFGFRQHSSPHNSPQRRSMSSSTVAVLYALTLSVPSI STGPEDAKEKKHHVSGGFTNPWDSYKTPAVQRFFLRQINGTANRPDTTPPTVPVRKPE FLPSRETPKLRATWLGHACYYVEYPSGLRVLFDPVFEDRCSPISWLGPKRYTEMPCQI KDIPIIDAVVISHNHYDHLSYPTVKEISARHPNCHFFVPLGNEQWFKSSGIDNVTELD WWEERDIVLSPSQSTGTQVKESAGNGSSSPGDIKGRVGCLPCQHTSNRGVFDRAKTLW ASWYIESGGRKVYFAGDTGYRSVPELPDGADDHAPEYDFPVCPAFKQTGEFRGPFDLG LIPIGAYGPRFVWSPVHADPHDAVQIFQDTKCKKALGMHWGTWVLTEEDVLEPPRKLR DALRKHEIPEDGVFDICDIGESREF AOR_1_1452194 MDPNGPPSTSGLPSPGDLILQQRKDAIQAAAQPTGDGGLFAQLS SNPFFTAGFGLAGLGAGLSFAQKGIRHGAALLRRRMLVDVEISVKDDSYPWFLHWMTL YQRSQLSSAQSAASRSGYMETLLQKMTPGMRHLSIQTQKVEHSNGAIHTHFSLVPGPG RHVLRYKNAFIFVNRMRESKSLDLQTGRPWETITLTTLYSHRHVFEDLFREAHAYAAK SHEGKTSIYNSWGAEWKLFGQPRRKRPLESVILDEGVKERIVDDVKDFLSSGKWYHDR GIPYRRGYLLYGPPGTGKSSFIQALAGELDYDIAILNLSERGLTDDRLNHLLTIVPNR TLVLLEDVDAAFSNRRVQSDADGYRGANVTFSGLLNAMDGVASAEERVIFLTTNHVER LDPALVRPGRVDMTVRLGEVTRYQVACLWDRFYSELDTNGKYRKIFLDRLHEFGLIED ENGREPDQPKATSAAALQGLFLYNKGNMDGAIAMAEALTYSVHEEAMEHDRPNGN AOR_1_1454194 MSIKWFRTLSRGPFFESLRQSHRTNPVNADFLRSSSFNFVNPRH NVISTTDCVTQKLLETDIAKLSDEEVLSLFTTGFFGGYAFACERVILRAGGWKLLSVK FSNFEDDPAAMTIWDYTKIPSSKLLPLGSRLFGSFKLIDKHISKAPGLEPSYVDYGFG SDQSRFAGCHRVQVTRSPQTEISLQQFICNPTKNTPSVRGYLEKFHFVYAKLLFADGV RSVLTRE AOR_1_1456194 MSQFQLFPSPSTTKASKNPFRLENQRTAGTHPMGSIPLNDLNGK DIRTEALLFQIVDDAKSIKPAKKTKTPRSTPVSVPETVQELRTPESLFSKSPKNGTTN QWGSPAYKVSQVSPTGSGKIHIATQLAQGTSEASSSPVIPMRSIFPQYNPDVSLSQQQ YYPQLSANARKHRPKELSFTPPPEIDRALGPKTVPASVMNFPAGVLDQVEIQYSSITE LRSLWEAANGQRPQDLAGTFNLRVARTEAETYTFGDPQAPFYKMQTYSTNELSVTRTN PSKPNSSIPIMMLKLEDRRREPPNDGLVSILFSRLAAMLAIDEAEAFARKHHLGPSEA AEAEGKALKRAAAQESCRLSWNNAKHLYELHHPSLTKLPPPALVGAAGIPLSPVRSKY SGLLHISVSTPSKESNSRQPPTILVTTPMSANAVEGANMAATPRTSTLPLADSDELLA SLDLGTLTLSISAAAITATIPSLYAIDSLVTAILAVAVSDEASNTVLTDMELYDPTKE ALSKHSSLNSNIKSLAEQEDAKEGIQLLSKIKFANSQSADSTQRKWFHFWRPQKPTKP KTKKIVVEEFDLEKYGRYGYGSSREGQKLPGLTRGCLRILFWGLDMLVRILTMMMGWD DENAYD AOR_1_1458194 MNLPMRASHPMMRVDDPDTDDSQATPAPTATDGPRVITELVTET STTCNCPTGSASAGHVPLSSQAYVGGSSSSLAFGVNADAVPSAGVERGPVVGSSSGAG VFRPASTETVYATPAAESSVRVFGPASSAHVEGVPVAASSEGVFGPASTETVFATVVS SVRVHGPASSEVAKATPVVETSQVFRPASTETVFATVVSSVRVHGPASSEVAKATPAA ESSVRVLRPASSAHVEGTPAVESSRGVFGPASTETVEATPVAESSVRAFGPASSEAVK ATPVAESGKGVFGPASSEAAKATPAVVSSVRVFGPASSANLEATPVAASSEAIFRPAS TDTVRVTPVAATSTRVFGPASSANAKATPTTLSSGRVFGPASSETSSRALFSTQVAVP SGVDPLRSGAPSGTPSGRPDQFQTFQGAAPEKMVPVLAMMGLSGLLGAWLVL AOR_1_1460194 MSGQAASYYNENERFGGGQPPPPPPQQQPFYNNNGNNGNYQYAD PNYQRGPEPKQPQEPPPTYNQAVYGFDDAFKIEKPKFNDIWAGLLLIAVFLGYVAVSG IAIHRYAKYKGFNGGGIYDSSNTFSLDTNTLVLFIFVLCVALAFSWAYFLGARYFPKF FIWATGILNIVSALATAIYYLAKKQYGGGIVFLIFGVFAIICFISWIPRIPFTAFMLE TSIDVSRKHGHMFLVSAIGGIVAVAFGAWFSVTLVSIYVAYEPNSGGVNPACRDGGGC STGRVIGLVVYVTFAMYWFSEWLKNTVHTTIAGVYGTWYFWSNSPNGMPKGATRGAFK RATTYSFGSISFGSLIIALINMLRQACSVAQRHEAAEGNLLGSIAFWILGCFISMLDW LVTFFNRYAFCHIALYGKPYIESAKDTWTMMKDRGVDALVNDCLMGPVLTMGSVFVSY ICALLSYLYLQYTHPAYNSGGEFTPVIMAFSFVIGLQVCQIFMTPVGSGIETIFAAMA WDPQVMIQSHPDLWYRLVNLYPKVQEAVHV AOR_1_1462194 MVTENGDFRYPPSPGEHNLSNRRRVPNPPRLNPLRINPPSPPSS RQFTATAPRAPPLPRSPRRPPAIPDDPFLRSPSPQPGMGGDYISHRPTYRMPATANPT FERLPRAPSRESDFDRPAYRRKTPPPQPRLRTSKSTSKLHSKPRKTLHSLERQSNESP QQGLGLPRFYHNGSTEANGEDALRSSVNSAMTSRSSVGQASGTERSSVLTKSSSITDL SPDTPDGSYEKEGGMSVEDAISMYLDGFSDVTEEPGSPDWRGECKARPLSPRPPTELT LDDGNTSDEHSPELDATKDLPPVPPLPALNPPDQNTLDEQFLGHTQLNEKQFSEPLDQ TTPNRPSDNSPLETKIFIPGTVPPPFLKPTESRDQYGFRKTSHHVTLQQYEAWSRPYA AFAKSRRIKWSELLKEHGMPTTEPRTFPPKSNKIKRLVRKGIPPEYRGAAWFFYAGGY EHLNRNPGLYDQLVSQAMESPSNDDKEHIERDLHRTFPDNIHFKPESTDGLGNSGASS GSSNLKHGSVTVETQMIQSLRRVLYAFALHNPQVGYTQSLNFITGLLLLFLPEEKAFW MLHIITSVYLPGTHEISLEGANIDLWILMVLLKDSTPLIYNKITGSAPGKSKTPPLTV DSRLPDITLGLTNWLMSLYIGTVPLETTLRIWDVFFYEGSKTFFRASLAIFKACERDI LAVSDPMEVFQVVQAVPKRLLDANTLLDECFVRRHRVGQGRIEELRACRRTAVRQEKL RRSKALSKGYLQAATDEWPTTRSRTPVPGVERSIADGWRHMKDAFR AOR_1_1464194 MGSKRIAKELAELTESPPEGITVELANESDIYQWKVYMDGPEGS PYHNGRFLVKLSLPTEYPFKPPSVSFGTKIYHPNVTNDDKGSMCLGMLRADEWKPSSK IAAVLEFARQLLVEPMPDDAVEGRIAEQYKNDRARYDEIAREWTRKYAMA AOR_1_1466194 MLSFLSSNLSNVRQSLAQVLNFALVLSTAFMMWKGLSVFTASSS PVVVVLSGSMEPAFQRGDLLFLWNRSPRAEVGEIVVYNVRGKDIPIVHRVVRTFPEIE GKTKKVKEISESSPIPNNMLLTKGDNNVADDVELYARGQDYLNREEDIVGSVRGYIPM VGYVTILLSEYPWLKTALLGIMGLMVMLQRE AOR_1_1468194 MIHKRSPYQEGSLWYYAPNKGAPIAFAVLFALSGIMHGYQCFKY KSWKVTGLLPWSALLFTAGFVMRTIGAFGHWDNLGVFISSTVFLLAGPPVYEGANFFT LGRILYYIPYHSPMHPGRVFTTFIAMGIVIEVITANGASLVANTSNPESTQNTGKALL KAALILQIALMAGFVALASKFYYNCHRAGVLNSKVKRALYVLYCSCTLITIRTIYRTV EYFTAASLNTSNIDDISPILKDEWFFWVFETVVMFANTTLLNVFHPMRWLPRSNRIYL ATDGVTEVEGPGYEDRRPFLLTLFDPFDIVGMITKKGKKEKFWEVDHQPSTSV AOR_1_1470194 MNPAKPSQSSLFQVYLRLRPPISQQDDQAERCLTVEYPESQDVV EHDQGTPALAATHIILQPPSDARKRAVEKFGFTKVFEESASQLTVFEDTGLDSIIRGV LLEGRDGLVATLGVTGSGKSHTILGSKTQRGLTQMSLDVIFKSLASTIKPPDNSIHPL LLSSVASSDQSESQIFTAQTFLEAVYGDPSADRGRNSRAQTPMSSSRAQTPLTEPAPA IIFPRRNLPQRPNVPPRSPDVSHLTLELNPNSEYIVLVSMYEVYNDRIFDLLSPAIVP GQGSTVSRGGTNQKDRRRPLLFKSTEGSPDRKVVAGLRKIACSTYEEALAILEVGLTE RKVTGTGANSVSSRSHGFFCLEVKRRMRNKRTGEETWMGNTLTVADLAGSERARTAKT AGSTLAEAGKINESLMYLGQCLQMQSEIQEGKTALVPFRQCKLTELLFSNSFPSSTQA PGPNRHPQKAIMVVTADPLGDYNATSQILRYSALAREVTVPRAPSATESVFSATVELR KSSASDRSTPNMATSEELEKALAEISRLTKENEALSVRLAEEEIMRAELDMRLKSSEE TCLMIEQEVREECWAEMDERMEEERKKWQVALEEQAGHNDEHLDKKIELLSRGFQVHT DPEPSRDEKVEELEFEIDQLRSKVTSLERELMCRSPTKKSKSKNTLEPSRNSNILGRE SDIDMALQRMDQLKLADSMFSPAPPAGSPGKRLRKMATRKWDFAPEEEI AOR_1_1472194 MSTWVALNIEPAEAVEEEVDDTKEIQIEEALKLYQNALKLHSQG PAFYAQAAEAYDALLSSEIFKYPESLSDYKRAATELELTETSDYVGNADGAEPLGDYD INDSTSSTLLQTIYLAYKNHGQFVLDSLRAIIQNAAQESDSTPGLSAEIAERASTALT SFAEALERDDTDLNLWRQSARLCSTLQSYRLARYCLESVLADDENRLEVRTEQLGLEE TFAEERLRETLLSLQDRISVCQVPIKKPKKALLKFLKRQSDPYPYLPGLPDSLQNVLP SKGPLALSTARHDLKPLSPTWADLGKTILQALTDKEQGTIDLGPGTAISVSTPALSPE LKATATKETQVQDQSPRAQDEELSSDPKPITHMSGEDDNNMDFQPSVKHEALDSTAEH ADDHSSIDQRAEKQLIESLEIQTSQSPELANQQETPNADDADPKSSTNGARKRSSTSA VAEDQTESVRSKSRRTRLRESHAEASLQADEVSFDHNKYYEDRLEVFVRADEWMFGTV ESLLSKLGIEDLGSVDELRKQISPTSDGKDLPDSDINGKAEYILPRDLRHILKGWDEG KSQATLQCDNVAALQDIQGMGKSGLAIFLEHSRKSARKLGMKQVLSGIEELLMLMNTI NDGWFHLREAAFEWLKCLLMPDYGRISAQDGVFGTSNFTIINSTYTLFQWPDTLKETV VQILIREDETIYKGVSEYIEALERQILGASADTPFEYTTNHFAYLEMAQAIFELHLDI YASINNPNSEIDQGIRVQQKDRLARWSLLARTSLTHFMDYSSPGSHQDNIVLRHIWAS TFHSNMTTDAEREHVLLCLQELKHLLSRLKDPVISLVNNAIMPEISIEAVDQEISKLE SMDFFMRIFNTESEDPVGLIETIEPILEPASVQFVEENTSEEQGHSLPTSQLHEMGSF LDRGDATLRLFLWRRLQDAYRKIDYAPKVVSCHLRSIETIVRELWNPEHLEEPSEHRQ ITLLRWLKSLDGILNKTVTAVLQEPAKAYECFDMDHVKSSLSAVTLVLKLLHSFVLYE DSVRVGQLSGSDVRGALAKSLESFRDKLREMHVRCWILHYTLVREAIAQNPELFETPL EDRILYLRSVHNALGIRKMCKRSHKQFLKLVKSEIFSLDEKADYEYDICQLLYDIHGI KLSPVDGYLEDHGCPPEKLDRSTAILMIDFVMKQAKKMNIRDLSKSDLKYTIEKMQQA IGTTKSSPPLSYNKRILTAYLKSPLNPTEIFRAVRGVEDLALLPVPTESAVIAKNGWY FLLGHAALTKFRSQKRLNPVPTTDLDEAITWFRQDLEHNTQRWESWYRLAQTYDSKLE EDITWSADKINNNRTELVTWQRYAIHSYAMAVATAARNADPTPETRALVSDLYTDFGI RLYSSSREPLSMAAFSLSDFTRHYNSEENQQMYEALPFKEMRLYSVWNLASYLLKRAI PDKPKSWMTRYMLSKCLWKMFSCDDSVRGTSKHVHLDDLLDSLLDSIDALPQKRDSRS DPIFEPHYKLVSIVHKLVHRGVVTPAEGSKTLVATPWARKVPPPEEGAPWKPYIMSVI RNLKHADKSNWHHRMAVRAAHITYDDEKDAAAAAGAKGELTQQIFTKTMTIQVWRPEN ERPGRHFVYTTRYVYFFVALLEQLEDRASLDQLLRRVRKKQGDFINHAKLWEDLCLTY ARVIRKAGNINEGHDESVFKPIGWDEFVANTARLEGLLQLAPESITLLELLRDAVELK KLNNNLMKVSLLEDLIADIYSRLYEVNMPNVIEQANEENKEKMKVDHLLMASDGAADT PTPPTSAPASEAPAPRGRTKGIARRDIQKRAETIVQRKLAPRAPIAKAPAAAESEPSH GVGAVTSAPEQTKDTATSAAVADELASGQQSDIPNSLHDSADDESELSEIDDEKLSKL AAERSLLFPNLQDRGSLDPEVGMSAAASADGDGANEGAGDGEEDADREEDADLGDEGE TMVEEGETMVEEGDDGADGDEAEIDGEGEGEGEGEGEGEDEEDNEAAGEEEGVGEGDG EPEGEADANEANEMDVDDGGEQPAAAEADQESDHVSDSEAMDI AOR_1_1474194 MVAPAVPELYEEEDLFAAVDARTESLQNLRELGPPDLVYLVKQP KTNPTPQTGVYHHVTGIDASSSASLAAYVNTLTFSPLDKTHKVVSGIYCCYNAFSHLD MRVEVKIPGSLESYCIDERGDKRVATEALWLETFLCGVLRAYTYADDGSGDSIRKIVG VRRFNPVTNTEMEHKFMDAAERLFFLGRQLSSDPETQVPNTVSNHLTSGLLKYIRTTG RYTSGINLLEKLRIRDVEVSSLLARVLIMADEEVQAVRLMYDSLQDVPMDYALLDCQA AFCQSKGESEMALECAKRAVTAAPSEFSTWARLAEVYVNSEQWDLALLTLNSCPMFTY QDKDTPRMPQPSRIMLPILAESMLDEIDEGQPKQGDPHDYVHPSLRRLHASAYQGTFL KAYNLLTKIAAAIGWDQLLKIRSEVFVMEEEYRVERQHSKSIRRSSSIATNGNEDQQN GTNAEEQDENPETTPSENKEEQAGDSIEKPEQTMASEVVKSGKEEPDPSHSSYTQFRN KRLCERWLDNLFMVLYEDLRIYTIWRTEMAQYRQQAIEYKKSATEWEILGELAERLHH FDEAIEAYQHCMAIRFSPKAMRGVLKLYESKHDTRGMLGALIRLIAWQYRWYSEFSPE LLYLIRKLIEDEGAVKVRSIVQATNLPQPVLDLTHQYCQLCATFRSSGSDG AOR_1_1476194 MSTRKRKQEAEEEEELQALPSDESEEEEEYEDSEVGESEGEEEG SEEEVPSDEEEEEEEEEEPAEEQGPPATKKRKTAAAPAEEGEGEVKENGKGADENGVG EEDEEEAEEDEGEEEAPEETAKTSGPAASAAKAKGATVPKEPEVDTGVEEEDE AOR_1_1478194 MKRSSSDAGLVSPRRDDRHPSTVPDGRPPPPKISKARACAECKR HKIRCEFKSGETSCNKCIRSGIKCVVNDFSQKFVDDDGIWKSQAAATIQQLQAAVSHL LRHDGLPELSSYPTGDAQNGPSPVASHHEHRPSLDGSQSISNHTSQHGPGVIMDVTRE PSQEPDLQDRELVPAPMRSLYEVTKLRNLRSNLIEQPKLTLLEEDFISRGVLSVHEAE ELFAYFSRTMNQLLWGGIILVHRDLTSVRRASTLLSAAVLTVAALHIPNRTETLNRCY HEYVSLVSSMSLTRAHTLDDVRGLCVGAFWLSELSWKLSGHAVRIATELGLHQSYQKM IRGHSDQYERAQLWYLLYVCDHHFSIAYGRPPVIHEDAAIKNYETFLQSPMVVPGDIR LLAQVALFMILTEAYRMFGSDTEQALTEEDFGQLRVYNVAVDQWRLLWQPRSADSPYV RTYPSKGVVLHYHFAKFQLNSLSLRALSPSNTPVFSMDRKESANIAISSAMACLNMVL EEPDIRDAIVGVPIFTHTMVTFSAVFLLKVAVNWNSAYLSLDGREVRRLVERVIELLN CVSAGERHLTRHIARGLGKMLDRFDSWETAWQGGPPAGGPADRSSSEVPGGANAMAQG FPPPDLIYDMVGTYGFGLDENLLDPSMANFEFLAH AOR_1_1480194 MQILITGAAGFIGQLLAKELLNDPSHQVTLTDINEPPIPTGVKY PHNARSITADLLKGADAVVDKSLDAVYAFHGIMSSGSEANFDLGMSVNVDATRVLLEA LRKTCPGVRVIYASSQAVYGQPLPEVVDDNIIPTPQSSYGAEKIICETLINEYTRRGF ITGFTLRFPTISVRPGRPTAAASSFLSGMIREPLNGEECVIPLEDRSFKSWLCSPRTL VYNLVLMLSLPADSVPPHIRQINVPGICVTIQEMMDALAKVGGQDKLALLKEKEDPSL KPILESWPTRFDNKQAISLGFKRDSSFEEAVRDYQLEINQ AOR_1_1482194 MVFHGMDAPTAGWDNGPSKLELKEREKQGQLEAFGPTDSHALSQ IDHDEKGLAQKAGDTDEVTDVGWGHSPQGRIVAGLSNEDLWMLIRRFNKQIYYVKAVP DAPLQRLDLNRAEDEHFSPDKLRATLERFYTTIVVSLTAFYKHIVRLRSWREPRRTGL FCGVYFLAWLLDFLVPTIFCFLITLVVYPPCRIWLFPPAPIALVDSNTGGVQKPKAGV LGSHDSVTGAPEKMKGEAAEQEASNLIASAATVAVGSVAGKHDQGTPEGAPMEDSVPD AMHTVAEAADAQTAAHGGVPDSTHDKTREPMKETVLNGANIGMRIMSDITDIYEKLGN ALSPTPPFSSTTPYVRYVFVKMGTFVVGFGFFGDPVLARLTAYLNRKYPGWIKLLELQ NSLLKGIPTNAQLTLTLLRIGEKNAAPLPPPPADSLNKAPSHPASLNPSQVNLDASEE EITQAAAPDPIAQAQEEEEKSRAKKPKKTIGSRIVGFFRGTTATGIESKLAVDRVRAA AGSDHAKSRLGILSRKGKRALPSGPVRFDARYKGNRGAVVIDSALDPPVLYFTTDPDA LLDDQRLESREKGTVSFTLPVTDIRELRKIGGLGWKGKLAAGWAVESKEVVDGLEIVG KDEKKQHYQLTAMKTRNQLFNRLVAIDGQVWESY AOR_1_1484194 MSETCANPLLLGWIKEWLDQAKERNSKGVTVYKKAYESMKACPL VFQHPSEAQQLNGLGPKLCDRLTEKLKTHCAENGLPMPERPNNSGAAANGKRQSGEGA SESQPAKKPRKARPYVPALRSGPYALLLGLATLDENSSQGLTKAQLIDRAEPYCDSSF TAPSDPGKFFTAWSSMKTLLQKDLVYDHGHPLKKYALTEEGWEVAKRIKKTLPENQNT LSFGNQSDTSSAQNSNENGPESHRQENNEDLEAILNPSINQGNDSEDTTVTPFTLPPN SFTVQLVLDTREVRTSTDRDYISNELSKKGISTQVRALELGDAMWVAKFHDPKFLSQY GEEGDEVMLDYIVERKRLDDLIGSIKDGRFHEQKFRLRRSGMKNVIYLIEEFAVSYDA NAAIAMKYQEMVASAIASTQVVNGYFVKKTKNLDDTIRYLARMTLLLRKMYTQETTPD SPDGPPTHTLSLIPSRQLSSSQSYLNALTQLRAETPSVTYAVTFPTFAALASKSESLS LRDVFLKMLMCTRGVTGDKALEIQRRWPTPRAFIQAFEALDQKGRETMVSDRLSSAVG RKKVAKVLSKKIAEVWGEDG AOR_1_1486194 MSPISDHASRNPADQDSRHFKSTFFVSNVHCSSCIAYITEVLSE LSGVFGVDVTILTHEVRVSHAAETSPSTLAKALIQAAFEVHHVTTCDDKGMVVSDIST TSWLSKDSVPFATQQASYSSSTTQNRHLANCDACRKEELQGISEVRNLSETTPTRLRD EKNSQLFGVSDEVSDIASLAPRKSAENAKSLQPLGDNGKFNARISIEGMSCASCVNTV TNEVQQLNFVMEITVNLLSNSATVLYTGPQSNIEKVIEHIEDIGFEASLDEVEQIGVT PPQNRTSSSYVAEIAIGGMTCGSCAGSVTRGLEELPFVTKVSVNLLSHSGTVEFDGHD HIDTIVEKIEDLGYDASVTSVLPQAGEGQDDGKIERRTVAIRVEGMFCHHCPEKVLNS LKELSNVEIDGTLSVKNPIVKVTYTPRPPSLTIRAILQRIDDVHDAFNATVYHPPSIE DRSRAIQLHERRRLLSRFLFVLIVAIPTFLIGIVFMSLVSSDNQIRKYLEQPMWAGSV SRMEWSLFIMTTPVMFYGTDLFHVRAAKEIYSLWRPGSRVPILRRFYRFGSMNLLISA GTTVAYVSSLAVLIIDAVVGASSMSNSTTYFDSVVFLTLFILAGRFIEAYSKARTGDA VASLGKLKPSEALLIVDNRAAEQNDVGASSGEDEIHRINIELLDVGDIVSIPHGASPP ADGVVVDTNTYQFDESSLTGESRPVKKSAGDPVYTGSVNVGQPVKIKVSAVGGASMLD QIIAVVREGQSRRAPLERVADLLTSHFVPIITLIAVLTFIIWLALGASGALPDDYLDV AHGGWAFWSLEFAIAVFVVACPCGLALAAPTALFVGGGLAARHGILVKGGGEAFQEAS RLDAIVFDKTGTLTEGGSLTVSDHELLITDSEELQVVWSLARKLEESSNHPIAHAVSA FCSGKESASILSSDIEERPGQGMKGRFTVSLVDKTDQSKRTTQYEAAIGNQRLLQSLT SPNFDDSQLSKLLSTYQTEGKSTAILSLRKVGSGSSNDPYFTPAIIFAISDSIRPDAA QIISQLQKRKVDVYMCTGDNQTTAHAVADMVGIPRSNVMANIMPAGKAEFVRQVQDGV YPPRTDSEAESQRNEQGTRSIVAFVGDGVNDSPALAAADVSIAMASGSDVAMNSASFI LLNSELNTILQLVVLSRRVFNRVRMNFGWAVVYNLCLVPVAAGVFYPIVNGHEMKIIG GETVMVDKHWRLSPVWAALAMALSSISVVCSSLALGIEKKTIKRLLGLDK AOR_1_1488194 MSSSRPGMRPPFPSKAGPRAPPMGRLASLKSPNPAAIRRPQPIG RPQPAKPTTHPKTSTCPNPGCPAPHIVEDDGQKVCSGCGTVISEANIVSEVTFGETSS GAAIVQGTFVGEDQTHVRSYGPGFQRGGGAESREITEQNGNRYINQLARALNIPESAS KAAGQVFKLAVGLNFIQGRRTKTVAAVCLYIACRRQDGNTVMLIDFADVLMINVFKLG RTYKALLEELRLGGNVFLMNPIDPESLIYRFAKQLEFGAATMQVASEAVRIVQRMNRD WMTTGRRPAGICGAALILAARMNNFRRTVREVVYVVKVTEITISQRLNEFGATESGEL TVDQFRSVQLENAHDPPSFTRAREGRKPSRSFKRRPTETAADIEGDLTDAATTPSNIA PQQQKRVDADGFAIPSLPIDPALIAADGERRMSTTSVESEIAPEVGENPGKPARGRPK GSKARPLPTPTPDQIASEEALENEMTAYLTKGSNMIESTTVPRKAVSESAEIDEAEFE SDPEVSNCLLSPAEVEIKERIWVHENKDYLRTQQAKALKRALEEADSQPGMHKPRKRR RGRLGDVTYLQGDGEDGDGRSTRASTPAEATRRMLERRGFSKKINYRLLESLFGEEGA DEAAKAEGERMSRSQSVASRRSVSVEPEGISRRARLATPSTRANAPGSPAPTSQTGVT TDKAGQADAKENAAEPATDDKNGYSDEEPDDEYDDDEDPDGVEAAFAGQYDYYDEGSD YYDSD AOR_1_1490194 MMKLNMYGRGLGLRMAIMLTCQISFVLFGYNQGVFSGIVGNEDF LSVVHHPGSATIGIIVGIYNLGCFLGTGVCFMIGDRLGFRRTMWFSMTWIVAGAIAQT CAFSTAQLLASRFFTGIGTGIFTSIVPVYQSELCDARKRGMYVCSQPLAVGVGIVVAY WFDYGMSYVEGPVNWRLPIACQIIFALIVTVMVLGLPESPRWLYRRGRGGEGLQVLCD FHDRTANDPKIIEESQGITKAIQLDNLRGEYRWSQIFKKDELHTGRRVLLAYGLQFMN QMGGVNIIVTYVTTVLETNVGLDKELSLLLGGVIQVMFVIGSFYPTFYSDRLGRKKPM MWGSFGLFLCMMMISILLSFKGTSLEKAAATASVPFFFLFMLIFGASINCIPWVYGPE ILPLHVRAKGQALGVSANWLWNFFVAMIGPTLINDLAWKGYLIFMCFNLVFVPLLYFF YPETANLNLEEIDSLFMRKSPQSLDSSEEWKEPIVVTTSVETLKE AOR_1_1492194 MCPMMLNSFSDGPAMVLSPPQEHAFLQFPRHSGSIFSSGSSTSF GAKLAVSGPVNGKPSRKRSRDEAAFEEAMNSPSVPSIPAPAPAKKEEPIYGEGMVLLN PRTGLALSAETQTGTWYEETLESAAAAPSVSSHSQAFQSSQANTSGRKSQRLDPSAPR LDDIALSSVQRLQDSGTDDNRRLLDATNRSPNEPLVDDATRLLGISWQRITFDGDGDM AAAVRGWKKYIDKQYSAYLLDSQILMKNRALNAYLVTARPVTPFGPANSNAFYLFNDD LTQAQLVASAWETCIQNLRSNPIVFEGTQILNAAHRSVNAASLQTQNILGANPADAGL PLLQTLSAQPVSNGVSVGLNGSVGMGTGMDIDA AOR_1_1494194 MSSEGEKARTSGEVSRPEPTLPTVNPAAERAEPPKPAFHPAVYV TVWITLSSSVILFNKHILDYAQFRFPIILTTWHLAFATFMTQVLARTTTLLDGRKTVK MTGRVYLRAIVPIGLFFSLSLICGNVTYLYLSVAFIQMLKATTPVAVLFATWGMGMAP VNYKVLMNVSLIVIGVIIASFGEIKFVLTGFLFQIGGIIFEATRLVMVQRLLSSAEYK MDPLVSLYYFAPVCAVMNGVTALFMEVPYVTMDHVYRVGVWTLLLNAVVAFLLNVSVV FLIGKTSSLVMTLCGVLKDILLVVASMMIWQTPVTLTQFFGYSIALVGLVYYKLGGDK IKEYTSQANRAWAEYGANHPAKRKSIIIGAIVLIFFLLAGSMAPSYAGGSVDRVKGLL GGATAGNA AOR_1_1496194 MLSLARRTLNRVPSFQDILQGRMTHPDISVDVLVIGAGPTGLGA AKRLNQIDGPSWLIVDSNETPGGLASTDVTPEGFLYDVGGHVIFSHYKYFDDCIDEAL PKEDDWYSHQRISYVRCQGQWVPYPFQNNISMLPKEEQVKCIDGMIDAALEARVANTK PKNFDEWIVRMMGTGIADLFMRPYNYKVWAVPTTKMQCAWLGERVAAPNVKAVTTNVI LNKTAGNWGPNATFRFPARGGTGGIWIAVADTLPKENTRFGEKGKVTKVNANNKTVQL ADGTTVGYKKLVSTMSVDFLAEAMGNQELVTLSKELFYSSTHVIGVGIRGARPDRIGD KCWLYFPEDNCPFYRATIFSNYSPYNQPEASKKLPTLQLADGSKPESDEAKEGPYWSI MLEVSESSMKPVNYETLLAESIQGLVNTEMLKPTDEIVSTYHRRFDHGYPTPSLEREG ALTQILPKLQNMDIWSRGRFGSWRYEVGNQDHSFMLGVEAVDNIVNGAVELTLNYPDF VNGRQNTERRLVDGAQVFAKGKSL AOR_1_1962194 MRLLLPFIVLPLAALATPFGEPDKPVDPKAGNFSFSCRDIKLDG KPKDDAKPGDALHHLVATCTAGDGTEITSKLDLNHCYSLFKSQNNGNGFAYYSKCSIW QPRRYPQLDCERANGPDALQATRFRLDGIIENDHGYLKCFNHKGERV AOR_1_1500194 MCLIDNTGHAPTLPKSASADVDFELRAQMITPRQFQVPAQSPDC LTDICLDENPSLLASPMTEPARKTLKTTEGSKIDTSVSPHGEQVGFTVRHNKGSDAEP QKSEAELPHKACDAASLDITSSRNIRTGSGKRSSSPLPEIIDRNHQGDEYDMGEGSDL PASSIERDQHDASYTHSHRGTPNDDTAIEPPLPATQIEDGVAEPNALMFSKEEMDRIG AMLYREADAALRCLVSSQCEGAPIVEPDLMVSTSPKEHNGQDNLVDPHGGRLEPASNE REPSQHVMRASVQFQNQVNTMMAELYHQVEDKAEDFGLASLRRKPLGEWYKGT AOR_1_1502194 MDGDLSLSQALGGLRIANPDDSSLHSSEDATTPAPTAASEPPAD SESTRESTRSEQLDDHLSTTPPQPSIPPSTEYLHDAPATTEPLAKPVENPSSTYLPQT DSPQQAAQQSPAYISQQPYPQPSQQNRSLGSSRPLSGLYAYANGSTSTLAAREGSYRI RTDSAASSASESLARAESRGGSAAYQAGVPVRDNSHSDRSYRTAQLPIGNGPMVMRQP SRARARPSGAPQLAGPPYGVENGQSSNGEDWHDRGAAVAVRQEIDANGKPVARYIKKG VRDFSFGSTLGEGSYSTVVLGTDRQTLKEYAIKILDKRHIIKEKKVKYVNIEKDTLNR LTEHPGIVRLYYTFQDERSLYFVLDLCKGGELLGVLKRMTTFDEECTRFYGAQILDTI DYMHRRGVIHRDLKPENVLLDSQMHIKITDFGTAKILKGQRKPDPSTSGVPPLESTDI PEEERASSFVGTAEYVSPELLTDKNACKASDLWAFGCIIYQLLAGRPPFKAANEYLTF QKIVGLEYEFPLGFPAVARDLVERLLVLDPTRRLPIEHIKSHEFFRGMTWGPDLWKQK APRLKAYVPPPREPIKLNGAGEGDAFSAGVNAGQSSTNNANPRVVPRLVTELPPPSQL DIEWSPVLTKSNERILKLGNLLVLSSPAVHSPSTKSGSGESEAPKKFSRFFGGSTTKK RQRLVMVTSSARIIMAAAGGDEKKAKLEISLLSPGTHWRSSTDSKGFSCWVVDTRDKH YVFEDPKPSSSNAGATAANSQEWVDSLDRAREMALTQQANGTYSADEAFRDISSELSS HANTLDRSSEVHHENPPGRATLVKHQPSDSDSVKGKKRFSRRHSKNGLAAVF AOR_1_1504194 MTKGCYTCRRRRIICDNGLPTCRKCRDAGKECLGYQKPLVWVKG GVASRGKMMGRSFDDVAQNTSDRSSEDDSNAAADPPPPEVGAQGQDSLDTGSYDVPQT LASDNTPDAAQWGSNFDLIIPETSISVVDHVPTPRGMVDPLFKDMDSVSRFYISHFHQ NVVGCLAFYSNVRNPYRDLTVLVGDSPVLAHALAATGALHYALLASCDFSPTPWLSDG ASVTGALPSPQDVEKAVISSMSRRPASKFYEQFLKLKQRTLRQLSLDLQDPLKQNDTK TLAVIMVLALMDAIESGDGAWKYHLEGAKKLLVSRQSKSPSSPTQRMIDWLDAFVFDS CLIMQVMGATLARPGSLSKPFYSSDIGPETLKRLEETAWIGCPAYLLEVIFFVHEGWC VDPDPSTNPPAMNYSSTFLPKGSNPLLQPPTALLQHIQAFDPVAWAEEMQSFLHLPDL SVRTALATIWRAAVYLYTSRVLSRPRAHGRAKSTIPGLPPDHKAITNLLIQKIPLIPV ADDHFKCLIWPTFIAGAECTDPSLRPILLQTLSAIYYDVTSVNVRNAAWVLSLMWQKR DSRRAQQQQDGDPFGSFVHDDGEDDFDWIQELDDSRIDWLFI AOR_1_1506194 MQIMINQIPPISIVPKPRRPRTGLQSGVILQQVRDTPNEKFQHC CKLSHRLNVAAASGLNEYVHIDGGMDRWHLNGPTTLFDSISYMEAPINDFNGPGLYLF QVQHSKKYLDLDESKKANHTKVQQWEARKHHEDQNWVVAAAGHDEYLILADKAGTCLT APEKDREPATGNLKSATDKHIRWKFVCAEDGAYFVHSVAHPNSVLDVQGISHENGAPV LVFPLNKQKNQQWKLVATK AOR_1_1508194 MGKVHGSLARAGKVKAATPKVDKQEKPKTPKGRARKRIVYTRRF VNVTMTGGKRKMNANPSS AOR_1_1510194 MPHATSETDAPGDNPRRIVLCFDGTGNQFQGNESDTNIMKIYQM LDRHAPNQFHYYQPGIGTYVKGQSSSSGLVRFWPKIKSKIISAVDQAVGSSFSDHVLA GYRFLMRYYSEGDHIYIFGFSRGAYTARFLAEMVHELGLLSRGNEEMVHFAWETFSNY EQSRGNVPQTEKDRELNEFMKKFKRTFCRLGVGIHFLGLFDCVNSVGQFEIPFFRTSY RYIATPAAKYIRHAVSIHERRLKFKPALYMMDKNGLNSDFKEVWFAGNHSDVGGGYNL QKGQKHLLSDTPLNWMVQEVLHLEGSESKLEFQTTNVEDVLRAESVFPGKEEPGTNAW EVRRHTNQPHDCLWFGHASAFLMVIFWWILELEKGEWVPRRFPPNLGAPRDIPVEAKI HSSVNEMVKAGILDKESIPKKGGDNPNLPNPASVVSTLKRARKSLARPKAPPEDSVAE GPGNDAHKVHENGSMKGIKGVHKGKGVNGVNGVHELNSVNDVNGKGLNGAVES AOR_1_1512194 MGWLSTTTKSSWNPFSTGSSGSSLVKNILPGPSDSGGSLASLAF GSKDQKWFQSVLSKFGFNGVLATVLSFFLYALYLKFVSHDEKGLQTLIKEKASQYLGK IPGLNKLSFLKGHLPLGKFSKSLIPKATKGALGSIAGSSGGILSKLNPFNWKIFNKRK IAEEEDDMRYQAGEPYGDPEVLAPTLRDDLKAVGLKAGVQDLKVLLDVVKNKGKPIDD RDLTMEKLIAIVSSLPRNSKAREKLTGVLIDTLWQSLPHPPMTYLGNKYQYRTPDGSY NNPLQPDLGKAGSPYARNVPKLKHMHGVPPDPGLLFDLLMARSDETFKENPAGLSSVL FYHATIIIHDIFRSNRFDPNISDTSSYLDLAPLYGSSLEDQMKVRTKVRGLLKPDTFS EKRLIGMPPGVNAILVMYNRFHNYVADNLLKINEGGRFSLPATKSEEDKKAALAKQDE DLFQTARLVTNGLYVNISLHDYIRGLANVHHSSSDWTLDPRVKINKIFDSEGVPRGIG NQVSVEFNLLYRFHSIISRRDEKWMNEFFADIFGQDKKVDQLTPQEFIQGLYRFEQSI PEDPSEREFGGLKRGENGKFSDADLVQLMKDSMEDPAGCFGARMVPKALRVIEILGII QARKWQLASLNETRDFFKLKRHETFEDVNSNHEIADLLRKLYDDPDMVEMYPGLFLED IKPRMDPGHGGCTPYTVGRAVFSDAVTLVRSDRFLTIDYTASNLTCWGYNEVQQDYDI LGGSMFHKLFQRALPNWFPYNSLHITQPMYTRKMNEQIAREIGTIDEYTLDDPSPPPK TVIVTKHSTITKLSKDQANFRVIWAKYLNEMIPGRDFSGYMLLGDKPANTDQKTLVKE ILYSPAEFVQLLSETAVSVAKEQLATETLNLTSELHQVDIVRDVAIPMVTRILADLFC LDLKTPENPNGTYNVAELYKYIIDVRIFGFNNDDPGLALQRRKWAREGAESLTKTTLR VVSNLPASEKSGKGIVKGAVSTAKSIASKIPLVGKLVGDGKGVEGQSTSGSLRWYGYN VAKELIASGKTPAEVADISWMNAVGGVGATIGVFTDVLNYFLQDENSHHWEEIQKLAA SSDLESSNKSLRQYVLEAQRLTSTQRSIRLCAGKAVIDGQSFEPGNLVICLLGAACKD PDAVPDPEAFKLDRPSSAYIHFNVGPHECLGREIALSCITSLVRVCAGLKNLRAAPGQ MGVLKSITTGTEKHFLNDSWSTLTVDPTTWKIHFEGQGQGIHHPPKIPVTAGRDLNAL SNALKKQHQDKLQETVSKVANGVTAPLTKLIPSNGPSNGASTPGHLPLPINPFQNGNG NSNGVANGNTHPSLLHQAVSSAAAIPQHALGTVHDVAHNTVGHLPGGQQVTDFTHGLV HPFAGAVSPGQTQTPPQGQTQPHQGNWFFLPHGMENAAKQVPGHLFGQTA AOR_1_1514194 MHIIKPVWLTHGGERKDFEVYSCDVSPDGSRLVTAAGDGYVRIW STEAICNTNDPAVASKPKQLASMSNHSGTIHTVRFSPNGKYLASGADDKIVCIYTLDT NPPSHATTFGSNEAPPVENWRTVRRLIGHDNDVQDLGWSYDSSILVSVGLDSKVVVWS GHTFEKLKTISIHQSHVKGITFDPANKYFATASDDRTVRIFRFTSPAPNSTAHDQMNN FVLEQTISAPFANSPLTAYFRRCSWSPDGMHIAAANAVNGPVSSVAIINRGSWDGDIN LIGHEAPVEVCAFSPRLYASQPVDKQAMDNQHGAQNLVTVIACAGGDKSLSIWITSNP RPIVVAQELAAKSLSDLAWSPDGKCLYATALDGTILAVRFEDGDLGYATAMEENEKSL TKFGTNRKGAGITETPDGLLLEEKSKAGEIKGVEGRMGALMGDDQADNITNEKPALLP SNAPTPARPSSPAPDAQKSQPNGTATPSAPEPEKPDPYQAKLERLKQRPTYTKDGKKR IAPLLVSGAGAAESSLPQARLMASVSNQVKADTPQSIVDLSKPFDGLPKGGLATLLFG NKRKLAQLEEDDDGHVEKRVALASQNGATPLLTNTPDGLLPARPQPAPTGQQPTPEFI RPAVTNPCMAMSQVRLAVPKVRNQILRAIDPNGKPTEPPSASGESSKSRVDVVFEARN PSAASLTGRAVDREPVRLTLFRGEQPLWQDFLPRTVLLVTGNQSMWAAACEDGSVYIW TPAGRRLVSALVLEAQPVILECNGPWILCISAVGMCYVWNVKHLSSPHPPVSLQPALD AAIHTLGAHPSAAPAITNARINSEGRIVVAMSNGEGYSYSPSMFTWQRISEPWWAVGS QYWNTTEAPVGNLQTADAQKDKDAKAAVSAGIIPFLERNTTSETLLRGRAYFLQRLIK VLLSREGYESFESSVSIAHLENRLAAALSLGAKEEFRLYLSMYAKRIGAEGLKMKVEE LLKGLIGGLFEEDEAGTAQRLQENEQEDRNWRESSETLCGWPREVLLKEVILALGKHR DLQRVTVPYAKLLGVVDGESDVGDAMET AOR_1_1516194 MDVASRQSSRLLRSSPSAITSRLLQNPRLPTSALTATNNLNSVR RRNVSSSPRSLAPESLLNFGAGSQPGGPPTYFSNRSALPMNTVIRFVPQQTAWIVERM GKFHRILEPGLAILIPFIDRIAYVKSLKESAIEIPSQNAITADNVTLELDGVLYTRVF DAYKASYGVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERATLNTNITQAINEAAQDW GVVCLRYEIRDIHAPEGVVAAMHRQVTAERSKRAEILDSEGQRQSAINIAEGRKQSVI LASEAMRQEQINRAAGEAEAILLKAQATARGIDAVAKSIAADKENAHGALSLSVAEKY VDAFSNLAKEGTSVVVPGNVGDMSGMVASAMAIYKNVNQGQAHNVAAKTLGVEPIQGQ ASHNTSDKASEVDHEVKAEVEETSHENVADEVLEGFNEASQQKR AOR_1_1518194 MAATFSYAQAAKGVAPAQPSEKTAEPNQASKPEEQAEITTPEAE NTETVKTEESQEAEKAPVNTDKDVESTAVESSKADVSGTSSPSVGTSSTSTLPKDDES SNTPNGTSESTWDKQSQASGTDKQSNGTENAKEKSAEKEKSEPPKELKAAPLPAVNIW QQRKEAQEAKAKAVASKPAGSAAKTGTSKTASAASSVSGDAQQDQSKAGSKKKGGDVE GAKDRRSKGRDDTASLPPVGDAALWPTPQSAQGEEKKKSEKSPVIRPSGKEKWTPVPY VPTAVFTTPLPSAARRGGRAPRAGRDGARNGTHGSAAAADKATAGQVAQGSATKQSAP GERGRNEPNSARANSLPAPSRRSNSADAGMGDARKNQATDRNRGPKGSDNANIPPAGK HGHGGDNFRHHREGKGFSRNHDATHKGGDHNSRNPHLPVDSQANPRSGSSHERRFENG PKSAEFKDIPRESRADRGRGSHRGRGGFGGSQNSHYPNNHMSHNGFMHPKSFGFGERR SQQHSSGGHRMSLRSPSLPNSGSMYGVYPFPTDINTMYGYQPVHPGPMTAVPYQQYME PFSLMSMISMQLEYYFSVDNLCKDLFLRRHMDSQGYVPLAFIAGFKRIKTLTEDFELL RHVSRQLRNVDYLQNEDGIDRLRPREKWDQWVLPTDQREPAAQHEGPSKPDETAAAQN HVDGAVNGSTPIVNGTGEAPVSKTSLSSAAPEFSPSKAVEAQNEATPAN AOR_1_1518194 MAATFSYAQAAKGVAPAQPSEKTAEPNQASKPEEQAEITTPEAE NTETVKTEESQEAEKAPVNTDKDVESTAVESSKADVSGTSSPSVGTSSTSTLPKDDES SNTPNGTSESTWDKQSQASGTDKQSNGTENAKEKSAEKEKSEPPKELKAAPLPAVNIW QQRKEAQEAKAKAVASKPAGSAAKTGTSKTASAASSVSGDAQQDQSKAGSKKKGGDVE GAKDRRSKGRDDTASLPPVGDAALWPTPQSAQGEEKKKSEKSPVIRPSGKEKWTPVPY VPTAVFTTPLPSAARRGGRAPRAGRDGARNGTHGSAAAADKATAGQVAQGSATKQSAP GERGRNEPNSARANSLPAPSRRSNSADAGMGDARKNQATDRNRGPKGSDNANIPPAGK HGHGGDNFRHHREGKGFSRNHDATHKGGDHNSRNPHLPVDSQANPRSGSSHERRFENG PKSAEFKDIPRESRADRGRGSHRGRGGFGGSQNSHYPNNHMSHNGFMHPKSFGFGERR SQQHSSGGHRMSLRSPSLPNSGSMYGVYPFPTDINTMYGYQPVHPGPMTAVPYQQYME PFSLMSMISMQLEYYFSVDNLCKDLFLRRHMDSQGYVPLAFIAGFKRIKTLTEDFELL RHVSRQLRNVDYLQNEDGIDRLRPREKWDQWVLPTDQREPAAQHEGPSKPDETAAAQN HVDGAVNGSTPIVNGTGEAPVSKTSLSSAAPEFSPSKAVEAQNEATVRIPCMPFPHN AOR_1_1520194 MSSIARPPDPCLVAIILIVRSRAGPRFVFHYPPNPLSENGLRAA PKGRRPSRAKSAKSNDSSSSEESGSTSDEDEEEAHAQSQNASSVHLAGSALSAGRRWS NFGVDDHGSMAASPGGDSQRAGSIGSGRGLRKRGGNSDVEDDSGAGSDRQEDGAGGSG GGLRPPWESLLGLQADVWEKLLSPSRSWHKRRFEVGINDLAFVGWPVFVREDGTWRKQ RRKKKKTKPEWEGGELGHNEIPGDVRDDADEAIAASTETLSPHTMTASESQRASMGSI RSSRTLSEMLDGDDKDSMTMFNVVFVLDPPLLEYSMRIREIYDNIIKKFAKALKWEQA RTDYVWREAQHISHIKEKAKETRTSVNTLYSELINHSSLARAIYTVYSNISASKIASV SLSPDVSISLQIPPLTSTPYLPGPGDKAYPGLWLTTADSVTPADDPTADENTAPHQVL AKHFALLLLSDEATILKDVEASGGALAPALAHYIRCSKPTKSFAQISALSGIPLSTIQ MLASHLVYWRRARAIPPLHQRDVYFVSPNCDLSKLEVATAAYQLAFPTLPSLPKMLSA LSGTPRPYGSFIPSKDHKEAYFAILAWLLRGGWVTQLRTFARVKVSPEIKMAVERALR REEVDKYLSKQGSSILSDHSKHNGDTSKNDDDDASSSSSSSLASQDSGEETPMPGRYK PDSKLHLSHSLLDQDTSLKTASLILFPHRAPPLESRWLDEIVSRFPKQPRFSVRGRAN IDENDPEYAGLRTAMKDLWPVYIKYFNGMDALEKVPVRENLKRKLVWQVLTRLGLVTG SQSYIELDPSEQVLIGVRHW AOR_1_1522194 MGFGSPGTGVNPTKPTPPERGSFPLDHEGECKHLIAGYLKCIKS QRGVNDEECRKLAKGYLACRMDKNLMAPDDFKNLGLIFDKEDKKEHDKS AOR_1_1524194 MTTFKLNTGAEIPAIGFGTWQDAEAQEGAVVEAIKAGYRHIDTA RVYGTEKAVGKAIKKSGVPREQLFITTKLWNNKHHPDDVAQALQDSLNDLDLEYVDLF LMHWPVAWKRGDELFPKENGKPAVIDVDFVDTYKAMEKLLSTGKVKAIGVSNFSKADM EHLLKNTSVVPAAHQLEGHPWLQQRSFVDWHKEKGIHVTHYSPFGNQNELYSREGTIG KLIDDPVLVEIGKKYNKSSAQVALAWGVTQGHSVLPKSKTPSRIRDNLAGDFKLSEED MQKIHGIDRKLRFNDSSSDFGRDFFSDLDGKK AOR_1_1964194 MPEAEFLADVFTNLGLVYIKQQRFDLAPHTFERSSNLRSQFGGL SPDISISTLYIKSVALMMIGRPEEAEENLCNAAAYFARHKPDQYGLTKDERKQLYICI LNDMGEVLLQKGSVAAATDIFFHIFDSQRGVLGESHPTIVSTKLNLGKANAQLGEFSI ANSLLGDVIAIYTEWWGRRHPVTMRAVDELALAFMEESEHKNALGVCAASEQQNAERL WKEVLDFYENTYGSQSDMAGRIKINLQCLYSSKLGHRQARLRDNKNKMSFARALYGSQ DLYYLTILAVSSR AOR_1_1526194 MSWLWVWVQAAFTPWALETSPANSYPGSLQVVHATYVFGAENAF EVKHWQNATHHSLRSIISVTRARGEADFLRHLRIIEERPEFRRSDTTIHPMVHYGTIL SGNSVIKSKGRRDYLRDRYGGIAVEMEAAGIMTRIPVAVIRGISDFVDSSKNDSWQPY AAITAAAYAKEVLTKLPPEARGSCPVTMLKGHEKVLMWTFVGREAELGYLDEELGLRA QQPLQKSIAAVWGIIGVGKSQLASRFVHQQRSKHPEREIFWVNGETQEAFEQSVAMML SEDVRLSGKVQTKSPQKEAMDHVHTFFNELSRLKDQRWLLVIDGINEKPFPSSPDRWP FSVHGLIRNLKRYYILLTARRRDVIEEYHPNRELKGLTIEDAANLLRSRVDARLIEAG VEELGHFFKGHPLTLRLAASILSSHHYTAIEYLDKWKDHEIDENPTIISKYLFLPIEQ SFRELESTDPIAAKVLTLFSYLDYKDLWYELCLIALEETYPTWLCNHARERKSFRSFC PILADLSFIELKHTVDGHQLWEIHPAIQAVARQSTNTNEQEYIQCAIPLVAAHVPRSY EHNFWQKIRRLEPHATR AOR_1_1528194 MSWQDYVDYQLIQKGLAHAAFIGEDLVVWASSEGFNLSEAERRA MFDAFENQDHFYESGLDLTGRHFHPAAADDRIIRVVQEGNGAMLVRMKGFIIVGEYGN LAPAQGQYFINKLADQLTAAGF AOR_1_1532194 MGLQNINIPTAKRYLPLLISYIVDWVFIIGIALIGYGFHKVTPN HRPFTLTDPSISFPYTVHETVSTAVLVVVALIAPAVIIVLVTLVIIPGSWGRGATWRV KVWEWNAGWLGLALAVAGAFMATEGLKDLYGRPRPDMLARCDPDLSNIGDYVVGGLGG KVEVAFAGLTYLSLWLCAKFSIGFPYLAHSPFGQDLRAQKRETIRDLGAAPPVLLVIL AFVPMAVAFFISASRWFDFRHHAFDIIFGSVMGMVFAWGAFRLYHLPVMRGGGWAWGA RSRRHAFFKGVGLPSHIGGDNWSSMKDIPQTESRAAGQDIDLESGSRNLAE AOR_1_1530194 MNPTLNSDTPPPPPPKPTSHEASRRGTPQLNPSLPGTPQTLHER PYRADTTMHRYSTATNPNALPRPPTVEEGWLPENVKEKSTVDLQTILKDPSLISALAS RHPSHTAHQEYLQSLLKYNKDLASHLLELQGRVGELRGSTETLLLTHQSLEVSWRKKQ TEMDAALAPWSPKALYQRLSASIAEQEAVCHAVEESFLEEEHHGRATEKEVADWIRRV RAEGAKLEARREAKARWDEGRVGGWR AOR_1_1534194 MSQPNPYILACDNPSAVLTLLRSDPSIASNQDEHGYSLLHAAAS YNHIDLLRALVKEFNVNVNLLDEDAETCLFVTETVEIAKCLVEELGVDYNHKNDEGFT AQESIETDGSFPEVAAYLRQVMGLPPAPAQDQVADSLNPAPPLPSNIKVNLGTVSEQE ANAGMDQVDPEFKRRIDELAAREDFQSEATQAQLRELVMEAIRGSNVDTQDREVRRRM D AOR_1_1536194 MVQQDVGEVSQLVQALEAASKKGKGKGKQSFTCKKSTFPVVGSD NISVDSWKFMDWDYKRGDLPTYARGLFTTRRKDGAPEIAVRGYDKFFNVDEVNDTKWR NIENNTRGPYELSVKENGCIIFLSGLEDDTLLVCSKHSTGSRNDAELSHAQAGEQWIE RHVSTVGKSVKDLARELRQMNATAVGELCDDSFEEHVLAYDESAAGFYLHGINYNVPD FRTCPGSEVHAFADKWGFKKAKFVEYDDIDSVKRFLDGCAESGTWDGRETEGFVVRCQ KNEGGKGPYQDWFFKYKFEEPYLMYRQWRECTKAVIVGKVPNIKKHKKITEEYLKYAR RQLIQNPHLAKEYQHNHGIIAMREGFLQERGLKGSEIIAMEAEGQEEVKNDVILVPIA SLGCGKTTVALALTKLFGWGHVQNDNIPKQKNKPKKFALDITNLLAAHPVVIADRNNH MRRERQQLMDDIFPVVPRARFVALQYVHEPKGQMLPGIREVTRRRVLDRGDNHQTIRA GSKNSEEIIGIMEGFLSRFEGVDTDREPDKSFDEVIDLDVSADSRGNLETVVTTLHKL YPQIVKQVPTPEELDAALDWAMSDYQVQIDLSHQYGAKNQKDKNKKGTQSTPTPSVTP ESLAKGIEYFCISLPAAEVSEVLQSLFPPSTDPEKARVYRQLANSRRIQPTFHVTLIH RASKKDRPEVWDGYTQQYIQTMKEKPESDPTVTPALAPARVRLERLIWDDRLMAFVAR IMPPEDEEQAGWACANDIPHVTVGTISPQVKPKESNDLLQRWHQVGSGGDTGIWEAEI PGVKVVNGTVGLVMSRR AOR_1_1538194 MATTSFRDSVNSLGWSRRDPDVPVRTNASSTSFLSRLQSWNPLG QGEGYVQLPTHEAPGAPLPAASRREEEDNFFALSRWDRMLVFIACNAGAAVCFFICFF LFPVLSLKPRKFAILWSVGSLLFLLSWAVLMGPLVYAKHLVSGPRLPFTAAYFGAIAM TLYFAVGRHNTFLTLISSIFQLAALIWYLVSYFPMGSTGLQFMGRFGAQRVTTWMTS AOR_1_1540194 MAEASIFIKHDPDDHHSDHKHFMMSHAGYSMPNQYGSTNDGVDP SELTMHNSGFMPYNFGSQSFNFGGNSGIDTDELLDLEINGQNGIQRADSMNFLQDQHS SAGISMSHPNQMSQMYSNTPDGAPMHSPFLHNSFNYDHFRAMNQQQPGAHMQNAGSHF EQNYMNTKGRPTLQTMDRSADVRSPMTPKTPALGGLTLGTPESGSFPTAQPIRTGLHP RHQKTLSNQWDGTPGSAHSFGVESPISSPPNPHHVGISEILKSGKHASLPAKVDTHMP GTAQDLESQEAKRRRRRASHNLVERRRRDNINERIQDLSHLVPQHRLEDDKVRKQLVN NTAMSSTGAGSNAATSLLAGGNGRRAAGNITMGLPIEEKEKGPNKGDILNGAVGWMRD LMWSLHVKLQQEAELAELISSLGGTWPFEQTEEEKRMRTEILDALEKNDPSSFSYSRA PGSGLRVPKHTNMAGDPVASNGGLSPQNLNPSFNSGASSNSGTGQAQYWSSSGHAGMS FKEEDEYAMEMN AOR_1_1540194 MMSHAGYSMPNQYGSTNDGVDPSELTMHNSGFMPYNFGSQSFNF GGNSGIDTDELLDLEINGQNGIQRADSMNFLQDQHSSAGISMSHPNQMSQMYSNTPDG APMHSPFLHNSFNYDHFRAMNQQQPGAHMQNAGSHFEQNYMNTKGRPTLQTMDRSADV RSPMTPKTPALGGLTLGTPESGSFPTAQPIRTGLHPRHQKTLSNQWDGTPGSAHSFGV ESPISSPPNPHHVGISEILKSGKHASLPAKVDTHMPGTAQDLESQEAKRRRRRASHNL VERRRRDNINERIQDLSHLVPQHRLEDDKVRKQLVNNTAMSSTGAGSNAATSLLAGGN GRRAAGNITMGLPIEEKEKGPNKGDILNGAVGWMRDLMWSLHVKLQQEAELAELISSL GGTWPFEQTEEEKRMRTEILDALEKNDPSSFSYSRAPGSGLRVPKHTNMAGDPVASNG GLSPQNLNPSFNSGASSNSGTGQAQYWSSSGHAGMSFKEEDEYAMEMN AOR_1_1542194 MSANAQKPLPFQYQFAAGAVAGVSEILVMYPLDVVKTRVQLQSG TRAAGEEFYTGMFDCLRKIVKNEGASRLYRGISAPILMEAPKRATKFAANDSWGSFYR GLFGVDKQTQSLAVLTGATAGATESFVVVPFELVKIRLQDRASKYNGMLDVVKKIVQT EGPLALYNGLESTLWRHILWNAGYFGCIFQVRAQLPKVEPGNKTQQTRNDLIAGTIGG TAGTILNTPMDVVKSRIQNSPKVAGQTPKYNWAWPAVGTVMKEEGFGALYKGFIPKVL RLGPGGGILLVVFTGVMDFFRTMRGENV AOR_1_1544194 MLVVPLLAWALGPAPLAVQALTDGNSSIYHQKADLDTYNILQRN AAIQSQLSQTSVQGIKKMSDDEGEKFFLDYWYFGETNQTDLQSSQPSEKRQSSLHPRI YPYQPSHPLDLGEFYDSHRWMGQFSPLLRREFKCPAGTNSCTSINRPNSCCSTGSTCE LVDDTGSGDVGCCPDGENCSGTIGSCQQGYTSCPASLGGGCCIPGYECVSGGCANVYT VTITVSSTVMVSTVTDTVPATSTVSSSSSSSSSSTTKSSSESTGEFTPPARPTSLSTA TASQTGSICPIGFYACSAVYQGGCCRTGRDCDTSSCPQTPSTTITTNDRTIVVPAETE APPATTGRCASGWFRCADTAGGGCCPTGFACGSSCTAQETATATGTVAKEQPTNSAGD KNLPEGMKMVGISLLLGLLWTI AOR_1_1546194 MSATAAVGDIAGIATLIGQIYNAMGNHIIEKETLIMLLKDYEYR LIADQPYLARGLELRHCQPHAINLYEKLVLLGEWLAAKHIKLRNAQGGLLSRRRWKFP WKFSGKKRVVFQQFLDQVQHASDRLVDCVNSSPHGNVLMALPAAAIDPPPAGISNSQY CNEELKLFIMKPLYRSWD AOR_1_1548194 MAISQIPRIIGFQILRSLNLLISSAGNNDLFGLAKDFFWNHPDE ETGISDAFFYTYMIDTVINSLIDYYLSHVVAIMLILESERLHASNQSTADFIHDNVQN QPTTRSVTRASSFLYRMGGLRMFLKGLQTGLVYRATHFALTSLLQMALFRHDLLNPIA PVISTIVLAELHMAWTHATISATSSTGSLLKLRHNHKAWRKLLTPSLVCAAARAVIDY LPDAADSSFNLFFKSASTHDPSEHYIAYFEVSTMLPTLILRMTVVLPAFIALILVEAS FLPETETTIVPSTQGRRARMSALVWGKISKVRSGFAGVYGLVRRSTFFWLWELHAKRC LLQSVVDIIIMWLEGGFE AOR_1_1550194 MSFRGFRDNPPRRGGRGGPTTPQGRGNAKTNIYLPPDGKVPPPS PTVRETEDGIQKAIADPLTLRKLQLNSEYPPRPGYGTKGQYAVDVRPSVSGRKRAQIV RLVLEAPELSSVAANLVTDFKSTIISREKLPLTNNAIIVPVLYRSELEDEPAEDATQY KARILYTNTLRVSQLIEYLTSTDFNQYNEKLPMVQALNILLNHYAMTSPDLVSRGGTR ANRTFPLSNKAPLSDKAHLVGGLTAIRGFFSSVRLAAARVLVNVNVSHGAFYNSGRLI DLIDTFQKANGKSLTDLNEFLHGIRGSYNIRDQRPDLPVINISTRASPTYQLAQEFEI LPGQIHNGELSPVQTQDMIKFAVRPPLQNAFSIVNQGADTVGLNPERNTILTRFGSWN ITSTKFNVPGQRLRKWSYLLVSDKKVQDTFRDVKSFQPVVKKLQAALMDTGVPVDVPF PGKIVRVDKDDTADLDNTLRGAANSLDLLYIVLPKKDSRWYLVIKRLCDVEYGLQTIC SVGPKLVAKKGQTMEQYDKSLDQYMRNVAMKFNLKLGGTNHVVDNLRLSIINEDKTMI VGLDVTHPTGSSQVSSATAPSVAAMVASIDKTLGQWPATIQVQSHGGKEEIDSLDGMF KRHLRLWKLLGKHASFPENIIVFRDGISEGQYMKCLTEELPLMRKACREIYPKEMHEK NLPKFTIIIVSKRHHTRFYPTEGQTADKNGNTPPCTIVDRSITDPHCFSFFLQPHSAI HGTARNAFYFVILDEVFSQRYTGKLRPKYKNVAEIVQDLTLNLSYLVGRATKGVRVCC PARYADLVCDRARCYLSRFYEPASETSSVASGASTVQATNRDVLVHEKIRNTMFYI AOR_1_1552194 MNPLLAERGNPPPRRKSCEACKTAKRRCDLAFPACSRCTTRNTP CIYPGRQPAIPQELIDEMVESIAQTVDTPLSFDAGVSCMTEFSIPELPDPPAVAHPFD VFDPQWDYIDINAPCLSSESHSGVLIPRPSNTMTAPVARPPMPLSAIIASRLQFAIDV LKDVPKMMVQENQTPWSHKQLYINGMPKYMQGESTYNFSSSYTHNRKQKKRLTNSTTD AYTSCALHITLNPLNRPLITSLINTNIQTLLTSPVPTTPLETLSHTHALLLYQIMLFF SPDPNSNVSLIPHIESSALTLLSCIYFPDPTNTPTIFPPTPEAKQDFWTSWIFQESAR RTVLFAFYLIQLYKSVQGYRNLVCDGRLGLVHSWYLSAHLWGAQDAAEFGAAWVEKDH FVVGELNFGRVLTEARAGDVDVFGRMLLVSYLGIEEARAWFLARGAIL AOR_1_1554194 MSTSTFFVCGATGTQGGAVINHLLKAGAEVHAITRNLDTPAAQN LQSRGVNLSQGDFTDLETFKQSMKGCTALFLNLMPDLRVPNSEVHQAENILSTAKEAG IRHVIYSSGFSVNEPQRLRNWDPNSFVAKILLNKQAVENKVRTAGFEYWTILRPGNFM ANYLHPLVQMYPGFVETGVWTTALLPETKLPMVDHNDIGAFGAAALFDPARFHEKEIE IASEFMHPEDVTKALSRATGRDVKVDFLSQEEIEKQATQNPFIGGQLLARDMAQFVDL EEVRAWKLPLGTFEKFLEREKERVKTTWSV AOR_1_1556194 MTADSFNESLRLAERRRVFNVPELKRLAAESINQSAEDVASFEK VAEGSFNRVFLVTMNDGTKLIARVPYPLIEPKYFVVASEVATLDYLRLHDIPVPKVFG YSATSDNAAGTEYIFMEYIRGQILGNLWFDLSDDDCSKAIKNIVDLEARLFKLRFPAS GSLYYTADLCSKTDRPPVPIEDPPSNGRFSIGPETTPRMWFGKRRELQVERGPYETAE AALTAGAKKELAYLARFGKRLQPRERVYRALYGYKEVSHLGQVRNLEDYLRVAPYLVP ENIKSLCQPTIRHPDWHPNNILVSDDLTITGLIDWQHGSILPLFLNCGFPQHIWNCGD EVSKSLDTPKLPDNFDDLDDSDQLKELEILRKRRIHHYYAWYTAMLNPVHTIAMDHDL SLMKGLIFNHASNPWDGDMVSLKADLVYIAQNWDKLSNPSSGTKAGVCPLEYSNGEAN SWLMFNSWQIGGDAQILNFRNHIGCGPDGWVPSDGYDKAKQREMKFKETAFEGLKSET TSESDLEKVWAKMSENWMFDDFDEEPYQ AOR_1_1558194 MYDPCYTCKRRRIQCDQSQTPCKKCLKAGLECYDKRPLRWVKGV AIRGRLQGVAAKDASTASTALATLDRVSGKKGIDNGASLSMALETGPISNLDQTSRYY LDYYNDQICKVFIVYDSEENPFRRLISLAVNNSVLLKSVLALAARHRANSGYSFENAI VGASPDLLQIHQDALVFKHQAIQGLTHALSDPTISEQDTTVASIFLLIFLDLLESGSD KWNFHLEGAKRLITSGQLHELQAGKSQDPGRTIEQIRKFIIKQIHVIETLGATFVRPK LLSGCTSLDHPDSLLQETVEQSFIGCPEYLLHAVQCLSAYRDSMVEPQPPTSTTSNTH MQDITSVLDLIQKFDCYTWASNLPESQKTSTRYISNLCKLAQSYKLGALIYGQRILDA LLDVNTPQEELVSELIGLIDALRDDGRLLKCVLWPIFVAGLECRSQAQRDFLITSLEK FWLDTNCLNVVNAAKALQSYWQKTDKQASPTQWIFDIGDLDHDWLFI AOR_1_1560194 MSSSNDTHRSTRALHADDPLNLVTDVAPPIHLSTTYRFPSDPND LLPSVDPVDEFNGKNYVYSREFAPNATRFEAVLSSLIGGNAICYSTGLAALTAALVLL NPRRISIGEGYHGSHEVIGVLSRLSGLQKLDLHCSAESLEEGDVILLETPVNPLGTAF SIEEFAQKAHSRGAYLIVDSTFAPPSLQDPFQWGADIVMHSGSKYFGGHSDLLCGVLA TKRQDWTKKLFEDRLAFGSVIGNLEAWLGLRSLRTLEVRVERASKSSERLISWLNQGL NAQSPAPGSEEHIIQSILQKIHHASLQNEPWVRKQMPNGFGPVFSIVLQTEDFARVLP SKLHLFQHATSLGGVESLIEWRALSDSRVDRKLLRLSVGLENWEDLKNDLLKAFKSLL SQS AOR_1_1562194 MYLRAVHAETSIKALFELIQKNPLGVLTTAIPSTTQHFIQSSHI PWVLDIISDDPDAPVKGRLRGHMARQNPHSKTFIEALNAAGLPSASPLQQEVQVLFTA NPHHYVTPKFYTETKPATAKVVPTWNYAAVQVHGKATIYHDSSSPESAEYLQKQIQDL SELCETSVMGYTGEGGRPDSWKVTDAPERYIDIMKKNIVGIEITIEDIGGKFKMSQES TKGDREGVIQGFENLGTDVGRDVAALVRERGEMKDAAKK AOR_1_1564194 MAATAKRPEIIELARGLNGVPMCEEYECMISGMMYNPNIPKLLE ARHRCRGLTDDYNNLDTKTVPYDQIADKRMERLRALVGRVGDGTFIEPPFRPDYGSNL IIGSDCFVNWGLTVLDTSLVVIGDRVQIGTNVSIITAGHDTSVLSRRKFVEFGHPIFI EDDCWIGANVVILPGVRIGKGSTIGAGSIVTKDIPPYSVGAGIPCRVKKTIQSVEEEE NDPSNPWRNLVREDRE AOR_1_1566194 MKGSPEFGPISGSLSCLQQVDFSFSLVSFPLHVSAAIMLSSNIR SRALGLSRRAQLQNTRLPPAGRRYKSDESLNRFSSKITQPKSQGASQAMLYATGLTEE DMSKPQVGISSVWFEGNPCNMHLNDLSGIVRDSVRRAGLVPMRFNSVGVSDGISMGTE GMRYSLQSRELIADGIESVMNGQWYDANVSLPGCDKNMPGVLMAMGRTNRPSIMVYGG SIKPGCSAKGQKLDLVSAFQSYGQYITGQIDEKERFDIIRNACPGRGACGGMYTANTL ATAIETMGMTVPGSSSCPADDPKKLVECENIGEVVKNMLREDIRPSDVLTRQAFENAM IVVNILGGSTNAVLHLIAIADSVGIKLTIDDFQAVSDKTPFLADLKPSGKYVMNDLYT IGGTPALLRYLLKEGLIDGSGITVTGKTMKENVASWPDFPADQDIIHPLSKPIKPSGH LQILRGSLAPGGSVGKITGKEGLRFEGTAKCYDYEDAFIESLERGEIKKGEKTVVIIR YEGPKGGPGMPEMLKPSSAIMGAGLGQDVALLTDGRFSGGSHGFLIGHIVPEAIEGGP IALVRDGDRIVIDAEERVIDLDIPAEELEKRRKEWKAPPFRYQKGTLKKYATLVSDAS HGCVTDGPI AOR_1_1568194 MPTFQDEDADAIKALKQPWETETLAQVRTGKVKPTFTTQEPSAI YKQIRRGPLAVNDLGCEGDEHAFEFHGGPEKALLQYSARHYTRWKKELPQSKALFVPG AFGENLVASNANEHNMCIGDVVRIGEVIAQVPDMSKRAQDLCRTGWFYRILKTGTIQA GDQMTLLERPNPQWTIATIQHYLYRDMRNEEMMRQIVEIRELGMEYRGIFINRLRKQY ENQARRLEGAPEKALTIWKDYLLLTKVKETPRIVSLVLRAITPSEVPSPIVTGSHVRV RLNEGLIRPYSVVTGDSNQFCLAVALDEASRGGSRYIHREVQPGDMLQCGPITASFPL STVADHHVFIAGGIGITAFIAAAQHCERLGYPYHLHYLVRSAEDIALKEYLSGLGSNV TIYDKSSGKVFNAKHTMEQIHEGTHVYCCGSERLQDSVLTVASSLGVSSSRLHFETFK AATSGDPFTADLAGSKTSIEVGEEQTLLDALREAGFDIPSSCEAGNCGTCRVGVKAGK VEHRGSGLMESDKNQAMLSCVSRGLGTVVLDL AOR_1_1570194 MTDQNAPNTQSNRIQIRVEPITTPADFNRFFEIAALTFGHQVQD GVWCAMNPGWDTPEGRSSGSARLAARWSTTTKDRHGNPNTIFLKAVLGDGSADEGKIV GVAIWEQASMVDGYGQAPATEMDNAHLEAVYPNQPGEQRYLRQVDLSLRRRRLEVIRE IATSASPAVMVLDLCVVDPSFQRLGIATRLVEWGLREAKARGGLEAVLEASSMGRHVY RKLGFEQEGGEFIYDVDEEFRHREQPSNVFMRTGRPVA AOR_1_1572194 MINTLALYKDGDTLVTLLVAAFLLLGFGMAMSIAMNNAFCANLH NSTTALGCISGAYGIGGVISPLFATLMVSHDIRWTYFHTITLAMSVANLLLSSFAFRN YEDNATMVSQRAPDSAIPPMTSNNDHSPSRLQMFKKAIQDRTTILGSLFIFAYQGAEV SVSDWIVSFLISYRGGDSRRVGYVSAGFWAGITLGQFLIVYPAHRIGEKIVVGLLVVG AIGFQLMTWLIPNIIGEAVAVAILGLLLGPLYPCSTAAFAKLLPRSMQLVSLGFISAP GSSGGAVFPFLTGILAQSMGTMVLHPICLVLYAVMIIS AOR_1_1574194 MATQVGKRTNSLAFARADCHTCTSRGQKCDRQRPHCTTCISHGR KCGGFATPLSWDHRRTRKRALASHDDSGEDAAVTTPKIGNGQIGKDPPRHFRFVQGGK RDRKRRKVVQPRTTAHAEIEAKAVNPPQPVDVVHNEVSPHGDDESSIDLAALAQSEHL FDPPDAFHHHNTIDIPTPQDYFASLLQSSSSAFPFETPSMMERTSREGLSGLGLEDAF QDGLSFISSDMGPVEGLVSTLRAPTLEAVNGAGPGPSQPLGNQHEALLQMYDTEFRVL PTTSDTALNPFRCREPLSQGSRLLFHSILALCCRHLSQITGTPSSEEREHRNQAFKLL ENALQSDQLARRGLTLLDPLLVLFTLDCTLSASGRWSTYLTRAHSLLEACGGPPALDN ALIRSQVAMILWWDATLALVSRQGTVFSQSYLDHLIHSEKKDKWSFYDLTGCPSDLVV IIFKLAELAHQSTIASSMEWLTFNLNPIVQIEEQLRSWMHPSFAAPSYNQTNPSVDDG TRTHPLDEDTVHAHQDRHHCAEAWRHALLLYIDRLFRWDRSQIRPLSIPCLARLTLNH VKCCRRTSQTQKQLLLPVFLAGSETGDEEMRDMARGYCRWWSERSRYNMFQSVPVLLE DIWNGDTWWGDVVDEKTKGASSAEGSNVQFLFG AOR_1_1576194 MEQKNVVVIGAGVAGLTTALLLSRLPRYKVVVAAKHMPGDYDIE YASPWAGANYMPMSTRGTKAADWDKDTWAALEDLARNHPDAGIHFQECEIHSRSKDVG TTTAKWFGELLSPSPWFKDVVPNFCTLPKSRHGPGFDSVTVFTSVCINTAVYLPWLVS QCLKNGVVFKRAVFNHILDATSPSVHPDQKVDLVINCAGLMASKLGGVEDKTVVPARG QIVIVRNEAGKMLDVSGTDDGDGEACYVMTRAAGGGTILGGSYQLGNWDSQADPNLAV RIMKRAVKMCPQLTDGKEIEHLDIIRHSVGLRPVRANGARIEKERIGDTWVVHNYGAG GAGYQSSYGCAQAAVNLAEEALATRAKL AOR_1_1578194 MSAQYLDVPVLPTDVAFGLLADFDADQDPKKVSLIAGAYRDEKG LPWILPSVKQAKERIAADPRSHHEYLDIAGSPVFLNIARSLVFGTELAESWNVASIQA VSGTGANHLGASFLAKHLKPQHVFIPDPTWVNHKTVWAVAAPEVAQREYPYYDPKTRS IKFADMLSTLDIDAQPNDVVILQACAHNPTGLDLTRDQWKQLADLALKKKLFVLFDSA YQGFATGNVEDDAWSVRYFTEHLLSSPDPDQRIPGLCVAQSFSKNFGLYGERVGALHL VAPSDVSIQGAKSQLSLIARAEYSNPPRFGAQIVQTVLTDPRLREQWQQDLNTMSSRI MGMRKQLRTRLEDLATPGDWSHIESQIGMFSYTGLSKEHVNKLKEEYHIYLMPSGRAS LCGLNEGNVDYVARCISKVVKEV AOR_1_1580194 MPSLYIPLTYFLTDRVDEIMPNRLGLVESLLLRDSVSILTGNRA DVGQVPTRRRMKNVATFSLDNAPSYEKVKLQVDGVSLELSTIYKKGTRPPFVFLHGFG SSKEEFNDFAYLPHLSEYGLILYDAPGCGDTTCSDLSKVNIPFLVKTAKALLEHYGVT TFHLSGHSMGGLTALLLASEIPDRVLSFINIKGNLAPEDCFLSRQVFLFPADDAEVFF REFTERARRAPAFSNAIYASNLRRKVSPHVTYGILSTMVELTDNNDLLALFLGFPFPC MFMYGVQNASLSYLPKLKEGNVELAEIPYSGHFPMYSNPPEMFRRVKEFLERTGA AOR_1_1582194 MALHQFDYIFALGTIFAFLDAWNIGANDVANSWATSVSSRSLKY WQAMILASIMEFCGSIGVGARVAETIRTKVVDVDLFKEDPSMLMLGMLCAVMGSSIYL TIATKFGMPVSTTHSIMGGVIGMGIASVGANGVSWWGGNINSGVVQVFLAWVIAPFMS GAFGAIVFLITKYGVMLRSNSVRNAFIAIPIYFGITSALLTMLIVWKGGSSRISLNDA ETVGVIIGVGAAVALIVTIFFLPWLYRRLLKEDWQLQWYHLFLGPLVLRRGEVPPPPE GYSIVQDFYSGHKTMEQLQAERAATQENRPSDLENEGELVKESQNTSSEALKSGTPSD APSVAPKPEFSIIGPRPEGKGFFHPAMLFWQFKRFFFRGIEQDVVGLQKKKNILTGDI EMTHAHAKHYDNRTEYMYSFLQVLTASTASFTHGANDVSNAIGPYATIYDIWQSGKLN SKSPVPYWILAFGGAAIAIGIWTYGYNIMRNLGNRITLHSPSRGFSMELGSAITIITA TRLKLPVSTTQCISGATVGVGLCSGTWRTINWRMILWIYFGWVITLPITGIISGCLMG IIINAPRWGMGV AOR_1_1584194 MNFTDGWYPPYPSLPPPVQLGYPTILPPLQPEDPIFLSTLQNWT SQSRIQSPPSKSPNQPSTDPGSGTVTPAPKTHPTEPTNYADLLRLYLTIKKDVSFKVT HPKQEKPTAPWVLRALTMLDHAECVAEAHLDLISSSDGEIQASQDQHSKMRDSAPFVL VVGSVKNMMKVNRHDEEGVRILQERVKALEKFTMDMDALSQ AOR_1_1966194 MSIYSPQPDKQISQIEKSVTHLLVATKQLLETLTQWSRKQASEN EVSDVYVRLGYEFNLACRAFNAIGVDTSDLGPVPDLLRTILEDTLSQDASPQSLDRYL PRIRDIIINLLHGLKKKQARLRSRQQREEGRSLPGRQASAGSVASGQAAMNQAYEETV STVSSPKRSGRRYGSNGSLEEPSGASRTSPAPGDTRGGSFSEREASRREAQNILSQSS QPESDSTPKASAPSVNPSEYPTPPPPPPKQDDAIGALQRSGELERRASRRFSAYQIQK HLGTSSSGVPVLPTQHSPLPNRGRDVRESLNAVRLRGSYAHGRQRSTNRLQETTTTKT APAQAPPNVPSIEEERTKSRGEASAHVSAKDYPIPQDKVQKPPDTETIDLPRPQEEPS LAEAFQPVKESTSDAVASPTTPKSERRQPHSVSTPPQSTHFTAEQPSPGKELTLFLQY KSKIKKYVLPEGFTGLTIGRLQLAFIEKFAWNTHNNGADLPEIYIQDPVSGVRHELED LNDVKDRSVLVLNVDILDEVKKHFDDEFGGVRRLIEGVKDALNGQESVMQRVSDRQIE AAKEMARLAAAPPVSVSSAKSGGPSKGSIAGSDSQLAELQSLRRDIAVLRQTYSNFQS DIASSMNAIRTKASGVKSAATEVSLPSFEGDAGRARVNSGKKELGEESERLVARVDDL QDLVEDLRKDVVTRGVRPLPRQLESVGKDISAVTKEIKKMQEFLKREKPIWTKIWEKE LQLVCEERDQLTMQEDLAADLQDDVEKAAQTFALVEQATKEQTMQNNPSNGVTLRNTS RNVVIDPAVDPMKAKDSVLGEVRALQPNHESRLEAIERAEKARQKELENRRIGLFQKE LGAFVEEGKLKKSGGVEETERLRRAKDDRIRREVWERQQARAAEMEKAEAEAAAAQAD QAPQAESNPTDDAEAKGEDGAPDTGEEQEPSSPKGKEPISEEAERTESKKEESEPAKN DEDKPTQPEAS AOR_1_1586194 MASVNSLPAANNASGNTVNASSQNSGSRPSLRSSANSKADGGRR QAGSPLDGGQRRSNSQKAWTQGMNPITQRSSYSQQNGNMAQKQNAAPKPTSKESNTPD NHAHDRLVFLVTSFIGLAATITTKNGEKYTGIFSSSSLEPSESSFVLKMVQRPTKQEQ NRANGVSDATSPFLGSAPDHSMSFDVKDVVEISVPNVSTSDVTAKGANGASTGFRTDV DISGNLAMRERTLKRWEPSAETEVDLSLEATNTSAGWDQFEANARLFGATSSYDENLY TTRIDRSDPTYKQKEAEAARIAREIETTDVDNAHMREERGLTVPGDGGDEEDKYSGVR RDERNFPPLVSGQPNKYTPPARRQAAAQAAAAPSSSASAVKQVPKDTTPSTAAPPKDS TASQPAPSATAASAAPDADKSASAKPPSATSAVPKRTGTENATANVEAEVLDHFRQFA NSEKQKMQERRRNQASYDRTIKLNELMKFSKNFKLATPVPKDLVPILAKDPHKQEEII QRAQQQAEEKTSTKTSPTPEQKQTPRAPVSARHDSGTAPSATPSDRPAYPRGRQVYPP TGPHAGAGARPQHQTMNPARQTTGMLGHRLADNLQQRKGVAMGAVPTPLPIQDVRLPP TGPAGDQSGVTSPSKAQTPTSSASTKFNVRALEFKPNPAASTFTPGASSSSSPFVGGR SVSRATSPSAFFGAKKPRPVTERPSLKNQFNAIKRMKKESAENTEKDYTFNGGIPPAY KTLPTWDVPTGNEEKTYQHMFKPPVTVPAISPQNRSASNPQAPHQPHMPFQFPQTTPG IPPVSGPPHGPHLHPQHHASGPPHFDDPHRMQMSASTSQVFPSPRLQHGYPSPMAPHA QLAFGQPMPQFYVNQGGPQPGHMRPYPGAPQFMNPQANMGAPMMVQQPSSGPYMGVPQ GMAPYTPQMQMYSPNPGHAYPQHAPPQPHSGFPSPSRGAPMMMHQNSQQGQPPQPVMF MSPGQHGQPVYAAQQPGHMPPVRGNYPQQQPPFQSSPHQVHHYPPHQHRTPSSGYNQI PQMPPQVPAQAPPAAASGPQPVDAADEVK AOR_1_1588194 MDFLKSAVASAIAKGSSFPYSLGDRVDISDSIWTLHNATKRDDG SACSVFTFDIASNKSRLPLAKNAVRKSRTLRHPGVIKVLDTIETEASLYIITERVVPL SWHVKRRSLSEETSKWGLHTVASTLKFINEDASSVHGVVRASSVFASESGEWKLGGFD VLSSMNDEHAVIYTYASLVPDAARYTPPEVVKGGWDTIKRHPLTAVDAYGLGILIYEV FNGGFMGGDQVGKTTNIPPTMQASYKRLCTANPKLRLSPGHFVEQGKKHGGFFQTPLI RLTDDIESLGLKNDAEREEFINELDGLTEDFPEEFFKMKVLPELLKSVEFGGGGPKVL GAIIKIGSKLSSEEFNSRLTPVIVRLFANPDRALRIVNDKIFPQMTSGFTDVAPVVRE QTVKAVLAVIDKLSDRTINGDLLKFLARTANDEQPGIRTNTTICLGKIAKNLGQSSRS KVLVAAFTRSLRDPFVHARNAGLLSLAATMEFFTEEDCATKVLPAICPSLLDKEKMIR DQANKTLDVYLQRIRKFGNTMPETVLPPSTSSDASKDDARIGTSNDKSWAGWAISSFT NKLTAANGVIEPSANSAKPVEAEPARSASVPRPSKPSTSAQLDLPKVTPRPAAQPLVH RSMSEQVVPVTNDIDEPDDVYEAWGAMDDEDGERADDPFSPAATTVSSTVSAPASKPA PVPYDDGGEPDFAGWLAAQSKAKKTLPKGLSKTTSAASSARSASRNSTTKPKTLAPVK KIETKPKEEDLDDWGDAWD AOR_1_1590194 MDHEEEAPPPYSAVDPLTRNAIASAEVNRVILRLRGGDVSFGDT ASSDSSSSVVLASSPLTPANFTSAAAYFVERPVPTVDNERPILEHHLTIYPRSQSKDF PRRPRCWGSRTEHIIQQDWDMFLRYLFPPHLGLASSSDQLPRQVRAQIQRDRKDRPQE TDEQRRKRISAVITEWNQYFFEPRATCITFSYVTDPQNAPASPLCPRCYPAATRVSQE NRTAVTVRPTPSLTPRQPMSPLSPPPSNRHSPAPYAYPSPQHPQLPPTPHTPYGAPQY YHPPPPHHPTYPYSPQPHIPYQHNASWNWNAGAWPPPQQNSSSKSGPLGWISSLASQA QKYGERISEHAAHRPRNEWPATDARGQVYYPNAYNYPYTPATHAVEPTKPVEPATTLP VQRIRRLSTGSASSDSSLESIDSLSTTSELSTSDLATVRAQLLSLEDHHDRDLHEAAV GLRRQLTVLQESRRRDRLAGRNWRQGWGQYPQQHGYGRGWGGRWESPQQHERSAAEKR ALKEETRATRKAFRDVLRRAREEHKEQRRLKRNRRRLERSRQIEATPSEPSLEQQVQN LDLDHNRESQSTVQSFTSIPSPARSVAEISVISSISTPSTVSSHHLLEDEPQNGGKEN QKEAEKAKQSPKEIEKIKRKEVPRLEEKGKKSG AOR_1_1592194 MVDIVPLSSYPSYIDLLPSIQTCNITNLPENYFLKYYLYHALTW PQLSFVAVVRPKNGYSKHTAPGGAATASEQYPKVVGYVLAKMEEEPTDGVAHGHITSL SVMRTHRRLGIAERLMRMSQRAMAESHRAQYVSLHVRMSNTAALRLYRDTLGFKVETV ESKYYADGEDAYAMRMDLTDMWMDWAEIERKDRLRAEKEGKDADEGEEVGELGTEKEK EKMVKVRVGRGLGVGDLVEKNESQTQA AOR_1_1594194 MRVMIRLLPLLAAGGILPTWAADDSSSSTDTSTGTGKGMFTLEG TITGKVSDAATPTGTYQSITSTVTLGSGHGTVVGSHTLTGSDATATTASNTTTSNSVT VLGGTQTLNGTANATMTASASASSSPVVNTQPCNGYPEFCARNYSNITVVAAHNSPFV QSGSVAANQALEVEDQLNDGIRMLQFQTHLVNNTMYLCHSSCELLNVGTLEAYLTRVT KWMKAHPYDVVTILMGNSDYVDPGNFTAPVQNSGLMDLVYTPAKIPMALDDWPTLSNM IFSGKRAVMFLDYQANQTAYPWLMDEFSQLWETPFSPTDRDFPCDVQRPPDLAANDAK NRLYMANHNLNIQMDVLNLDLLIPNTALLNETNNVTGYGSLGLMASNCTKIWNRPPNF LLVDYYNYGPVNGTVFEVAAQMNNVTYNGKCCGVASAGMSLTPQSVMATALMIGGIQF LVSLF AOR_1_1596194 MANLPFFRKAPQRPEYGRSRSKVLWHRLLRSFLYLIAWIFLVLV VIGDVSNKPVLRQTWFLKIDLSNIIPLSVPNAVLINSIARSIGLHDFYTVGLWNFCEG YNDSGITKCSKPETLYWFNPVEIIMSELLSGATIALPGDITDALKIARIASHWMFALF ILSTILTFVMIFLSPLATSSRPPQSIAPDPNVNAAHPAHRRRTFIFLRAFPFFILTFL TALFTIVASVVATVMFIIFKNVFTSADYNLNIEAELGTRMMAFMWIASGCNLLSFILQ LGSCCAACCGGRKARKALKNGGANGLPVREKEGPHSPATTTATE AOR_1_1598194 MDGSVVEGISTSLQTCPPDLQGLYALEDLPSPPYISHLHVNDLG LTPPPGSQPQQPVDAQVPSQEEDHSLPDGGDQADTSNQFPGDQKAKYSAAGIGSSKLS VQDVDPVGFTGSTDPVQALFDDPLFDSEAVLRELDAAFASRKRAGDEAFSGLDLWGQP EKRRLVELQQDHCVPSPGETPSLSSPNSSHQPEQGGTAPHTPGIERLPSPNPLFDSLD ALFEDPDFKIPLIPDDEFPPDFELELPLAQGDRISHEPSNQDLADSTRNDQHPLELPE GSISKEPSVSELTKDRFSLDSSHLASNTSREILQRIHKEPEYTSPYPQYGGPLGYLPS APNIHVKYVEVAEDRMNYRLACLKDRVYHLTCERNKYKNALLQWTTIDPVTGKTREQQ LREENAMLRRVSSQHQNRVEQYKREATEWKNKLHEVGTIYNNLLYEIHVQKQVPAVAP IPDSYKPPRTSQARGQPPTPNSHPVTPAPSGDMQHVRQGSQPLPPQGVPPSVNGSQQP ASSATDRGSTPVTIDLTDETENKSAPSEPPTEGEQRRMEMLQSLRNKRYGWLEAGQTG HDFRTSTSQSPRPQQDTSILVEPSHNDPPDHPAAQNSPIDDDDELARAMEAELAEA AOR_1_1600194 MSVILCTAGYDHTIRFWEALSGICSRTIQHPDSQVNRLCITPDK RYLAAAGHNNVKLYDIKSTNPNPVMTFDGHTNNITGVAFHCEGKWMVTSSEDGTVKVW DTRTGSLQRNYAHKAPVNDVVIHPNQGELISGDRAGIVRVWDLGESVCTHQLIPEDDV AVQSVSVASDGSLLCAGNKKGNVYIWRMVQDAELTRIVPMCTFQAHKDYLTRILLSPD VKHLATCSADHTAKVWNLDLDYPPAKIAAAQAAKAKAKGITPEPKETPSSPPPSDSLV NTPSSENGRADFINPFSFINGTPPPTNEPQQTFPVQEDGPPVDPNTNTLYLETTLANH QRWVWDCAFSADSAYLVTVSSDHYARLWELASGQVIRQYSGHHRGAVCVALNDYSEPR AOR_1_1602194 MAVETAPTSSPVPIADLTKIASEACDSALNGVEGYEHTKVGEWN SQIINTILKALISATAPSTPSAAAPYRFTVNSTIVQQGLIDKSAAAEGAASNTGKRGM HSASGAFWDVNRDGMWTFKYPGADERGLDVVVSVTWFAVN AOR_1_1602194 MAVETAPTSSPVPIADLTKIASEACDSALNGVEGYEHTKVGEWN SQIINTILKALISATAPSTPSAAAPYRFTVNSTIVQQGLIDKSAAAEGAASNTGKRGM HSASGAFWDVNRDGMWTFKYPGADERGLDVVVSVTWFAVN AOR_1_1604194 MSSQPLLQTAPGKRIALPTRVEPKVFFANERTFLSWLNFTVILG GLAVGLLNFGDRIGRISAGLFTIIAMAAMIYALCTFHWRAASIRKRGQSGIDDRFGPT VLALALLAAVVVNFILRITEN AOR_1_1970194 MRASLPFLTALGCIPAALAAPHPRVQSPEYVNWTTFKANGVNLG GWLVQESTIDSQFWGTYSGGADDEWGLCEHLGSRCGPVLEHRYATYITERDIDKLASV GVGVLRIPTTYAAWIKLPGSQLYSGNQTAYLKQIADYAITKYGMHIIVDVHSLPGGTN GLTIGEASGHWGWYYNETAFDYSMQVIDAVISFVQNSGSPQSYTIEPMNEPTDNPDMS VFGTPAALSDRGATWVLKYIRAVIDRVASVNPNIPVMFQGSFKPEQYWSNQLPADANL VFDVHTYYFERNVTSETLPARLYADAQSKAGDGKFPVFTGEWAIQTLYQNSFALRERN VNAGLDAMYKYSQGSCYWTAKFSGNATVNGQGTQADYWNFEYFIDHGYIDLTRFHDTK AOR_1_1606194 MFRLLPWSSAIGGNKVMAEELKMLATSPHCAAPGVNSLALPQLK RKRSDSSDSATGQRAPVATKLRADDTPGNPVAIGQDPSLSLSSATATSQEPTTRSDRA SQPSHPSDNASRQNPDPSGFPVAKQDATPRKVNVDRLRETLEAQLSLEVLLKHNELRL IDQEIAKCQVALEQLRRCAEIPYPGSHAMGQSVSNGTGMAVLAPGNGPPPLSPAPWGV TDGPYTRHYARWLLPDPRFDGGELEPATPLGFGAPGTPLMEGRSTRGSSGDGYWASKS RLQRGSGNMKLQSLPNGYPPPKEKAGPMIIRRKSDGVLVKLVCLDCRRDNFSSTQGFI NHCRIAHNRNFASHDAAAVASGEPVEVDEAGAIIGGKNDTSSTASAGYVHPLIRSAHV IESSAKTPSASEASGDNATPQKWSVSSQQASSVVETPRPSAHPQPSQRNTPAKPADAF LGSPATPHLSSLMQLKGVGLDLDRLVGEAKTPVDLSAYSSDEGESDVEPAQPSVNASH GEKPTEARISRQPMRTTAPQAGSRRPSSRKGVDKTSHKPLTLETLTPTRAAPYQSPYG PPSSVAPIDDLRLREVDGIDRSANLSPNTVESNQAPSLVSDDDDDYGAASDSDSPGPS SSEAGDHEEDFSHIDVEDDDDTTGSTTTSDPKSDPATHPSPSFSKPLRGGSSKKKDDL LSASIVSLNRGKDEKRVSFASPDTSPKRKKDHKRKSSGGQ AOR_1_1608194 MNEDSTSFDFSEEGEDQHQPDPSTDFHPGHITRDTFQALLTCYP ATLEAVTRRKAIDRVLKTVSKRGKQAKRLNQAPPSQVVTPELDEGQKKQVEAEVEAFR ELDALRYEELPGVAAEKRALEKEEVVKLVEWKLKHGIFRPTLLGMVKANQAKTVQKAT SDAFTAVNPTTPAEGEAGAETGDKPETDPTASFPKPSLDALMKPLRGVGIATASLLLS VGTIRDPEHEAPFYSDDTYLWLCMKEFPCPGTRLGQESEKTEINKLGKKASKFRRPNG EINVKYDVSEYRTLWTAVNELRARLNESETPSGKVSCADIEKVAFVLRHIDVSGYLEE YDVVDHDLQPADEGTHEAKANPGIKRKRLDKEDLKKGGRNSKKKTT AOR_1_1972194 MSIYTYIYPLLLLLNQSQALKWHTLLYDIGLLGAHPVTKYESFD LASPEPNILKWDPRCEDKYVFLSPRGHFYPHPGPLIFDNKGDLVWMEDRFGMVMDFRV QRYRGEDYLTFWVGEDDGTRGLGVYYMLDSTYTLTHTITPLNNQKGDVHEFQLTPAGT ALITIYEIIPYDLTPVNGPPSGWIYDCLFQEIDVETNTLLFQWRASDHYNITETYFPL NGKGGANSSEEAYDYFHMNSVDKLDGGRYLVSSRYMHTVTCIGGDGEVLWVLGGKRNM FGDLSGGLATGFKWQHNARWGVDVITVFDNGANDHVMDEDHSRGLVIEVDANNWAATA RHVYPAPGGFSARSQGNMQVLEESGNVFVGWGKAAAYTEFSARGEVLCDTHWGPKMFF PLGWVKSYRTYKSDWVGRPVMPPDVAVDEGSKTVFVSWNGATDVAGWVLQRVGSSTED EFETVDYLPKTGFETAIEMGEAGGYWRLVAVDFTGEELGYTEVFGVDHSSWSLSSALA EDDGLLHMLTAACAVGAVLAALWQTRKRISVGLRYLASLRARRVY AOR_1_1612194 MRATLFTTILAGSLPLLAAAQTQATATAGTTTSTGSKCAAQNIV DDCKERMMGQLKACGVNEWKCLCEQQGNVVTCYNNCPGSAESGPEKQKQQVYCNAAKA LPSSSTTIASSTASATEAKKTSSSTATSTSTSTGAAALPTAAFGAVEGGLMLGVVLGV LGL AOR_1_1614194 MGSNLPAQPNLRVTIIAADGLYKRDVFRFPDPFAVATVGGEQTH TTSVIKKTLNPYWNEMFDLRVNEDSILAIQIFDQKKFKKKDQGFLGVINVRIGDVIDL QMGGDEMLTRDLKKSNDNLVVHGKLIINLSTNLSTPNTNQANGLHRSHMQPSTSSGLV PQVSASTPQPSPGPSQADPTASNPSLHPQRVPSTTRPSSTIVPANGPPAPPNGQQGSR TNLSSFEDSQGRLPAGWERREDNLGRTYYVDHNTRTTTWTRPSNNYNEQTSRTQREAS MQLERRAHQSRMLPEDRTGASSPNLQENQQQAQTPPAGGSASAVSMMATGATTAGTGE LPPGWEQRTTPEGRPYFVDHNTRTTTWVDPRRQQYIRMYGQNANGTNTTIQQQPVSQL GPLPSGWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQGVPQYKRDFRRKLIYFRS QPALRIMSGQCHVKVRRNNIFEDSYAEIMRQSASDLKKRLMIKFDGEDGLDYGGLSRE FFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGVNPEHLNYFKFIGRVVGLAIFHRR FLDSFFIGAFYKMMLRKKVSLQDMEGVDEDLHRNLTWTLDNDIEGIIELTFAVDDEKF GERRTIDLKPGGRDIPVTNENKGEYVELVTEWKIVKRVEEQFNAFMSGFNELIPADLV NVFDERELELLIGGIADIDVDDWKKHTDYRGYQESDEVIQNFWKIVRTWDAEQKSRLL QFTTGTSRIPVNGFKDLQGSDGPRRFTIEKSGDPGALPKSHTCFNRLDLPPYKTNDVL EHKLSIAVEETLGFGQE AOR_1_1616194 MNPEENEVKDERLQHQEEGVDDEEEIAAMKRRVAEMESEAAKLR EMQATLDQQSENLREDKEEIDARSIFVGNVDYGASPEEIQAHFQSCGSINRVTILLDK FSGQPKGYAYVEFAEPSLVAQALVLNESVFRGRNLKVVPKRTNLPGMSRGRGRGGFRG RGFFRGAPRGGYRGGYRGGRGRGYAPY AOR_1_1618194 MTSRLVLVIGDLFIPDRAPDLPAKFRKLLTPNKIGQILCLGNLT DRSTFEFLRGIAPDLQLVKGDFDVDSPNLPLSKVVTHGSLRIGLTHGHTIIPPGDAEA LLIAARQMDVDILLWGGAHRFDAFEMEGRFFITPGSATGALSTGYWPEGEEPTPSFCL MDIQGDVLVLYVYQLKTDANGVETVAVEKVSFRKNTVQS AOR_1_1620194 MSSQAPHPTLLIPGPIEFDDAVLQSMAHYAESHVAPGFVKTFGE TLTLVRKLFQSTNPAAQPFVISGSGTLGWDVVASSLVEKGENALVLHTGYFADSFATC LQTYGANATQLKAPIGERPSFEEIEQALKEKPYKIITITHVDTSTGVLSDIKRIAEIV RRVSPNTLVVVDGVCSVGCEEIAFDEWDLDVVLTASQKAIGCPPGLSILMTSPRAIDV FKNRQSPPASYYSSIGNWLPIMQNYENFKPSYFATPPTQLVHALHTTLSQITSRPMAE RFAVHAQASDRVKAAVAELGLKQLAAKPENQAHAMTAIWLPEGLAPPDVLPGLLKRGV IFAAGLHKEAATKYIRFGHMGVSVSDPARKDIDNAIAALKEAMAEAKQAKGL AOR_1_1622194 MNSLNILSSRVIGQSSHSNRNRQRSRSQGDIPPVTAPADLSKLR SYSSHDFHSADVFEKSSDDGSGLTHAEDQLGLTFDEKSPLIRGLQKDGSFATKSTLGL VAQRFFDAVAEAIKFILSTLAAPGIFAIECFHEDDGRYSPIAPARKLGRFLFGSSASS ANTSAKGVKRTESRRRQGSTRKLRSHRSRDSIASSTSESEGDRKGLKGSSGNKSRSSK AKSSKSDQMSDESTPRRSIRIKLHNEEALKRQRQRRSQSVDLDQSSDTGPLNPDSLKS PTSSSIHKVTRYPHSPVPPRPLIPPRLPSYTATSRTYRAPQKTLILDLDETLIHSLAK GGRMSSGHMVEVKLAAPMTTALSPGAPPTTLGPQHPILYYVHKRPHCDEFLRKICKWY KLVIFTASVQEYADPVIDWLEQERKYFHARYYRQHCTFRNGAYIKDLSSVEPDLSKVM ILDNSPMSYIFHEDNAIPIEGWINDPTDNGLLHLVPMLEALQYVTDVRAFLALRRGEA EA AOR_1_1624194 MPSTSMALTPGLASFLRSLKTNPIDTSIDNLISLLKRRQIRHSR SCATATAYLLLRVISACRTSDAAKLIERVQSVGRRLVAAQPKEMVVGNIVRRVLGLIR DEAEDDREADFNLSEASESQPQTPRALDDPSEYSSGRFEGSDRGSSRPPLSQPAPISM FSLLSHPEPETSLPGTPASASPSGRLLGHVQSKDVRAEVLEGVNEIIDELGQVDDQIA AYALDHIHSNEIILTHTSSTTVQKFLLKAAAKRKFTVIHAESYPNNHEATHATVSGNS TGDEEILSTESFQKPLIALGITVILIPDSAVFALMSRVNKVILGTHSVLANGGLVASA GTRVIARAAKVHQTPVVVVSGVYKLSPVYPFDFDSLIEYGDSSNVIGYEDGDLVDQID VQNPLYDYVPAELIDLYITNLGGHAPSYLYRIVSDHYRKEDISF AOR_1_1626194 MGKGQPRGLNAARKLANTRRENRWADLHYKKRLLGTAYKSSPFG GASHAKGIVLEKVGVEAKQPNSAIRKCVKVQLIKNGKKVAAFVPNDGCLNFIDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVSLLALWKEKKEKPRS AOR_1_1628194 MDAAPKLENLRKIPSARLPPPVLFQGPPTSHNGSNISLTPPVPS VSPGGGVQRPALQRNRSSRQQGGLETPGSLSPFLSRTQSKGELDRSDAIWQEMQNALS EVELSAVASEHVFGEKHSEALEDLRTKQLRLAQAWARSEADEVVDPKNAATKSQSRPR ASSPATSHTGEQTATDDASQRNLDEETEKDILLARERREANDRYFDRVNNGVLDVVAK LEEVAQAMRAVERESRDIWSDNESITTETASTANTG AOR_1_1630194 MGFFAGFFSGFALTTTALYLTVQVHRSIRLEQRDAIRQQTQALN WLSSPIGAYDRRLAPKDSARPDNKTTEPVRPTMEDLLKHHWNQEVEKLAKKANESRWE DMRETAQEGWKTVVRLVKRE AOR_1_1632194 MAGGAAKYRHLSRKSSHRQALLRNLVTSLFKHESITTTWPKAKE AQRLAEKLITLGKKNTEASRRQALSTFYTPHDLLPKLFGPLRERYAERPGGYTRVLRV EPKKDDQAPSAILELVDGPKDMRFAMTARTVARQRSQGLDTLNELTRLNVQKVTRFRK DGIDDLEREIKKLELDGRKEEKAQKAQEKKESKQ AOR_1_1634194 MTVALQEDPDLALTKDMHGKSAEEKNAFFAMLKKNNAAHREITN EYVRRWRTEKGIDGTTDEARKERTTEYMGVVNNYYDLVTDFYEEAWAQSFHFCRFTVG ESFLQALARHEHYLAYKLGIKRGMEVLDVGCGVGGPAREIARFTGCQVVGVNNNGYQI ARATRHTQKAGLEEQVSFCKGDFMHLDFPDNKFDAVYVIEATVHAPSLQKVYEQIFRV LKPGGRFGVYEWVMTDRFDESNPKHRAIRLGIERGNGIVNMCTQNEAAEAIQAAGFVL EHEEDLAARPDPIPWYYPIAGELRHARSLWDLLTVLRMTKLGRGAMGYLLWTLENLRL APSGTAETATELASGADSLVEGGRLGLFTPMYFMIGRKPEI AOR_1_1636194 MTAEPKEIPQGPAVEAGRDQKYLAIVDDEAEAKLNGLREAHGAT WDSPNDPHNPYNWSLTRKVSIAIVVSCGQLATLMSTSMMAAALNQIAEDVGLSISVTQ ICFSIFLLGLAFGPFLVAALSEIYGRKFVWLVSNVWYILWNSLCPVGNNPGLMMAGRF LAGCGASAGVTLTAPMMADLFHAKDRGKSLALATLIPYLGPALGPIMGGLASQHIHWH WLFWILSSFEALVTVLGAIILKESYTPTLLRRKARAQNPNLYPKNSPLTQQFYIDLFT QLRKNIYRPLRLLLTRPIIQFLAIVYGLDFGIYVLMLSTYANLWIDRYHESETISSLN YLAIAIGTTIGAQAGGHLMDYIFRRMRDRPGAIVTPEFRIPYMIPSVLLIPIGLVWYG WSAENRISWVVVDIGAAIFTAGCFMVGQGMLAYLLDEFAHAASANAATRMLSNILGFA FPIFAPSLYDRLGFGWGNTLLALLYLGLGVPIPFVLWFWGPKIRAIGKEV AOR_1_1974194 MARENAHSYDDIIKVTQEVQPPMIAPHRSHDPSNNLKRSDPFQF GSRYLEQGDDVFEFNAWDHVEPDDEFLAFAEVQYAKQRESPASDFDKFRFNADPAKWW NLFYKNNTANFFKDRKWLQQEFPILEEVTRADAGKKVVLEVGAGAGNTAFPLLRNNAN EELMVHACDFSKYAVKVIRESEHYDPKHITADVWDVATEPDENNDSLPPGLTEGSVDV VVLIFIFSALNPNQWEKALRNIYRVLKPGGKVLFRDYGRGDLAQVRFKKGRYLDENFY IRGDGTRVFFFDRDELEEMWGRWTPEKGLPAKSEGEKPVLGKNDGVFEIHALAYDRRL VVNRQKKLKMYRCWIQGHFEKREKAVAENGEKSES AOR_1_1640194 MSIFNILCGCVTRSSPLSSQPPAQPRHQSPQNRDNGTTTHNNAS DSPPGIDDEDGYSPVVPLPRYTPRPVSIQEKTLEAHMRDPPVSSSDYASNSTYFQDEK DRLAFETTSNRNHNPEDLTSEVSSAISFPSSYGNTSTATRDTPPPPYSSRGVSPAPSR SMSISSSGYPLPPQQVAMMQIAQPRPVFQRADNLVRNVLSRRSFDEQHEPGRLRRFSW ESQ AOR_1_1642194 MPAVADEALQTGNGQSHPATLPDTLQGRRSLFRVPLYQSSGAEG GTEKIYHARRAHRKSRAGCVKCKQRRVKCDETKPHCLRCQKHGVDCSYDAGQVNSARS KGVISYFINRFPNLTEQESAAYKMSLLAVSAKLDELLLMKPKNGRLSSTMRALHHFHE ATIPTVSSERSQNMMRGKMIQLAFDSPFLMHAIIGAATSHLRRIYPEDNTYTMVEAYH WQKAIKQYSEEISTAVGPHNMDPLYSACLLMTIHSFSLEEYNPRSSFVFSDDPESLNW LMLQSGLRYLLQLTVPWMTQSMWWDVFKRSREGNPLYDDHRPGRVDLHPELADICGID DSTTEETNPYHWPLRMLTPLLSLERSLKTFTHYTNYMGRLLPDYYDQLLKKDPPALII LSWWLALIVNLDVWWMETRAKSECVAICMYLEESDDPRILSLLEFPAQACGYLLKHVQ ERIERQYDLVVL AOR_1_1644194 MSSAEAERDPNPAELADREREEKERKAKEDAEQAKLPYKWTQTI KDVDVTIPVPGNLRGKDLDVVLTKTKIKVAVKGQEPIIEGDLPHPVILDECSWTLETT SQPPGKEVAVHLDKVNKVEWWPHVVTSAPKIDVSKITPESSKLSDLDGETRAMVEKMM YDQRQKEIGGVSSDEQRKMDLLKKFQAEHPEMDFSNAQIG AOR_1_1646194 MADSTGQNQQVLVDSALEQSLIPVQRSLSTFERVVTTHAPLLES LLLQLPTDSILKLYHTSRYLRSFLRSYPTAWKHLSFRLFFPSGTSSPLRVVIPGTLEP VVVPRQSRPYALDQLLMNVVVPFSPCLRSLELDNTAVSGQILISTVLYSRRETLEHLS VRGCKNVSLKYHIIPYLIMFGLQYDVDMEKSIGSSSSTKRLALKSLYTYRCRHHRRRP YLSSSLTRKDSDSEPTHELVNLCHKLGIWTDTAWCSTPAGRCYRRRGYVSMRVPQGSA EVWVVFDRLWRSKNWIGPVDHSSRPPKRDGKLWEHEETGCYGEALGTGESRDLGEGKM SPAHSRWSHKRFVENIRCDSCDEEIPERCEQCSVLMHCVGCRKTLCASCAYDRPYVRP RRPSSLSGESKQPFWWAPGATTSPCLMLDPLANTSESDTLNHNATAPHPVLKFHWCCT EPIFSGGGGISIGTPNRDVDQVRAAPLPRGQGWEDLEYSAQEWSKTFPKYAYGDPRKP DYTLETGHIAMMKWLLGPPDREPTACPRNLCQECYDTPQWKVHCKSCSKPLCIEHDLR GLRLRICGYRDLTLEKMAIQNRPGSSSQQTTSAYASSHVPYMSSSTEFALPYRTHRAV DSIASSFTEDNPADGVPDVGSYTLQGADDPPGPISLVHRRLRSVSVSNSNRVANHSSA RSIELSVINDRDVVVSYLRERDGTCRRLQEEKARREQRWKEDMQMLEGILETKMANEV AEFAGQFFNSVEEPCISVEQVEDVHQNAQSPHHVRIFDGSPWMGPIAEEDVVDSIE AOR_1_1648194 MTTEMAAAPVLSTPDDRILEATEPVTTQEAPRALSDEELSITYD IDRTLKEIRDARYKRIALQFPDDMLPDAPRVFQLLSRGLNKRNVTDSSVSQTQKDGAS DTANSDGLAHSVSQLNMADTTETSPKLYILADTSYGTCCVDEVAAEHVNADVVVHYGR SCLSPTSRLPVIYVFTHKPLPIDPVVRAFKETYPDPTTKVILAADVTYTDHIPAVYSR LVNEGYTDLFATDLVHNPSSAIPNRTVPDSLFHISDPPTALLMTLASRVASIHIYPTD DPDNENVKPLPASTAIALRRRYATLTRLSTAPIFGILVNTLSVKNYLHIVEHVKQKIA EAGKKSYMFVVGKLNAAKVANFSEIDGWVVIGCWESSLVDSKDFWKPVITPFELELAL KGDAERIWTGAWQSDFQSVLNQPNQEAKDMDEDETPLSSGATADEEEDMSESESAPPE FDLRTGRYVSHSRPMRNPAPRVSASAEDAASAANGPSAARALAKRAKGDLAMIGGTFS PGAEFLRSQRTWKGLGSDFNIQYEEDATDDTLVVEGRKGIARGYTVGDSIDRH AOR_1_1650194 MDAFEYNANPGRVVFGSGTLQKLPDEISRLNLKAPLVLSTPQQV SQAEMVKDVLKGKVAGIFNEATMHTPTHITEKALEYAKSQNADLVISIGGGSTIGLGK AISIRTGLPHICIPTTYAGSEMTPILGETADGLKKTRSDPKILPGTVIYDVDLTMTLP PAMSATSGVNAIAHAVEALYARNTNPVINLMAAEGTRALASALPEIVENPTSQSARAS ALYGAWLCGTCLGSVGGSFNLPHAETHTAVLPHAISYNAPKIPEAMKKLAEALPDSNG DAVQGLNALLSKLQVKRGLKDFGMKEEDIDKAADIAVSNPYWNPREIERAPIRELIRR VWAGEPARADL AOR_1_1652194 MFDTVCTLPLSADLFAQAIHPKEPIVSVGLASGHVETFRLPSDE VDSDDDQASTSSSRNGRGHIDTMWRTRRHKGSCRCLTFGIDGESLYSAGTDGLVKAAK AETGVVENKIAIPPEKDGSVDAPTVIHALSPQTLLLATDSSALHLYDLRLPFSRVSAR PQQSHHPHDDYISSITPLPASDTSTSGFSKQWVSTGGTTLAVTDLRRGVMVRSEDQEE ELISSVYVGGLATTGTSRGEKVIVGGSSGVLTLWEKGAWDDQDERIYVQRSGGEGESL ETLAMVPDELGRGKMVAVGLGSGGVKFVRIGPNKVVSEVTHDETEGVVGLGFDVEGRM VSGGGQVVKVWHEAVGSGANGASAGEKHMLGDSDEDSDDDDNDDSDDSDGERRRADEA KRKRKKGKGKDRSGGQHVMAFYDLD AOR_1_1654194 MNTGVFLSAFAMHQRALLFSAFWTAVQAQQAGTLTAETHPSLTW QKCAAGGTCTEQKGSVVLDSNWRWLHSVDGSTNCYTGNTWDATLCPDNESCASNCALD GADYEGTYGVTTSGDALTLQFVTGANIGSRLYLMADDDESYQTFNLLNNEFTFDVDAS KLPCGLNGAVYFVSMDADGGVAKYSTNKAGAKYGTGYCDSQCPRDLKFINGQANVEGW EPSDSDKNAGVGGHGSCCPEMDIWEANSISTAYTPHPCDDTAQTMCEGDTCGGTYSSE RYAGTCDPDGCDFNAYRMGNESFYGPSKLVDSSSPVTVVTQFITADGTDSGALSEIKR FYVQGGKVIANAASNVDGVTGNSITADFCTAQKKAFGDDDIFAQHGGLQGMGNALSSM VLTLSIWDDHHSSMMWLDSSYPEDADATAPGVARGTCEPHAGDPEKVESQSGSATVTY SNIKYGPIGSTFDAPA AOR_1_1656194 MAWYSQTVDILRDSVANHHAQLPVLAATGAASLTVGLLLRSLLT DKHPQGSVLHCPRAIVSSSASEAENGEIPLPNDVLPGARDVPTPYGSMRVYEWGPVDG PKVLFVHGITTPCIALGGVAHALADQGCRVMLFDLFGRGYSDCPSDLPQDDRLFATQI LLALSTSSVSWTGAGSGKFSLVGYSLGGGIAASFASFFPQLLSSLVLLAPAGLIRDSQ ISFQSRLLYSRGLIPERVLGFLVGRRLRAGPLTTPKPKSQKINAADALTEELPSQGGA NTQLLSRAYPHVTVPGAVKWQVNCHAGFVHAFMSSMQHGPILQQRQRESWERLGEYLS AQSKLSPEEQQDNGLPSDKVIIMCGEHDSVIVKDELVPDATSALQGNVEFRYFNAGHE KNPFFLIELKDLSFVPGVPLKWHLPIGLLYDLYAGADPASKGTAESEDAGWDIDDQDN PLPWRLVVHFSDWPDEELVRLDAEGMVMNDAFINSVKEADFLRNGTAKGIMSLSKEDS SGLWKSVQNVELSSFQRISNILLPPLNQPFRNIPIRIFLPLPPDSGSPSLKIVQSPVP PLIPPSSVAASQLALSRSSITPQTQTIGSALHSLLPNLFPSRRTPVLAKPVLHGAAVP MSAPVEELVRSSAYGDGWLYVVIRMMG AOR_1_1976194 MVPGVTLCSVQESLRNRRKAAPASLDVKNTAQFYPAWTVVKKRQ SESTDFADTDFEYGSSDEESPRMSMGSFGRDSSSSLSTPELPTPDQAPNEPFSFQLDK RIKGPSGPHLFRSSIASYGSAPSTAEIDVYFERSPVQSQLSQFAPTATPSARSQFSEA SSARSQFSETQTRFQYSEPPSGRSQLSVPESLTSSAQYQFSPCTPKAFATVHPDVSQV EESEIRGWMPTQVAHWMHIAGYDDYVIEKFMVNDITGSVLLSLQIDDLKELGIKSFGK RHQLMSSINHLRTTMRKTPDLELPIDHRRSYAVSVSPTGEVLSREAVGLDGSRQVVAG EAVSIVGIEQVLPKPHKCSKGENCPKYRKRQRQLEKLAAEFPDAVLLPGGSMLTGNPG NPDTAKNLLRPTSDAGPSIVASSDIFGPAQETPRLCEEALNEVQKLDPQESIRQFLNY QHVESCDPGSELAPEPAPDPEQPAEPVPALTPPTSHTNNMAANLRNLPKLKIPTDYDS DELTTAVTTQRTITPSVATQTYGSPTVIQQYGPFSSMRTMDHYRRGTPFSEMDAPITA LPSDPVGRDVSQSVPPDMRYGNLMQLRQQRHAPRSAFLRPQPSPPQHRAQGRPLTPIE DPEDLRKRSPRIGFHNPSSSDTSLSSDPDVTKSGYMKKRKQARFLRHEWQDAHFTLRG TNLAMHKDELAAIRNSQALDSIDVDDFAVACSSLATSSKLTAAFKRSVLRSGNNISKD NAAFAFSLIPSTKENEKKALFGNSNVKSHHFAVKTRDERIDWMRELMLAKALKKGKEG GASMQVNGNFI AOR_1_1978194 MSSSARSTARRNRATSDSSEADDSTGRSGPKAYHRSRSGCFTCR LRRKKCDETRPFCKNCSTHALKCVYRPPQWWATNEQRRGQRERIKGRIRQTKVMEKNS SLQEYMDKIKALCEESPGASGFDISHAMLPEPNPFATPAQRAYHGSAALPGALIGLPI EPKPELPALAAPIVSFDLNIGSDQQMFLNNNFLQTNVALSDFSTTNPAIPTPPLASNE FFANNYGPLQPFNPINPQGGFAYPNKSLSTCLQTMMPVDEKDRHLLEHFLDNFMLLIF PIVDVHQAGPARIREVFGLMQNNRAYFHCCLSVGAIHLKSSLGMEDQMDHDIMQHRYD AMSHLCRLLSKKSGYMQVIDATLSLILFHCSLGEPDDYLPDVPWTSHFQGVAHLVKKL NYAPSQFNVTLIAWIDIIAATMAGATPYFSHTYRTKHLSGHTSGLQQLMGCDDRVMYL ISEITCLESLRLEGLVDDMAILSHVSALTGQLDWTEPADPRLEVPFTSSGDVVPEKLT KIITALYRIAARLYLYSLLPSVDYNDPAITTWLATMIEILKYIPAGSSGFDRCLVWPL FIAGAFASPSSNFRKVLTERVVALGYLGKLGSFGKMYRVLKEVWRESGGPVPPTGEED DSHDAAADPNFPINTSGLIITWQLEDPSQEPEQLSQPEVLPMGRQVHWRDVMKRNKWN FLLM AOR_1_1662194 MGPSKPTLHPLATPETLSFPSELRPRTYYTCLDPDRPDKEIKKE DEDDEITITPPPAYTEFLNTFSPIFSSPATSRENFSKYMLDRPRPSPTSAPTSSTSPS FPKGSTKIPPPYSASRSVGSLPSKSPDHTRRLPLPPPYVCTSMTDSPRSAHPLRSPFT PSDYRLRAFDSPVSENGTSFSVRHVVTTTITLKRAPQLEPPPQGKRRNIARRNT AOR_1_1664194 MAGLTEVDRTRSRRDRTFVGSECAVCEEPLEHTLRGERVLQFSC AHVAHEACFYEFIREFEGQYCPTCDAPLGLDTSRGGNVLDIEKLSNMVRSVTSDAATQ RSGMTGATTPWDQSTNVSRGRLPSDAGSRPYNRDSRDIYSRRDSRDTGDPRERIERLT SGSRQHHSRNGSAAGSSGDYNDTQHPSNGRRHDYDLQAMESELSPRSGPTKNPIPAPT MTIRSEFPTLNRSRQQQPLTCLITIEVPEGWRADSDDLRHTSTGSPQPEDEPYSMMRF PAAQEPRPSPYESQENLDEIAEELRTKVDNWHGLEFQRFGKLRLHGQMRVGKDRESWQ DLQCYLFAEMLICIKEKKVSDHRRQYDDKPRHTRCTLKGSILIKKHLKHIDADPDEPV LTLSLSVSELPCFYLSFQNRNQLEIWRRALLDLHQLDSLSRGADYDLDNSGAEEEDYR NSQIKRQASLNSSYGAARSNNTAITDYTSVAVESGPSPSLHIPLDIVVVIPVSSSMQG LKITLLRDALKFLVQNLGPRDRMGLVTFGSSGGGVPLVGMTTKSWGGWGKILNSIRPV GQKSLRADVVEGANVAMDLLMQRKLSNPISTILLISDSSTSDPDSVDFVVSRAEAAKV SIHSFGLGLTHKPDTMIELSTRTKGSYLYVKDWMMLRECVAGCLGALQTTSHQNVKLK LRLPEGSPAKFVKISGALHTTKRATGRDAEAALGDLRFGDKRDILVQLVIQPDNSSQE NMPQDPWESLVSGLEALGGGSDGDEQRVLSVEEVPLIQADITYGDLLRDGHLTHSPRP SLLAITMLPPNPKSRHSSRPMTPPIPPHPSIVQRRMELLTSDMLTRALTLVSRGHHDR AQHLLIETRSILKGLGKGSLPPLPPGAVKPSSISDSGSRGDTPTSTSPRSSTFGDSHS SAVSDSATITPGAAVDAQTMQALDGDLKAALEWINHPAVFGRDSRKAVLQGIGVISSQ RAYTFRSPSEAHWAQRVAGVRRLTERSKEWRETGDDALTEE AOR_1_1980194 MPDSYSDIEIRIVQACTIAQGQKKPNISALARQFNVPYQRLRAR IHGRANLSSRPISTKTLDDSQEKALIRWIRQLDNLYSPPTAGMIEQSANQILQRNITD GQSRTVDKNWVYRFIKRLPEEFKLIQQKPKDKKRLDAEDIGVLQHWYDCLEAFIKNIP PKNIYNFDETGFQLGQGKTQKVVTTMPLRAARGNPSKEVGELISAIECIAADGFTLPP YFIFKGTYHLERWYDADIPEEYRISLSPKGYTTDKISFDWIQHFHRHTKHRISTKKEV RLLFFDGHESHLTYEFLQFCGLHYIIPYCFPPHTTHLVQPLDGQPFQVYKHFYRKRNN ELAQRGAEMDDKSDFLKEIHSIRTMTFKQRTIRDAFEKRGLYPLDSEKVMKSLREALE TAPELEIITTPSPPPSSSSPPSTIRGLRRSISKAQSFINNSPELDQSFVRRLDRVFQS SLETTELAAQLKDDLQQHLRYRKPQDRRKSQKRVKYHGPLTVYDAKRRIADRTEVERL QGLRQIRKTGALEYDKPPQPTNTGDLPSTEAGQVDREGPRLPYWIDTQGDVV AOR_1_1668194 MLFRTAWARQAAPLRRHAFTPLARRSVTTDAASSHAENVPQEDD KPFTVQLSDESFETYEIDPPPYTLEVTKKELKQMYHDMVSTRRMEMAADRLYKEKKIR GFCHLATGQEAVATGIEHAITRDDKIITAYRCHGYAYMRGGTIRSIIGELLGRREGIA YGKGGSMHMFAPNFYGGNGIVGAQVPVGAGLAFAQQYNEEPTTSIVLYGDGASNQGQV FEAFNMAKLWNLPVLFGCENNKYGMGTSAARSSALTEYYKRGQYIPGIKVNGMDVLAT KAAVQYAKNYAVSGNGPLVMEYVTYRYGGHSMSDPGTTYRSREEIQRMRSTHDPIAGL KQKILDWKVMTEDELKALDKAARAFVDEEVAIAENMPVPDNSTRILFEDIYVRGSEPR WMRGRTVDETFYY AOR_1_1670194 MYSWHGHGPNHHAAANAATTTPGRRLESPNPSSHSSYPMSQLRW MSRPHNAPPGALPTLSSNLRMGATAAPGGGAAAVGGGTTAGGKAIAGPGPRTNTPQHA PGVALVVESRVSAESIESSDSDQSDVASGGRDVVGADALGDTDYVPSHGDVQESRRGG EGGAGGATTTGGAVMGSAGRNSIMDRVLGDDDMDSSGMAGDTPRKHGKRLTTLEEVSL FNICNRHAEKFGQRSNLCKWWMTVTAEFTRDQGHPYSWHSVRRKVELVTKQRMKFLEE QREKGGSENEDLSNPRWRSAVDAWIPTWQRWEEAEARRIEKRDSRRSRKRKERSWEPA WDAPSSNGWRQTSSPAVDNTAISGNQSQGPLPPPPAPAPTAPAPVTSNLVRLPPGFEN MFANQPSNSPGWSSQHPASTSALPSAGENGMMSAVIETLGKLNKHLDAVSSEPQSSPL IASLASNLESQRRARLLSQEEVTPDEGERQEKALPASTISQLKEELRQELRDEIRSEL EKDRAALEEKLDSVQRTQEMILEMLRQEPA AOR_1_1672194 MRNRATTPLSKPKLRQSWSKYNLFNLQRLRNPPTGSKTFFQQKW TAKSMARSYHGEQVRESQWARMFSRRIRSVVPMSPARLAQDDGSGMSAGRGAGVEGTK DFPRLTPFTQMTFAPLERRLDVAIFRAMFASSARQARQFVVHGAVTVNGKQMRYPGYL LNPGDLFQVDPERVMYATGAPKDKHERREGRIARKRSAEAQEAEAEAKEEGATEESGE NKEAESEEQGQKKENDDPRETLKVLLAQAKTIMAGSKDVLPAKRKQELRGFQKAVKRV LSRSDSSTVLADNLESQFSELITLLKAKPAEKKDNKRPKRDQSSDETQNVSESASESA TASESQPGEALTEAFRQAAENPEEEVDTSELTDEELDVLKRALVQMRDNPIDSTKPYA TPWRPRDYMSAFAFIPRYLEVNHNICAAVYLRHPVARPGYSEVPTPFGEPVGTAAFAW YLRRR AOR_1_1674194 MEENDDDFFSDDGFDDLPPGTLFQLEQNAVLANQAAQPQSQTTA AIGQPSRAFASHNIQAAASEQSFLSNASLKPPAHLHTGLTGDYGALDVGELDAVVLED ETELMHGLDQPAVPTEQSGSYQYNPEGEGEQAYPEAMEVEAEASHTKRGHVAYGMIND KLAAENERYKRMTEELAAARSMAETKAGEIAIIRSNQAKLAENYERQVAALRKAMAEE IARHKEEVEAARAEGKMLATENAFLKQDLAEESMRISNLKAKGRAEEKPAPGTPKKHK ALPFRDGFDDDEILAASPSKSTRYKRMSPTVGGKRKRRLSEDSPIPLELSPQPEPMHV ETAVDDMSDDALDEAIPNRTAHVDDQSSQLVKRLLNHRTFPNENSDIEVMARLTFPSE PQRTLSSILLEEVANLDIGSYLLEYARAIISLWSRALREKFFEPVPIFMGIMRHVLAL DAPSSTSKLIEHLVPLLQESGEVNGIPRFKHSPVSRQNFGQVRQTPLSELQPLVNSTE ALGLLYQMACSCLHIDRVLEQFWRYMRYDFILMMLNCSQSISDIILTLNLLSTSIRIE SFGSVQDTEQDQIANENYIVDRVANLLSEIPQVDEGQPPYMASEICDMRLEALSLLTS VAFNQEAPTSTHGSMVIASHSTALARLIRAMHDELDALYASPPEKELRAELVNGLMRL VYGVIRRHPEHVDLQSKLSRVAGGKQKFLVVLTRLAFSEGQILEAGIEDETVEMAHEI LDDAVNPQEAEALLEAFPSSKQQE AOR_1_1676194 MSKPRRNVRFPHRASETRRLSISDASDAASEPGSPSKNGSVSKP ETIVEEKPEQPQMSDYEKKKQTFITRTIWTFVMIFGFFIAMFSGHIYIIGLVTAIQII SFKEVIAIANVPSKEKNLRFTKSLNWYFLATTMYFLYGESVIYYFKHVLLVDKVLLPL ATHHRFISFTLYVMGFVFFVASLQKGHYRFQFTQFAWTHMALYLIVVQAHFVMNNILE GMIWFFLPASLVITNDIFAYVCGITFGRTQLIQLSPKKTVEGFLGAWICTIIFGYFMT NVLMRYKYFICPVNDLGSNVLTGLECTPNPAFMPQPYQVPEWTGVDKTFYVEPIQFHI LIFATFASLIAPFGGFFASGLKRTFKIKDFGESIPGHGGITDRMDCQFIMGFFAYMYY HSFIAVYKATVGDVIETAINGLTVEEQLEVVRGLGKYLYNQGTVSETILECLNTELKR R AOR_1_1678194 MVPENKDGLNNQAASETSIPEPPSIHPSFIQVAKPYIFEQTIQQ CIAAMGVNPLREEGLRLQGVTWIDNVRRVLYLPIRTFNTAVVYYHKFRLVHPDSEYNY MDAAAAALFTACKIEDTLKKSREIVFEVHARGIIGLERLMLEASGFDFRTRHPQKTLI KLARHYGLTSQSQVSNVAYRISQDLYRTFAPIKQTASTMAFTCLELAGRLLDQRIEAV ELGVDYEKWKTSREEVMETLFDLLELYTHSRGSTSVGPHFPADRFLTVRIPLNKEAEA QGLPRYTHWVNESRDPKATNGAKSGKELALEKAGARLHPLTPVAANGERPRAGEKGRD GAVRFMLDTECADAEKARVSEYFKVEMEEYEVEE AOR_1_1680194 MAPIPDAAVHPEATGAAKVLVENHRAEQPLKLYAGWFCPFVQRV WLALEEKQIPYQYIEVNPYHKPQSLLSLNPRGLVPTLSCLVKDGGVRAAKPLYESTVI LEYLEEAYPDSSPRLLPVDPYDRARVRIWIDYVTSRIIPAFHRFLQYQPKAGGEDPTA GLERLRQEFLGHLKEWTREMHVDGPFFLGDQITLPDLVLAPWAVRLWVFDEYKSGGLG IPAGGNGGEDELVWQRWRKWLKAVEDRRSVRETTSEKGYYLPVYKRYADNVAQSELAK ATREGRGVP AOR_1_1682194 MAPVPASLLRALSIPDPSKASLSTAGLGSGFTGTGAIRATVPSA DGQYEEERRYFVKTSADGKAAEEMFRGEYESLNAIATSVPGFCPRALAWGPLEESNGK SFYLATEFLDLGGGGRTGQSLAQRLGKLHSTPAPLDPETEKRRFGFPVPTFCGDTKQP NRFHDSWADFYANERLITILETSEKRNGRDASLRDLVERTAQTVVPALLGDDHLGYDK NGNGEGITPVVVHGDLWSGNADRGRIVGSGCKGDEEVGDVVYDPSSCYAHSEYELGIM KMFGGFGSTFFTAYHKIVPRTEPVEEYEDRVRLYELYHHLNHYAIFGAGYRSGAVSIM QNLIRKYGN AOR_1_1682194 MAPVPASLLRALSIPDPSKASLSTAGLGSGFTGTGAIRATVPSA DGQYEEERRYFVKTSADGKAAEEMFRGEYESLNAIATSVPGFCPRALAWGPLEESNGK SFYLATEFLDLGGGGRTGQSLAQRLGKLHSTPAPLDPETEKRRFGFPVPTFCGDTKQP NRFHDSWADFYANERLITILETSEKRNGRDASLRDLVERTAQTVVPALLGDDHLGYDK NGNGEGITPVVVHGDLWSGNADRGRIVGSGCKGDEEVGDVVYDPSSCYAHSEYELGIM KMFGGFGSTFFTAYHKIVPRTEPVEEYEDRVRLYELYHHLNHYAIFGAGYRSGAVSIM QNLIRKYGN AOR_1_1684194 MTRASTPPILLELQSADSLSSQASALRTLKNETIGHDQRKEAWI RWGIIPILAKVLASRQTNGKNTELNGPTKSQQPSSRNPEEDETCLQAIIILGSIAQGG AAFLSPILSSGILSILLSILSSPDCPQSFVLPILRTLNNIADRLPLQSQQQWPKDTRL ADLLFSDEHISCLSRILEQDYSTYQAQASIELAAALIGKLCTEETHKAILADCGVLDA LAVKVASFIVAQGFVLPGAEAHVQESGALGSLPPPAPPSAKLAPILRATTVIIEHSKW RAEHFLSSPGIVTVFPKQVPGFAPSDIKTGPWGSTYLSGSAVPRHAGASPLEHLLPSV PLSQGKASASATNFPPLGQPHGPQRRHSHSFPTPFSILEAPNSDDDENAIVPWLLYTI RAECGMVRLMAARLVTVLYRLGLTKKLRVSMLCYLLIPILIRMLDKDYEITGDDGVQY GGLILSTDRLKEEAPAVLATLVIDDQELQKHAVDGGAIKRLSQLLKETYNPIQENAKP MWHVDGGEKVEITCTQSAECMLGPPGYSPIHCHVMRYRENILKALAALVPFKDEYRKT ICDNGVVPYIIDSLKPCPNDPRDTSNPKNTAADGNPTPTLLAACGAARMLTRSVSVLR TSLIDAGVATPLFTLIRHQDIEVQIAATSVICNLALDFSPMKEAIISAEVLPTLCEHA HSTNTRLRIESLWALKHVAYNSTNDVKMKVINGLGPTWIQQIITQDPISALAKRGLDD EMESGTSTGMSRANSAGEQVDLLNPVEDTQEPDEDMKMADTLPPSKMSLEMFLPDVSR RRKLALHGDLDQTTQARQDDIAVQEQTFDLLRNLVCGPGASEMIDHLFKEIGQDLILD ALADKLRPRTIQVPHKRESSTHRALQVPTEILVAVTYVIIHLAASLPWHRQLLVSHRD LLRYLMGYFEHANRDVRTNCVWVVINLTYEDDSSDRDGCRERAYKLLSVGVMDRLKRL EEDPDLDVRERTKTALHLVRTLTQA AOR_1_1686194 MRQGMRPFLAAAAQGFAASASPVARRSVHYEPAGNPTAAPGSHF SPRSSRVAIEELRSALNRHTVASSPPGPSGDLPRGRMSAGLTSLGGGNSTAASTAESA AAAAAAANTNTNPSGAPCYTHNNSPPAVTQSQASAPAPTQHPVAASMPAPNSNGAGSN KRNSPNDPIANVKLLPAQYELADPRDIVVLISSMLMELIRFNDKIPLHQGRLTRFHSR SPPRISVNDYLQRLTTHATLSPPILLSMVYYIDRLCALYPAFTVSSLTIHRFLIASAT VASKGLSDSFWTNKTYARVGGISMTELALLELEFLFRVEWRIVPQPEVLEDYYQSLVE RCEGYEIVRET AOR_1_1688194 MDVQEILRGVEPLSGQVIPVRTVQEARPREEFADAYVAEISVKG ASKVIKALDSAFPRDPSRPLHHLRRFAKHTQLPDGLRSTLIKGEPSMQTIFTLITPPL PEVETLQKLLAPFAPPSEIPSESTQGAGNIELQSIRVPLEPPLTVGQAEKWSKTMWPV VFNPATPRATLAPPPQTLTRVLDSIKPKAGRYLALARKVAYEAENSGLGRGVGAVVVD PELEAHIGATDEDVGIHWADAVVAVAGDVRYARREAGAMSESERQLGAGPNPNVETYN SDVEGGPELHALMRAADLVANARRKQDGNESNEQIPLNRLEKFFLSQSDVSGSEPLAD SDDSSPVPGKYQKTDTGAIPKSTDSSQEPRLRSRAQGGYLCTDLDVYLTHEPCLCCSM GLLLSRFRAIIFPRQGRMVTGGLASEPVIAPAAVSDPADEADETSETTQDQDQDQQQL GDRKSIEHADPQEGRLYYGLHWRKELNWRALGFEFVEDGVTEKSAEEGLAFHA AOR_1_1690194 MSTPIILTPRFEIRQLGPEHQDWANAIVIHSNVFCSPIWPNIYP TDKTRRLYQGFLSSEYLIAHQIASGLSYGVFDKEYKYKLPSSEQTGGKLHWDLNDETA TNEQLVEQMDFPLVSVAMSYNGSNPLDLDRLKPLFGVLPLFPKMMQRLVEIDSRGEVT THEGTLMRNGTSTRADYEGHGLMKRMAHWLMRDAARKGFKGIEIPCFHDAVIGVWSNP PAPFRGEIVAQLNIKDQEEVDEDGKKIKPYAHVDQRIAKIYVTLV AOR_1_1692194 MSTPNDLQALLASIRPRPSPSDTPGHDAPMPYPQQYQSGLRPQQ PPYDGQSFPHPQLQQHGYRHPSVSSTIHSPSPVNTPPHHGSDILSPNAPTPRGEMFPP QQHQPTPHNPDRAANLLNLLKFNQSAAPPASQQPSPFGLEQPKPSHSHETGPEATKSH ARNISASDLVATLFGRQGTTAPVKPAGAQFGQPGPAVTAGENSVVSTAENTQEMLLRL LNRPKPVREVSEGPIKPVSQPLTSISSQKPPVAEVVSGDPAIASVQAVEESLAMGSRP EEIVAGKPDALASHQPKPSPSGKETLFTYVNPFDQLAAASPRKGTPQPKSRSESPSVE ATGSKKIITKEETTQEAARAKSPSLAEEQKEAVSQVVDNLVSQIVRDVDEAVAKASKE ESKPVVLMAREENTQETLSSIASHLQETAAEAREAAQENGNHGTVKDTTADTFVAKET SNNSGGDALADSWESAEDSAQKEEERIVPVHNFPLKPFISISVKAQNSENLTTLRDDG IMDIARLKKEFDQLDRSLTSATSDYIVYALAKNGGMRIIRQDDGSDKQVFRSTRDRVF NVAVCTSQTTTGTSDEQAVLGIGVSGAVYWALISNGDKDLFEMDALESESLTFPPFPA SDENTSGGQLKTRAKRSSRHPGFFAIGRGKNIYVISPQAAANSSYGVSGTQRTVNTEK FFKERALKISTGKAGKDFMFSDDDTVIASLDKTGRLRFWDIRDVVNNPSFFATGPSPS EVRVPLNTFVTGSPAEKSWPTSVLFIDKLRPYVKSMALRYVLVGLKQNHTLQLWDIGL GKAVQELKFPHENESDAICSVAYHPGSGIIVVGHPTRNSIYFVHLSAPRYNLQSMSQA SFIKRSGEKDGSLPKPESTACMSGIREISFASKGQLRSLDLLPINKTAGEENGLFELY VMHSRGVTCLNIKKEDLGWSSDNKIIRPVNALEQGLIDISELQTFPAYVTDDPSINGD AAPTPTKIAPKEIARKTPDLGAEASAAASAAVSRTQSPSKPAPKKKAGEEPAESTTPP TAGEKPEKKKKKKGTTAVEAPNKAKDANAPSVTSSSEGLAAATQAQAAGEQLNGDVSK STTINTAPVNVTGAEPSDIWNKHVEVLQTGVSAEFNKSLGRELEGLYQRFDEERRSWD AASAAKQDQVLRLVSDTLSNNVEKNLARIVSNSIQLEVVPALANLTSAAVGKQLDNVV GQQMGVTLPRELRQALPDTVSRAVQQPEMVKALSEAVSQKLLPDLEAEISKAVQRTVT PAFKTLSTRIAEKTGSDMEKQLQAHLKQYEVQRQNDAAKIDQLTSLVRGLSDTVASLA AAQTGFQNEVLRLNRAVGQQEGDRQTSQQPTSAMAATPSEAQSAEDAELAEIAQLMSQ GRFEEGSVKWLQSNQQADLFDNLFINLDPAYLTGLSPIVALSVGVAVTSSLQTNVAER LKWLEVVLQTVNPMDADIREVAPRIMDILLQRLDILYMSVAKNAPHDPVIRKIPFLSR RARELRG AOR_1_1694194 MFCLRSWLPLLFIPTNASPLFIVSFVTLTYILHRPCIYCSALLL ILFISSCHWSDRCFFDLRGDWFAPRYSSDPSASSVAPNETVAGFILETVNTTTKNLAG VVVDEAQRRLALNGSSAAAGLGGLVQEEWTGVGLEWLRSLLGRREWTIPCVDVKVRL AOR_1_1696194 MGSTQFGKFHDFCRDSTLPVCNLFVDKNQPPNAAFGGCPLTGIT LSGNRNLGNLGSILLAFIAILTSLLLLWRSERKQAAVGRREIQLFLLGFIVIEICEIF SVGAFPLDAAVRKGFSAAHIAAITATCWVLLLNALVGYQFLDDGTPASLGLISASALV FFIGTGYIALDTGFNWTGEFKPDPSTEYRNIALYVLYQLFPLVCLVVFFVLEAVLVIR ILGESRPMLYLCGAGLLFAIGQIFEYVISTHLCQATNGKINGALFETLFTLLSVAMIW IFWSSITEDDWPMPTAPSGGYN AOR_1_1698194 MLIQESFHDVPTKADGNGTMRIYVFHPTIPGYPKARFPGVVVFS EIYQVTGPVSRFARQIAGQGYICAAPSTYHEFTGPEPLEYNAEDTDKGNKWKVSKKLA AYDEDASLCVDYLLSLPTCTGRVGATGMCLGGHLAYRCALDSRVKAAVCYFATDIHSK TLAAGKNDDSLARAEDIKGELIMIFGKNDNHVPPEGRDLIRKTLQDKGVLFSFYEVAW AQHAFIRDELSKGRYDPAITKVCFEMLLELFGRTLKLDLGEHDGRELEIEDVC AOR_1_1700194 MTVTRSQTGKTPKKMERPGFIETPGRRVTRSSAVASETNTDDTF DSAVDMVRGSKSATRRRTSGKTKTEDILEEEAKTVATNGHTISTEKKPRIVDGWEEGK DPKVDYSGHFEFGGSWGVLSMMIGFPMLMYYMWIGAVYYDGKFPRASEGQSTLAFIAH LANLVYVGAFPSIKAWTIYWVFFLFEGACYLLLPGITVMGRPLPHLGGKQLPYYCSAV WSFYTSILLALTLHFTGIFKLYTIIDEFGSLMSVAIISGFLVSFVAYFSALARGAQHR MTGYPIYDFFMGAELNPRMFGILDFKMFFEVRLPWYILLFVTMGAAARQYEVYGYVSG EVGFLLMAHFLYANACSKGEECIVSTWDMYYEKWGFMLIFWNLAGVPLSYCHCTIYLA NHDPATYHWNRYFLTFLYIAYLFVYWVWDTTNSQKNRYRQQERGTMVFRNTFPQLPWQ TLENPKTITAEDGSKILVDGWYGKARKIHYTCDLFFALNWGLITGFKSPFPWFYPVFF ACMISHRALRDIQRCRNKYGEAWLEYERRVPYLFIPPYMQNVN AOR_1_1702194 MSSNFGQTSTPSKAVNIPNQSGSTTYHSPSSIDSGSQRRAGGSG SFGAGLTSRNTNTPRSNQSRKSQHKRQRRPRLLDDEEYSESAIMRSTNSRKGQTSITH LMNFSLPPRPQYQPPPRNIRRYASWGLGSGHHAMDKARYVHANYRFIVTSNRGYHAQA ANADVHLDWDSVLQVLVSAQTQSASCPICLSTPVAPRMARCGHIFCLPCLIRYMHSTD EENSLPEKKPRWKKCPICWDTIYITETRPVRWFRGQEGDLPFEGGDVVLRLVKREPRS TLALPRDGAESIGPDEDIPWYHAAEVADYARIMKGGEDYMVGQYDSEIEDLRRQEAED EVLFGDETTWTQKAIAAINDAKTKVKGIGNPPGISQQPTAINIPKDSSLAQSPTDEVA IIYKSQHDSKSGQVTSTEQPAQPDANRMTQALNLVNINSVPAAKAKQRDPGTGRVSAS SDHPFYFYQALPHFYLSPLDIRILKTAFGDYSSFPATILPRVEHISTGHIVDDELRKR VKYLGHLPQGCEVNFLECDWRDVVGPEILERFAAETARRRKRNREKEAREEKDRVRAQ KEDEKHWAAVRRNRRSSISVTDPLFFDHDFQPLTGGASGPGPSNFGSSTSPPESSHPG AADSSSTSPPGVRTVWGTAAVPTLAQQAGSPLGAAPRDGWLEGWEEELFAQQEHELLA QAATNENNPSTSGGGKKKKNKKITLMSTNIQRGA AOR_1_1704194 MPHGQPPMPTPRRQQDIPYSTGPPNMRSPPAYMGYPHMNGHLPP AYTQQYPHWFPPYPQMQPHPRPFQPPYAPMYVSTYPPQPAMAPAPIPPPSLPMHARTS TPLQSTMSPVGPAPAPINMPMQSPAVLPIHPTTSPIMSSPSASAPENAPTVAPTVSTP VKPFRAPLPWLSVPDRPFPARVSRRRRKSRALQSSVSVELLAKDTQQDGHDGRQGATK YVEPHTQTPSEPQTPTLSVAPSVANSTQPTTPASAVQSSVRPQSQSKGSKPAIPVVPV VPVVPGPGAPRQETKDDASRSSETRKSPGAVANIASRTAEDTSTERPIEQGESTTSEG SPKPPSPVRTAPKSWADLVRAKNQSKSAGVTGASSAVSNGVVKHKSESLADVLVNMGD DVSQYSDKTAFLEPRGLVNTGNMCYMNSVLQILVSCVPFYQFLDHIGRRASHSFQSDF PMIDALIMFMKEFRVIDAAHSEEQLRLRLKPNELEQYGDAFIPEFVYQVIRQLPRFRD MRRGHQQDAQEFLGFLLEEMHEECARAAKDAPSTKTDGAASLSEEPSAADDESGDGWL EVGHKQKPAVTRSSGHMSYESPIMRIFGGQIRSEFKVPGNKTSVTLEPYQPLQLDIGS PEINNIVDALKGLTKPESIQGDFNSSRGPNVTATKQIFIENLPPVLILHLKRFQYDSV TRGTQKIWKKVGYPLDLEIPREVLPPNRRNIMMAQGGLPKYRLIGVIYHHGKNASGGH YTVDVRRQDGREWIRLDDTVIRRVRSEDVAEAGGEEDPKVLAAALEQHKRDTNTNIYE QIDDLDQSDNERGWSQVNGTGSGNHTSKKSTSAVTNGAPATSKAPSGTRTPIGRYGSK DNKVAYLLFYERIA AOR_1_1706194 MPTLALINFNIVCATLGGFISVFGLVSYLFKERFYLSEALISLL AGIIFSPHAANFIRPKEYALGSEQNLEEITMYFTRLVLGVQLVLAGVQLPKRYLQLEW KSLSLLLGPGMAAMWLCSSLVIWAMIPNFQFLHALIIGACVTPTDPVLSNSIVKGKFA DKNVPRPLQRIIVAESGANDGLGYPFLFFAMYLLKYTGMGGAGYSGGAGKAMGLWFYE TWAYTVILSVVYGITVGWVSRELLHWAEEKRYVDRESFLVFAIALALFIVGTCGMIGT DDLLACFVAGNVFTQDDWFRLETMDDSLQPTIDMLLNLAIFMWFGAVCPWSSFLNNNI IPIYRLIFLGVLILLVRRMPIIFAMHKYIGQIEHLLQAAFVGFFGPIGVGAIFYLSVC REFLQGIIVDGKVRDDAQKVSEAVDVVVWFLVICSIVVHGLSIPLVKAGYHLPRTISH ALSVGSTPEAEPVPIANVQNMKENPKDP AOR_1_1708194 MTNATPSPPPGPATKPSPSVQSTPTSTAGTKRKRGSAGKYYAVK AGYQPGVYYAWNDCLTQVTGYKGAVFQAFSTLEEANAFLTGSKLPPAPGATASSTEPS RFYGIQRGRVPGVYTDWAKAQEQIKGFARPRYKKFSTKEEAEAFVKLGQANGASFAGN TSEIQKLPGAPGILSDVLKDEQGSPIEPGDGPLPPGAEDGFDPNVLLDPKSGKVVYKT KEQKSVTKTKATGPPGMLRIYTDGSSLKNGRALASAGVGVYFGPGDNRNVSEPLKGSR QTNQRAELTAILRALDIAPRHRDVTIFTDSQYAIKCVTVWYVKWQRNKWLTSDNKPVE NKDLVESIRVKIEERDELKVKTLFEWVKGHNSDPGNEAADRLAVNGAQRGVSEKAAAM EAAKNIPDEIFDYDI AOR_1_1710194 MNGTTAEPTYSHPPRPTRTSFNITRELHVACLLDDAERVTELLA MGADCDAVSYAGYSALDVADLLNRVSIVKCLLAHVNIQETGMLELMYAIRQGRSTVVR ALLEMGLNDQLQDEALFRGVFLMACYIGTTFVVNALVKYGPGLFISPFEDMFVHVAMF LNNTEVADTIRDIADLERRKMFRDVEAYMLPNAYLEATEDTDKFFSDFLHDSNSPDFN ASPEHDEQLFIDS AOR_1_1712194 MADSEISPETILSELSTTPYVCSSVEQLSGGTANFVFRGTLLRP RQDGTTTVVIKHTEDYIASNREFKLSAQRCLIEKSILTSLNNFPSSKITNDEDATSQF TAKTPHIYSFNPLTHTQVMEDLPDSVDLKSFFVSPSSARTVPREWAVSLGRALGHWLS SFHSWAKEPAQADVALELEQNHFFRDLKFSINYDNLINMVSKYPEILEGSRAVFEKVR DMAKSESGRKDGEGFGVIHGDFWSGNIILPKTSLDTQYSNTPLFIIDWELAQCGTRAL DLGQMMAELYELKHYKDIDAGVWIIQGITEAYPALSEEMAFRTLIHVGTHLIYFGSTV PGWGTDGQITDVVRLGRDLIVKAWEKDKSWFKGGVWECLFKK AOR_1_1714194 MAKVFDAAEVAKHNTPDSCWVILYGKVYNVTDFLSEHPGGSKII LKLAGKDATEEYDPIHPPGILEENLKPEAMLGTVNPDTLPKVQAEPVPSSSDETEGPP PMESLLNMDDIEQVATKNVSKKAWAYYYSASDDKISKHFNTEVYRSILLRPRVFIDCT QCDLDTTLLGHKLGMPIYVSPAAMARLGHPAGEAGIAEACRSFGAMQVISNNASMTPE QIVKDAAPDQVFGWQIYVQIDRKKSEAMLARINKLKQIKFIVLTLDAPVPGKREDDER GNAIGASAPVPSAAKTADSAEDETSRINQSSGGVGKQLFAGTDPSLTWKETLPWLAER TNLPIILKGLQTHEDAYIASLHTPQVKGIILSNHGGRALDTAPPAVHTLMEIRKYCPE VFDRLEVWVDGGIRRGTDVVKALCLGAKAVGIGRPALWGLGAGGVDGVKRTLQILADE SKTCMRLLGVETVDKLGPQHINTRLLEQQVYNGPSGLESIRSVFRAKL AOR_1_1716194 MFERRAADRYRLRMHRARTVALTTDEIVEVRAAQRTFEGAYVRT ALSQFSFALVVLKIFTSEFYSTGALFAIYGTGVLIIGLFRRQQGNRQFFSEVGEDGIH RHKFRTSGNAVVVLTALSVAAYATLIALTLRLDK AOR_1_1718194 MTSASTPDSLQNRVPDSSDGGSSNGCSRPGIGKDANLMPPAKTL VGRALGNDLHSDAHRGSQASKDGVGFALTDTPISTAPSSPQFNANNTPTTTASTPGRV RATTLDIPGLTKSKVSPDGRIAQRDVGSKLVIVMVGLPARGKSYVTKKLARYLNWLQH DTEIFNVGERRRVAAASPEPLDQSASFFDPKNQLAVKLREQVALDTLDELLDYILDRG GSVGILDATNSTMERRKAIVDHIRKRAGPELGILFLESSCVDQELLEANMRLKLSGPD YKGQNPVKALEDFKKRVQLYEKSYVPLGEYEEKHNMAYIRMIDVGRKVVSHQTHGFLS SQVVYYLLNFNLSPRQIWITRHGESKDNQAGRIGGDSELSENGHRYGKALTRFIDHQR NEWEMNQRQKEMMQKFPPRPGDSTPPNPSYIPSDRPRNFCVWSSMMQRAISTVESFNE DEYDVKQMKMLDELHAGKMEGMTYEEIREKFPDEYATRKRDKLYYRYPGPGGEGYLDV INRLRAVIVEVERMTDHVLLVTHRAVARVLLAYFKGLKCDEVTDLDVPLGMLYMLEPK PYGVEFKAYRYNPDTDWFDYIPNFELRQTSAN AOR_1_1720194 MTNFNIQIISDSVCPWCYVGLRRLSRAIATHKSVHPTDTFTLTW HAYYLRPDNPPYPGLDKREYYISRFGEDGFSQISNKLGEVGRQEGIAFNFSSRLGNTR DSHRVIWYAGKKEREAGAPAATELGVVGGLQTRVVENLFKAYFEEGGNITDQKILLEA AVLAGLDRGEVERLLDSDDGGQEVDLEAARAQRQLVTGVPYYTIQGQYAIGGAEDPSA FLQVFEQAKQNS AOR_1_1722194 MSTNPLVRCYDSAWFLLHIFILNIPPSRLFLFPVLAGSVWFLTL ASLLSIWLAHGMPQYPGQSNQHVAFISDIASFELKPLFLIGASITAVGFVTTVSAVHV VRYEPGFALVKCPVTNNRNGNGEHGLTGHRSSYHSHCGHHDSYSEDEEDHETTRTLKL ISLLAIFAAAVASIALILLAVMDTFRYKSAHHLFLQVCFAGLAIQSACTAIVYSNEVV GFVSHVYHLGVWQHNWGRRSLRVRVFASLSTALIITEVFLGVAFISLTVPEEIASYRK AGILEWIIAFLGTIYLWLFCGFLDRTNFDGYVPSVLYSPPIQRKDIEPPESLPGQIRN WDPERAPLVEGPSGGRYT AOR_1_1724194 MRFGKTLKNSIYSPWSGKYIDYHKLKVLLREHDVTGDGSDSDTQ WTEQDEEAFVQELINVQVDKVNAFQVETSQQLRERTSACETKLRPLAPSDENEVPTIV DENERKTIASEVLQELDGITKEVSELEKYSRINFTGFLKAAKKHDRKRGARYRVKPLL QVRLSQLPFNSEDYSPLVRRLSVMYSFVREISSQGVVEPKDVEAPRFGQDSYSSLKFW VHSDNILEVKTYILRRLPVLIYNPGTSKELETLPDDPTITSLYFDTPQFDLYTQKVAR APEASSLRIRWTGNLKDKPAIFLEKKVVTDDDRSKEVKVQLKQKHVKEFLDGEYRFDK KLHRMTDMGNGESEQAESLKRDVDELQSFIKEHQVQPMLRANYTRTAFQIPGDDRIRI SLDTNLALIREDSLDEERPCRDANEWHRTDIDNVGMEYPFSTVRTGEIARFPYGLLEI KLRGESAHKAEWVNDLMVSHLVKEAPRFSKFVHGVAQLFEDYVNSFPFWLGEMENDIR RDPETAFQQERERIAKRAEDDMAVGSFLGNRASPSVKPLVGSPISRLPDVESSTRPRP SPQAAPSSRPSALEGVSHEVPESSEQEDRPVTLSRLAALFPTFSFSRASRVQQASVAL PPGVREPGTWIKDSGPVKVESKVWLANQRTFIKWLHVSILLSSLSLGLYNAAGKTNDI ARALAIVYTCFAIFSAAWGWYMYEKRSRLIRQRSGRDLDNTFGPIVVCIGLAVALVLN FAFKYSSTLEKLRQEQPSHTDMPTLVNQGGHAL AOR_1_1726194 METPKSELLEAVPLSSSLHDALRYLHDHPYPHVPNPPACNKRAS VALVLRVRPTHNHWPDSQHSSITPQENNTASVKQRLNTFFSQDWVQNGDPEALFIKRA SRVGDRWTGHVALPGGKRDPEDADDKAAAIREASEEVGLDLTADEYIFVGNLPERVVS TGWTSVPLMVLCPYVFLLTCSDSPTLRLQPTEVASTHWVPLSALLSPSQRTVEYVDIS QRYAKTSGFITRLTYRYILGLMEFSAVRLRPTESLWCNPSFGFAAIDSRGPSPLMQRL KAWFFGNRPDPEDQTQPLLLWGLTLGILADFLDMLPPHTAVQLWEYPTFTFPDLRLIT SILTYRLRKSNKLQAKSRPQLSNTAVDSQTSGVSVTSNDDAAEASHFHNEVGIEGLGV GRDYESSETKELERDTHAIGIMLKGYYAKLRFAIQIFLAWRAAIGSLAVLYTWRLFRR RK AOR_1_1728194 MAATQKLYPRGTVKRIVKAQSNRNVSKNADILIFLDYMLFMQEL VREAAIRSRKAGDKTIGPNSVRKVTERTLRKFKG AOR_1_1730194 MAAASVNNSNPQAQPESPSEKLKQNHTSTPSVSSKLNGATANGV DNIDSPYFRELQRSLRNTVKKLNATAKVDAIIAENPGKSLDDLVAEKKINADQKAQAL KKPALQATIAQIEEQIANYKEFAAQYEQRLATQQAELAKAHKEELDAIQEKAVSEAKK ASQTDLRQRLLSLSKFLCAAATMRRSGDETSSLTRAFEGVLYQVYGGSHEAVNSMLKL IDGVDEKVVSVEGEALEITYNKVKQTSEEHAPATEEVGTDAAPESDPTLANAGYTELQ DCSYDTGIPAASEPTTAVSQPEQVAPPAQTLVDDAANPVAEASWNPKTGDSLASSANN DGWVEVPRDPAETDTGLEATPVAADTGDNNVITEETTENGAENVTVSKSQGGDGFEAV VHHQRQPSSRGRGRGGRGRDGFRGRGRGDFRGRGRGRGGRGRGGPNGNTVTPPAGSQ AOR_1_1732194 MALAADQRKKILKVLMTSLLLDLISFTFILPLFPSLLTFYRNAD PSPASLLNQIFRYLNAYKNAFAKTIDSRYDIVLLGGALGSLFSFLQALAAPVIGRLSD KYGRRTALLCSMAGNILSVALWVAATDFRTFVASRIVGGLSEGNVQLANAIATDISDA SQRGSTMALVGACFSIAFTCGPVLGAALSNITVVAANPFATAAGMSLLLIVVETLYLY LCLPETHPRLTKLTQATPQSNGTKFASSETSRSTPRVHTNNPAVLNLIHFLFLLPFSG LEFSLPFLTAAFYAGHKASPSALNGRLLSMMGLIASLLQGTVVRRLPPLVTVRAGVVA CTISFFLLARVSSLPGLYVASGFLAITSATVVTGLNSLGSFEAQEGERGAVLGRLRSW GQVGRATGPVLFCSLFWWVGREIAYTTGGFLMLAVCVGVFTTLRSPPIAPAAQKKK AOR_1_1734194 MSAAPYITTARNAWKGPGSLAKSRQHFVSPLTHPLASSRHGIPN EPWLGPLSPTLFSQTRFRPSVSDGLNGNSINDHKPPDERILKLGRTLRTLSPLLPTLL INPLPPKILAPSVTLHLFPSTHPHLPTVKGRTLYRAALWTVPVAWSSLPLVGNVRLQV LSERIVRAGTVLDPEQVSDNECGDERLVVRWKTEPRGEDSYSEASAATRSMNGKDRLE SQGNGRLSSSKDGINKGLSVLLGGDAPIFPLSKEEQFTGLFIFSFDEEGRISSHTIEH ADDAAGWERTAKFVSLTDWLIGKARGSLDPVTGPGLAMQSCQGYRWHSTDRHCLHR AOR_1_1736194 MASEAIRPRGRPAHTPGTTVLAYTPNGRRIITGGSNSAIRIYTV GQDGEPKTVDEGIDGHMGIGATNESFIMGAEDGTVWQYEIESGRMENLLVRCALPVRD IAVSNDGEWAAVASDELTVKIVKIEDMTKVKYLRDQSKGTKHVTFDPSGRYIAVSCTD GILYIYSLLAEEPELVQKLDGAIRRLEPEDEATSRVVWHPDGTAFAAAEATRDIAIFS TSEWKKEKVFSGGHNGDVTAISWSPNGALLATAGADGQVLLWETKTQKVLQRYDFPNV INLAWHPSNNSLSFTTSDGELFIYDGVVSNEQQPLLQKPLQAAPIFPGHLAEISDNVR RPLTNRPKESIERRAGTPDSLDDILGSDQGMEDFVDDDDGAGYAEGVNAFGKRTNQHL DEIDGHAEKRLLTSYSKPRIHPSLQPGSTPWRGNRRYLCLNLTGCVWTVDQETHNTVT VEFYDRELHRDFHFTDPYLYDRACLNEHGTLFSNNPTDGKPATIFYRPHETWTTRADW RTQLPRGELIRALALSDSYIVVVTTKDYVRVYTLFGTPFKVYRQKSPAVACVAWRDYV MTIGNGPVGSDGRTATLRYSVENVKRDEICQNEDVVALPEGAELQSVFFSDTGDPCIY DSEGVLLILQHWRNPGQARWVPLLDTTQMERVASGRKEETYWPVAVAQDKFHCIILKG GDKYPYFPRPLLSEFDFRIPISERPGKGVGKQGEGLAQSDDGKFEEAFIRGSVMLSLF QDLLGSTNATSTQRAELARKELELDKILLQMLAVECREGEERGMKALELVQMMKDRNG KMTEAAVKVAERYGRGVLEDKIRELAEKRYLGEGDDDELA AOR_1_1738194 MGKDGLSTDGLIQNAWSGSSELVWPLLATVLVLCCIATRIISGF QSRVDSKTEQPQSVKTLPYWFPWLGHSLSFVWDHVSFTEKSRDYMNASVFGSYMGGTK HNTIVSPSMIKSIMQSKATTSAPLVNRALKAFGDDLGSLRDLNTTDYQVFHHKLPNLL MREPFITEASETTIQLLKREVPNFVTFCRSVVDQTLWERGSDVEVVDDNGDKPACEAN LFDLVRGFIGNITTTTLMGQAILEAFPSLLDDLWVLDNRFPLLAIGVPRWAPLPGVPA AYAARDRILNSLAAYQQAFLQWDDGIDPGVKFRDLEDVSEPLKQRIRTSKNLGLSPRS SAPGHLSLLWAMNVNSSNIAFWYLVRLYNDPTLLEEIRKEISPYVKAHRPSREETGFP FEEPPRISLNLKGLLDSCPLLKASFYETLRLDSADMSFRKLTSDLTITESNEDVTNSD RTKPHSYKVYKGESLILHHGVLQNDSRCFSNPSQFDPLRFIITDPETGEKKANMHTIH PFGGGMSGCKGRTFAERQLLAFTAAMIVMWDIEPMDGSHFTVPRHRQSSGAYLPKNDI RVRIIARV AOR_1_1740194 MRKSFPVVIPRIYQTSFRWGRPPPSLFAAKSSLARLSSASHASY LETGHIDLKKNEGLLFINNIFPRKLQWVLEGSLRASRPYEEALKRIDRPHLAASDPLR IIRRVFPHELEVEIKEVVPRFREGGAFVKYTRNEGVKDTDIETAVKDHLEKHPIRPWF NPFQQVKVASVLGRPWIEDLYRIPSPRLRVEFLPGSANDLANDPTTESLYSLFRSYGK LRDIERQPSDSKILPRYAYVEFARPKFAVMAKNCMHGFTIAEKEGGGKFGTRLKINYE RKIKLSMIKDWILSHPRIVIPAVAALIAAITVTVFDPIRTFFIKMKIKATLHVEENSV LGWIRKQVSKANIIGLGVVASDPRGLTAIWEDRQGDISQLQSWLTENTETFIIIHGPR GSGKRELVLDQALENYKYKVVIDCKQIQDARGDTAKIARAAGQVGYRPVFSWMNSISS FIDLAAQGMIGTKAGFSETLDAQLSNIWQSTATALKGVILDSRKKNDKDAHLTDEEYL EAHPELRPVVVIDNFLHNASEDNVVYEKITEWAAGLTSANIAHVIFLTTDVSFAKPLS KALPNSVFRTISLGDCSLEVGRRFVLNHLADEARTGDKPPRSEEYLEDLDSCIEILGG RVTDLEFMAHRIEAGETPNGAVNRIIEQSTSEILKMFILSTNTEAQWSHEQVWHLIKM LANSKEGSLPYNQVLLSDLFKENGEVALQALEQAELISVSSINGCPETVKPGKPVYRA VFKKLTENKTLSSRLDLEILSRLISKENKSIGKYEEELRLLGSLPKQPRELTSRIQWL LQKVYNSQNKISRYETESAFLQMILRRGH AOR_1_1742194 MGWWWSSSSPTKNEAQIISSFDTQPAPENAGVPTSQPRTLTREE RADAELKQLLASLEGDINKGHEASQGSPSSAESTSLPPSSIAPESLYPDTMSCRSAFD YAFFCQSFGGQFVNVYRYGELRSCSEHWDNFWLCMKTRTWSDGARKKAVRDHYRKKAI KYKTGPSSEDVWDLRTEPVRNAFEGDFAALEKEMQAEEESQGAGAA AOR_1_1744194 MGLNLEEIYGQNIIDEQKPNEYSEYQPKQGYGWANTLPERQGLY DPEYEKDACGVGFTAHIKGKPSHKIVSDARNLLCNMTHRGAVGSDARDGDGAGVMTSI PHKFFIKNFAREVGVDLPPLGQYAVGNLFFKPDQETLKDSTATFEELATSLGLRVLGW REVPHDSTILGPAALSREPIIMQPFVVLKSAYGDGNKPDNTDPGLFDERTFERQLYIL RKRATHVLGLANWFYLCSLSNRNIVYKGQLAPVQVYQYYHDLVNVDYEGHFALVHSRF STNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGVLKSDIFGEELDSLYPVVED GGSDSAAFDNVLELLMINGVLSLPEAVMLMIPEAWQGNPAVDPAKAAFYEWAACQMEP WDGPALFTFSDGRYCGANLDRNGLRPCRFYVMDDDRIICASEVGAVDIDPERVVQKGR LQPGKMLLVDTVAGRIIDDSELKYTVSHRQDFAAWLDKELLKLPAINEKLLQQNVDLS YTIDDTTVQNDPRLKAFGYSFEQVSLLLGPMAADSKEALGSMGNDAPLACIAQQPRLL YEYFRQLFAQVTNPPIDPIREAVVMSLECYVGPQGNLLEMDASQCHRLLLPSPILSIP EFTALKNINKAHNDWTVRTIDITFDKKKGVPGYLEALDAICDAATEAIQNGDKVLVLS DRATSAGRVPVSTLLATGLVHHHLVSNKWRALAALVVETAEAREVHHMCVLVGYGADA INPYLAMECILKMNREKLIRKQLPDDKVIENYKASCDGGILKVMSKMGISTLQSYKGA QIFEALGIDDSVIDRCFAGTASRIRGLTFELIAQDAFAFHERGYPSRSVIEIPGLPES GEYHWRDGGEEHVNDPVSIANMQDAVRTKNDKSYEAYAKAEHEQIKNCTLRGMLDFDF EQRTPITIDQVEPWTEIVRRFVTGAMSYGSISMESHSTIAIAMNRLGGKSNTGEGGED PERSKRMENGDTMRSAIKQIASGRFGVTSHYLADADELQIKMAQGAKPGEGGELPGHK VVGPIAHTRYSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRARVSVKLVSEVGV GIVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLRGR VVVQTDGQLRTGRDLAIACLLGAEEFGFATTPLIAMGCIMMRKCHLNTCPVGIATQDP ELRKKFSGTPEHVINFFYYVANEMRAIMAKLGIRTVNEMVGRAELLKTRDDIRTAKQE RIDLSLILTPAHSLRPGVATYNVRKQDHRLHTRLDNKLIAESELALEKGLPCRIECDI VNTDRALGATLSYQVSRRFGGEGLPQDTIHANIKGSAGQSFGAYLAPGITLELEGDAN DYVGKGLSGGRLIIYPPRGAAFKAEENIIVGNTCLYGATRGTCFFRGVAAERFAVRNS GATAVVEGVGDHGCEYMTGGRVLILGSIGRNFAAGMSGGIAYVLDMDQDFHSKVNMEM VEVSGLEDPTEVAFVRGLIEDHHHYTGSELAARILLDFTRALPHFVKVLPTDYKRVME EEATRAEAAKKAEFTVPQLPSTSSTAEKLRAGDAKKAEMLDIEDSVNDSKTEKKRSAL ILDKTRGFMKYSRRSEKYRNPGTRTRDWAELSSRLTEDELKYQSARCMDCGVPFCQSD TGCPISNIIPKWNELVFQNQWQDALNRLLMTNNFPEFTGRVCPAPCEGACVLGINEDP VGIKSIECAIIDRGFEMGWMVPRPPKARTGKTVAIIGSGPAGLAAADQLNRAGHSVTV YERADRIGGLLMYGIPNMKLDKKVVQRRVDLMAAEGVRFVPNTAVGPDQEVSLDSLRR TNDAVIIATGATVARDLKVPGRELEGVHFAMQFLHRNTKSLLDSNLADGAYISAKGKH VVVIGGGDTGNDCIGTSVRHGAKSVTNFELLPQPPPERARDNPWPQWPRIYRVDYGHS EVKTHMGKDPREYCVMSTEFVDDGNGHVKGINTVRVEWTKSASGGWDMKTVEGSEQFF PADLVLLSMGFLGPEDRLLGDEIERDSRKNVKTPPGQYSSNVPGVFAAGDCRRGQSLI VWGINEGRQCAREVDAHLMDISSQLPVTGGIVRRPAIDAVRQCTEQPVSA AOR_1_1746194 MMLSLPLITPRDSHELWFGVSQPYRISSQHQANGETQGNIRRNG NNTMNVRPFITSATPGNALSSLVLEERALRARKNNIASFGYSWIKPAGCTKTMLGMKE EEAEREEALAAAAAEMAAAAAVAEAEAGAAGLNELGSQHGDGQDDTGMERDLDDDIPD ADVEGLVEEGEEGLEEDDVVDEEGYMERDLDDDIPEAFSDDDDDDHLIEDDFDNQPDL DNDIPSAEDIVDEVEDMSEEDMGRDLDDDIAEAAENQSDQEDEWQHTDTDAELDDEDE ASFSHDPFTQNLRVSTTSSRGLPPAPVRVQETEAQRRFLQRWSGGGDVFDTSGMMIDE DDLRASVTSQGSRRSFFSRFPRRRAGGPRDSFD AOR_1_1748194 MVPASQLSCNRVGLLCLLFIMASRFPRSNLHQRDPRASASLFDS YGGDSRPASRSPGRVGGYGFGGYPSNGAVNGASVGNGYRTATPNAKGHYSDAVLSHLE SQNDAEVEGISAKVKMLKDLTLAIGEEIRDTSTIAELNDTFDNTRLRIRGNMSRMLRM AERTGVGWRVWLAFFLAVFLLFAYVWLT AOR_1_1750194 MDDNNQATTLSNDPAVNAPDTATLGRDKGKATQDPAPTDTSMDE GESDESENEDIMEEEDEDGGDDLAPIDSSNIISGRRTRGKTIDFVDAAQKLKDDEGED DEDDEDFEP AOR_1_1752194 MAPAVGIDLGTTYSCVGVFRDDRIEIIANDQGNRTTPSFVAFTD TERLIGDAAKNQVAMNPHNTVFDAKRLIGRRYADAEVQSDMKHWPFKIVDKGGKPIIQ VEFKGEEKQFTPEEVSSMVLTKMRETAEAYLGGTVNNAVITVPAYFNDSQRQATKDAG LIAGLNVLRIINEPTAAAIAYGLDKKAEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK ATAGDTHLGGEDFDNRLVNHFVNEFKRKHKKDLTTNARALRRLRTACERAKRTLSSAA QTSIEIDSLFEGIDFYTSITRARFEELCQDLFRGTMEPVERVLRDAKIDKSSVHEIVL VGGSTRIPKIQRLVSDFFNKEPNKSINPDEAVAYGAAVQAAILSGDSSSKSTNEILLL DVAPLSLGIETAGGVMTALIKRNTTIPTKKSETFSTYSDNQPGVLIQVYEGERARTKD NNLLGKFELTGIPPAPRGVPQIEVTFDVDANGIMNVSAVEKGTGKTNKITITNDKGRL SKEEIERMLADAEKYKAEDEAEASRIQAKNGLESYAYSLKNTISEGKLTISDSDKEKV TSKVDEIIGWLDSNQTATKEEYESQQKELEGVANPIISAAYGGAAGAAPGGAPGAAPG GSTRTADEVEEKPEELD AOR_1_1754194 MPIYLISKVGDPFFAFTIGVSAAFLRICRDQREKFPEKAQEIGY GEVLQMGGRRLRRWWAGDFERL AOR_1_1756194 MSPAIAQAGSASKDVKKESATARLLGSGTAGIAELLVFHPVDTT AKRLMSNQTRITSMEGMKQVVFKEYANASLGRKFTSLFPGLGYAAGYKVLQRIYKYGG QPFARDYLAKHHGAEFDNAFGKGTGKAIMHATAGSLIGIGEIVLLPLDVLKIKRQTNP EAFRGRGLFKIISDEGMGLYRGAGWTAARNAPGSFALFGGSAFAKEYIYKLQDYNSAS WAQNFVASVCGASASLIVSAPLDVIKTRIQNRNFENPESGFRIVSNMMKNEGPTSFFK GLTPKLLMTGPKLVFSFWLAQTLIPAFGQVV AOR_1_1758194 MRSKFKDEHPFEKRKAEAERIRQKYADRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGDL AOR_1_1760194 MTLSRQYMPLRRSLCHFSAQTRRAPCNFQNTFRRYSAEKRSSEP KSFVVWRPYLRLAIGVPFIGALIYSMMTGEVTELDSPSIVELDEALKKQATISENSPM RLRMEKLIKEHQKKIVDELSRIDGTHFKTDTWARPNGGGGISCVLQDGNVFEKAGVNV SVVYGELPRAAIEKMRADHKSFVGADVESLEFFAAGLSLVLHPHNPMAPTVHLNYRYF ETSDPKDPINGDKNWWFGGGTDLTPSYLFPEDVKHFHQTIKDACDRHDATYYPRFKAW CDKYFYLPHRRESRGVGGIFFDDLDASFLESSATSSQNPQETLFSFVSDSLASFLPSY VPIIERRKDTPFTPAQKEWQQLRRGRYVEFNLVYDRGTSFGLRTPNARIESILMSLPR TASWAYMDPVSGTRTEASAEEKNPGEDKEREKELMDVLRHPRQWV AOR_1_1762194 MASEHTITQALLEWINSFALGKTIRTTDELTDGTIIWEVLQDID PQYFLDELPQRNPSDHWLSKLQNLKHILKTLVNYIRQQPDGIPSGLDPAPNLEVVAEK SSIKETNKLLKLILIAAIRSPNAPSYVETLQTLSTPTQESLKDIFEEAENGQHEPLDP VDEIKEDLSKREHPVDLELQFEERVGKVLAENDRLTHEKKELEKALEDLHNRLARLQE NNDTLQSRLATTEDRLGNLKSGKGDLGFNTKALESKSRQQEDIIASQEARLAAAQDEI DSLRMTVESLRVKNERFQRLQDDYDELKTERDQLARKANAAEKYRSKLQASQDFEKEN QTLKNQIQDLQQQLKESDSQQRWTSERDVELEEYRRVLPRIEQECSEMQSLKKQLEFN NHALTERLSSAEEQRERDDALISELRERIRELEGSPGSPALTPGSETPKLQGTLQKDF EDIGVKESQLKTENDELKKEIEFLKGSSTAVNSQHEGFSDAFSATLQRAQENSTQGDE YWKLYDQYISVLKKLAEVQDSFDKSSRALADAQAAVLLASKEKLVMINEIKENELVES TKLRDESNEIKQKIHTLQAELDASLALAREACAERDELRTMLDNRQAEIAESRVEDQE TMEEMKKLLAEIAAQESGGASEASQKSGMELTKQVVELIERNLERLAQRAEYIHNQNE HIKFLQERLKHFEDDANENIPKDREIELQKIIDAQTRELALMSSAWYEMQSRLQNNNV PVSRYRHGSSLADAQRGWLARQRSLVAGR AOR_1_1764194 MKSLPTLFLGCLSLSLASPIVVTYPIDTPPSVLEDAMESIISAG GRITHRFQFINGFSADAPESAVQQISVQSAKYNPTIEKDLTVSIQ AOR_1_1766194 MSSGLASDEVAEDYKNSLEDLTTNDRFQISNLTVIAKENTEHAM AISRVLENHIRTTPPAQKLPALYVVDSIVKNVGTPYTLFLGRNMYQTFMNAYTLVDSP TRRKLDEMLKTWKEPVPGSLDTRPVFPPEVTRSIESALIKARTAALQQQQARSQQEIL PRGRVGTPPGWGSNSAAAQSSTRYPPSTNSTPPMLYNRNGSSHGFPSADPRSTPTPQL QQQQQHQQQQDVDLSALNRDIEALIATARSDFANNPLVPSVQQRLKALLDLQGILQRQ ELTQEQLKLVRDQVSALSPKPPISVSSSLPPAIPAVSTPSMAMPPVQAISQPLQQLLN PGTLAELIKTTAARQQPTPPPPPQAPSILSQAPSSVTSQPTVTLTPENPLIAALRARG LLPPASAPPTTSATPSSSLVSVFPFIVPGQVRFTPPVPTSQVADTSNVQISVQMNTAS IKIPRNAFIATLYESKPNRCGTCGRRFSATEDGKGKKARHLDWHFRTNQRMAEAARRA QNRSWYVDERDWIKSREVGDDEGLVDTETSGEAVNGGDGGSAKKAPPKQWIRAPNDAT LRNTPCPICQEKFESTWSEDVQDWIWQDAVKVGNRVYHASCYAEVAKDGSTPARRGTP SGRTETPDSVLGKRKAEGTDSPGQNARVKLELM AOR_1_1768194 MAPHNVRWGIMATGWIASVFVRDLLKDPNVRGASDVSHTVVAVA SSSSKSRAEGFISDTGIPAPCAAYESYEDLVADPNVDVVYVATPHSHHFQNVMLAFEA GKHVLCEKAFTVNAAQAKILCETAKIKNLFLMEAVWTRYFPLSIQIRRLIQNGTLGEV LRVLADNSFGDDMEEKWGIKHRMVNKDLAGGALLDLGIYSLTWVFQALYHTLPRESRR APSRISSHMSLYHLTGADEATSILLTFPTSTPSNLPHLGESQAVAMTHLRVSTNADDK PVQPSVRIQGTKGEIQVYGPAFRPEKYRVVPKGEGEVKEVECSFPGDGKGMYWEADEV ARCLRDGKLESDSMPWEESIIIMEVMDEVRRQGGLVYPKNIESTVYPTSL AOR_1_1770194 MAPITEEAVSGLKDIIGKLEARVEELESRLSNGFKPKSVAEHMR MVLMGPPGAGKGTQAPALKDKYCVCHLATGDMLRSQVAKKTELGKEAKKIMDQGGLVS DEIMVNMIKSELDNNSECKNGFILDGFPRTVAQAERLDDMLAARQQKLQHAVELQIDD ALLVARITGRLVHPASGRSYHKVFNPPKQEMKDDITGEPLIQRSDDNAETLKKRLGTY HAQTAPVVDYYKKTGIWRGIDASQEPGQVWKSLLGVFQQN AOR_1_1772194 MPATTADTLSLVTRTVTVAPLVLLSVADHYGRSAKGTRKRVVGV LLGENSGQNVRVSNSFAVPFEEDEKDPSVWFLDHNFVESMRDMFKKINAREKLIGWYH SGPKLRAADLEINELFKRYTPNPLLVIVDVQPKEVGVPTDAYFAVDEIKDDGTTTSKT FVHTPSIIEAEEAEEIGVEHLLRDIRDVAVGTLSTRITSQLQSLQGLHLRLRDIGQYL QKVLDHELPVNHAILGNLQDVFNLLPNLSTPPATPRLSGSEQQSENSELARSMSIKTN DQLMAIYLSSLIRAITAFHDLIENKIQNRQQQEENEQKREQETNAAKGEKEAKKANGA INGEQKEELDGSKEKYKKKGQ AOR_1_1774194 METLNPPDNALPSPTRFIEHLISQLSERTAKPENEVNTESQRPF SASSAAHASNVKQLMLTLHCFFPNEFLLALDILDRGLVRRFMKEDCSIRSPDASELNS PSESTLNGRRPPVEDLFFVISMSTAPSHSPRESLPASLRPDADHKGYEVRLQAWNCTC PTFTLAAFRDLGPKLAGDDPSSGDISQSHRVSDVHYYPFGGSLTRRLVKWSHPVCKHL LACVLAERCPALSGPSANDHGRIVVGAQELAGWCAGWGG AOR_1_1776194 MIALQNQAILPPAKVDISLLLKPQDEEAAAPVTTTSTFPPNPIP PAPMAPAIPAVVSTSVPSIPLAKGPSSLPAKRLQPAHTAESPAKKQSKWSPEEDALII ELRGSGMKWEDISKRLPGRSAISCRLHYQNYLERRSEWDEDKKNKLARLYERFKAEMW SKVAEEMAIPWRAAEAMHWQLGEQEMARRAGVVPFSLSSSAIDPPTPRTRRTSTSLSR PRKGSASRSIPPPQLPSVEELTAGVPAFAPHPPFAPPREAYRIGRPMDLSGTHSGHMN LGIPPRTLP AOR_1_1778194 MASSSSSSDQQSFVTEKPQEEAYEEKHVHEVYQQIASHFSSTRY KAWPVVKRFLTELTPGAIGLDVGCGNGKCLPVNQNVFIVASDRSENLARIAANHQPHS VIVADILNLPHPDSFFDFAISIAVIHHLSTPDRRIQAIREILRALKPATVEAPGGKVL LYVWALEQKTSRRGWDKGDQQDVMVPWVMTSNPPKNAPSDQPKVFHRYYHLYEANELE RDITKAGGRVLESGYEKDNWWAIATR AOR_1_1780194 MSFQPTPSDVSVLITAPTASANSEPHFVTERRITPTWTVIQLKS KLETMTGIPPGSQSLKLKTPGFPDQWLDGDENIIGDWELRKGCEIEVHDSRPPSARPN FHDLSSVEKYVLPAATYESLPNSVLAWKKHQKLGRFDPNVLSPYESARKQAEQDAEDI GSRGIAVSKRAIILPSSPPHVRRGIIRFVGPVPSIPYPGVETGDTDASALPIWVGIEL DEPTGKNDGSVGGKRYFTCPNKSGIFVKPEKVEVGEFPPLELDDLEDETMEEI AOR_1_1782194 MAPRGPAKARDYDYSNVGKLGRRTGITVKEGKRDEHGMEDIDEM WSSPEKSPVRENGFSNGNESLVGSDGMSMDEGNAPGPADFLSGMNGGRNSYFPPPVAR SPMKTGLTGSPRRTPGLRSSQSPQQDLLSSSPSDGKGLGHTKGELRQDVSPLTHRSIN APPLNHLSSARSKANKKTQEVAASFSDSDANSQSNPDENANSHEHTRDDFADSFDAGN DTILGGGLEEPDENSTATQSPSILAVGLQKKGQIKIGHQPKKKARNGSSRTQIPVESN EPQEHGSSQKRKRPGRPAKNQRSTSYDTEEQRLSKKSKASIKETREPNTSGHPDLNRV VEDHVNRTGPLKGRSLYILKRETPTDSSTTHTRSGRVSVRPLAYWKNERCVYGDGEAA EGERYPLSTIKEIIRTEELEPERRKSKKGRPSKKSKSGKPRVDNDSEDDEDYIDPWEK EGILHGYIRKWDPDAQAGIDEEEVLDIAYAPSGIETRDVKGSSFRFAKLLSSPFLGSG IVELPSGGVKKPKNSKKMHMVFYVCRGRVQVDISGVQFSAGKGCVFQVPRGNYYSFAN THGKDARLFFTQGCVPVEGSNSAPGSASKNDTMEEEPTPQVERPPGVGKGRPKGKQKA GGSKAS AOR_1_1784194 MEEPTQHPSFNSQGTLPSAGLFVPPNINRPRLYVGDSYSYYSPC PATITTHKPNDPAPTESYPESYFVLGVDEAGRGPVLGPMVYSAFYLPHDLHHSLLARD YNFNDSKVLTPGVRANLMRLLCTPGTPLFESCGWATKLLSARDISSGMMRPGAGVYNL NAQAMDATVELIREIVEGRKVDIREVYIDTIGNPATYQQKLERIFPSLKITVAKKADS LYPCVSAASVAAKVTRDVALELCHEDIVKAQQFDDPSQATSTESWGSGYPSDSKCVGW LRRNMDPIFGWGNECRFSWGTSKEMLEMKGGVKVDWPPDDENTQLRDFLLTSSEAPKG TNQELRDWFGQKTTEVL AOR_1_1786194 MDPTRNYRGTVPSTLLRPSKWLPLYEDFVTKNASSVGQVESALR SLTYIIPGRYRDSEISSECVHSSVQLLSLYHDSLVSRVISRLPPNISRPTPTPHSRYT KYWTSHSSLYRKVAITLQMVQYTELLWEMIARRRGEKVRWRVVILIEAIKAICRLFLL RLTNSRPLVSPPLPERDVDPRSAEEEEGDWNGMQTPLSERSSDLSWTMPRTGLSLPCL PDVNDVSDFLISKVLTADDIKPPKALLHRVTGQGQLAEILYILRPVAYALAMQRWNGN KRSWRPWLIGFGMEYGCRQLAKTDFRERLAGGLRGLTGLEREELRKRGWAMGWWFMRG ALYENLTQPWLKDLTRKMKGKPLLDLVGSVVEDYEYLWDNYYFSTATL AOR_1_1788194 MEILKQLHASVAESFEKSFDDAYSDLTAVLTIRDKQVATAEEKL RATEDVRSKAAAEIERLNVEIAHLREELSRNDISLDGAEVSLSESQLEEAYAPQRVLS LCDDNNLVSWECGTKEPRIVKGKYAALYGDAQTLAKACKGLRHQIKRHKRKLEHWSKC LERDEFTLVLNGVAVKFQRVKNIANEDHDCSPTAESIPANGMSVPVSHNAADGGPGLP SSPRHEPDEGFTSIVCGDYRRSDNTFRQSLQTESLKVFLTQSSPPFETEDTDTLARQR YRRLKRKREIVPESGALARYSGLRERESKPPIAVKSESMSSSPVRNVSQQFETIETQD LDEVGGTVETPTTEVVIDAYRTSETDPSAIHSPSSRKLAPQDEYRLASGHRLLHRSTA LQSVDNNASLLHGSARWPEKKPMAIPRAPNHAISCLAEDGERMYPTAHAGRTPLNADL SYLSAALSSKDVSHRLQDLLEKPVPPRSLLHSPKDSGNTNDTISYKQHESHADHEAPA YSRRVPTDAQASKRPTDQHVSTNTQISERAESNSIKMRPEDEPYRALPLHRLELSHFR INPDYNEGLEYAFDTVIRKKKERKCIKGCTRPDCCGDKFLAMARLAGLRINSTVSCQE DDQKFLEDYLRDNKHLLDGLGEKDHQKLLDEAKARLIADCFGKHRNHHPRPATPPGFW RTDMPDTQELEFDREEARRLERDKVKERYREAMRPGGLWKYADE AOR_1_1790194 MPKSVPPLPRFGRRLGAMEATVLFSMGTTSSTSLSETREGSNTN WKKQYRLRHNWSKGVCRVTAVELPQSPQPPVLVQFCAGLVFVADSAHGLRVWHADNTN SCLASVPFMNVNAQGPIVPTSLKATYCSQQNVTRIAIGFESGCFSVYNMDIRALRLDL GLTYTSSTDQAITEMALSFPYILMVSQCNVLSLFDIQAANEKSDHNPVKLTEEAYLLA TLKAESILAPMSLSVRVAASEIIASIVYSFYHIGCGWSIGVQELHFNKSGQQISSRLA TTVDCQYGVIPLRPSSRVPDEQQSLLNSDLYGWSTEPSILHREPPTSISYSHPYLLTS HADNTLTVYLVVSTTRSLHVKGGQRLWGHTTSVAAVQVSDHGKAVSVSSCGDEIRIWE LEPLISYFGTQSMLDEKSIQVSPENKQCRGYENFGVLSGVSRCEDNGDRSPSLERSYE LDRIRGCVGFDDERVLLLRERNIGNQLLELYDFT AOR_1_1792194 MSFQTRGRGCFNCGDASHQARDCPKKGTPTCYNCGGQGHVSREC TVAPKEKPCYRCSGVGHISRDCPQAPSGDGYSGATGGQECYKCGHVGHIARNCSQGGY SGDGYGGRQHTCYSCGGHGHMARDCTHGQKCYNCGEVGHVSRDCPSEARGERVCYKCK QPGHVQAACPN AOR_1_1794194 MVLATPRVFLNWHRHYMSFCNTQTRTVRKRLGQMATQLSNPAKR VSLEELPKSNIFTAKLPPDPAFETPKISHGAPREALGPRLVKGALYTFVRPEPAKETE LLDVSPKAMADLGLKSGEELTPQFKAVVSGNHFFWTENSGGIYPWAQCYGGWQFGSWA GQLGDGRAISLFESTNPDTCIRYELQLKGAGRTPYSRFADGKSVLRSSIREYVVSEAL SALGVPTTRALSITLLPESKVLRERVEPGAIVARFAESWLRIGTFDLLRARGDRNLIR RLATYVAEDVFHGWEALPAAVSLGKDQPTDAVNNPARGVPWDLVQKHEGVEENRFARL YREVARRNAKTVAAWQAYGFMNGVLNTDNTSIYGLSLDYGPFAFMDNFDPQYTPNHDD HLLRYSYKNQPTIIWWNLVRLGESLGELIGAGAKVDEESFVKEGVTEDAAPAIVKLAE DIIGRVGEEFRTVFLNEYKRLMGGRLGLKTQKESDFQDLFSEMLDTLEALELDFNHFF LRLSRVPLSALDTEEKRKEVAPIFFHSEGCGGVGYTEHSAKERIANWLERWRLRILED WGPYGDVERQEAMKRVNPKFLPRGWILDEIIDRVERRGDREILGRVMQMALNPFEEDW GWNKEEEERFCGDVPRYKRAMMCSCSS AOR_1_1796194 MSVNRTSRATDDDIRITKLPSERAYTSEQHPTDRQLKRLKEDVL PLYPFLLTVPTDVPFRLGSRFVNNWAVGNDGPFAPEEHQLQYMTFLTHHEGDSLLVAV GDWSDGTGNVMSDQQSGLQGAADTPWSGPVKKKISLNDYKNKRKTGASPSPVGQEASS HHLTMDYVIDDSQGAPKVSPAGKQNSSDKIAASRVSIRAGYEALERKRPAESELENSR LQERKGAEVGFLKKPKLSTEAEAELNKSGRSKANGLPTLLSPTLPPTSSSPKLPRLLS PTLPPNIEKELATLGEETLVPDLSRTKNAPNGDVLRAKSQKTKSSDIGTSCIDSTPVI GRQSLRSKYPNSVADKRPSTTQGSVAPSFSETPTSSLRYQTDDKPSSHEKPAKLQLLM KLKYGRANRKRVEALLKFSGKRKMAPPGSPAKNIADSDSTLIKKPNEIITKATASDNL NPRIYRSEGKAKHVPSSQAPRNAGSDKPQTPVSSTPTAVTHNQEKTKQTSVTPVKDLK DLTCRSENIGNNGRTFSQPTIRYPPGDSATGVKQSLSQVNPQSSASRNGERRAWKDEY QKYGNLGRELKHAAERHTARDFVADVDEKLAAATAIEAILCFILAFVADDQSKTLSRQ VSDSSSWLSILAYWRVVKKNSAPFPQLHSLCLILGATSYDAIHALDLERFAVTPLPGG NTSVPTPGSDGNTAVSDESKRNRREILELKNRLPESYKESQRLWLEGSQGLSEDILVH EFPDTWSRRSRNFSEQGKQRLKPGDYSGEFFLPLGRMTTPVEIVRFGYSILREWCKKE GVEWNGRLCL AOR_1_1798194 MFSEYASRFLAQSQSRLLPHSDETRKKGHGRLMQQPGSSRFPSS RSFLQRATLDPYQPTASQISNLPLGSRNLAQHAPLFYSATDNFCEEDDEAEHEREIAD FYALQKSRRNFGSSHLKASSEIDEDDDHSNSVGDYGTSRYGRYCKAQGIRSSWRAGTS CHGEQEVTAETVPEAAEVEDGPPMDEYNIRARENLVDVHLEDSLRSASDGDRADSSET GDDNPPSVQRFRGESQSRKGNFGIGSFFMPMGADRQPSINDPRSLSPTDRVQASFAPV RTESPVHDLFWGQLFLISIACLFATSFLVYLHTSIPSEDKSTWGDTIYLTIHASFYLL GFYTVASVFVSLLWLALLRSYIRQLVYVIIFAVPVILYSFSLYPFVSSFKGAWHGTSI QDKVMRWGSIAPFIIASVWIYNVIRGRHAIGKAIRILEFACRILATNPELLILGLGIL AFIVSWTWLWILMFTRVFLGGTIAGPGRFIISFSSWWLGVYFILVYVWSLGVIAGIQR AVTAATVSQWYFHRLTSPAPTSRQIVWAAISHSLTALFGTICLSRLCALLVRLPLLLL PSRATSVLSLFAYSVLPTPVTTLTDPLALTYASIHSQPLVVSARSLARMTTLSPTMIN SSLHPRSYSRSHESLTPLIPYRLSKLILHAARLMMSLALGFGGWVTTARDLGVSSGSG VIRGSVYAYVVGLIAGTIGWSVLGAIESVIADIVDASVICWSSEVGAYGREARYCREA GWLFGEGPSLDSSFARHREAP AOR_1_1800194 MSQPWDYIAKLVCIGDSGTGKSSLTIRLCEGRFSSSHDVTIGVE FGSRIVPKKMKLSLWDTAGQETYKSITRSYFRGASGALLVFDITRPSTFTSCTQWLHD LRQIAEEGIVVILVGNKSDLTGNEPERSERHVTRREAEEWCRMNNVVRYVETSAKSGD GVERAFLEVAERIYRNIEAGRYDLNDRRSGVKGFGATGGGGTSVPTTVTLGLNDAMRR GGNGWAGNCC AOR_1_1800194 MAESQKKMKLSLWDTAGQETYKSITRSYFRGASGALLVFDITRP STFTSCTQWLHDLRQIAEEGIVVILVGNKSDLTGNEPERSERHVTRREAEEWCRMNNV VRYVETSAKSGDGVERAFLEVAERIYRNIEAGRYDLNDRRSGVKGFGATGGGGTSVPT TVTLGLNDAMRRGGNGWAGNCC AOR_1_1802194 MAEMDSASSTGVNLASDMRRRNVPHTEGLRRKPDGTEESKRKPQ LKANPSVSILDNWEPLIMPILLTAVAIFTRMYRIGRSNIVTWDEAHFGKFGSHYLKRE FYFDVHPPLGKMLVGLSGYLAGYNGSFEFKSGEKYPEEVNYTFMRVFNAAFGVVCVPL AYYTARELGFRRATIWLVSLMVLLENSYATISRFILLDSMLLCFTFTTTLCWAKFHRL QYASFSIEWFVWLFLTGISIGCVCSVKWVGFFCTALVGLYTIEDLWNKFGDLKISEVV FAKHLMARVVGLIIIPVLVYVFSFYLHFLVLENSGPGDAQMSSLFQANLRGTEVGKDS PLEIALGSRVTLKNMGYGGGLLHSHIQTYPEGSTQQQVTCYHHKDANNDWFIYPSRRE PEYDPSAPLKFVGDGDVIRLIHGQTGRNLHSHAISAPITKSHYEVSCYGNVTIGDDKD HWLVEVVDDVASKDRSKIRTLTTAFRLRHPVLGCYLRAGNVNLPQWGFKQIETTCVKE NKPSDVYTHWNVESHFNDRLPPGDPGSYKSPFLKDFIHLNVAMMTSNNALVPDPDKQD DLASKFWQWPILNVGLRMCSWDDNTIKYYLLGNPFVYWGSTFSLGIFGLLVIWYLVRW QRGYNELSQADINHIHYSGLYPVIGWILHYLPFIVMGRVTYVHHYYPALYYAILTFGF CVDWLTQTMDVKSRWVIYSLLYAIIVGMFVHFRVLVFGIEGSSQQWGHLDWLSGWRIA N AOR_1_1804194 MSPSNRQTAQMHGLVGKPLLYFTSVFVSLGVFLFGYDQGVMSGI ITGWYFKDYFNQPSRAAIGTVVAILEVGAFISSLLVGRIGDLIGRRRTILYGSIVFFI GGALQTFANGLAMMMVGRIVAGLGVGALSTIVPVYQSEISPPHNRGKLACIEFTGNIS GYAASVWVDYFCSFIDNNYSWRLPLLCQCIMGALLGLGSLVICESPRWLLDNDYDEEG MVVIANLYGQGDLHNDKARQEYREIKMDVLLQRQEGERSYTDMFKRYRKRVFIAMSAQ ALAQLNGINVISYYAPLVFESAGWAGRDAILMTGINAISYLASTVPPWYLVDRWGRRP ILLSGAVAMIVSLSLISYFIFIDVAATPTLTVILVMIYNAAFGASWGPIPWLYPPEIL PLSIRAKGASLSTATNWAFNWLVGELTPILQAVIKWRLYLVHAFFCACSFVLVYFLYP ETSGVRLEDMDTLFGDATTAMPTPASQGEHGSLMSISSPVPSLDIRRQYSQFGPENAI PGLDIDPPTINAGENAKVGQRGSRDGGGGRLEGLGGWISNMVSRHKGSSGQRLQGTQY RRLGQDDENE AOR_1_1804194 MSPSNRQTAQMHGLVGKPLLYFTSVFVSLGVFLFGYDQGVMSGI ITTTSTNRHALQLARLLQSSRLEPLYLRYLLDVLAI AOR_1_1806194 MDSTKCFTPGHTIDRGSYVITSDTEGSPNKKPRNNAATGASAAG VRALSAQLVAFYFRAPIKAFFRTRVDYMAFARAVNPHSSESRWSLHTTTPGLLVHAVR TYGWRFIPNQIMPPLLANAGVGAVLYTSYLQVLGALYEPVSRGVKRIYPPASPLYTFT AGFAAGTMQSIVAAPLDALQIGIRGIFAGWSLSFLRDAFGYGVFFSFFEYIKSQAYYS FITGYYGSLRIHDVDELFSTQSDGRGVPLIKPHYTLEPCFLMAAGVAASIAQQAIQHP LSMIQNLHVARLEYLDHQASLHPSRRQMLRLYYLAYQETYKRCRKRAKRAGGWRHWLF RGFVRDAIRQVPSTSAGLVIFELVRRKYASLADAVYIQKDGYDILLS AOR_1_1808194 MAEEIVIDKSAFFNRLSSFFAAWKADKRPGHAVFGGVGSIVILM GKTDEANSFQKNNAMHFWLLGYEFPATLMVFTTDMMYVVTTAKKAKHLEPLKGGKIPV EILVTSKDPDEKSRSFEKCLEVIKNAGKRVGVLPKDTAAGPFAEDWKRAFANITQDVE EVDISPALSSAAFSVKDTDELVAIRNASRACSGLMSEYFVDEMSRLLDEEKQMTHKAL SMRIDAKIDDAKFFKKLAKLPAEFDPQQIDWAYGPVIQSGGKYDLRLTATSDNSHLQA GIIVAGFGIRYKTYSSIIARTYLVDPSKSQEANYAFLLNLHDTVMKDVRDGTMAKDLF NKAIGLVRAKKPELESHFVKSVGAGIGIELRDSNMVLNGKNNKILKSGMTLSITVGLT DVEELESKDKNTAVYSMIITDTVRVGENGPHIFTKDAGIDMDSVSFYFGDEEEPQKPA KEKKEVKSNAMTSRNVTRTKLRAERPTQVNEGAEARRREHQKELATKKTKEGLDRFAG TTGDDNGVTQKKFKRFESYKRDNQLPTKVKDLTIYVDHKASTVIVPIMGRPVPFHINT IKNASKSDEGEYAYLRINFLSPGQGVGRKDDQPFEDISAHFLRNLTLRSKDNERLAQV AQDITELRKNALRREQEKKEMEDVVEQDKLVEIRNRRPVRLPDVYLRPPLDGKRVPGE VEIHQNGLRYMSPFRNEHVDVLFSNVKHLFFQPCAHELIVLIHVHLKTPIMIGKRKTR DVQFYREATEMQFDETGNRRRKHRYGDEEEFEAEQEERRRRAALDREFKAFAEKIADA GKDEGVDVDIPFREIGFTGVPNRSNVLIQPTTDALVQLTEPPFLVITLNEIEIAHLER VQFGLKNFDLVFVFKDFHRPPVHVNTIPVESLEGVKDWLDSVDIAFTEGPLNLNWTTI MKTVVSDPYGFFADGGWSFLAAESDSEGGASDEEESAFELSESELAAADESSEDDSEF DDDASAEASEDFSADEDSGEDWDELERKAKKKDRESGLDDEERGKKRKR AOR_1_1812194 MSHFPTLHILILVIANLQIQCFAFVSQSRGFCATGPPTESLKAE YRRLSALGSQSYNPVDSESRAAITPIVIDTWFHIITGEAGTELISDEMIADQLSYLQN AYWNATISYRLQGVTRSANDTWARNEDEMAMKTVLRRGSYRTLNVYFHTDLQASPNAG ARAFDIVRRELGVSQQQPTSMLGFCTLPDPSINASSPPSTYIKDGCNVLAETMPGGSL AHYNRGGTAIHEIGHWNGLLHTFEGESCSSDNEGDFIADTPQQSKPTEGCPAQKDSCP ELPGFDAIHNFMDYSSDECYDSFTPDQVSRMRSMWFAMRDGK AOR_1_1810194 MSHTEAARTDSLGEQETRGDVESDKKAKNRRPANTAFRQQRLKA WQPILTPRSVLPLFFVVGVIFAPIGGLLLWASSEVQEIVIDYSECADKAQRTPVPIPD KVQSSFKSSNQQPNPTWMKYRDEQTNETICRLSFKIPESIEPPVFMYYRLTNFYQNHR RYVKSLDIDQLKGKPVDNKTIDGGSCDPLKLDDSGKAYYPCGLIANSMFNDTIKSPEL LNDGNDDDPVVYVMTNKGIAWDSDKQLIKTTQYKPGQVVPPPNWQARYPHNYTTEIPD LHDNEEFMVWMRTAALPNFSKLSRRNDTTAMSPGTYQLDIADHFPVTEYGGTKSILIS SRTVIGGQNPFMGIAYVVVGGLCVLLGALFTIAHLVRPRKLGDHTYLTWNNEQESSAI ATGRDNRFGPNTH AOR_1_1814194 MTSFTVASPLGRSDKTNMNRLSSVSSLMSPPESKPFDSFNPAFS PHQLSQNPSYSHDMKLPPISVDRKRTQSEMDLPSPPVTPYTGNKKRKSNASEQVEGDA VVGSSRDPVLFPRHDSLTEVATDEPLFGPMLPTETEALVEQHINSHMARFENKLNKPT RDEYLLALSCVPIVSAQYNRNPGAWAKEERETLERQLVMMNRCRPHTLEAKLKRIAPA PAKRTFPAQTRAQRTPRAKRTNRDDTDYKSIMDYSPPLETLGSNAKALKADWKGQMLD LSNDSDRHLLNAAELNLAATLRLSCATYLCSKRRIFEARVKALNVGKEFRKTDAQQAC KIDVNKASKLWTAYDRVGWFRPEHFEQFLK AOR_1_134 MATQVSIEPRKLRRTANACVACRQSKIKCSGKEPCANCQRRAIK CRFVERSNKITVTESYLQRLRRQAKEQHSPTATKRSSDTAFGPALDAENVPGEPVFPQ REEPPLPPKTIDHARSIWTSPFTLPSRTIKNTYKNKRNWIWLAPTSMWSFTARLSVML TEKLQLESPYMTPSQLDKDIYPLQWKQAIAEGPPDISGLPSIDHALYLFNTVKFHLGV KYRFFDEDTFVAHIHEFYHGNAAEKANESRLWFVQFLLVLAFGNAFLLQSRNVKDPPG SKFFVRAMALMPDHASLWKDSLLASETLALAGLYLYSIDHRESAHVYVGQAIRIAQLE GLHTELPEEELGIPTVTRCRNLWWTLYIMDRHLSSSLGLPMTTQDSDITTLIDPPSTC SERDVTLSLQVRLSHLLSSILTSIYKNEKTQLGTFLETTRSILHTMAGHAQEIESIIH MKVPSSVDTMPKGTRHITLLYHQCVIVATRPLLLSVLKERLDKLDQGEEDWQNFLAPT KTLISTGIKSAAKTLQILTDEDSLLEVFLPFDLEFTYAAAIHLATASTLFPHAAEGQT YSEEVHAILDEMIYKGNKLAAVRKRELAHLESLFKELATQIERRGLQTLTLSSSPETE QPRPYDGEYQGGASTVQSEPIDLSLVGDPSASPDVLHHTASDIEFLDNIGISSYEFFT IVNQIGNTDNYSLLDPAQAW AOR_1_1100134 MQASELREVSLTLRNIAKVMQDAFGLDHPDYGFLLASTFVYEGT TLNLKQYIKPFVELEPAFILREPLRGPNCTVADVINAVDYAIPAIEIIDSRVKNWAID RLDTLADNGSTGSVILGGTPRRLTDLTLRDTRGTLRFNDREVMSGNTANILGNPLSAT AWLFNRLAAYDIEFKPGQVIFPGSCLQAVQMEEAGHWTSRLRAGGPSNLTWYNFHKYL FFNKKWSWPARLGFRYIQ AOR_1_2134 MPMLFPPASLSFWRDYVCQEAWGIEYVFDAVVALGCMHRATLLL SQQTESDRDHGFDTKVIAVQMYSNALKGVSDSLACTQISMALLLAVLILFAYTESFDG NVPATIRHIHMANHYFRAMCSSSTPETEQFKTPIELCLHDLDIIRRVTLPDLKVIRMI SPLYRPSIIPSDASASLQEYLDFSPKHLLQQLLDIGSMDSDIKALIWCPVAAHRRLMP EERIIGFIKQLKEWKNTIGILFHKLGVDEALSEPINFDLATLANISIPPPPHANLPRD FCLILVLYVFYRTRLSWALSIYNGGESDLELETYHFTYQLLRFVTTALDSPRPSSDDL PFGCEALRVGLSPILFLAGQSCPRPTWLRWILFELDRSGREGVCNSKAFATSLEVLST LEKRVRREPRRPDVEYFTPPHQRVISVIFPGLNGRGYVTYYAQIRPGEQIDSQESHIP LCIASWASSANGGRPVVTTCDGTDVPFSEWVMDRPLVKEWAQWLTFSEFDLTQTLHDH INGSRLLVDRDKVAGSKW AOR_1_4134 MTTTALHGWHPGEISMQRKLGYTNAVKDAWPTIRNIMPEQHRVF HTSKLPFIPITTVDEDGRPWAAIVAGPTGEPGFVHSPDSQNLSIHAHLWDGDPLLDTV NAGVDPSSALSTIAQRSLAAGLGIEFSTRRRNKFAGTIQRVECQSSLDYKLHLRVTQT TGNCPKYINVRKLIPHPNTLPKVEHRYRHWEPQGRLPEEVVAFIRQADTVFVGSIYKS SPSDLHKFPPHVGMNARSGLPGFIRVSPSDGRTVVVPDYSGNRFMSTLGNIETSGVVG LTIVSFTTGDILYLTGTAKNLVGPLALEIMTRHAAITTVNVTGFVFVRDALPVRQQDG TPVERSPYSPKIKYLVEETGAKGLDSKEHKAKLREVVQVSSDLAVFKFQVISKPGAGD LRIRPGQAIVLDFMNWIGPPQYQHMKDTAPSSINDDRVRTWTVSSAHEERNATGFELI MREMKGGAVTGALFDRLRKYRSTRLGQRIVFDPPVVADIVGVTGDFFMDNDKLDALWV AGGIGITPFLAMLRALAERGSAAEGDVMLVLATREPSVMLYMMRPSLERIASTVRINI TIFTHDSEFDYGNLKSNQNICVHRDRVVPDFWRDIPRGKDVFICGPSAFGDSVADGLR AVGFSPSQIHREGFY AOR_1_6134 MAAPMTVPVYHYFDLGRLGRGEVVKLFLMDAGIEIKEVRYPYDE TWGENSKKLQQQGITRTRKLPVLEYQGLILSQHIPILRFFARDLGRYDGETNAEKFLV DAVSDIYIDWRFQWVANLTERSEKYKNEVAPEYYNLLDQYYRDQAGPYLLGNSVTYVD FAVYQSIDNDERTGTLPSSLPESLVKFREAFEQRPNIAAYLKATRLG AOR_1_1102134 MVGIPGRSKGCKTCRRRKIGCDLQEPQCGQCKKSGRHCEGFDKD IAFIHRTPQGLLRKGQEQCQGPAPWETSCGANSTSTKQIPPQINNAAIYVDGMLHTFL VAFLPSSPILPLSHHSNITVPPAPWMRIAIALPRRGPLLSTALQALCMTKIARAHGDQ ALLMQGMAAHTQALRALQNAINDRNTALTDETLAAIRVLGTYELHEGTMGSVVGWTSH EEGVDQLVQLRGFNSSQYESELGQALFGEVRRSAMIRGLQFFKGSFFSETRWCIEPWG TKPKDYVQQLYDIGLLLPPILEELHTIQSLPNAPRRAQIWQRCQHLEDRFSACDIRPG PFEASFDFLNIHVADSLDFFWALRILLHTVLRRLSGLVPGPIYRNDILDDHQDAWTKD FTGGKGGDFERLSYAKLCQDAGND AOR_1_10134 MTRLPLPVPAPIDPSYQPTASIEKVPSTTPIEYILAILERDGGV ILTDLVSKDELSAIEQDLKPWNQKHRRHLNPTVDGDAFTTIPPQTTLIPGLVGKSKTI AQICEHPVLEQLRQQILRDDFVLYREGNAEPNTLDPLLSLSVSMNIGYGAPRQLLHRD DNVHNIRHTRNPFVPWSFKQASQFGCLIAGCEVTRENGGTMFVPGSHKWDDDRWARAD EVCFAEMSPGSALIFLASAFHGGGHNSVPDSVRTMHSLFFIRGHLRTEENQFLAIPRS KVREMSPKMLELLGYKKPTTALGIVDNMSPDEDVDGIWERAVQ AOR_1_12134 MVSIAALHQAQRELSNSRLIGNEQFLGELDTVEGARNSPRFYEY LYHKQQALYLLRTRYHEAPYQDSYGVIASVFLFIWLACLESGRNTWAYHLNGLKEIMQ AQILSMDLAQSTSLTAAFSRFYEYFDTSYAIFEILGSTLVKSKQQYQPLFTSIPIIEI LKRSESLTWTGCPADLLYILSSVNSACSGPVPPDPNVIAHLFSQVETFSPQKWAFATS NVSHLTVRYHVACIYKAAALIYMSQAFSHKSQQHYLAKWHILGSLDSIIAHFTSVGPG NIHFKGLLWPAFIIGAEARTEHQRSAIMEVLDHLWRLWRAQNVVYALEILKKIWEGQA TPEGASQPWIAYLYEQGENWIFV AOR_1_14134 MHGSLLKLALLSFSLGSSAAVLPRDTGRTSAPSGCSTVGTSGDY STIGDALTALGSSTADACIYIAAGTYEEQLVINYAGHLTLYGETTDTQTYKQNTVTIT HTISSPEAGSLDNSATVNIKSDLVSVYNINIANGYGSGAQAVALVANADQLGFYACQF TGYQDTLYAKAGHQYYINSRIEGAVDYIFGDASAWFENCDIVSNGAGYITAMSRETTS DTAWYAIDHCNIKAASGVDLTGDVYLGRPWRVLARVIYQYSVLPDIINAKGWHSMADG ATPLYYEFNNTGAGSDTSDREYLSTIDAPVTKETVLGDDYKNWVDLSY AOR_1_16134 MISLNSIFLLSLVGLSRAAPSRSETSPDRTIKPRAACTPTAGGS SSTDDVPAIQEAITSCGDGGIIIIPADTTYYLNSVLDFKGCSNCDFQVEGLLQFTSST DYWNGKTAMITVSDIDGLKLRSVTGSGVIDGNGQESWDKFAEDSSYKRPTLLYITGGS NIEVSGLRQKNPPNVFISVKGDTSNAQFTSLTMDATSNSDNLPKNTDAFDIGASTYVT ISSVAITNDDDCVAFKPGANYVTVENVSCTGSHGISVGSLGKSSDDTVQNVYARNITM INSSKAAGIKTYPSGGDHGLSTVKNATFEDFIVDGCDYAFQIQSCYGEDDTYCEENPG DAVLEGIVVKGFTGTTSDKEDPVVANLNCGSKGTCDVTISGFEVKAPSGDAKILCGNT PSDLGVTCSSGASG AOR_1_18134 MLLPIPSNILTSTMIHNILLTGSSGYLGGTLLARLKRADLPPYG KVYALVRSEKQARCVQEYGAEPLFCNVDDHVQITTAIVDREISVIYFLIDAYNQTRQQ VMIRALGKVKERTGKIVHFVHTTGAKQFSRHGGVQHDRPLLDTDPMLYDIQKSAAPPY KWFAQGLRTNVAVIDTAEENGVRGYILAPCIVYGEGEGFGNRTSIQDVAVVKAAKKTG RVYKVDLDDPIWPVCHVSDNAALYLQILRQILLGSDIGYNKDGFFLAASGSIAWNDIY DAFAKALARRGVVDDSTVEHADETALKGMAEALGVDPSIVPFQVGGKCTFTAVHGKQI GWQPQYPPEHILEAADAEVELILKSLELDS AOR_1_20134 MKVSLWLTLLGVNLSLALAVGTDFPASCQAFSPDTRAANAHREF TEYVPAGTNLSLPYNDATCARPNQVVTVDLCRVALYVETSNRSSVTTEIWLPRNWTGR FLGTGNGGIDGCIKYEDLAYGAANGFAVVGSNNGHNGTTAASFYQNSDVLADFAWRAL HLSTVIGKEITQAFYGEPHRKSYYLGCSLGGRQGINSAVEFPDDFDGIIAGSPAVDFN SLVSWRASFFPITGSANSTDFISVSTWKDLIHAEVLTQCDTLDCVNDGIIEDPSLCNF CPEALKCTDDRINNCLSPAQVEIVRKVFSPMYGEDGQLIFPAMQPGSELEAADQLYTG KPFRYSKEWFQYVVYNPSWDPAEFDIHDAKVADDLNPQNIRTWPNDLSNYEKRGGKII TFHGQQDGKITSFNTERFYNHLATAMNMSSSELDNFFRFFRISGMSHCSSGPGAWAFG QGGSPAPAMTPFNGNENILAALVAWVEHGVAPETITGTNIAQPAAELSEAKPAQPNAP VDSPGESKKQPTPETNQRGGDEKTPNERHHVNHEQHRSIGGRTAVFQNQHQSANIKCP GYPLFWFLPERFAAYAYRSTDILSFTESPPEIPTYKEPRLGTVPVGMVLNIPDHVDES AGIRARVAFDVAVLHVSLEPPFWTIASRTGRLLNSTDYCLNPSFATEKLRLIKVREMI QTHIIELTTYRHRFLLVLRSWRKERRLWEVRLAISQVRRGNNAIFQHPLTHEDLDRLC LWYREQQAADDRGEIDPSSFGRVTGMVNPCAKELQPNSQGGFVNDSIVTRFEKLKEAT RGVLARISTLQAQEHEERRRQREQHAKREQGAPDRGHNGICLPGDALLVDFEFDTEDP FPSASVIDPLPTDLEHRAAHYHWHVERHGVWAPKGLFKRATYLNTFQLAGPDDLSFFD KPRAEWPRGHQGLLFEHVDYVDDFQVHPWGIPATRFAQALRQQPRYTAAAIYSKKARM VLKSIEHWYFTNKEVAFGGIQDWPLPCGVRDGLLEVIQELQ AOR_1_1104134 MPQKRKPSQNGTRENGTNSTSDTNKRGKSDLSSPHPNSRQAEEF GIVLRQFYPPEMSNERCQAYNDGTLERPMETLNRVCEETVDARLSIRPNAAVVHWFKS DLRLHDNRALRKAYEVAREHSIPLIALYILSPEDLTAHLSSPARVDLTLRTLEQLKLD LGELDIPLHMETQESRRDIPQRIIHLCQEWGANHLFANIEYEVDELRREAKLVRLCVE NGIAFDVLHDTCVVPPGLLSSQQGKQYAVYSPWFRSWQMFLMDNPEHLEVSEEPGSNP GNARKVFKDLFASEVPGAPNNKRLSDEEREHFRQLYPAGEHEGLDRLESFLEEKATAY DDMRNSLSKQTTSVLSPYFASGSLSARTAVAKAKKANKNQLDRNELGFVSWISEVAWR DFYKHVLVRWPFICMNKCFKPEFTDLEWEYNMDYFNAWCEGRTGFPIVDAAMRQMKSS AWMHNRSRMIVASFLSKDLLIDWRRGERYFMEHLIDGDFASNHGGWGFGSSTGVDPQP YFRIFSPLRQSERFDPDGDYIRRWVPELREIEGSAIHDPYDRGAGDLAEKNGYPRPIV DHATRRALALDRYKKAAGGKSR AOR_1_24134 MTQADRLLTPALSLHFVVHCSSLMSLVMSLDKLPNEILCLLPFY IDNIETFTNAASSCRRLRDCLYTALPNTILRLAAASAPTFFSPHPHFLVAATARQVSD WALGDETRTLALREAFQGGIDSLYEFCLQHAGLTLEDIRRTHLARFSIINPLSDKIDK MAGRQWFATPNFWNGGVSEPYTIYTEADRATFQMIIYGELFGRSMDAFLQPEKNLPYF DIRTRIDYFTYCLPDWVCSSYPGFARLATGPYAPHLERPSEGDQVALHHILHCGRWRR MWAAAIRSVLGGDDAFTDEDEEDEHWRKRMLRNALQSQGLEGMQLVTLPVDKIDNEYV QKVRKMKQQIDQLNEPPRVEMLGKGALTPVSFAPDPPHDVEIPCRNMWGPWTFNPDAA SDTDSEEESDPDWELNFEVIEQ AOR_1_26134 MPSRTTSSLLESRWAPRPEETKRSSSTPRGQNHTRGPNHPPPSQ ELARFMKIVGRLKWKLPFLAEGYRLATLPADSGVDVAHAEIMFKIDFFEYYALLERAI VHLLGVFGVTVTGAITRSQSTPRLGRNRSIAAMHRYHANVLDTLERESCPLHSVLGTG NVREQLRKAKELRNRWKTADMSKEEAEKDSFHRPEESAMPLESYDFDHILSEIFVGLE DGLALAREQVARVENGTGNALSGDSEADWDFIVDAMDWEAV AOR_1_28134 MKVYQIVLSLLALGTGLHAADPNPNELPSSAISCMQQLFPSSEW NSTAYLCADDSRQTALVDCVTSNGTTKEEFITQRLTEESCNIKPRQGPPLIEGSTLAP LILATFFFTIRISAKSFNLGGGWGWDDLTIIISYIMGVAMFVLNIYMIKYGFGKNIWD IPFDDITQFYKYFQGFAVMYKIQISLAKISVCLFLLRIFQSRMFRTLAYTLIGINASI GITWALVDGLRCSPVHLAWDGWANEDPGTCIDFIDAILGNCLVNIIVDAIMVLMPVYE VSKLQLPLYKKLTVGLMFVMGSVLTIIGIIRVVVFWNNRWGQNQTAGIYPLIHWSVIE SQVAIICACLPSSRALLNHFFPGIFSGSTKRTYATGPSNWYAKGQSNGQSKINKSVSY TVQYSSSSQRDDSNDLNDSNDSNSVVQLVDIDRKPNY AOR_1_30134 MKAVLSLTALQLLASYVTGLPLQDSSLAKNIKRQNTSSWNPPSE LVTPLNEVWEHEMSTYSDPLGFRNYGFDQVIDGKGKINYCVRWDSTQSVTQAQREQVE TAVRRSFNKWIGELAGFDGFPYETVDVNVVGWAVKDKGLLQGDTSGIEVYTTTDEEGI PQCDPGCGRFFHQDNDYSACAAGADRHYDQSLWLTDGFEGGAGGDWGQRIGQEYFMQN LDLEDIHILLHEMGHTFALDDFYDWTPTGQTSFIMLAGSAMKITEFDAWMARDWWRHL KSRYSL AOR_1_1106134 MNLPQVVPFVLLSTTFVFAVVELGLTGHLVSYFSQGSKEYRYDP SRNGYTSQTVTVAVPPILAFILFNSVWTMLVSAATAVIPWVFRTKADTNAALNKLITI GLLVLYFVTTVFWLASFADMAARLSYTAGKSDYVNAVIAFAVLIWFLFCALTLVVVLG ICGVLKSDLPGYRALGKQDPPATAPQSTQMDQG AOR_1_34134 METPKEKPSWQEIAQGVQKTRDDSIAHVDPPIPSLPTALPNRVI DIPRQLLSSSEVSITETSAEDLVVSLAAGELTATAVARAFLRRAAIAQKLTNCIYELL PERALAQAERLDNYLVEHGTPAGPLHGLPISVKAHVGIAGRDNTAGFVGWVGRKNTDD AKIVKILVDAGAVVYARTTEPQGLMALETCSNITGNTVNPHNTALSAGGSSGGESALQ ALYGSPLGIGSDIGGSIRSPASNCGLYGLKPTNGRVPLIGCAAYVIGCETILGTLGPL SPTLGGIKLFMKTILAAKPWTTDPSLHQIPWRDNESHIYRDGKKKLTVGVLWDDGVVK PAPPVARALQEMMDRLKAFPSDVEVIEWKPYKQKEALEILTRLYAPDGGKAFAENLAL SGEPYLPLLAWTLRDTPGVEELSLHEVWEWTLKREMFRYSYLQEWNTVAPKMDVILCP AYPTPAPLLDTSRYWGYTSIWNLLDYPAIVFPVTKVDPTRDAKDPTYTPRNEFDSWCH NHYDTQKQQEAPVCLQLVAKRFEDEKVVQALEVIKEKIGLPFVDCLA AOR_1_36134 MKMQAGTASGHEDRGLSLWVVNSIFIGLATLAVIARFVARKLKN LVLAADDWAILIALLLYYFQIFYILAPPTVKLSLLLLYRRIFLSSRFLKIVYTIGAIV SIWAIIMTFLAIFNCKPISAFWTGQGECIPFKQFAIGYAIVNIITDLAVWLMPIPNMW KLQLPTAQKVALTLIFVLGLIDCGAALVRLLSSMLVLGNWDVTFDYARGFMWSIIEVS LAIVCTCLPTMRVILKIIFSRSFARALGFSSLTPRRQSSSKRGWRRASQYNEIQGPWT VRPGAENQHHSDVTTGVERGDGATTVRGIRVLEEVKVELQHIKAPPDAAP AOR_1_38134 MSEMGMQEKFSGQPSRNDDHAQHADEPQAQPEHPGTGDTCARQG AEQEKPPPNGGLQSWLNVAAAFCVFVNTWGLITTFGAFQEYYRTVLLRNESSSAISWI GSIQATLIPMVGMVTGPLVDAGYLRILILSGSFLIVFGMMMTSLATEYYQVLLAQAFC TGLGGGISYIPAMVVLSSSFTTKRAVAIGCASIGSSVGSVIFPIMFRRLQPAIGFPWA VRCIAFINLLMSIIACSILCRHPGKKTQARSLVEWKALKHPTFMLLSVSLTCVMLAYY VPIFYVATYARVKLNTETNLSFYLVSIINGASAFGRTVPYLLGSRINTIFVLISCTAA SAVAMYTWIATSNTAGFIVWACYWGFLSGVLVTAPTSIVAHPVFTPDKNYLGTRMGMM WGISSFGALAGAPIAGALVDLEHKNFVRAQVFSGSLMVGAVLLQVWPTIAALRYDRNK DREAK AOR_1_40134 MEASRVEWPTLRMADPNAPGNVTRNLQQRIRDHHQDSNDILQYA QDNQGSLPDEAVKVIERWRDLTQDLLDWMGAKQELRDITGDIKCDDYALTTETEPPRL ENSGSSPPASPSNLAQDREIAVKMWDPYVASQYRCLTPADITSQAEKARKSTARRDGG ATLASIQFVAAKHLRSGDLSLTLRSAQEADIARTHRRWVKIFESDSVIDLPLWGVVVH DMPLKWVGGLSTPAARQRVINDLLAANIFTWGGDVDVLHVRWLSSPAPGTESSAMVVE FTHPEAANCAIRHGTIWESKLLKTVLYVRPPCIRRCFSCQQFGHLSSICLNESICCFC AERHDTRDCPRRTEAGDRVHKCANCGGPHAAASKNCSYYAEQIKKVQDGTTYRQRYYR IPIYMQGLENSEDGASSSVTSRSCSDSAEHDSASSESERDLGEIPSEERPLSSLEGAS RDARSASLELSLY AOR_1_42134 MESSGEIKEYDASEDSHQDAEIDRLVDDFLQHQTTSVSERLGDI IFKDLSVIGAGAGHQRMHDVPKTLQRLSKLANPATWFSRKPPPCRAILQRLTGTIRQG EMLMVVGRPGSGCTTVLKALANIREEYLAMEGDVWYGSMDAGTAKQARANQVAFVGED DIHFPTLSVSTTLKFALNTRRSTSDPDRAQHLQQDLQTVLELMGLAQAAHVRIGSDHI RGVSGGQRRRVSLAEALCTRASLFCFDNPTRGLDSSTAIRFLTTMRKYTTRSQCMTAM SLYQASDLAVAMFDKVLVLNDGHVAYYGPATSAKAYFESLGFYRSPKISVSDFLASMS GTPECRTPREALDRPVPIHPADFETRFRESSLYQQTVSDAATPPQSKTVGKPKASGYA LPLYRQVYECTVRHYQIFLTDRAAWIAEAAGTIVQALLLGTLFRNQRDVTQGLYTRGS ALFFCVLIMGLQASAEFGNTFVQRPILLKQKALRFYRPGAYALGQILADIPWKFIFIM YSLPIYWMINFQRTAGHFFTWLVCLYMGLMALSVMFRAIAVFTNSITRAILPVGLLLN VFIIYTGFYITPPGMKVWLFWIRYLDPMYYIFESVALNEIGTSSYQCSSGDIVPRGSA YNETSYQACAVSGSVAGELSLSGRLYLMAEYGFKNTHLWRNVGINAGFFVFFSVVVMI GMERFRNAAEHMSTIFYRRLPSWVSASASRSADIEEPPIVAETKDSKPSSNHDVKAIG RLETTQSVFAWQELSLQLGDDKRLLHEVSGWLQPGKMTALMGMSGAGKTTLLDTLAQR IQIGRLSGGLYLNGQTLPASMGRRTGFVHQNDIHLASSTVREALQLSACLRRPATVSW DEKMDHVEMLIQLLEMEDIAEAIIGVPGAGLNLEQRKRVSIGVELAAKPDIVLFLDEP TSGLDGNSALSIVQLMRRLSDAGQTILCTIHQPSAQMIEQFDNLLLLVPGGKTVYFGP LGSRCQKILDYFARYTRRCEETENPADYLLAVSAEPDKDWFQTWRQSPEYGSTQEQLQ KMLQVQEVKDSSSSESDRTYAASYLNQLRVVTQRAFTNYWRDSDYVLGKIQLNIWMGL MNGLTFLQLSNDLTGARGRMFSIFVGVITGPVLSLQIEPRFILLRDQFLARENESRVY HWSIFTISALLVEIPFTLLGGLIYWLLWYYMVGYLTISTRAGYAFLMYELYSLFVASL AQLTASLFPTVLAAQVATGFIWLVVNTFNGPLSPPPLTPRGWRWFYNISPLFYFIEGI GTNAMHALQITCRDSELTTFQTPAGETCASYTAEFFGLANSTGYLVDPNATGLCEYCA YADGDEYVKQYDMSYSQRGNNVGIFIGFILFNYTMAVLATYLIFIFKWRKRRSN AOR_1_44134 MSDSQIDPDQFTRAGSITKDYYRDVYPTIDPTQSELSQTDKVTI VTGAGKGIGRAIARAHAQSGVKGLVLITQSAQSAEETKAIVQAEFPSVEVLALPTDIT DEQAVAQTFATIKEKFGTTVHTLINNAGVFTSVAPVAESDSTKWWKDFEVNVRGTYLM TAAYLRLIADEAADFQPTVVNFMSTISLTPPGLSSYFISKLGVAKFTEFIAAENPRVA AYTLSPGIVLTSMTLDGFKPFAKDTPELPSAVTVYLAAKRPQYLNGRHLSANWDFAEL ESRQSEFASSEKLTLGQFI AOR_1_46134 MPIVSSEDLANKSDGQSEPLRQALMAYCLQSIYHAGLHNRLLSI QKGMVSSDHGENPSQSPLVAKLFVTLFQQALATNNAYLLYAEPTLADVQRHLLMAAFL LNSGEPQAAYNILGVAMRLTQSLDLQRLPRTHLPPQELETRQRIWWKLVHLDFHCSRL LGKPMAVSLNDKTITMPHPTPESSVAAPDLTYYSASISLTVAARQVAESLENHLHAVS GTVDSVNMIERYAHHLSREIKHLYEWKDRILNAKLFPNMTLACGAYQPDAVTATEDGL KHDHDARLSFHLAPAVILQRTLLELQYHDIVLWVHHSFIQFPSRGLVPQRSPQADVHA TTAIQHALTVTDLIRLRMLYHDVLYGSSEIYQYLWNAVLTLIGFMLAYPLCYWFPRAQ QHVERSLQIFEAAGPVNPIASRAAHLTRYLLGRVSALMELLSSQSSAPHDRNDSRGVQ TLGPVEPEKRQQQQPPLFTSEDDALWSCADIVNPNIWYGYCHEINDMLMDVPEISLGT ELFTS AOR_1_48134 MPPLIPYHVSAGSNPVVKFGGALVTEFLEPPPGRCFCFRQRYAL KPPIDEGDPDYERINEALNHPSGPPVHFHPFQNEYFRVEQGRMCLEVDGQTRILTPED GEVQGRAGCIHRFYVAPDSTEDMVIILSASDPGMDYQLDRVFFENWYGLWHDYLVHEG KMDFIQLLCTYDAGDAYLLPPAWLPDWLRKKIGYWGGIIVGRWIGGLLGYRPFFKEYT TDWEYAVKKMESSFFTRRLVGRSFSSALSWAQLEDCARAWGDGGGTDRFVKPHDKLLD AOR_1_1108134 MIVPQAKTTLEVVIVGAGIGGMAAALALGLRGHHVTILESAPKL MEVGAGIQASPNMLRLFDRWGVSSMVHAKDIALESITIRRWEDGAVLSTMPVNKAYGQ QTVVHRADLHNALITKALALENVRLKDGTVVTGDVVVAADGIKSVLRGEMLGSLAMQP IPTGDAAYRIMLSREVMEQDPELKALLDSPSATRWIGPHRHVIAYPVRNHDLLNIVLL HPDDHEAEESWTTTASKQTMLRQYHGWDPRLLKLLDLVKEDEVLEWKLCSYPSLNLWT KGHIALLGDACHPMLPYVAQGAAQAVEDAAALAILLSIIPSRHAIPEALRAYEMSRKP RAEAIQQSGMANRTILHLPDGPEQEARDKQFLASRSSAANPDKWADAETQKLLWGWDA EEHALATWSENMRPPHLESSL AOR_1_50134 MTRSTPFHLTSYLSAGPDPLHKLTPNAPHILVIGAGVTGLTNAW HLLDAGYKVTIVSKEWASDGRTQRLTSQIAGALWELPPTQCGGVRLTDQELTEADLKT AQRWALESYAIYAKLAANTELARAFGVRMPLCASFHTYYVKDDEPTHAKMEVARKLAP GRFHWGMELAGKYGVDVSSNGGMKDAYEHPAPVIDTDVAMAFLMRLVRSKGARMQTDN IVGNLRDQESHLLRMYGADAIVNATGLGAREIASDLGVHSLRGGILRVINDGSEFPKI ESSIIVAADEDAEGKYIDIAFIVPRSDNILVLGSIEQAHEMDLDLTPDSPVIKAMRKR CEDLVPVLKNARLDPQYPFAQGLRPYRNSKIRVEREGRKTLGGQDSRIIHCYGHGGAG WSLAFGTSKACMELVEGVVRKPSSRL AOR_1_1110134 MPYKSRWRVDVPDTHLASIRPTDRFAAGLRKSGLRPGDRVLMFP GDDLFFPVVFMGIIMAGGIFTGANPMSVPRELAYQLEDSGATYIICARASLDTAIEAA RLVDLSRDKVFVFDNTLCDGHGVGERPVVTGAS AOR_1_1112134 MIAATLALNTPVYIMPKFNFIQMLGYTQKYRITDYVVVPPIVVA LAKHPAVKKFDLSSVEDIGCGAAPLGKKVSEQLQALWPPGKVNIRQGYGMTETTCSMV NWDPREKGFSAAVGELNANCEAKIMAEDGVTELLERNQRGELWLRGQNVMKGYWRNPE ATKATTTEDGWLKTGDVAFVDDHGKFYVVDRLKELIKVKGNQVAPAELEALLLEHPAI ADAAVIGITTDNDEGPRAYVVLKPGQVASAKDIVQFIEGKVSPIKRITGGVVFIDVIP KNREDSA AOR_1_52134 MPILARRIKCDEAPTKCNNCTSTGLKCEGYDLCRLPIKRKSPLG RIPEVELCLAWVPTADERRCFSYFQYRSIPSLAGFFDSQLWQQLALQMSRADPAVYHA ANALSALHEDSETTKLLLVGENLRRPLHRFALEQASRSFALLNRRCASQDPERVEIVL ICCLLFVLAELLLGQYTRALQHLRGGLRILKEAQNTQKQCIPLCVIQAFRQLDVQSAH FGSGPLLFANDGLKELSDEDFRRPLHRLEDVRHNMSSLLHAGVHFLANRWRLSSTEVQ TNYGKLCLKQQRILSLYTRFHHQFETFYDRYYPSLSYRAQQSADIVRLQYRSQLVAVK TCLMKKPVPNDLIPEYEALLLAHEAYMAKFSERPTITLDLGIIPGLHTVAVNCPRYPL RLRAIKALLAWPHYEGIISSTFAASIAIVSLKAELEAKDQKEHPELDNRSRFSIFRAL AOR_1_54134 MSMDKRLELSRANISPESADLRDERTVLLPLELVQLSLIILSGK PFGAAVRCQACRMHVVENALLRIGDWTHISHEEIGQWAGLWCIEIRDDHWPTRLWIVD PMSSVVGRIGGFRSGCAIATCTKWDYGGSRGF AOR_1_56134 MSPSPRSLLAPLLLATCVSAESVAISRAETALTTLQTWYNSSTG MWNTCGWWNGANCMTVLADLALVDDSESVNNTVKEVFANTFSVGPVSNPYPDRNNDSY YSSAVQSKRSVDATQWLDGSYDDDAWWGLAWIAAYDVTGIEDYLDLAAGIFKHLSQAW PSKCGNGGIDSDFQHIYVNAITNELFFSLAAHLANRASDRDYYVDWARRQWTWFKDSG MINVNNTINDGLSSDCKNNGGTIWSYNQAVVLGGLAELDRAVSNESYVDAAAKLANAS IAYFADDNDIIHESCEPDSCDSNETQFKGIFIRNLKLLHSVAPNDVYAKVINASANSI WQNDRNAQNQLGVDWAGPVSQVDASTHSSAMDALVAAIGI AOR_1_58134 MVADTDAVAQINPSQEGQDGTVSSYLVIPNDSDRQPNDHGHDEE SALLSSPTAYDERKVELSTSVSTIVAVLILGEFISNADSTLVMAATAKVSSEFNKLQD ASWLSTGYTLGVCAAQPMYGKLSDIYGRKALLLVAYTLFGLGCVVSGIGRDLWTVIIG RAVSGIGGAGIMTLGSVIITDIVPRREVASWRAYINIAMTLGRSVGGPVGGWLTDAIG WRWLFLLQIPFIVLGGLLVIAKLNITYHATSKASIRRVDFLGAGLLGASVVAIIMLLD RGGHAFPWISLPSFLLGGIGIALLILFVWAERVAAEPIFDLRILARPNVASSYMVGFL QITSQLGMLFSVPLYFQVTQRASATVAGGHLVPAVVGNTVGGLLAGTFIRRTGRYKAL LVIGGLVAAITHVLMLIRWNGHTNFGESLYIIPGGMGTGIASASAFVAMTALLEPQDM AMATGGYMLIVSFAMTTGITMTNTVLGLGFKHQLEQNLHGKGAEKIIRRATSDTNYIA KLEGNIWEIVVGCFVAGLKNTYVICLAFSILGSVIALTIRHHHL AOR_1_60134 MTPVRTTTSHSLQDSRPKTNYERWLEEKDEHYQIAEDPDYHPPI PGIHGQNPIFHHVPDNSPPETVHSTKPDATHLQTHGFHGEFLHGLNARRPSLLNGAAT DVLREPCVWQLASVFVVIVAILHAGKSLRKRRNSVRAASSPASTQPIAPALDEKELV AOR_1_62134 MSSTTTSVTPFLMFEGDAETALNFYVQTIPGSNIMSITRYGPGE AGTEGKVSMARANIGGSLEVMAIDSYVKHAFKFTPSLSLFANFTDEHGDDVIDRVVGA LSEGGEVLMPLDNYGFSRRFAWVNDRFGVSWQLSLE AOR_1_64134 MDHTTGEIEGLLKVMCLQSLVPVHPLYYNMLWWLCVALLLVHHS LAGCPAVVLPQPDIWPDGKFLYPNGAPQCFTQGSIMNISWETKYKTTNLYLVHGANYD DPVALTLNTAATWHEWRVGYPTRDDTKPFVLRLVNAQGTPEDMAVGGIWSARFWIGWN QDTSSSSTLAPTPTPTEPATVIDASRSHTTTSASPANSAQSNSGSSSHGKAIGIGIGV GLGVPLCSAVILALLFLRRRRNQPESVVHGGPFAPPASDKSYTNSTVPEDMCLEGKQV YELCGESSRTEADSSHVRYELA AOR_1_66134 MTLSRFRNVPLIVAPLVVFLLLNYFFWFRKDTNDAGEEFLQPQN NTNVDPGSNSSGCPKLPGIHDVLVVLKTGATEALEKVPVHFNTTLKCIPNFVLFSDFE EQIAGVQALDVLRSVDEDIKQTKAEFDLYNRLRDAGRAGLTAEDINDDPSTPSGKPDN RGWLLDKWKFLPMINETLNIRADAKWYVFIEADTYVVWPNLLSWLEKFDPSQPYYMGS GVRILDVVFGHGGSGLVLSQAAMHKVSDYRAPRVKEYDDFTAGQWAGDCVLGKALKDA GVGLFFANPMLQGDTPWTFSHYGPNNNDHHWCTPVVTYHHMTPDDIRETWAFEQSWWA NTQSHTLLHADVFEEFVRPQIEQMKENWDNASSDENIEGSNSREDCQAQCEKDRECHQ YSYETGKCLTSKVARRGSSKAGIGSGWMPERINKTMESLGHCRNVRWITPKS AOR_1_68134 MSLPTAKLGKSGPEVPRLGLGLMGLSAFYGTIKPDSERLAFLDT AYELGETFWDSADMYGDNEDLIGKWFQANPSKREHIFLATKFANRRRPDGSFFVDSSP DYVHQACAKSLARLGINTIDLYYCHRLDRTTPIEKTVQAMAQLKAEGKIRFLGLSECS AESLRRAHAVHPIAAVQMEYSPFSLDIESPQYRLLETARELGVVVVAYSPLGRGLLSG EITSPDQFEENDFRRFAPRFSRENFAKNLELVRVIRCLAERRGVTPSQLTLAWLMAQG VDIFPIPGTTRVERLKENLGSLRITLSEEEERQFREACSAVEIVGSRYPEAISATLFA DTPPL AOR_1_70134 MNRIPRSRNIVHNIFSQGYPSRPLPRSPLLKSLASQPTATISSA GHISRPLTPQLRTRLPPTSANMSSRVPIEPQRVDAPLTQSATFLVVSVKDSSDAIKTV RSTLASVDDLSKNVSIRDLAAQFACTVGIGSNIWDRLTGLPRPAELHPFREIKGEKHT AVSTPGDLLFHIRSDRRDLCFEFERQLMDLLGDSVTVIDQTVGFRYFDVRDLLGFVDG TANPVGPSVSDTILVAEEDTSGTGGSYIVVQKYVHDLQAWKSLSSEKQEAIIGRTKWE NIELDDADEGQQQSHKSLATIEENGEEHEILRDNMLFGNPSSGEFGTYFIGYTRRLWV IEKMLERMFIGNPPGLHDRLLDFSKPLTGVTFYAPPASVLAGLEDD AOR_1_72134 MAIPSKGPAQHAREILPNRQPKSDGPAIDNSDVARQQEYIVSQE ERQNLSRGLSQRHVQMIAIAGAIGTGLFLGLGGAIATGGPLGALLGYIFVGLIVCCIQ YALGEVSALMPVTGSFVRHAELLVDPALAFAIGWNVVYGSFLAVPSEISAAVVLIQYW TDINAAVWVTILIIVSATVAITLVRVYGEIEFFFALLKLLLVVFVVILGLVIDLGGIP GKPRLGFHYWKEGLFVEYIATGAWGRFLGFWAVMTNAVYSFSGVESLAMAAAETKNPR HNIPKACRRVFVRVTVFYLAAVLVVGMLVSSSDPRLQDDSGTAAQSPFVIAASDAGIK AIPSVVNAICITSAWSASNQSMLAGTRTLYGLAVKGHAPKIFLKTSSWGVPYMCVAAQ TAVSFLAYMCVSNSALTVFYWLLDLTAAGVLVSWIAIAFNHIRLLQALKAQGIPTTEL PWHNPITYFSSWFAFLACILILFTGGFAVFTAGNWDPASFVSSYLDIPLVLLAYGLYK YIRGTKIIPLTEVPVHQALEEARNDPENVPIKPAKGWKRLNVLWA AOR_1_74134 MLFIKAIALTGLAVSQVSAHGLITRVKGHNGIDMPGLTIQDGIP RDCPSGACGAQKDTAIIRDAEFGSVKASPLGRTLGAGPVNPAIVINNFMGAGTHKRSR VPASHRRRQLINDAAGVITNAGGAILNGVQDLADMTPVGGVIKGVQSTIDDAMAILPG TESGAVTGKGVKENGMQLYAGKGANIGLPTASPDGVVTMIYHQVNQDGAGPLSAEIDP SSGGTDPKAFKSARVIQNIPGVAGFSTSSAMDYAVKVQVPQGMKCTGTVGAAKNVCIV RVRNNAISGPFGGSGAFTQ AOR_1_76134 MESCKLTSSVLLRVLKGVAAATLLDESSYERLVQCFACGDRVAE GADSHTGNDVAHGRPVGDWLAMVPDISCEDKEKQLLVQHLAELVLAIALLRESGRRTQ NPSLAAVSDADLAIVWSMIRGALLSDLFPDSKIRASRSAQGFLSVPLCSIVQNGNIEE LFRLHVWLPDGQRGTPDFAVHSHQPFGQSWILAGAGVDHSFDVHPTTDGTAATHAEYK LVWQDAKGTDKTYKTHQISSTIENTGNLVQVTAKDSKLHVRNMSYAIPAAAFHYTEVA PDTLHATLFFFDASRGFVKDAPVLGPKDLDSSTQQRDPGGVTPAVLATMVDAVRSWET LMEEGDQHAQRAEWEHALRSFSHALSLCGPAGNLPASGNYRHIVLGKLGYTNRRFGRY EKAEEYLQSALDGLGSTSFHVELRGEMGVVYRHMNRLDDAKREFEIQYNMAVELNLEY AMCRSIGNLAMVNYQLSRDLLPLAIDQLKERVRLARSIRASPGSGEKAQAIIWETVGL SRLSLCYTACGFANDAIATSLESMKVALSTKDPTVVAMSRLFYGRALYLNGQREEALQ QFNPTGTCTPAMALCKEPSDEHLGYLRELVEAGADMDLIDEQGYSALDYAVFCGDMQT EEVVLDGLRRQFGKQANDKLLQRQREARVRKCYRELFQESLRPVLLESRNEVSQLQHL RRVYAASLVADEEKIKIFDGLKFVWYRDFLRNGRLPRSNHGLTQNYRDIEPECAPEYI VFISYRWINGDPACLASPDDTNHTQYHRMITAIEAFLEAHGSLNPERLGIWLDWACID QDNPLPGIAALPLNLAQCDAHTKIENSEQWAIEEGPLEFESSVAGKQLSSEQDRPMIL FLERQARLLGRD AOR_1_78134 MSFINLLILLVIACLTSTTAGLCSSRKVTTRKEWRELDESERIE YINAIYCLRERPSYLPNEEFPGVRDRLDDFVATHINYTTRVHQNGLLLPWHRHFIFIW ETTLRNECGYTGRLPYWNWVLDAYTLFDSPTLNGNPTSLSGNGAFEADEVLSCNSQNT ECLPRGTGNGCVKSGPFANFQVHLAPINASLAQPYSRPPSYAFDYKPHCLTRSLNPFI MAVFNNDTVGDRLLQANNITEFLRVMEPSGFDDMGAHGGGHHSIGGDMQNLFISPQDP IFMLHHAMIDRIWGIWQQQDPPNRRNALNGTTIIYDPPDAPLVTLDTIMEFGVLDSTR KVGEVMHPMDYEYCYRYT AOR_1_80134 MSIDEGHIGHGASLEKKNSDVYRENRPLMVTEEEAFARARNSPD EALPLCITFSHNDRENPRCWPKWRKWYITIFVSMLNVITTWCAGSISSGATAIQSEFG VSEEVTTLCLSLYVLGYAVGPVLLAPLSEYFGRQPVYVVSWFLLFIFQLPIALAPNIG TIIVCRFIAGFAGGAPLTNTGGSISDLWERNHSGGPMAIYGLSSTFGPPMALVVSGYM ALDLGWRWIFWIMMAISGGWWVLLVLTIPETRHTIILQRKAKRVRALMRKENLKSAET VTDASASGRKGLDELFKITLTRPFRFLFTEPITTFSAIYNGFLYGLVYLFNESFPLVF GPGKGHGFNVGQQGLSFLGMAIGPIIAFCFYPLQERYYLRRVKEHDGKGVPEARMWMA RLGAIFIPISLFWFGWTSYRSVHWIVPIIASAFFGAGIYIVILSILNYVVDSYQTYSA SALAGVILVRNLVGAGFPLFATQMYERLGYEWASSLLGFLAILLVPIPFIFFYMGRAI RLRSPWAREHFEQNEDNPH AOR_1_82134 MECGQRRTDSGQSGMSLEPDYNPDFNLGMRTEVQQLYRSDDRSP WNDSPPDKSVVNLEAGSYAQECALIIRREPHPITKQVALHSITIQSPLIKKVLDNTFK GFDGINTHLKQLTFKTPFHSFYYRWHRFEKLYEDEQDEEVRDHLDLLYPIVREEVMPH IETMNDLTMNRVITFDYLWTIFAPGMEVYTNIDGQDRFMELIDSRYGANMGGEFFTLD CRYIDCNGSSFGYVSNSVDIDKFEGAIKLTDLDVFPSHLHPDVERLVDRLHARGERFE QLNAFHHMSYSGFYTARSSRQIRKRHVENSRIIIDPHTFNIYSTPSPGLGSIKSETES QVNSAEDQLLFDVPNVIYRATSQAYQIYRNSLGKYEKHGKDDGEDGAKVLSPKQRLLC SPIVRGYCLAFKTWAEFHVENVQPIRWSENAFPRLVLPHGYKEIIRAFVQEQLSRDDE FDDIIYGKGMGFIMLLSGEPGVGKTLTAESVAEEMRQPLYIMSASELGETAVEVEEAL EQVLELTSKWNAILLLDECDMFLEARSTSDIRRNRLVSIFLRQIEYYRGVMFLTSNRI SDFDPAFESRIHLTIHYPALDIQSRLHVWKTFIQIGHLETKIRDKDLKALAKLELNGR QIKNIVKTARLLCKQERVPLAMEHIQMVLQVKKGSLL AOR_1_1114134 MSIPEQCTVLVVGGGPAGSYAAAALAREGIDTVLLEADVHPRYH IGESMLPSMRHLLRFIDCEDTFLQHGFTKKVGGAFTLNQTNCEGYTDFIAAGGPNHFS WNVIRSEADELLFRHTAHSGAKTFEAVKVNSVTFTPSPTLDTGPGRPVSASYTQKSDG ATGVVGFKYIIDASGRAGLLNTKYLKNRKYNQGPKNVAVWAYWKATGKYGEGTPRENS PYFEALTDESGWAWFIPLHDGTHSVGVVMDQGMMSQKKAVSELSTNARYLSWLKLAPR LNGYLTGGKMVADLKTASDFSYDSSSYAFPYARVAGDAGCFIDPFFSSGVHLAITGGL SAATTICAAIRGDCDEVAAARWHSTKVKEGYSHWLVIVLSAYKQMSNQAEPVLSDVGE DNFDRAFNFFKPIIQGTSDVSTKLTQAEFSHTLNFVLKAFDRKIGGDLTSEEEEKIYE DIRKTQSQNMSNIESFTMDIIDGRLPRLLRGKLGLESVAGMDEDTKHCPTATQQH AOR_1_86134 MAVIWGLDLHEIQFSKFKGKYMFNRIYHLRRTRMIVYQLAMILC VCSESVGTAALSDYLDQQSYIQGQHPGVKVHNNSFIGAASYNIFVGISVATIFGAAFF FDLFWPDRYESPSVRLAWKICAVVVSVMMLSSALLMTVITAMYSARITGTDAASARKF WSEAEKKPALAYRTNPKAVASAVLAWPGWVATVVSTVVLFMSKKHDDQYGAKSNYGRN LENGENIPETEAKPMTM AOR_1_88134 MTISNKEKPVFVLVPGASQNPAHYAHLLHLLQSAGYGATTGLLP SIGAQGEVTAADDADYVRNRLILPVLDIGNRDVILISHSYSGMPASAAARGLGPADRA AEGKTTSVVGQIFIATILPRGGLSVIDSFGGHLPPHMYIDQEHNLLKCDDPKPPLFYD VEPNLADAATQTSLSQGLISFSSPCPEASWDTEAFRDRVAYIHTLKDHAVPYEAQVAM VQATGVKWITREVTAGHSVQLSAPEELTKIILELAQQFHST AOR_1_90134 MLISSVSLLLASAGLSQALPHAGTKRAESDGLNWAPCNLDLPEG LEPASTVPVDCATLEVPLDYTNPDSKPLDLQLVKISASKEPVKGSIIFNPGGPGASGI DELYLQGKGEVYRDLFGGHWNVVGFDARGTGHTIPFACDVPRPGIKRSLSRRNNETLP QADMYSLLKRKAWNDAKVLVDACYEDQQETGRFLGTTFVARDMLKIVDALNEDGKLRF WGRSYSTILGQTFAAMFPDRIDRMLLDSVVLNDDYHAGHWITATKAAEDSLYHFFTEC INAGPTDCPVIANFTGPATTPDALMKEMRTAFQELVDNPVTLPDEYEPLPWWQPGGID LLTEFKYTVFSLLYRPEQYPTLYAYILTALTRNYEVVINPSQAATVPPTWNQGPNNFH GIACADAHFRADKPEDMYSLVQSQAVTGSFADGFSPQVWPCAQWKFKAAEQFEGPYHG INTSYPILFINSPYDPVTPLSNAWEASAHYLGSRVVVHEGHGHGFMNHPSSCSQNIVK EYFDEGKLPEVGTRCKPDMTGFEYAKLLVAAASGNSTVARRGLGSNQMRRSGQGMFGH QI AOR_1_92134 MSPLTGEQLSAAAGACLELQKTGQDIHSKRPFAALLLAPDSTTV LMSSLSLSHVRHAEAELARNAADNYARDYLAETTFISTWEPCAMCAGTIYWANIGRLV YLASEKALQGVIGEGNIENLTLDLPCRTVFASGQTEVEVIGPVSGWEEKVVEDSKRYW GKGK AOR_1_94134 MYLLQPLLTFLLLTLTTSTPTPTLTHDATAVINDLTKITTDLSA LTKSIVAYNGGIPAALDIQVKEMAVERDLDQATRDTTAATPFTVSESATTTSALLGLE PDIRTALVTLVQKKPLVDEVRIGAIVRMNLVNLRTKTGTLSTALQNKATAVDKDTLAP KTTELDAGFESAIKAYS AOR_1_96134 MDAKHSSPETPDTEKDRPVVDLEEIGEREGYMLYTPRNKNQNLK TTDDGQTVLIPQPTNFPHDPLNWSPFRKNLILFVISATAFLPDYGSATGAVTLLQQAK QWNMTEDHVNHSQAGNIFMLGVGGPVVVALSAYFGRLPVLFYFVLMALATAIWCTAAQ SFESFMAARILNGFFSTVAQGGGLMFIKDMFFLHEQARKINIWSGSIILSPYLGPMFA AFITNTQVWQWAFGLYSIETGLCLIAIILFVEETYYDRKNIQPDLIPNAPRWKRMLGI QQRRSGYMVNTPKDAIMRPITVIMKPVVFLSTIYYMLTFAWVVGVNTTLSIFVGPLYG FGPKQIGFFYFTPVVAAILGELTGHWLHDYLAKLSTNRNQGRLEPEARLLAIWFATPF ILAGIILLGFALEGAYHYMLTALGWGFYVFGVMIVTVAINAYVLDSYPEASGEVAAWV CFGRTTGGFIVSYFMVEWAGKQGARRQFGTMAGIVAGAVLIVLVLQVRGKELRGWAGP VRFKTG AOR_1_1116134 MGDTTTPSNCENRQWVIKVAAWPLFSVCTVLVALRIWTRARVIR PLGWDDAFIVLSMACATVESVLSTISVHYGTGRHTTELSETQCILSGKFNWMSQGFHV MATNWGKTSVALFLLRIMRKVKHHQIVIYGGITFLTIINTMALYTMYGQCTPTEKLWD NKIEGSCWSPTVQKNYAFFQGSASAFSDFALAIYPLRTVAGLQMPRKVKIGLSCVLSL GIVAMAAAIVKTINLSSLTERADLTWDTVDLSIWTSIEQYLIILAACIPTMTPLVNIL LHKRPSKRNTARARTHPGNPYGRGQGYAQFGGRSLDYALGTYGDAWATARRDKGDGDS EDPIMNEETSQGIMKTTEIHIQSDVDVDQRGS AOR_1_98134 MKLVNRLLASFLSVSTVLQSCWAQSGTPVAYTDTETGITFDTWS VPAGTGTGGLVFGVALPGSALTTDATEFIGYLQCASQNASSAGWCGISLGGGMNNNLL FLAYPYEDTVLTSLRFGSGYSMPGVYTGNANVTQISSSINATHFTLLFRCENCLTWDQ NGQTGNATTSKGRLVLGWAQSTESPSNPSCPDNISLVQHDNQGIISATLDENAASASY EDWVKLANKTVPGDCSGDGGGGNEPTPVPVPDGATYDYIVVGGGAGGIPVADRLSEAG HSVLLIEKGPPSSGRWGGTMKPSWLDDTNLTRFDVPGLCNQIWVDSNGIACSDTDQMA GCVLGGGTAVNAGLWWRPNPVDWDYNFPEGWQSSDMQAPADRVFSRIPGTTTPSTDGK LYYQQGADILFNGLQSAGWSSVTLNDVPAQKTKTFGHAPFMFSGGERGGPMGTYLVSA SERDNFARWSNTTVKRVVREGGRITGVEVEATLNGGYAGTVNVTANTGRVILSAGTFG TPKVLMRSGIGPKDQLSIVKNSTDGETMIAESEWIELPVGENLVDHVNTDVVVTHPDV VFYDFKAAYKTPIESDATSYLNDRTGIFAQAAPNIGPIIFDEVTGSDGIKRQIQWTAR VEGGHDTPDGHAMTISQYLGRGSTSRGRMAITAGLDTVVSTLPFLRDESDVNAVIQGI QNLKMALNGTGFTWNYPSRNTSIAEFVKTMPITAGTRRANHWMGTCKIGTDDGRTGGS AVVDLNTKVYGTDNLFVVDASIFPGMITTNPSAYIVTVAEHAAEKILAL AOR_1_100134 MAGFQAGRPVGNHDYTSATVVIIGAGISGLCMAIDVIKRSQCRN IVILEKGNQVGGTWTDNRYPGCACDIWSTLYSFSFEQKTDWTREHPGQEEIRDYLIHV AEKHGLYKFIRFNTTVQEARWDDKQLKWKVSVATSGAKEPQFHDSYDITTDFLVSAVG QLNVPSWPSIPGLDDFTGKLMHSARWDWTYDFTGKRVAIIGNGATCAQIVPELAKSVS QVTVYQRTPNWVIPRYDTSVSSLQRFLLSYVPPIRWCKRALMMQAREFSHDAIAKSNS ALAGYIRKISIATMKSQLCDKPELWDKLIPDYSPGCKRLIPSDDYFPALNKKNVHLET RPIQRVTESGIETVDGALQEYDLIVAATGFRSVEFMHPIQVYGRNGRPVSDVWKDGAA AYYGVTVEDLPNFGMLYGPNTNLGHNSIILMAEAQSRYLSTLIGEVVRAKARSDSLVF QPRSDVVAAFNERLQEELERSTFADPQCHSWYKLENGRITNNWPGRVVQYQKELSRVQ WEDYTIEGTGKSMMERKKTTNIGRVQEELPLANTLHALRQRWVPVFHKLRSSPGEAFD LFWEFARRYFFSLAFISLFGAKFLHLFAHLHSLPVSKFLLWGVTFFFQDVMILLLFRT LAQRVPWRSLAVVGAIIVIPFSLMMSGMMASNTSFYVVTGAEIHWRQATSFHADAAAM RTLLTGLAGFLIVEGILLTVNWFVAGFIHRVTGGILHVLAWPIRALVERLRPCFHRVY GSVRRQPLPDPETYEQIAVDDYLDDKSDDGESDHLLHTGTATGSTAPTERRRTDTPMR RFVVLGLFALFLLLRFLRPWAPAYLYLSGTLPLTPFFEGGHRKSPVDTTALPGDYGWL EERSSLHPAPGWDWMPQKGLPGFSDWDKTDRFALHYTPSMDPLHISNRDNPVLDSIRP VLEDNSVKIKHVIMLKLESTRGDVFPLKKDSFMWNRIAESHKDKQIPPEIQDRLSNLT RTAEWLTGFDAGFEHTDSLHADRKAYGGISARNAHTTGTYTLKSLVGTLCGVTPLVTD FNREYKHHIYQPCLPHVFNALSQQHDITNQTDDFTAWPWHSVWMQSVTETYDNQDKLT PALGYHDKITKETLEEPSSKHFPVKSEEINYYGYADTELRDYIRDAIDDAEASHTRLF LTHLTGTTHHPWGMPHDEYEEFMGSSWTGHNNDVNRYLNTIGFGDNWIAEIISILEEK GIADETLIVMAGDHGLSLPNDGGVTPYDNPHVGSFHVPIVLAHPKLPPVEVSTPVNSN QIVPTILDLLIESRSLSEGSGRAARDILSLYEGQSMLRPLVQEEDGKQNWQFTVMNTG GTWLAVRSAARPAFRLVIPLVDDLEWRFTDLEKDPIELKPIKHFSLMDMANELDQKYG QDVVDWLRDAAHVTEWWVLDNWHRYRYIPQIKISKDGKISKGKDSGDKGSDDKDSDDT DSDDSEDSKR AOR_1_102134 MDVVSSPFPSVDIPDVDLWSFLFERPDRPYPADKVLFTAADTER CLTYTQVRQRALTFGHSLCRKWEWQKGDVLVIFTDNSIDVPPIVWGTLAIGGVVCPVN PNYRAEELLHPLQDTKARALVTQRARAPVAFEAAKRANIPRDRVLLLDELTEDDFHDG RMNGPHSAPIDRPAEDLAFLVYSSGTTGLPKAVMLSHRNMIANLLQTASVDNGVLAWN GGLHGEGDSTLALLPFFHIYGITYLLNHTVYLGLSTFVMPRFQFDTFCATIQKHRITY AYVVPPIILELVSNPRITEYDLSSLRMMLSAAAPLAVELIQTLHQKLKLSVRQAYGMS ECAPCTHMQTWNETHTHLGSVGRLLPNMTAKYALVEGETGRSKELWVKGPNVFLGYLN NPKANSESFSEDGYYKTGDVGHKDENGHFYITDRVKELIKYNGFQVAPAELEAIALGH PAITDVAVTGIKDGQSGTELPRAYVVVAPGYEGNQSTADAISQYVSDQVINYKRLRGG VRFVQAIPRNPSGKILRRELKKLDRVAKL AOR_1_104134 MLMEGVPPPSDPIEDKHGHPMYADDDLKAELDNTPVDDPFGNEA TAEVKYKTLKWWQCGMFMIAESVSLGVLSLPATLDTLGFVPALILIVGLGILALYTGY VIGQFRERHPHIHNLADAGEILMGRFGRELFGLGQILFSIFIMGSHIVTFTVMMNTIT DHGTCSIVFSIVGMVICLVLSLPRTIKNMTYISIASFISIFSAVMITMIGVGVQYKGG TSIYATQHTNIYHGFTAVTNIVFAYCAHVAFFGLIAEMEEPKDFTKALCLLQGFEIVL YVTAATVIYYYVGTGVASPALGSAGPVLKKVAYGVAIPTIIGAGVVNGHVGLKYIYVR IFRKSGRMAKRDFVSVGSWVAIGVTCWIIAWIIGEGIPSFTNIVSLISSLFASWFTYG LSGVYWLHMNWGYWFSSPRKIALTILNFLIVGIGGTICGLGLYASGKAIHDDSSRASF TCANNAD AOR_1_106134 MARLLNKVALITGSSSGMGRAIALRYAKEGAHIVCADLSLTARS LVPEEAEITTHDAIIQAGGRAIYVQTDVSEAQQFERAVQTAVSEFGRLDILVNNAGIA TDTRNPTRVHETDEHAWDTMLRVNTTSVFLGCKYGIAQMLKQEPHSSGDRGWVINLAS IWGLVGGLGSPAYCASKGAVVNLTRQMALDYGPDRIHVNAICPGFIWTAMTRDLEEAS PHAIESLHQKHPLKGFGYPDDVARMAVVLASDDASLVTGVALPVDGGYTAQ AOR_1_108134 MSPHLWELDTLEDAEGHLQRLDFQPFKLQNLVNGNLVPHPHNWI DSMNPKTGLHFACIPNSTPEQIDQAVKAADAAFPAWSATPPSQRSQYLQRIASRIEEQ RELFAVWESIDQGKTLTRARVEIDRAVSNFRYFATYILHQETHARLTDTNVLTYEHRS PKGVFALISPWNMPLYLLTWKIAPCLAFGCTAVAKPSELTSVTAYLLSAVFQDVGLPP GVINLVYGAGNPTGSALVRHPLIKGISFTGGTATGRQIRRDTVDDIGKHLSLELGGKN PTLVFDDVDMDKAVATAAAAAFENQGEICLCGSRIYIQRRIWSEFVSRFVSYVKEHYE LGNTVGAVVSLPHYNKIRSYLSLAAEDPVSVFHLGSIPPENPEGGFWIEPAVLTVSES SPLLTDEIFGPVVTLTPFDTEEEAIQKANNSQYGLASILLTKDGARMRRVGERLEAGL VWVNCWLVRELGTPFGGMKASGIGREGGQYSREVFTSVRTLHIPQV AOR_1_110134 MIPPFSFASWVAENEDKLHPPVNNYCLYSGEDFTLMVVGGPNSR NDYHVNQTEEWFYQVKGDMLLRIVENNTTFRDISIKEGEMFLLPGNTPHNPVRFRDTI GLVMERKRPEDSLDRLRWYCSKGKHKKPTIIREEIFHCADLGTQLKPLIERWQIDEES RRCGACGAIADPK AOR_1_112134 MYCDDYHHLLRIDLHTHIMPPSLPDLSSYPSNSTDSPWLSLRPN TKNPEDTDMYVGDHFFRTVNRNCYDVNTRLAEMDAAGTDIQVLSTIPILFFYDQPAEP VTILARHLNNHIAALCAQHPARFLGLATVPLQDVPAAIAELHRAKNELHLHGVEIGTT IDGMTLDDPQLDPFWQACEELEMPIFIHPLGYTWPKENPKLWSKYWSSWLVGMPSETA LALHLLICSGTLLRFPRLRLCFAHAGGSFPALLGRIQHGYDCRPDLVATDAGGVTPME HATVRDNIWIDSLTHDVDLLEFLVKKVGAHRIVMGSDYPFPLGEVPEAGRMIARDKRL EKFLSWKQRADMLAGNALRFLNLDPDEKWRDLVEMRLRASEKGHGSKHYLS AOR_1_114134 MGRAWVGRPPLSPDELQKIGPQARKWAAEQILNVWRRGKDINDP RALWGDELEYVMVQFDPSQSRATAVLDQENVLSRWNDQVSSKLGETLKDEYMDLQPEW TNFSVESTPSKPYTDETRDLLNVERNMKRRRALIKDLLGPTQYPLTIAHFPRLGTKGQ FTTPHYHTSQRDIHHRMIPPQVEAPGLRDRNTPRPFIDEDCLAEGALLRKDNVYLEGQ AFGVACCALQITVQAANEPDVRWLHDQLVVLGPIMLALTAASPIYKGYLANTDSRWDY LAASFDIRTQEEECKFLGTPKQCCSSPLMRWSSNRVYLSHERPAGIANACGQVQVDES ARRQLLDGGMDETIASYFSNLLWHDPLWLNQDAIELSSPDTTHIFEKFQHGVWRHVHL KMPEASTGSGWRVEFRPMEVQPKDSENAAFAVFMLLLSRAILAYRLNFYIPIDLVTES MQRAQKLDAVTKERIWFRGRDWAPDGLDSIQCNPQHGCAQSQKEDVYALMTIDEIING EHSMSPARFPGLVPIVRSYLLERDLLPNEEAKLMQYLNLISCRASGSLPTPARWMRDF VAKHEDYQHDSVVSERICYDMLREVVNMNEAD AOR_1_116134 MGQAESYEGNINCPVNRYRYSPLPQGCIRLLRLLPSEKSDSRLQ GHLFNYPLGLLAAKTHLYEALSYVWGDLNNSRLISLGNYDFPVTKNLHTALLYFRDQY IERIIWVDAVCINQEDLNEKGEQVRRMAEIYSKASRVIVWLGHMENHSNEALEAIRIA AQRPHGVDDKLTREAVFCLLRRPWFERIWVLQEVASARQILIKGGDTEMDGHVFCTGL TSKSFEYLYKDFPDLHTVVRPVIFLMSESLFRPKVVERTSNEFSLNIRPLGELLDMYH TRKATKQHDKLTPWARLFERLIRYLLWGDVAIRTCDASERVLISGSGYILGKVLSVTS SQHEDGQDVGLATRDIDGSFGPETQWRLPAIAKPVQVGDLICQLRGAAKPTIIRLCHD HFSIILIAPSLELKQPVSDTSLVFFLSWDWQDAHGKLSNLDDAQRPVDYSLPWHWGNQ CAACSSELHELWCTGLILDEAEEYRLAENKLQQVLSGYEETIGAEDPRTLVCMDKLAL IHKKLGLLNDEEPNMVPEELRGLRLSELSSLNDWTLRLKFKQKGVIRYGTAFRINIPG VERAVLLTARRNLADEQGRLSQDLEIYNEHGRNKLRDIGHKEVFLSAFDPRSASDTGV YSGYGAILFDKEAESYLGGYGFSLELGQANLEGDGLGVCGYLKQDTLSRSTGLCLSCQ DDRMTYNAITMPGMSGSPVFLSRNGDATAVGIQ AOR_1_118134 MTRPNQSRYLFRFGIPSIVGGILGLLIWSLWFGVNSDRDNVSSL INHLIPAGHCACQTAVSFECGSCLRCASGLPEDPTPQARAYNPVYDAHNLSLNAAQCQ GFFPGLFEDVHRAQAFWTAKHGISMHDLNDIHLVDGMARAAVVNGQLYVISTHARGDD HRRKILGILGSIHRALASSPNTSALPNTEFIFSVEDKVDDVASPHHPLWVLARKPDEK AVWLMPDFGFWAWEHGKVDGKIGPYTQVVDRIRQKDVPWEEKEAKLVWRGKLSFAAKM RRALLEAARNQPWADVKEIVWKDKTNFISMEEHCRYRFIAHVEGRSYSASLKYRQACG SVVVAHKLQYIQHHHYLLVSSGPDQNFVEVERDFSDLPAKMQTLLDDPASAERIANNS VTTFRDRYLTPAAESCYWRELLDQWTAASRHITDAISPSEFVLRGIRYESFILLDSQK MMQFP AOR_1_120134 MRLTPSLISCLSLLHFTSALVAFPGAEGFGANAVGGRQGVVYVV SNLNDSGEGSLRDAVSQPGRIVVFSVGGVIEITDRIVVSKQVTILGQTAPGDGITVYG NGWSFSNADDAIVRYIRIRMGKGGSSGKDAMGIADGKNMIFDHVSVSWGRDETFSING DVSNVTIQNSIIAQGLETHSCGGLMQTDGGVSLFRNLYIDNKTRNPKVKGVNEFTNNV IYNWGGGGGYIAGGSDGESNVNVIGNYFISGLDTSVTAFTRGNENFHAYVETNYYDSD KDGTLNGSELGVDSTNYGGMDLVTEKYDYPAVASVLSPDDALTYVTKYAGASKVRDSV DTQLVAQVESYGKDGALISDEADMGGAGDLDQGTTPTDTDGDGIPDDAEAELGTDPNT ADSMDLDTSGYTFLEVWANSLVPSSYA AOR_1_122134 MLSVYVLRILSCLLLLFQVAQTAIIPIRQYDSEDQDDSTNPDAD TKSSEPSFTLMAPSASATSAASQQSGGHLAGGTDIIIPYYVYPADGAWTPLEQLAANN PNVKFTVIINPSSGPGRDALPDANWRKAIPKLTAHSNVAVIGYVATCYAGRPISAVQR DITTYANWPTASGDASFAVNGIFFDEAAAELDDTKVTYYKQLTSQVKENKSFGPQNNV VMNPGTIPDNAYLDIPDSTVIFESPHSRFQEALAGHEFDRVKNMDKARLSSMVTSVPS RTDLAGLVGQLREITGHIYLSNSGSYLEYSQALEEAARIIGSS AOR_1_124134 MRRFPQYDNFELASDLDLDVRSAVSEPLADNLDESRAVSAFPDG GREAWTCLLGSFLMMFPSFGFQTAVGSVQDYISTHQLSEYSVSDVGWITAVLVFLTLF LGVQVGPLFDRYGPRILLICGSLGSFTSYILLAECCEYWHFMLCLGVLGGVSAAVITT VSIAVLSHWFYRRRALASGICMGGSSAGGAVIPLLLRTLFAKYGWIWAIRVIAFIALG CYTLGFALVKGRLPVSTQSRTTIDIRAFKSPRLCFLAVGVFSFEFIIFGCAALLPTYV RYAGLSLDVQFYSLTVLNAMSFLGRVLPGFAADLVGRFNILLTLVIMTLIVMAAVWLP FGSRDDATLYAVVAIFGFGSGGWLSLAPVCAGQLCKTEEYGRFYGTIYCVAAFGVLLT VPVGGELLQSTTPQVLVGFYSAVLLAGLVSIALSRWALLDWKWRWKVKV AOR_1_126134 MNNEQENRTRSSSKRPKWVMEKLTRPEAESHAKRHYFIAKFKRD KLGVPLDARDHAYLTGPPIEVDGDPLDFYIFMMNQRKVINDHATDVASAHRVCTAKYH ERFIALVAAVEDLRDIQAKDPCFLEYEYEFDMPPSSGYYSDSSDAEESGEDGDEPANF YGSDLDFQEKWRIYHLKRNKIMGLCQSLHFARGKETMRKKWRFAGLF AOR_1_1120134 MSFSTLPLEILNHIFDPLIPNGWWITSGWSFHYQLEWNAHGLVQ LRGVCKAFDTVISRLAFLKLDLRSVKEWRSLKGETASWLLYEKLKIGSVHLDGKSPKE KDTEELFSLRGYDLRKLASQLAIRYRGTETVNELLEWPHDLLYQHCLRNSVSVAAYSG DRALLASFLDKGADMDNYDDYLGTPLYAAVCSKSAAVVELLLGCKSNLNTEGESGPPL TLAVREGNKEIVQLLTQHESLDVNAVDIKDYTALWWSCTLGHTKIARLLLKHKDVKVT CNPTGGDLCLMWHSVCGGNPQMVQLLFGHSDTRPDDCKHAGETLLWWASRYGHASVVK LLLERTDVNPNAHMDEGSTPLWEAAVRGYSDTVRQFLQRQDLLPNILSYGGSTPLLAA IRGEHEYVVRILLSCEAVDLNLKGESGMSPLLLAAKRGNMRIVDALLARKEIEVNSMS DDGDTALSLACSRRHEAVVRLLVAHKGILLNIKDKRGYLPIRKAADAGHAGIVQLLLS LHAARGDLQNSDHEDCLCDSLGWGSRRAVTILLNARVEPTFKQQVIETVLSHLRERMK NRADIEEFMEGVEGFNGYMWGTPRYFETHLL AOR_1_130134 MMKALVYTGPNKLEVLDRPMPVIQSPTDAILKMLHSTICGTDLH ILKGDVPAIPYDRVLGHEGVGTVVSVGPAIDGLSVGDNVVIAAITACKVCASCRKGLE AHCITGGWQLGNKVDGTQAEYVRIPHATSSLYKIPEGLDLRACAAISDALPTGLECGT ISAHVQPGSTVAIIGAGPVGLAVMLTARLYTPSQIVMIDLDDTRLEHAKRLGADHAVN PGKLDAMETLNTFTEGQGFDCVIEAVGIPKTFEMCQKLVAPGGSIANVGVHGHPVNLD LHKLWDRNISIKMQLLNAVSIPTLLRLYQSGHIKPSNLFTHHYPFSEVHKAYHSFQMA AQEGALKVAIDF AOR_1_132134 MKGCEVNLKHPKNAGDVAGEDERLFTIRVIETSRHNSTMSSTMS NTQIPHEDIMITAQSDFTVQIKEFRQAMMGGRWTESEGDTITLEEDTVRSIEIWLRHF HGTLDVVTLDDISVADIWHIILASDKYQFNRNDLLQWFIRWYRNATAAGIHRDNLAKK LMLPCYAFDYAHGFQDLTKRLAYEEKGHIMEINPIDNVRLHLPPRIIQQVNAAKGRLR NILTRELFAKINFLIETGSCSCKDETVFNYLRELGKIKVQPLEERSFSLLRYRMEETC WKGD AOR_1_134134 MAPIMMSGSSEPEDSDVSLKHDPSVKADPDDELNLHNVPASQPD LDDLEDHERILHYDLLLAQTPSITGPRPPSPRARPSSASVVFPSTPALTVSPAAASPP ISPIMSREDFNKSQIADQPRLPWNWSNLGKNSEAYTPTVVGKWWYRTSFELEQLTENR CNNFGHIFRIMETELGEQRLGDARFKVNSNHKFILYNVPSVQGHPNGLASHAPKLPMF HLLKEI AOR_1_136134 MHSSLKLLLSAASLLPLAQAFQYTPLQLAYPELRAKQQLTPESA VKQQSTTAEYPEYYLSVPVDHFHNDSRYAPHSDDHFDLRYWFDAQYYKEGGPVFVIAA GETDATDRFPFLSQGIVTELASAYNGIGVILEHRYYGKSYPVANLTTENIRFLSTDQA LADYAYFASNVVFPGLEHVNLTSKTTPWIAYGGSYAGAFVAFLRKLYPDVYWGAVSSS GVTEAIIDFWQYYEPIRKFGPSDCIWSTQTFIDVVDRILIDHADNKTLGQQLKTSLGV SPDKDDVSFVSLLSSGLDSFQSRNWDSNIGSYSFRSYCDNITSSDLLYPDTEAVKPTI QELIEVAGYDATNSSFVNGFLNHVGLFKKSISSDEDSAETEQSDSSDPKSLPKDDGTS WEYQVCTEWGYFMSGASVPKDIMPLISRVLDVASVSTFCETTYGITSPPNVTNINKHG GFNFSYPRVAIIDGLADPWRDATPHADGTKERESTDDEPFILIDVPAEDVWDGIRGAV HHWDQNGLSKTDEGKGQEPPAAIVEVQKEVLRFIGVWLDQWKKRSRDSSRPLGGIRGP GRSQVPL AOR_1_138134 MARILEPEKRLPLSNQDLLSYVFDRPAYDRTQSIYIDAHNPSRS ISWNQARTIIRQLIKGLRNAGLQNGDCVAIFYSVVVLAIVGSGGIFTGTNPSYTAGEL QHHLKTSQSKFVFCESEFVSPLLESAKQASIHNGNIWVFDTTGDSILPPGLQSWTHLL KQGESDWVHFDDLVIAKKTTAARFFSSGTTGLPKAVEITHHNLLAQHSLVFEAHPRPY SMSHLIVLPAFHAAIAPLMHIGALRSGYVMYIMRRFELNSYLEFVDKYNITDLIVVPP ILTAVLKSEHPEKERRLKKVKNIVCGAAPLDKAIQSQARDLLPKDTPLTQAWGMTETC CASMIFPYPEKDETGSVGRLVPNVEAKLIDDQGRNITAYNVPGELCIRGPTVTPGYFD NVSANSSAFDDDGWLKTGDIASCDEASRKWYIVDRKKELIKVSGFQVAPSEVEAVLLS HPGVADAAVVGARNPGDGTERPCAFVVPKSGMKVTSVELKLYAARRLAKYKELSGGVK FVDAIPRNVSGKILRRVLRDLCEDDGGLKSKL AOR_1_140134 MTEASYIIVGVTAVISCLAIFWAKFRNQGSGTRPFLAEDKVVLV TGGLSGLGREIAELYRVQGAKVAILDIKDEASLPWVPNTMASKYYKCDVSDGSEVEAT LKKIGVALGNPDILINCAAMPINRLPFCELQSESFARTIRTNLLGPVNLTRAVLPLLM QSTKRGSIVNISSVVAHLYPAGLSDYVSSKAALSALHHCLEAEARWFGYDKQVDFFLV EVGQMDTPLFSWIKPPNSLLAPVLEPRYVAEKVFAAVSSGGGRIIRLPKYAAWVCGYD MLPVPVQRFARYVMGVDEALENYK AOR_1_142134 MEKHLEMRSDISTYAVIAISALLEEVALTRALLDDNFTAHIGNA IVCLSTRLIGSDLTFEQLKNMFPGMLFTVFAFSYSFDIANQAFSVEEDSTNKPDRPIP SGRLTRDGAYRRWLLSWIISLALVGLEVGFQAALVLLEWEVWVALFYVWPKFHNWVAR NLFTAVGATIQLRLLDAVLVKTLPSFRTDSSLAWLLFTWLVWTIHVQEFHDMEGDERV GRQTLPLIVGHRGQFPLRVVTAMIVGGTGISSILLVQLWRTSNPVLLCLGLGHLLFML TVAVRLVVLPFKEADKITYKYYYTLATYSLLLFRQHTGRLGSFGGNTTELW AOR_1_144134 MAPYNDGLLAFLFRQLFYTIPEVPPVELHGKSVLVTGANSGVGF CLALELASHGAKVLAAVRSVPKGEAAKQRILERVPTAQVDVQECDLASFDSVKAFANQ MKQESKTFDLVILNAGVWCSQWMASTDGFDISLQVNVLSNALLTMLILPHLRYKSGKP RIVFVTSEGHAMVPTHFMQHNSILDTFKQSPESFDHYTHYYTSKLFGLLWALALSRRI DREKFSVVLASPGLCKSELFRHVRSAPTDILANCFARSCDEGARMIMIASVQELAENS EPSYYSQGSLVPVSRFSSSAEGLLCQDRLWQEVASTLVQVDPDFEAYLQLAC AOR_1_146134 MAFQFTPMVLTPLDHTMPICYIAFFYALVLDDPHRGVSILKEGL HRLLGECPLLAGNMMRSSSKRSKANVREVRPPTVDSLREFPILRVAHHLNQYIIKKVL DSGERVSSDSLFDERYLPLPLSVATREICPIIRWQANILQDGIYVAVCFHHSVFDAAG FYFIQDALARCCREPNSPALTIPLGSDLLEGRRRMVSSPVVSSDVRGDAPTEDPHTIR VADFNAVEGLVSRRLVLQPAHMECLKDVCNSILRDQSQEEYLTSNDMISALLWLAIIR ARYGPSPDGGGGQETRPVQSSLILITEVRRTLTPPLPISYVGNGIVQSVATSPVQRAL ISDASNAVHPHLPSVTKGDLQLLTDLALKVHSTQSSADNTYVKGIIQEKQRSPDWSPT FKQGDVTSTSIRRMGIYGLDFGETLGRVVEFESPDNRIDGTVCILPARSTSILELRVT LQADTMHRLLQGPLLQWAMRRTLGKL AOR_1_148134 MSTKEYDIVIIGAGIAGINAAYRIQALLPQHRYVILEARETAGG TWDLFRYPGVRLDSDIHTFGFSWHPYDGDTNMMDGDSVFNYLNETAKQYGIDNHILFN HRVRRAAWSSADNLWNVTSTHLDKEVCIRATYVFFATGYFDHQEPLRAQIPGLDDFGG VIVHPQFWPANLDYLGKRIAVIGSGATAISLVPKLAETAFTVTMVQRSANYILPIPSP GGNRWARFLSSTLPHKVKRFAWLAVTLVGYYACRKFPGAAKDYLLGLVQKQLPKHIQA DPHFTPGYNVWDQRLLACPDGDFFESLHRGNVRIETANIQTCTPNSIVLDNGKSIEVD LVITATGHKLQFGGGSTLEIDGKVCDISKRCLWNGMMLQGVPNAFFALGYLTNASWTM GVDVTALSACRLINYMAKRDILAAMPHAKGPLDPTVRPIWNLNSTYMAVGGDSLPKSG IVSPWQPRTNYIADYLQAKYWSFSDGLEFTRAA AOR_1_150134 MKIVIIGGGISGCTAYLQLKKHLPKPPSPDEDHEITIYEAYDTN KDTTCDERDGPTHSSTLIVGGGLGIGPNGLNVLKRLDEDLLRDIVRGGYVVPTSNMKN KNGRLLIRMDASDEPTSPNELPMHMLGCSRHWFWRCLRMRIPDRDIVTKRVAEVVASP DGRNVVHFADDSPPVEADLVIGADGLKGIVKRALFPETEGDPFPPHYEGLSGVGGFIS ADKVKDDVEKGSMNFIIGGNGFFGYFYSDSARSAPHRDSPYHVSEPGESLGWWSTYQV DECPNPKTIDKEDVARQLRERYSSWKDPVIQKVLHSLEVSNMYPTWTMPPLPTWERNG VVLVGDAAHALPPTSGQGSSQALEDVEAFVLFLSHYLRKEYETRGPDIDVKAVISTAA KPYMELRIPRVRAILEDAKHRQNIKRDMSIVEEYLMYSFLWILGWFPSFFAKEMKAVF NYNVADEVKKMLARES AOR_1_152134 MNLLLLALTLLTTLTSATPTPRQMNILYPYETYRYWVQSGNWKL DPQDQLLVVKNGNAADETTSIVTFNIPPAADGHKCKLLFDLWDRDVSSGSKQADVFTA TKPTGASASDANTDSASLQSVSKEVADVIVQSRDEHVGRISVSAPGTADWVLAYQGYP EFDCPAGQIVGFEFVGVGDEVAIRWDIGVTGPRVQIL AOR_1_154134 MPQTAKTGNENINIDLASPPGWTYVGGDTVIGNVVRRSHVVTPD ASVTLTLVGRVKTKITVKRNNGQLTSTSHYRGRWQLFSTSRETLFRGPLHLPEGSVNN PLTWPFSVEIPTRPSDRVLEGHCKEESYLPLDKEILAKNTLPASFFSSNRGWRTSSEG FVEYYLEAQLRYSRSGSFEVETATFPITIRHVPQAGTFIYELQSRLLAGRAKSQRLLP GMEHAELSLKQKTQKLFGSSKVPEFHYTVEVSWPYAIQLDNPMPVPIIISIKPASTSP EISDVVQKVQLNWVNMVIKSQTMVRAPGNLTPTYTHNHSHSSSHPIGLKKAFLRLESP VVFTTGKGNEPVDIGSMFGLLFHSNGSTVGGLRNTTYSGPSPDFITYNILHRNELELE VSLTVAEETKEFKISSGLKILAAE AOR_1_156134 MESLQVDNPQPEPQTPRLLLKLISAGFAFFVAGVNDGSLGSLIP YIREAYHIDTNMVAIVYGTTFCGWFFAALSNSHLSQYLDLGVFLVMGATLQVLAHALR TWLPPFPLFAVTFFFASLGQAYQDTYANTFVASVKAAHRWLGFIHAMYMAGCLAGPFI STGVASAGARSRWELFYTAPLGLGVINFALVVFAFRESLAFKRPTQGEMESPQEARQK GAMQEIQKTLAQPSVWILSLYFFFFLGAVITAGGWIVEYLVHVRNGDLNDMGYVPAGF YGGGFLGRLILAEPTYRWGERRMVFIYVLLCVGLELVFWLVPNIITEAVAISLLGFFS GPFFATGISVASKLFTVDIRSSALAFIFVLGQVGGAIFPAVTGIMAAKVGVSVLQPML VGLLGATGVSWLMLPKSRLHHD AOR_1_158134 MKLYLLFFFFLTLHALPGLPRSTADKPPFFLLAGDSTTAVQSTN GGGWGNGFIQTTLAKGAKGQNYGHNGATTVSFRAGGDWDTLLKKVEEVKANYQPYVTI QFGNNDQKPTANITIAQYTSNLETFVGDVRNAGGVPVLVTPLSRRQYDNSTGVPTIIR SLENERVATIKAAKKTGASYIDLNRASTVYLNSIGPVSAHMYDLKTGDSTHLNAAGSQ VFGGMVAGLIIQDFPQLGDAGFVHVDPKLQTALDKGQYYWPQ AOR_1_1124134 MSKMSLIHLMTWLLITIDYLLLGVSAQTTTTEASATATETSKGA ATHTIQVGPRSDPHQYVPSSVNASVGDVIVFEFYPRNHSVVRADYDAPCVPAQTSGPV FYSGHFTKFNEENGQMIGPPPTWSLVVNDTKPTFFYCTAIGSCNENGMVGVINPTANM TWEHQNKKAINYPYQLEPWEHIPAEGESPNSSATSSPSPSSSGSHLSGGAIAGIVVGA VAFIGLLVAFFFVMGRNQVYKKWMTSQDGTTERTARWALFNSHGERKSDFDSTQPPGD QATYIPSPDLTNRTYVSGQGQYGWDQSMFQPMPQSPPPRNVAPTELEAPDSVVHYRTD GR AOR_1_162134 MDKKSVIDDHQVSKEDTGNGTIIQPRETQRGLSSRQVQLMAIGG SIGTGLFVGIGSYLRDTGPLSVFLGYMFYGLLFVWPVNLCVGEMCAYLPIRGSIFELA ARYIDPAFGFAMGWVYFYGGLMLVCTEYSAVATVMQYWTTSVNPTAWVAMALVVCFLL NIVAVKWYGESEFIMASTKILLLIGLVMLTFITMVGGNPKHDVYGFRNWTHGVMYEYY TDGATGRFLGLFSVMVYAAFSVAGPDLPALAAGEIQNPRWTIPRVVKMTFYRIVGFYV VGVLAVGIICSPQDPRLLSAIDSGAAGANASPWVIGIQNLDIHGLPDLINVLILFSGW SCGNAYVYSSSRTLYSLARDGQAPKFLLKCNSAGVPIYCVITVSLLSCISFLVADTSA VTVLYWFIDLTTCALIITYTSMACIFLGWYRALKAQGVDRKTALPWVAPFQPYFAIGA VTIGSLITLFNGFSVFSPFSVQGFITSYFGLAFFVVMFLFWKLYHKTEFVDPATADIY SGKAEIDVECRIWEDGRFEERRKAELAQMHWARRMWEKMW AOR_1_164134 MTTETIQIRRMTRPDIPYMAHLASTTYFNSPLSQFLSPYRHAYP EDFTRRFVQMIRARYFNPRCIGFVAVSVSNPDIPVAYAQFIRLGNDKAARDLIAAQTS IWNTLRRWFVTVQTWIENLLWPDRSGDADAVRRFEKSAEVDGLRFWDSEEMKRLYGER WHAQSVVVSGDWRRMGIGRRLMGEVLRRAQEDGVFVGLEASEDGEKLYRSLGFELRGR FSLLLDGQDGGGIMMWRPKGGL AOR_1_166134 MTKDFLAIYRLAWRVFFRHTGEDLEPGHESATGQTCTAENSVPG NVLGSDSRGGTDDSSLSVQFNFPGLIYPVGLMPSPDEEDVWGMPFLQEWEISTTEVDP NGLRTGN AOR_1_168134 MEFKTMRLQRDTLAVAEQGKQYKQLLNQERAARKAVEDIRKEKT TMVYDQTENCDDSEKKKQHEKERLQREIETRAKEAELERLRKLREEAEKQRCKEQEAQ KKLRTMGVCCMGFRWIKQAQGYRCAGGSYYVSNAKLGL AOR_1_170134 MRPSTLAAGTIASLATLIQAFTHPGLLHTNTDFERIKTKVHSNT EPQLTGWYKLTNSSFANPSYTPRPQETIYRGKDGTHAENYPNLYKDIAAAYALAIEWK VTDDKTYADAAVSVLDAWATTLKSISGNSDKFLASGIYGYEFANAAEIMRDYDGWEES KFAAFKDMMVSVFYPMNHDFFVRHNDAKIDHYWANWDLCNLASMLSIGVLADNETMFQ EAVDYFKNGTGNGAIEKMVWKLYDVDGQVLGQGQEAGRDQGHAMLDFGLLGAIAQAAF NQGEDLFAYGDNRILAGAEYAAKYNLGKDVPYTTYQNSDVTQTVISENSRGDVRPIWE LLYNHYGVLKKLNATWTKQYRDMVVEKGEGAEGGGGYYGSTSGGFDQLGYGTLLYSL AOR_1_172134 MDLSGGLRTAPVYGSFDVSLGDMFMGHSTINPAQLHFGGSPQGY GNDSPSSPYTQGAHQMPPTDPMMEDDFSFDWMNGFDPSMQMGKGNESVIDESSPSAMS TGSQSGISEAMMDGGHRYSVSSASWHNPFPPHTGPPSNQFIDYTSPTFNDLGIPPETV SPKSLMAQNPFAESYATPPSMTSVGQPMVGGHSQMPYELTIPQVQPIPLQTPPDRLY AOR_1_1126134 MQRYISAYITYFHPHMPFLHIPTLDFQAPEYTNNLRTPSGHLNL SSTGVAGGGGCLILSMAAIGALYEYDTAASKDLFEAAKKMIQLYLEERRKADMSAAFS RANSARDNSVHNTPLWLVQAMLLNVIYGHTCGDKTSADIASTHCAALVSLARAAELTH HLDARDLPQDYLKAGLGSRDSSQDASPDPETWASSMGPPRERKDWLDWKIVEERKRTL YAIFTLSCFLVSAYNHAPALTNSEIRLDLPCEEDLWAAESPQAWRKKGGPLASQKGLS FPSALTTLLTASQREQSQSQTPTSNNTTSEDSSNNDLKPSTFGCLVLIYALHNYIWET RQRHMGRQWTARETDAMQAHIEPALRAWQAAWASNPVHSLERPNPFGAGPLSADSIPL LDLAYVRLFVNLGRCKEAFWQRDWNAMSDELARGTDIVNHVEEIPPDVLDPSITESVF HMDNRRDSVADLGVADLAISKTPTQEHPMQTLMGVHRPGQSKRERHLRKAAFYAADSI SMSDRLGNTFAEFTCRDLPIQCAMCTFDCAQVLAEWITTVQERVGPYLGLLGRDEVDL VQASRVMLLEEEDCKLLEKIKEILASVETKMQAEVQTSATVSTLSVLQRLPSVVEGGY GCKILIATASLLDRAAVWPVTKLMARSLEAQAMRLKERAENSAMRTD AOR_1_174134 MDLQPPSVLAQLPRPLHASKGKTHIGEVYSLSDSKKRKRYEVAV AVDGEAVNIYNIQTPKLVTSYAVPPQSSFSCEPCSVRRKLSDKSVVKRQTYVAVNPQN EIKCFVEESGGNGSSAPVISSASFSVKDSSTPTVFIGIVPTGSSQVDERNPFDILTVH QDGRVRRLAADLDNQHWSLQHSELAKISSSHAVQACFLVEFEDAKKALFKRRQDLAAL ALGDLVNSNVDEPSVLLLVSHPTGSKEIKLSDVKVHMFSVPAKTPSQERTLDESQKLK HLLTLSIPDIKGQELFESSGLEWDFHTGSAGLNLSFAKGFVNFDLSQYKPTVTSQFIL ENEDFSSLMRISPQSVIGAGNSIVALFDTQYKSIQRSISLNDIHESNSSKARTKFISY YAKLGIAVATKGNTLFAFDLSSSNSLLGSSLKRSRDSLLIDAIGRGIGSSASQWDVAS KKPRTEQLTSLGLTSKEQISRWDRLVTDLRAASKSKNGAAFDSAVQTYFEASSSDALP KQYVNPEVMLFLLSCIFSLKDAVNKDQLSVSSSSQLYIDVWPEHTCQWLTQLGHLSLD NVEIALRRSLKPRILPALPAGSFVQALIDTDPTLKRLIDILQGPVTWSSDELAYTLQF FLNMARSRSAALEETTQAITSGEENSTEAIQNPEATLQDIFRGLNTTLRKIHTHPLPT ITTSIRSTLSRTEIIAMVHHLRLSLATGGYASRFTENPPTPICPDQTTPSLTLDTITD LLNVSVDAVGPSGWISATAFDDTPTREMDLIADMKSEISAALAGVEEASYLKGVLGEY LRYTDSVAKSAKSAANQKPKDSDEPVSSLVRFEKLNGADLMVFRSAEEEGFEGDASGK MLPLSLKAASTDVGKTKVKKSTGEVQKRSNREIGYLRRKAVGKYSFERLIV AOR_1_176134 MAMGLEEQRSIHPFFRRDLGVPTSTVPQRIPGDCLLPPHDLLHN GSPLSLNKQSTSEYISPYPVLYELPSPEHRPDAMLEHDPNASRRKRRKTDKSNDVNHS EGSIVQYITAKGSPSTPNGESTTPAENDTPKVTETGASALPSTPSPDVVAQDKFHVAD DSALDKATPRRSPRQKTIKLNPNGKLLSSPVADKSEKSTKKKDRGRGKSGPQRDVGSK HKVVIIKYSNTNDAAESLGKRIDDIINDRTKYAPPVKRQPTVRPTAKDEPGKPTHPFF LKKATRKSDALQHQITNQDSTAADKGEEGVIKAPSPSQKPPAPSLGVNKTTICTPFAQ RASKFPEPMQPVWPPRDFVHVRGSQSGQPNLQYSPYVDRKKSKMATINIQDKESVLSP KMQGLCQEPAKILRIPDRHVASGNILKKAIADQLSQSTLSNPDSYTLSDTCHPAIAKL YTSIPYSMTAFDRGEYDSCLWTQKYAPTSAGQVLQTTREASMLRDWLRFLMVSAVDTG KASKDGEAKRSLDTKKRKKRKKTENLDGFIVSSADEASELGEVDESEEDELAGGVTVS SRRTLIRSGDLTLEKGRVSNAILLSGPSGSGKTASIYAAAKELDFEVFEINPGNRRSA RDILERVGDMTRNHLVHNVNGNDEKSSRESSDIDSLKDEACDPKQNKLMGFFKSTSAG ANKDKEIKRQNLEKQTDLKRSRSQKQSFILLEEADLLFEEDKQFWSGVLALINQSKRP IIITCNDESRIPLEDISFHAILRYRPPPQHLAVDYCLLLAANEGHMLKREAIEDLFKS TGRDLRRTITELNFWCQMAIGSEKSGLDWMIDRWPRGCDVDEDGCPLRVISVNSYEPF MGWFSRDMLLLKGLDSEVESQQEAFSWWALSLQDAERMSDMDAAHATPVASNKERLDR LQYQSNLMDMRSALDVLSLDCSLDLKLDAVDTSIPPMPEKQKANYVDGYPLLHADVVS DHSSLSTSIASTFEVLLGRVFRPCQEANIESLQAEKVLDNVTKPKSTNSPQAEFTRAF ETVMRANYVFPLPTGRLSPSFENGLRPISEDLGPYVRAIIAFDLRLEQYRMQLSGLLS QNGQGSKRARTTRASRAALEGGSKAETRKERWFPPDANPTLILATGGQDWQDLLVQNG YFVVTGSEPSRECNDHAATESSSEGGI AOR_1_178134 MSLFGTSPEDSSAGNSAHRSKSSLFADEPSLGTGSNANLGSSSL FADDDDLSSGSPWNSNVNKRTARHKLVKTLLSDSDAPESYIDAYDLVLSAGDRVGAGI GLTSVREILSGSGISASDQEKILNIVVSGDIDSANGLGRGEFNVLLALVGLAQEGEDL TLDAVDDRRKKLPAPKSLYLDALRANQESGTPAPSQERPITPPRPASPQQAPNSAHSR RESMTGLESDPWGSPELHRGHAHAQLESDHPVLNGYGSVRSATNAWSSRVGEDNNPNE ISNSNRANSQTDSAPSHGSGFGWGESLGNTPSDGGLGGTARAGLGGFGPPSSVHSDSN PRRRSLGIGRVASPPVEEHVTVTLLPEKEGMFMFQHRNYEVKSARRGSTVVRRYSDFV WLLDCLQKRYPFRQLPLLPPKRLSADSNAFLEKRRRGLVRFTNALVRHPVLSQEQLVI MFLTVPTELSVWRKQATISVQDEFTGRDLPPDLEDSLPSTLPDTFETVRGGVKRSAEI YINLCTLLERLAKRNEGLAADHLRFSLALQSLTEVTRDTYAIDTNDVPLLNEGIRATA NHLSVSQSLLEDEARAWEEGVLEDLKRQRDCLVSVREMFDRRDRYARNNIPQLERRIE NNERKLQDLRSRPQGTVKPGEIEKVEDAIIKDKESIVQQHARGVFIKECIRDEIVYFQ QSQYHISRLHQEWSQERVKYAELQADNWRSLSDQVESMPLSG AOR_1_180134 MAWDHLDIDKPHLAYMILGGFTGLFMLCSLFVKEKLYIGEATVA TLCGIIFGPHAANLFNPHEWGNVDKITLECSRIVLVVQCFAVGVELPKSYMNRHWKSV FLLLVPVMTWGWLITSLFIWWMVPPLSWLESLVCAACVTATDPVLASSVVGKGKFAKR VPKHLRDLLSAESGCNDGMAFPFIYLSFYILRYRPDAGKVTLNWICVTILYECIFGAV YGFLIGYFARHSIKYAERKQLIDRESFLVFYFVLAVFCAGSGSLLGMDDLLIGFAAGV GFSNDGWFTEKTEESHVSNVIDLLLNLAYFVYFGSIVPWEMYNAPELGLVPWRLVVIA ILVIFFRRIPIMLILKPIIPDVKTWREALFAGHFGPIGVGAIFAAILARAELETDSTQ PLTEAELPKAGTADYYIVQLIWPITTFMVISSILVHGSSIAVFTLGKRINTLTITLSY TTANEEGPSWMNRLPRVQSIAKGSMSFRKPDDTDASSNEQEYPPGTLPPIGVPGNFLR RVRDEDTESPTGRTSSRRPRRRRRHASGAGGPISQSAIAPQRTVESEDAEEEDERDKI EREGSPPSKERDQFGREPIVEAYLEGHHMIIEDEEGNVLKTEDVSHVSPEERQRHIEA QRDRLLQEKSGEFAKSKSQPHARSEGEEVEEALEEKAGHPLEKARKRFGQWIGLGKGR AQEVEDQEVEKAPTTKQQPTATDKKPKGKSRSAHAYQFGNTIIVEDEDGEVIKKYSIP STDKPETGAPVRRGLTRMGTWFGMDGEGEPSQAEKKSANDDWLADDGLRFTVADDDNI SKKGVSHKGRRMNKHEFVQQLRNLGPRARRELVEETDVPQHVKEVARDEARDAERKES LAGGSAQDTQHGTTPARPASISSSESSNGSDIGVPGDNIAASLARFTRGTAAEERRST LNPSTRPRSRRDSEDDGTERVPPAQLREAAGLSPPPQQDDDDTGETPAERRRRLAALG ELNLDDSDESDAAVESDSEDNTGFRRVGSKVQFVEGTKPSDSGNGSNSNQGNGSSSSS SAHQRSISWGGEKGREA AOR_1_182134 MSLHTSYHADSDADDEYERSVITSPHLATDSEASPSESDFPSSE QTPTTFANADEHPKSPKTIITEWTVEECAHFLASLGLRQYCPAFLENEIVGEALIALK HDELKEMGIASVGHRLTILKSVYETKVKQDIPLDADHYIPLSADQSMNETASQEDVAR LIQSIRLRDERIVTVESELRRMAEDYRRLREELLPVFKMAKDRSQPLPPPTSMGISGP DGYHDSQQTLVSPSGITLLDRSGTLSRQISKRLNTGGTTPKNNSPTHIPPSIHEGRMY QDSGVLDPSSALYTNGKSQLSPGIPSPTSPGVQYTAAQTLGSRSYQPAPNTSRSPHDH HDEPSTSQNRERLNPTPTQPNRPDIPTRSDSRAGNGNDPPSVEIFKSFRVSMEDPCYK VLPAALKKYNINADWRQYALYIVYGDQERCLGLDERPLILFKQLEKEGRKPMFMLRKQ LQHPVESNYPPVNPVPNSAGFESRQAQINLPGGVL AOR_1_184134 MATSQSTGITPASSESLESDLLAHLASTTALDDLHATLLCSLQR MGWTEKVRKLSQELLRGGRCERFDDVVEAVVASAEGRKHPSLAGLDNVNEEDKNSNGD ADGYFEKVDVRIPEAVVEQGVRAIKEVLREVVVLDDDSDLLDHHDSHHHAGDGKQEGG GETKTKPSKSSKTGDQSLKNGDTSPVKKTDKKPKPGKQVK AOR_1_186134 MSAEPDHTHDKKRVHLQDASGAEKKEELDTATAILKKKKKPNSL IVTDAVNDDNSVIALSNNTMETLQLFRGDTVLVKGKKRKDTVLIVLADDDLDDGSARI NRVVRHNLRVKHGDVITVHPCPDIKYAKRIAVLPIADTVEGLTGSLFDVFLAPYFREA YRPVRQGDLFTVRGGMRQVEFKVVEVDPPEYGIVAQDTVIHCEGEPIQREDEEGNLNE VGYDDIGGCRKQMAQIRELVELPLRHPQLFKSIGIKPPRGILMYGPPGTGKTLMARAV ANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKREKT NGEVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIPDP TGRLEIMQIHTKNMKLGEDVDLETIAAETHGYVGSDLASLCSEAAMQQIREKMDLIDL DEDTIDAEVLDSLGVTMENFRYALGVSNPSALREVAVVEVPNVRWEDIGGLEEVKREL IESVQYPVDHPEKYQKFGLSPSRGVLFYGPPGTGKTMLAKAVANECAANFISVKGPEL LSMWFGESESNIRDIFDKARAAAPCVVFLDELDSIAKSRGGSVGDAGGASDRVVNQLL TEMDGMTSKKNVFVIGATNRPEQLDAALVRPGRLDTLVYVPLPDQASREGILKAQLRK TPVAPDVDLPFIASKTHGFSGADLGFVTQRAVKLAIKQSITADIERQKQREANGEDIK MDEDEEVDEEDPVPELTRAHFEEAMKTARRSVSDVEIRRYEAFAQSLKNSGGSSFFRF PSAGEVQDNNTFGEAGNDDSLYD AOR_1_1128134 MECKPWYPADFNQALLNTFTEETLKELLERPDCAPRFVYGVLML PTVLKYFIGEDPATDITKRMTRACISGYMLYQISPKSPPVVVETSNPHDTVHGMLVLG LDVNQRNLIYELEGGLMNLVDVRAQIRLKDGSLPCHDICSGRMVDAGMFVWHGSKEGL IPVESTAWPLDWFLKEKFYENIVNSQHRNLLDGSGLFL AOR_1_190134 MADTENPPDSSAPAVAEPPNVGKSASPAGGSPPQPSSLSVAQRQ LLGALRATDVTIGRLDKLMSSAYGQERVFAVTGYLTHALHHLLASAPWIALQTRLGLL ARLRSKTKPVASNSTPSQSRLLALSALMSETRYSLRLLGLFPLWTWGSATLKSPPSDR ILYALTLLQVAVNMIYQALENAGFLASKGVISKKFIDRWGGIDKWYIWSTRAWFGHIF FQFFVLWREHVLRKKRMSGEVSDEKKEEALRAEVRAWKKSLVNNTCWAPLCLHWCFEK GIGFPDSLSGVVSFMAGGWGVYDLWTATAKS AOR_1_192134 MADSEQGDSGGNTGIHQTGSLPILQVPPLTSNYRLVRQYQVSTQ EDYVWPAPELQLPLDYQNYYTGHPLMAPPTTQSAVRQRRCHHSVVERQFCVDRMETCN SCGRRPFLGWLYLCVEDTSGFSDPLDPINGPFLSPWILKAMEDGHYTTEQKEIVIHQK LNVVRTAERERGPVPPPLSMLYAERSAQNGHNLDDPWVELVEDVSQRDESAPRTSEST VRLDHPEQTSQLLQRSHPSLPCTFQACRHCERRHGNLEERTWISLNEICNDPSIPPPD SWELLERPVSDANILRNLELPSGHFWPGSPNASSSDYSITESCEDISARLYSQENTTS TNLHELLHQSLNISVRSVESEQAVASYTSRLSESIVVGMAISAFDDHLASLPWPDSLE HGHDDGNTE AOR_1_194134 MSVKFQDKFQDQVTQQVTEQIKGQIQGQFQEEAAKSIRQDTKEL VHKVGERLTGGNPQNGYMAMYLRQLQKNPLRTKMLTSGVLSASQEYLASWIANDVSRN GHYFSARVPKMLLYGMFVAAPLGHFLVGILQKLFAGRTSLKAKILQILFSNLIISPIQ NAVYLSSMAVITGARTFHQVRATVRAGFMPVMKVSWITSPLALAFAQKFLPEHTWVPF FNIIGFFIGTYVNTHTKRKRLEALRKRYDQRRAGPGSEYEPKDYR AOR_1_194134 MSVKFQDKFQDQVTQQVTEQIKGQIQGQFQEEAAKSIRQDTKEL VHKVGERLTGGNPQNGYMAMYLRQLQKNPLRTKMLTSGVLSASQEYLASWIANDVSRN GHYFSARVPKMLLYGMFVAAPLGHFLVGILQKLFAGRTSLKAKILQILFSNLIISPIQ NAVYLSSMAVITGARTFHQVRATVRAGFMPVMKVSWITSPLALAFAQKFLPEHTWVPF FNIIGFFIGTYVNTHTKRKRLEALRKRYDQRRAGPGSEYEPKDYR AOR_1_196134 MPPYSGLQRQQIAQFMNFTQAKDAVAAKFLKASRWNVEEAIDAF FQSPQGAGGATSSINKIFDSYRDSPDDNPDGIGIEGAMKFLGDIQVQLDEVTCLGIAE LLKSPSMGEFTREGFLNGWRAVGCDSIDKMVAHADNLRSRIPTQPDLFRRVYRYTFPL CRMQGQRNLQFEIAAEQWKLFFTPDKGGVQWETETTPWLDWWIEFMEERGKKPVNKDL WEQVEVFMRKTLDDERFGWWSADGAWPGALDDFVVWVQKKRGDNMEVE AOR_1_198134 MRQLTEQETKTLFEKLANYTGRSLNNLITTSDDPNDRYVFRLHG NRVYYMKLSLANLSTAIPRANLLSLGTCIGKFTKSGQFRLHITALDVIAPHARYKVWI KQNGEMPFLYGGNVVKAHVNRWSDDCPEHAGVVVFNSNDTPLGFGVTARSSAEARKLE PTAITVFRQGDIGEYLREEDTLFTT AOR_1_200134 MAPGRTNKRRRLSPPGDEGNGTSKTSKASALNNFYSNASEWDLE QDYERRPRKLGKKDKERTRLPIKTAEGLQNLEEPELEESDSFLGTDGDEEDAASDAED DMDEDEETPAEEEKPKIPLKLQILQAKEELAKLATLINEDPEEHLGSFKTMAEMVNNG AHVAIKKLALASQAAIFKDVIPGYRIRPLSEGEMTTKLSKEVRKLQNYEQSLLSHYKH YVQKLTELTKSSKASQEEVDPSLKSIAINCACNMLLSVPHFNFRSELLKILVNRLAKR QVDADFIKCRETLEEVFSRDEDGVVSLEAVRLLSKMMKAKEFRINESVLDTFLHLRLL SEFSSKASRDRVDREPEENTFRGKKKQKKEFRTKRERKIEKERKAVEKDMKEADALVS HEERDKNQAETLKLVFGVYFRILKLRIPAFMGPVLEGLAKYAHLINQDFFGDLLEALK DLIGHADRDELEDDVEDEDSESATTSRDSQREALLCTVTAFALLQGQDASKAASTLHL DLSFFIKHLYHSLYSHSINPDIEFNPNKSLRLPDPDDNSSPQQLKRNKVNFQTPTVLL LHCLQSTLLSRAQGIPPPVRVGSFTKRLLTTSLQVPEKSAIATLSLLNQVAKHHANRI SSLWHSEERKGDGVYNPYATDIEATNVFAGTVWEGELLRLHYCPQVRDAAVDMEKMIS RK AOR_1_202134 MADCDKDQEAQTRSESEDKELREKPKVKLPLVPTVPGNAWSQMR AREQEHIRERELHKLEFGELGYDPNTVITRPTASYSSSSSTMRKSSGLDYDEALALPM PKPRLMAVSSSVPVLEPTVSKPNVLTKLSGLKTQGDKEAHPGDKGAGTKVEGEKKKSM MATLRSKLSFKELGKEFRKAQDPPLSAMPRLPSNAGTPQVGKTKQSPPESVDFDEERL YVPKPRDPGVHPASAPVQTTRFSDSGSFVSSKQSASSCPAQQAKGNDRLDAKALRDTQ EQHRPHKKVGNVEPMTRLDTVLIDGSSPLASTGDTSRGHPAVVDAERRYISLKVENET SVPSKPKTDATSTESPASYKLSTSNAPKGIAPVSSSCERKQSTVEKNRPLVVSSDQQR PPSVRTQDQTEVTTPSGQYSRRSSSRSQEHMASSSGQADPAFDPTHMRMPFDSQLPID DPRFYSGVTTHGGYAPPPPHPGYQNTVTLEQQISTYMDSLHIHVDGHLSELMRKLNNR VMSDTEVMRELQRVMMDVKIQVGAIQREQRQMEDRMTQVFQSEYNKLKGEISALASTV KSNLPYNPVEDARSMNTRLQGSRDVIRWSKDNERPQQFMKMKSRQMRKEEVVTKNTES RGEEHNCESVALDNKHAEEHTLTDDVPTPMAAFPTPGLHSDDRKDHFTDPHPRRLILK DPSKISTGSPEPLHSSSEKGKERTASSQTLVHKASAEIMKFPAKMGLFYPFRRGRDRR SSESKAASRSLPPAKRGKDDKTPEEQDSIKQEPLPFTPPLQTRAIATIGAEHHQVEVS PSRVHPALRNLAQQQIMAERERLNNQETMTSRQLLRSSRSFQDLNSRSRNAASFDWID SSIESPSESTSRSQNQQDLAMGFPALTTASLRSSSRARSPLTAFAEARMSQASDVSFY YRGPTPPPRGSEASESSLPTWYQAAYAYKSIEKPDDD AOR_1_1130134 MVESITETVTISVRRPTDTPTIFVAGTFSEPQWEPLELNVKTIE VESELDPGLYSTEYLFFRDFKLAPGQYQYRFREGATGSWFHDESVKNASGTEGLVNNY LTVKSAAEQETPAQNGASEKAEEATKEPETDASTEGGPVTNGVHKSNGVNGVAEHEAP VADESPADDQKTEQVPEKPVETDAKAETEAEPKEALSNGTKEPEVNGTEPAAQTSESK DEPVAEEKSDPVVERPEEKVAESQPVAEKEGTEKKAEEVPATSTQEEAPVVNGEAKVE AEKQPEESQPQKATEKVAVKPEEPAVEMSTPEVTAEETPAQESNTEKPTATETPAAET TTEQPATEAQPTAEEETTKEPATEPAETKQAEVVSEEPAKEEPTPEEPKEAPATEELV KESVKEEAAPEQSKETVSEKPAAEEPVKEETTEAVKETSATEKLDESDKAPVQEETAA EESQETTKEPVKEEIAPGKSEETPAIKAPVAEEPAVEEPVEEKAAPEEPKDISAADPA VVEAPVKETVKEEGVSEAPKETSAEEPVKEAVKEEPVPEKTEQPAAPEPAVAEEPAKE PVKEEPVPEKTEEPAAPKESVKEIVKEEAVSEAPKETSAEEPATNDTAAQDKPSTEET VVEAVKEVPVVEETKETLSTAAPDAQESVAQEPVIKSSATEDAPSEPTKESGAEKAVE EQTTEQPTYADVVAEEPAKETTTEETEAPVETTSEEPVESVPESTTAEAPAATEESKQ PAEKTSEPAAEDEKAPIEKPVEETKAEPASEEATTKEEVVQPSVEEAPTEEAAKVSPA EEGEKIVEAAKEEVPEEASAKTLVEVEATTTEPVVEEPTSEKPVAEETTAEEPAKDLT KEEPATQEPVSEVPATEDSTTKEATEEPTKASGPEVAAEEPTTDEKPADVTEHEIKEP VTEESKETKHATEETTLEEPVKETPVVEQPVESEPAKEAEPVKSTAEESVKELAAEEA AVQEPTPVEQPSEEPAVKESPVEEPPTVEGSVAAEPSTEEPAAEQPAKEAELVSEVLT TEEPATKQAAEPEPAEQPAAEEKPVDDSTEKPASEETVAEDSVPEPTEKAVVAETPAT EEVVEKATTEEPAKEPVSEEPVADKSAEQPASSDVVEETAESSKAVVPEEVTLNEVEP AVTQSHEEKPEEVTELPKEETVAAEPVAVETPAEQKAPETEPEVVPKAEAEEAVIAQK EEPASEPVAAAPAEAEKEEPVSVSSGEPPVEKESTDTAEVVPEATKEDAPEQDGKNNA SAELIGVGAAAAVAASAAAAAAGVAALSHTDKEPETASAEESQPTKGEDKAASLAPES QPSASKHAPLPPSENPEADINEPRSGSEEPAASTNEPSTTAEPATATETTADKAVAQK GDASRSPSQTRAVTLSNTKNDSWLKVILRAVFVNFFGTIFSPFRRRPRNDQ AOR_1_206134 MPPASSSFTALNLPETFSLPQCMEYFTLTAGPNTDLWRKPPNGD TSTAPIIFTSLRNPFILAEVTVSADWEMEWDQGGLVIFAGAAPQSCSSESVPLDSGTR SSRSGYPQIARPCKWVKAGMEFSSGTVNASSVSATADGADWCLSPLSLPDSGPSTVQS LRIKLERIGNSLWIWYQIPSAVPYALTPSAVSSTWKKLREVTWFFYGVEDKFIHVGVY ASRPNNISRNSTMWEMMNGPVLSESTVGSQDSLVVEFEDLEIY AOR_1_208134 MVCSKCQKKLKSTELATPGVKRKSEMYYGSPSTSVGGGGGEGSK SKPTLGNTGIGKSKLLSSKAKNPYAAYSSACESCKTKTEQGRKFCQRCAYQKNACPMC GRSMAGKSKKDQPIVQGQKFNLK AOR_1_210134 MSSGSNGARRIASVLRPSIADPKVCRSCQETLVRRNYSSAAAQP SSESTSTATTTFPVVKPVYTINAGVALSRPPQITRDLSQFEKAYYFYQKRLNERLALP FTKYFYFKRGTPADEDWKRKIRERQTAARDIGKYNAYSKDAWNDELLVGAVEAEPEHQ VEMLVQDAEATVNATSQDTSKKEEIPRPFPRVTEADQKNDQRSLNRALQRTLYLLVQT KEGYWRLPSSPVEQDETLRLAAERTLAQTAGVNMNTWMVGFHPVGHHVYNFRYPRVDK ANGTEHLGEKTFFMKARIMAGQADLAANTQNLQDFKWLTKEEIAPYVLPQYYSNIKNM LAER AOR_1_212134 MDITPKTFHFFLPRILDELASCSFVSIDFEFSGIACSSNGPNSK ASTLQDRYTEVKESADKYRIVQIGLTICHEDTESGTYILKPYNLYLNPIIDRRLEVGR DMCFQSGVVEFLLENKFCLNSLYSDGVHYLSREEETIAIARAAERYGRTSVRKVIDVK ETEHDSLAFLGAVRYLVDGWLALGEAREAYLNIPPPRRYTASPKPKIMPSVLNNFQKR LVHQLIEVEYPSLVTISRPAFIQVIDYDEDREKAIQEQRMARARERVWKQIGFRWIVE ALSGGDLSHLDPFCFGSIMNSSTVVEPQVSLHGFSEKLQQRLRTHRPVLVGHNLFTDV VYLYRCFFGPLPDKLEEFQAIVHHMFPILMDTKYMATHDCGSITPKSSLSEINDNLLH IKTPKISVHEQHSKYNSQRIDHEAGYDSLLTAQIFIKLSAQLRDGGISKLPDPVELKG QSDTMGLNNTTLAVPESLKGSVEKESAARKKPLKTPTSTKLGTRFDALDVEEINDRVE SMALKSRKSSSSVDVTRKVANGELIPRQGAEFWKVYGNKLRVFGTEERVWNVV AOR_1_214134 MAADDSVSQSQLSTSASSLSPSKICSKTYKKASQLYLTRRLQET LTTLEPILTTSQTNDDQYANGNESLPPIAMAQASVRIKVWNLYITLLSAILDLGPEEG KATFGQKEWKAISTKVREGEIWETVVQTGYKGREGSVDAEVVYNLATLLLNHSPSQKL NQQRLEHYLSSYGQPNLDIAEHMQNSPSQQSKARVNGGTDTPRDLTSRVRIIELFTLH VLPRNEEWDYASEFINFSEVLDEERKEMFLQTLDGLKEEREQGELRAAALQREKDAQL EREMQEAERQRAEEEAAAAQHSQKGHTRDNSEVDYGIEKTNPNGSVKSRGKGEKQSGS KANSSSNRTNTLSPTGSKKRPEKPVSRPGQTRALANVLRNLLRYISKTVAGNPMSFAR TLLFLLGLLVALSRQGVRERLRRITGAGWQKVKGTVGMGVKVSYI AOR_1_216134 MSTKSAQGNGNGNGNGKKPASAAVNLIAGGGAGMMEALVCHPLD TVKVRMQLSRRARAPGVKPRGFVATGVEIVKKETALGLYKGLGAVLGGIIPKMAIRFT SYEWYKQMLADKETGHVTSKATFLAGLSAGVTEAVAVVNPMEVVKIRLQAQYHSLADP LDAPKYRSAPHALFTVIKEEGFIALYRGVSLTALRQGTNQAANFTAYSELKAALQRWQ PEYADTQLPSYQTTVIGLISGAVGPFSNAPIDTIKTRLQKTRAEPGQSAVSRIMAIAN DMFKQEGARAFYKGITPRVMRVAPGQAVTFTVYEFLRGKLERSNWAFVGGKFEE AOR_1_218134 MQSGISVSSELHDAFNNFASDSSIFCLPVNITAESLTPLSPIPF SSPGAFYPSLSQLSSVLQPKTPIYLLLRRPESGSSSLVALTYIPSNAPVRAKTLFAST RATLVRELGSEKFASTVFATEEDEVVGQEAWKERDAEKNDSSKSRSQREELMGEKERE LEAVRRAEDEARSGTPGRDIGIGGSFARRNGGIGAPSSLQYKMPVDDEAKNALASLQP GDLVQLAIDIPKETFVLAGVENGTVPSSVESHISSSSPRYTFYHYPDTDVVIFVYTCP SGSSIKERMLYASSRMYALQLAEDQGLKISKKIEASSPDEITGDRLQEEVSPPQNDGL NRGFARPRRPGR AOR_1_220134 MSRHHPDLVMCRKQPGISIGRLCDKCDGKCPVCDSYVRPTTLVR ICDECSFGNYQNKCIVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQK KSFRNH AOR_1_222134 MAEGTLASAVVLPEQDNLPPSPDAGLKRRNSVAEADSESKRRRL SSQQDHTGDRSPAERKQSSPDGAERKPERRPGRGGREEERKRGQRLFGALLGTLSQSS TSAAQKRRADIERRQQDKLKLQDEEYGELKKKRREERIAIRKKEQRLYEEESMRTRHS NLLAMSHFLKTRTEPVLYYKPWQLRSGDEAIIREQVEEAEATVAREVAEFEARYPAHE EDTSKKQDEVTQEDRDQAPEPEAEFKENKDTTHETGAVGAETNHNRDSEAAPSDATAI NNNNIPINNDHADVHRGAEDDGGEVVEDKEDTVIY AOR_1_224134 MIVDKIHVTGDLRVERRFAAINGKTYGYLYSAPDTGLHGFPDLS MGWRYQIPMLTDMGLRVIAPDCLGYGRTDAPEDPHHYSHKSCANDIKELAFQLGIPKI IVAGHDWGAALAYRVALWHPELVTHIITVCVPYAAPTRRYFPLKDMVEKITPHFYYQL QFISGELNKYVETKEDIKRFLSAMYGGRTPENEMAFDAELGILPDKLARVLPSELLSE EELEYYAEEFSRNGFHGPFNWYRTREINYKEEVAILNKRITAPVLFIQALRDTALPAH LGRGMTKTIPHMTFKQINTSHWALWEKPEEVNEIIAWWLDEVVFRDPRMFKL AOR_1_226134 MESKREFDLILLGPTGYTGRLCAEHIVKNFPTGLKWALAGRSLQ KVGDVAKELKNLNPDRVEPEILAVQLNREELHSLAQKCRVLLNCVGPYHLYSTPVVEA CASNGTHYLDVTGETPWIKSIIEKYHETAKSNGAIIIPSVGVESAPADMLSWALVKRI REELSCDTKEVTCAIDELKSSGASGGTLATIMTMFDTLSLFDILKAADPFALAASSPP KSIPSEPLAEKVLGVRSVRDMGTLTTAPTAIADITIVHRSSTLMPEFYGRRFHFRQFL RVRNVLIGVALHFAFVTGLLLLLLPPVRWLLKKYIYAPGSGPRMEDSVNDRLVYRAVA TADQDTPHPKRALGKLKYDGTMYVFTGLLLAEAAMTILENEEKVKKVSRCGLVTPATL GQEFIDRLEKVGCQIETEVFNY AOR_1_228134 MTKRTKKVGITGKYGTRYGASLRKQVKKMEVSQHARYVCTFCGK NTVKRQAVGIWECKGCKKTVAGGAYTVSTPAAAATRSTIRRLREIAEV AOR_1_230134 MSDTCIVCLGDLGESASDPLAVSAEAAPRLDVQVNGRSTDTSVK ADGVDGSEDSGQIAQLLPCGHILHNNCLKPWVERANSCPICRRSFNVVELSDRIGGPV LSSYAVQDRVQVADVDPSMVIEYIEEDDLAGFSPCLICGDSDNEEFLLLCDGCDAPSH TYCLGLDTVPSGPWYCSRCETQRARALSPDSADRSSRAQDRRGRRTRAQQRQLQSRSQ MNSLHWARVWQSVWDHLNLDLDFPFDDDRAAERARQQQRREEANQREFRAWQRRFEVA ERQGGSNRFRDTAALLDIEAPRPSRPRVPREPTPEPESLEEMRAWNAFERAREIENDP SAARKRKEPTMSPSPEPTEPERKLKRPRTRRAEDLAALATQNGESSRAAGVQASARIN AETSSEPSFLQSLLKEVEDASNDTTSHGNSAQVSVAATDHATPGPSSPSISPVPSNHS SPRLSSTTPPPHPRSRPISPLQLVTPTHPSSPPFSPDVSPTLSTEITPSQGFSDNTRR RIPRAAYRSNLLRANDKSPSRPNLSLAVKTDIQKLVSTALKPYYRSKTVSKDEYTEIN RNISRMLYEQVGDVETIGADAKTDLETTAKEQVTKAIDALKQRHKMEDNDPVDDSS AOR_1_232134 MSATNRDGATQHDLQSSARLDKENEGPMQSSDITLRSESSSSIG EDPEKDAGGTRNTDLDMLERSMSTPHSRKGHEEKAPEKDPNLVEWDGPDDPENPQNMP KWRKWVLTMTLSSLTMWITFASSVFSTATLVTAKEYNVSTEVMTLATSLVVFGFAVGP LMWSPLSELYGRRIPLFSGYAIFAIFQIPVAVAQNVETIMLCRFLMGVFGCSPLAVVG GAMADFWDPVDRAVAIACFSAATFVGPVIGPIVGGFITESHLGWRWTAWITLIASASF GTFALLTVPETYGPVILQKRAARLRRETGNWALHSFLDEHRPTASEIVTKYLLRPLQM LFLEPILLAMTIYLAFIYGILYLFFEAYPVSFQEVRGWTNGGVAGLPFLGIMIGVLCG VALIIWQTKTRFARKLEKHGRVVPEERLVPMMIASVLLPAGLFWFGWTSDPSISWVPQ VIAGVPIGMGILVIFMQGLNYIIDVYMMFANSAIAANTLVRSGLGGAFPLFAVQMYHR LGVDWASSLLGFLTVAMIPIPVVFFFYGAKIRAMSKFSPKF AOR_1_234134 MPARTRQGPSAAAEVVESEETPGGLRRLRFNEPLSWRVGRSAIP IVDLLQRLQTLAQELRKLEQEEVEKDSLQKVSQELATAQLLAHKDKGVRAWTACCIVD VLRLCAPDAPFTGNQLKDIFTCIVTSIIPALGDPSNTYNAQHIYVLNSLAEVKSIVLM TDLDHPDALIIPLFTTCFDIVSGSSKGSTGEDIAKNVEFDMTRLLVTVIDETPVLAAD VVDVIVAQFLRIDPRALENPSRRGKKADAPLDAKQGTLLLKDYPPAYNMAKAICQACP ERMTSHISQYFNNVIIDASATGANGPSKNSHRRPNLDDSDEEGEDIKELSKAHRLIRE LWRACPEVLQNVVPQLEAELSAESVSLRLLATQTIGDLTAGIGVAGPPPPPPMDPAAY PPVTLSDYSQIVPQPNVLIQPFSPKPFSQAHSSTYEGFLSRRLDKSASVRAAWATVVG RILLTSAGGSGLAESEEQMLIKNLASMLRDADEKVRVAAVDAVGTFGLSHIVHKLGAS GGFSSHDSILFILAERVKDRKPQVREHAMKTLGRMWAVAAGEIEQDNEQVVSLLKDGP SKIFDAFYTNDMDIHVLIDRVLFDILLPLSYPPIKPKLSRSSSTQSQKLKDSQTSEGE NETDVDKIRVRRILTLIRGLDDKAKKVFFAMQARQIQMRTAVTVYLQACEEYNGGVME KDDERITAQINRVIDTLSKLFPDASRASADLWKFAKVHDRRSYQLIRFAMAAVSDYRT VIKAIRELARRLQSSNNSPLLETLTPLLYRCSSLVFNRSHIPAIISLSRTDENGLASP AHEMLREISSRNPEVLEAQVQEMCKDLESQAPSAKTSKDTGTEEILKACSGFAKKLPA KLPKERKFFQALVNYALYSPSPRAAKHAVSILMATADRKEMYAKDLVQKCVSKWEYGT DRFLTKLATLSQLNLLAPREADEESDAIVSIAVNKVLLTNRSPKPEAGYIWSDAVDDE TAAKEWALRIIVNRLRAKEGSDDENDFRAHAEPVYSTLNKLVVGEGELSKKKDTPAGQ KSRLRLLAAKSILKLCASHSICDHLLAPQDFNALALVAQDRLAPVRIGFINELKKKLV PNSRLSHRWYIITFLLAFEPNASLKDSTLTWLRSRATFFSQSGGKKKDPVMESIFSRL LSLLAYHPDYPPQDLDEEVKARDLTDFGRYILFYLLAIANEHNLSLIFHIAQRVKQTR DGITKSDEITTRLHTLSDLAQSTIRRFADIYSQQRRFGGGAGGTNILQTYPGKMGLPS SIFAPMSSHREAQEVAEKNFLSEDVDDLLDRLVRSVMRSKGGSQGQAAKKRKPEPTDT TGEAGTTTKKVKKVREKVTRPRKSSGATSRTPKRKNKDEDGWSSDEGAAKTSTATARR RSSRGTSRRVSYADHDSDEDDVEMDDWDQDKDEAEAEEEEEDDNEENENNHANNNHVQ DSEDDGSDLSSPPPSLPPSSPPPSAKKARAEKATTLPSRRSSRRG AOR_1_236134 MPSPELSQSGQLPTDIQPDMVQNGSVPPMAAGNHNGEAASGSEQ GPNGSNAIAEGKHNAKAVLAASGVSVTPAGSSHDASNGNPSTGSQSNSANGSAASKKR SRGGSAIHPSSSAEGLAVRVRETPKDKILAEQYVNREFQHSALTAYHNQNQEILNQKR AERDFYLTLRRENHMNPAALYGVGYEGFGNARTDLRGQHPQLLYPSNRRRPGNRRTRE LRVSRKDLKTQSEQIEDLVPIRLDIDWEKIKIRDTFTWNLHDRVVSPDLFAEKLVEDL GLPLESCAPLVRMVSQSIQEQICDYYPQIYMEEEPLDPHLPYSAYKNDEMRILVKLNI TIGQHTLIDQFEWDINDPSNSPEEFAARMTDDLSLSGEFTTAIAHSIREQSQLFTKSL YILSHPFDGRPIDDPDLKSAFLPTPLASSFRPFQAAKEFTPYLYELNEAELERTEVSI SRDQRRQKRSVNRRGGPALPDLKDRQRTIRTMIVSSVIPNSAASIDESNVFKRSGSSR ARRAAVGLRDTGDDSDESDSDESSITGSPAIGPHLAQGTARTRGMRGAASAAHAALRA NLGQSATPEPHHEGRASARRRDYREESIEEPEKLIVKLKIPREKFRQLLTHGPQSIPS LSATPAPQPPSHQGTPQISTPTPSNMAPPSHIQPQARVPGVGTPTQRTVPAQQIGAID ATHPPQPGVPGPPPPNWLAAGLARLKRSYPNDSFEGVMRYTAVDTETMLPVANTNTES GHKLKYQYLPRIRCHDCPGKLYTPGPGTTVENFEVHLRNRQHKERVEERIARTGGAGA NNAP AOR_1_1132134 MRSSTDLPLRILTNNIRYATKSPFKGEKPWEERRQPLLNQLLYN TRNQDAFICLQEVLHDQLIDIHSGLNREHSAASAEAGEDAWAYIGVGRDDGHEAGEYS PIFYRPSVWQLRHWETVWLSETPHVPSKSWDAASIRIVTIGVFTHHTSRQTILAMNTH LDDQGSRSRFEAAHIILRKIDEYESGEHKDVISAVFLTGDLNSEETQEAYSVLTGNES TLIDAAKAVDPVEHYGNHLTWTGFGYEKEDPSRIDYVLLGPRPMEVLNNGGQPWKVEG YSVLANRFDDGVFNSDHRAVVVDMRLCSKTRTMDPRKPMVSAIQRRGYH AOR_1_240134 MYAGQVFYYSYGAVTYVTLVIGKIGHPLEEIELWRLAFTIKCFY YHQIAYAIGIGLVKCIIVVVLRRIFGVSSPAFRIATWINLALCASWSLMTILIGLLNC RPLNYHWVFKSPAGHCVNPNATYTAVGMLDKITDVLIILTPVPMVLRLRITPLQKLGI LGIFALGELTTYKTIVITIFRTITMLTVDFTTIVQTGKMVFIWTTIEWAVAIIVASAP MLRSLIEHIIHHKIFQSFT AOR_1_242134 MFLSRVFCVLAALACVSAARETCVVPAGGSNATDDAPAIVHAFK KCGRGGKVVFKPTTYHIGSVMNITWLEDVDIDLGGTLLWGTNIQYWLNHSLPVGYQNQ STAFILGGNNVRIDGHGIGTLDGNGDTWYQWIKEQPNTSNYPGRPHAITFNGLTNSVV RGLRFLRSQMWTMSIIYSHHVEFDSILVNNTGNVVSSSNTDGADTIRSSHITFNNWTV YNGDDSISLKGNSTDITITNSNLYNGLGIALGSIGQYKDQFETIERLKVSNVNFHSTL HAIYVKTWTADQNGYPPNGGGGGLGYISDVTAKDLKATSLRGAAFAISQCTRFSGAPG EGNCTNSEFQVRDISVLNLSGTTESNRVANLQCSAVAPCTDIALSGINLELKNGTAAS EYLCGNVENQKGFECTGAVCEGGSATGEC AOR_1_244134 METHINTHSQLIKRLRAQPVSVPNLLPIFSSWPGAVNPHWRALV PVMNARIDSLFPEPAKATKLKRCDFAHLASTRWPLAGFNELYILAFLSLWLVTWDDQI DDTKRSLSNDFEAAEQYRRETLYFVAQCLDLDITEGLPRSYNDSIFVPDDPIVQSFDV IGEALRDAYTYEQRHRFLREMSLFMVTSHMEQKAKLEGHIPSLEEYWRVRMGTSAVGV ICAVNE AOR_1_246134 MADTQAQQPAEVDYTLNNPDTLTKYKTAATISHKVLDAVAALCV EGAKIVEICQKGDELLEEEIAKVYKGKKITKGVGHPTTVSPSSHVTPYTPLVSDAQEA ETTLKAGEIAKIQLGAQIDGFGTIVCDQVVVGQDEVTGREADLITATHYANELLLRLM VPPGLLATGTEEEKKKAAAERAPTQAQISQLIEKVAKAYDCNVVENTTSWLFERNEIE AEKKIILSPGTGVKGEGVPDVGEVWGVEIGLSLGSGKVKTLPLRSTLHRRTTTTYGLK RPSSRQTLSEIVKKFGQFPFSLRQLDDEKAAKVGVVECVRGGVLRQYEPAGDADNAPV SRLLTTIAITKNGITKLTAPAAPDFTKVKSDKKIEDEEILKILERPLSKSTGSKKNKN NKKKAAKKADSGDKE AOR_1_248134 MAPNLRSSSHVRSNDSRPSTPLHAPDAASPASSFTESTRPRKQR RTGRHSRVATDPPHETPSQGQSQPGQLETGISDTVSDSQLLEKGAEWVEPPVRAPAPS YRDTPWSAVSSDNNPVLATMRPLGTMPSAADMRKAGLGPLRPSTQNTTAKKDQRSVQN GDKEISKPQTPLTPAEESIPEPVIPKDNTAQDVTAFTTLPVPDSTDVDVVKLRSAVEN ALHMASETGNRPVIRGLLRLWETCGKDSFALSVLEGVCGASPGAREQSVFQTVMRTAW KEVQSEENTEVLPAATPAMGRTRSASSVSSLSSAKSLDAETFAPGMGPGPANARSRGR GKHSKTTQKAMDSEASEPPSRRSAFPSSDSTLQRRRALEENPEFSAEAVKAKRTRLQR SFPKITATESRLRSSLASEPPSSITTPAATTASRSQTAAEGAVDDQRERSESPASSDA GDNRRLTPTMATNKENGEENNDFCRECNGSGQLLCCDGCVNSFHFSCLNPPLDPANPP EGDWFCPRCSILKPMGTLLVAVDKVSHKDFALPSRLRSYFAGVQTGDKGQYDEVLPFP RINPRSGRNRSGRYDDPFLLRTVDAKGKLILCHGCGRTTNGRRPIIQCDFCPLAFHMD CIDPPLAIPPAQKPGSDRTYHSWMCPDHSWHDKFFIVQDEEGYDLVKRIRRPKNPRLI DVEILPDEEEDEKIEEQEEEGIMYRVSEKGVKLDFIQRVKRENEELAMKKAVADKYYE YVRQRHDELTSKAHAFYAAQNPEVIEEDTTTAILNSRTAAEREAAANLITFARGNSAE VEDSKISLLIDQLKANVPPDFMPSAENLRTQSAPTESPAANSPDVELMDAQPTNPSAS T AOR_1_250134 MKFSASLPLFATLAAAQTVIEGSSFGHGQTLSPTRDTIPGWTIG GEGHSPQVLSNKLILTPPYPGNTRGFAWSQAPVSQSEWSAEFQFRASGVERGGGNLQL WYAKDGQSKIGTSSIYTVGQWDGFALVVDMHAGRGGSIRGFLNDGTTDYKSHRSVDSL AFGHCDYSYRNLGRASVVRIKHTNANLEVTVDDKLCFATDKVSLPAGNTFGVTAATPE NPDSFEVFKFVLQTAQSGTAPPAQQQNNQQQQQPPQQQQQQQQQPVAAQAQTQQQPRT DQFVDLAARIELVNKATGNIIREIGNQGKQNENRHLELQQKLATKEQVAGLDARLQKI EQMLQTIQRDLEGKDYHSRFNQLQDTLRSSHQTLTEHLQGSVLSAITASTPRMGFFIF LIIAFQVFLAVSYIIYKRRRANMPKKFL AOR_1_252134 MADLYPSLAQCAIVATAFKILLFPAYKSTDFEVHRNWLAITHSL PVKEWYYEKTSEWTLDYPPFFAAFEWLLSQVAQYADPAMLTVKNLNYDSWQTIYFQRA TVIISELVLVFALNRFIKSAPQSNKHLAHISSLSILLSPGLLIIDHIHFQYNGFMYGV LILSIVLARKQSTLLYSGITFAILLCMKHIHLYLSLAYFVYLLRTYCLDPKSVFRPRF GNIFKLGLGVISVFAVAFGPFIYWNQLLQLKDRLFPFSRGLCHAYWAPNIWAMYSFVD RALILLAPRLGLSINEEALTSVTRGLVGDTSFAVLPEVTKEHTFALTFLFQLLPLIKL WRSPGDWDVFVGAITLCGYASFLFGWHVHEKAVLLIIIPFSLIALRDRRYFSAFRSLA IAGHVSLFPLLFTAAEFPIKTVYTVFWLVLFLFVFEQVAPVPERPRIFPIVDRFSLLY LAVAIPLIIYCSLLHQLIFGLERYEFLPLMFMSSYSALGVVGSWIGFMVVYFTA AOR_1_254134 MVRRKLTRLWVLFKRKPVQYLPRPVIEDDSSEVWVIPETNEVFT QYEPYLQRMDFYKQRRFICEITGHSGLTFFEALRSELEESREVNNTFPDALKEPILRR IQFSTVSRVDNLVDEIYEEFKQDFYPGEPVLILLDDNTRLHAYATYLVKVLDRPNEEA LLDQEHITRDRKTFTKQMLRAFIKNNVTRESWNGAPWLVKPSIAEEYRIPTEVPKHLQ YGAKVAEKKAMKKADQEGFFGFFASQQLPELKPAVKGQKSKLSQQDLARSKEAQFLEY QRSLNGNPSFVVSSKTTGAARSSKSQDTEKKSQTATAVIVKTETPRPPSPPPIKYPIE DLDIAPDREKKKQRPTLTFLKVDETDSPDDEDLLHDDIDMKSVGLLLETWNTLNVYCE VFQLDSFTFDDFLQAMRFSSEDVDCELFVEVHCAVLKKLVNSEKDENGAVQISLPDLP ADDSESDEEDQEEEVEETPEPEPVVTRMTTRSSLAKAEAENLKAQANRSRSNSVEVKI HRAAEMFGDYGWIDRLRKRDFRNGGWELVMVGLLHQLSARPRMEKVCNDILKHLAPLD AEPTQDTAQRQYATLDINLRVKALQIICMLSLDTKAIRNYLEECSNQMTEFRKEKIEY QKARKAGLEELRRLHQERKALQPEPEKSPSPAPELEALEDSKMTGVDVESDQVADTEE EEEVPQRSLRGGLDRVLERKRKHEEEQKRKEQLAKQPKGTKQYQRVLKKIDEQKANIE KLEEKIDVVDNDLREADCPRTRCLGKDRFCNRYWWFERNAMPYGGMPNSSTAEAQFAN GRLWVQGPDEMERVGFIDVPEDQKKQYQKEFHTTPADRKKAEEGPTRLSNADEWGYYD DPDAVDKLIDWLDPRGNRELRLRKELLLHRDNIVKYMRFRAEYLAQTAERADSEEMPT KRMTTRNKTYVDDHKHRCLSWHNTTAMSENGHLHIDASRPTKRAKRATDDPKEIKAVN RQGKPLTRQGSRYHF AOR_1_256134 MEQVADSRPARGDVSRNAAVATTQTTAVDDASSPGYKKNDHGGP QQMNKRSLDYVLRSGLAGGVAGCAAKTMVAPLDRVKILFQASNPQFAKYTGSWSGLLY AVRDINRHEGRRGLFKGHSATLLRIFPYAAIKFLAYEQIRAVIIPSRDKETPFRRLIS GSLAGMTSVFFTYPLELIRVRLAFETKRSSRSSFTDIFRQIYRERVSPPSVPSGLSSS SSASAAATATAEVSSAVNKVVPSSGLANFYRGFTPTLMGMLPYAGVSFLTHDTVGDWL RSPALSQYTTIPGSESQSKKGSHRTQLTAAAELFSGAVAGLVSQTSSYPLEVIRRRMQ VGGVVGDGHRLGIAETARTIWLERGFRGFWIGLSIGYLKIIPMTATSFFVYERMKWSL GI AOR_1_258134 MTTRKRNEFLDLAPSDDEDNDRGYDSEAAEESKARISKRRRTQT QDDESDQSDNDSVASDEDLKLSKSKGKAKKPQQRSEEDVISDNDDEQQETSGTQYLDV TEQETKSSKRKPLDKGKPPKKNKTGVVYLSSLPPYLKPFALKSMLEARSFGPITKVFL SPSVRPASAPRRRSNKRKTYTDGWVEFASKKTAKLCAETLNASIVGGRKGGWYHDDVW NMKYLKGFKWGDLMEQVQRERQEREAKQRIEDARARKEDKVFLQGVETGKVLDGMQRK NEEKKKRKMESGDAGGQQTEELKVRRTFKQNEVKKGRHTIKDGEAALEDDTKRVLGKI F AOR_1_260134 MSMDLDAPVPIAAQDHIAATILCCNCGAPIDGTTAAGALCQDCV RSTVDISEGIQREAVVHTCRDCERWLLPPNSWVSAALESKELLALCLRKLRGLTKVRI IDASFIWTEPHSRRIKVKITIQQEVAAGTILQQAFVVEYVVHYQQCSDCLRSFSPHTW VASVQVRQKVPHKRTFLYLEQLILRHRAHQETNNIKEAKDGLDFYFSQRSHAEKMVEF LSSVVPVKVKKASELISMDIHTSTKSYKFSYSVEIVPICKDDLVAIPIKLARSIGNIS PLTLCYRIGTTIQLLDPNTLQTAEVPGATYWRSPFKNLADVTELVEFIVMDIEPVGRS NGRFHLAEATVARASDLGSNDQTYFTRTHLGGILHVGDSVLGYHLTGSMFNDPNYDAI EASSQYSSTIPDVVLVKKHYTRKKNKSRNWRVKRMAREHEEEALQASTGGSRKQEQER ERLEADFEMFLRDVEEDQELRGTIELYKARKNQNAGGMEMDEDSDDEDVPKINMDELL DDFDELNMNDDE AOR_1_262134 MMHRTLLRGPWCRQEVILPLRTITSASKLRRPFPVPSSYKHNPR AFASVSYLASEQPSHSTSTEGKEISSDNEPSKPTSHVPWYLQEDTPVSDSQISSRDQI PDLPEDPPAILSPFLDYVFRDLGLDELKLIDLRGLETPPALGANVIMIIGTARSVKHL NVSADRLCRWLRSNYKLSPYADGLLGRNELKIKLRRKARRARLASRSGVMFDDKDDGI TTGWICVNAGVVEESPVLEKDETFEGFGKVGRGTRIVVQIFTEEKRAEVDLESLWQGA LDRAEREKQKYSEVTRNAPPEEEFDELKPSLFELLAEQQLSDLLPPSIRYILAVATHR HPRYLLRILNSYDEIYALLSLLVERYYLRNFGGSFTENFYSLKRERVLLTKNGEIPRA QLGAPGPVRETLKLRSSDVWKNLLIMVGIPYLKRKLDEGYDIHAAPQASLIMSGGPRY DPNDDLPPNPTIRQRLVHYYKWFLRNVYPSVNAAYYFSILAFNLAYLFDNTKYSSPFL WLIGTRIRRLGGADHKAIADMLEAKPAAGPGGRGRSRPGSGLLGLLSPQNLYPQLLTS LRYFLPASIFALKFLEWWHASDFSRQLARKATEVLDLPAPVTNGMVLPSERKKLAEEK EKKKQEPDSPTRKSALKSSRKRIQPPISATSYLPIFTVPLPPPDSDAASTCPICLNQL ANPTACQTGQIDFMNGEGAGAAGEDESEDDEAKGSDEKKGDGQGQSREGKWESGKGRC PVTGRRVLGGTEGLRRVLI AOR_1_264134 MTRSSAALIVALAACWNAQGSAGLRDDPAILRRACPDYLTYSTA PHPPYSGGPLNLPFQRPAQECRTFSSPAVEQVIEDITSRIEDKDLAQLFKNAFPNTLD TTIRWHTDGTSAQPSRRAKRAGSQWNGPQTFVVTGDINAEWLRDSTNQLSGYQALAMK DKNLHNLILGAINTQAEFVIQSPYCNAFQPPPPSGIQATDNGQDDKVHPAYEPSVVFE CKYELDSLANFLALGTEFYENTGSTEFLTDRWYLALDTLLKVLDAQSQPTFNNDQFVT NQYTFQRTTTLGTETLNLAGVGNPLNHGTGLIRSAFRPSDDATILGFFIPPNAMMAVQ LKKTAEVLRKAGGKADLAQQLQDRGENLDKAVREHGIVNHPTFGDVFAFEVDGYGSRI LMDDANVPSLLSLPVLGYVDKDDKVYQNTRKMVLSQDGNPYYLTGSAFHGIGGPHIGL QNAWPMSLLIQAQTSDSDAEIIECINLVRNSSLLGLVHESINVNNIEKYTRPWFAWAN SVFAQTILKVAKERPHLIFGEGAKPYVIE AOR_1_266134 MAVADYKRYLAENVLNERRTVTFRSLSRALRVHSTLAKQMLYDF HHNENNKKPQSVNATYIITGVQKAPAPATNGHTNGEDNRDDVFPSSPYLSSSMPNQDS APDTVATASVLLVREEDLEDAKTTFESISSIYIYSLQQTVLQDLNVLTDVSRETVSNH SQEDPLEYGGQWSMIQNKNVKRRTGSRPPPAPAATKSKPTIPSKRPSEATSSQIKPEP KKEETAASEQASTRESTPSTASKPTEKAAPLKREKSNLFSSFAKAKPKQKKEESATPA ESAEPSGAEDVFGDDDDADEEPEELFPDSGKSASSAAATRESRKEREEKLKQMMEDDD EDEDEEMPDATEPPEESKPIDQPPPKKPELKEEITVQGGRRRGRRQVMKKQVRKDDEG YLVTVEEPSWESFSEDEPAPPPKKKPAVSALKGKPAGKGQGNIMSFFGKK AOR_1_268134 MEQTFDLPDSTDWLGTPLSLLTPLESALRCQVCKDFFDNPVITS CCHTFCSLCIRRCLSTEGKCPACRSSDQELKLRRNWAVQELVEAFQNARPSVLDLAKR AATEDREDAEVGTAQPASKKRKVDERDGSNSEVSEGRQTRSRTKGVERQTEPATMDVV EDSQDEEYVPEDGLVACPICNRRMKNEAVFQHLDNCTGDPVPPKKISFGSLQPMSPAS RTLNKAPERLPTINYSLLKDNVLRKKLKDLGIPNWGPRPLLQRRHTEWMNLWNANCDS KTPKSKRELLHELGVWERTQGGSAHPSAESAGSVMRKDFDATAWSTTHESDFKQLIAN ARKRSDALVRTTIPSAAPAQSQESPTVEQPVEATIPTNMQKPEQPLVDLTSAAYPKIQ HAQEPGESEEPYTLPG AOR_1_270134 MNDLLNFILSQDAFRKNRLPSLYSDFAIHRKTNPDGYAVNVAAW EQALTKAAKHGYISSSHGQLSTPSKGAMRKSDHLILRADKSLLRDLEIPEWGQPVALG AVLEEAMQNRTIVPLQVYRVNPAILQKPQWRLIDPGVLNPWNVMSWGLKQLKGVVVGT DDSSPVLQGQEWVLVENLKEAAGGIVKKVMGRSPSNTDLVYSKESFVSEFGTTLNQDS ELSEADFDVLLLYLSRDSGAIAYDGKTIKFRPSNDAPREITQQDSTIASIKALMATMT KQVEGLENKISELNSAAKAALHNKNRISALSAVRSKKLAERNLQQRLDTLAQLEEVYS KIEQATSQIEYVQVMEASTGVLRGLNTQIGGAERVEDVVDELREEMSKVDEIGNIMNE AGPQVDEAEIDEELEELENKERQAVEEEEAEKTRQKLAELDNLEQKAKEAARIAGSEQ NLDSELEERLSRMSVEENPSTAAQ AOR_1_272134 MTSPGDAAPVRPPPSFSPGVPRVASKTRYPDQRRDSSPNLDSDL VDSRIATSPPQPPLSATAAELPIRSASPHARSIRSSTPQLTRSSLGSPTEGRLDGSED IRSLIIRSFSPVVGVYSSVDTDELVRQKGFAGGFWELIRPFGETVPGKIVVRDSVGAS RGWEDYGVRFVDLGGNPQVSSEPRAPPLTQLEEALERQLESPDDPLGGILRPKDFLSF PTTSPLYKSFLRQLLSVSSPTPHETFRHPVASVIAISSRNTSPLETLRRLYADTSNGD RKLPEWVHPEYLRYYVLVHDEDRDDIAESTKLYDQMKRHFGLHCHLLRLRSNQCVVTD DDSCQVPEAEWLSPTERLSGRSEPLVDLDTDGQPYLFESDVTAIKAFVRELVASRRRG ISGRFMSMSRRWAGFGSGSRSSLIGSGGGTSGNYDLAHGFYKPDVPEAILRKMADFAF MLRDWKLSASTYELLRSDYANDKAWKYHAGVHEMCAVSMLLNPLSMPGKSKINDIDQM IETACYSYLTRCSDAPNALRCLSLSMELLKSRGGSGIESAARWAMRAMDLSLVGSIGQ ALLSERISACYASRNAINGVRFGSRHRKAGMWSVLAADRWLRLGKPSLASASLEEAER LYADVLDSNGAFPIPEMQAFVDNLRHAVKVEYLESRGLDTGDEAATADPLDVFEPEET SEKLDKRKNRKSLIANPMDSGGLSSTQGARDDDNPANDDFERA AOR_1_274134 MNHKKPRNSSPERATKRRRISSPISRKNPSDEMTATPDQTHPII QLTPIENTLKSLLLDVADYIRERSIAEGGNAVDTPRTVLRFTGGWVRDKLLGIDSHDI DVGINNMTGYQFGLLLKDYLDIPENLQKYKKNHNNGQLKDAIVSLHKIEANPEKSKHL ETVTTKIFGLDIDLVNLRKETYSDDSRNPQMEFGTAEEDAMRRDATINALFYNLNESK VEDFTRRGFQDMRDQVIRTPMEPYQTFKDDPLRVLRLIRFASRLGYRIDEDTENAMKN KDISEALKLKISRERVGTEMMKMLKGPDPRGALQFIDRLELYPTIFANHQDDVGVDTS SWAPAYNALQKLLHPNNNSIPIARVRDLLIRDAQEAYYAWVIAAFAPWSTVPDRVAQG PKPRPPPARAAEVARDSLRSDNKTINLLRDAARHWRSIVDVKSSLLQGRMSGTAAEIR QQIGLHIRTWSKDWRLCCTLAILQEVAQGGEFNKVIQEYNQFLSYLVEHDLENVYDMK PIVNGVEIAQNLASPKGPWMSKALDMVIKWQLLHPEITDKAKALEEVSSRKEELDIRS K AOR_1_276134 MSTTTTTTTSPSTIMIEGAGAASTIGSRPTQQDQYTFLLPENFL TKSGDKIAFFAVYDGHGSSKVSKHANENILRFLQESPELESGRYEEAIKEAIKKEEAE LLKDFRDGEEQFATSGSTAALALVNLTQGFLVIGNLGDSHILMADYTSSSEGATNIRR ITRSHKPGDSDEKNRIMDAGGMVNTDSGTERLGSLNMSRALGDLQYKDPLINSGTGPL NEAQIRADVSSSIDQWNLLSNEPYLSRVDLNDGSQHVLILTSDGVTNSLEDEIMVHGL LTCYRSGLNATESAKYIVDEAADVPGSDNATCIAVFIGGAS AOR_1_278134 MVTENKKKRGLIIVSNRLPLSVKEENGTYKSSLSSGGLVTALSG LTKSTNFRWFGWPGKAIEDPEEQKKVSDALAENSAVGIFLSEQLAQDHYNKFSNSVLW PILHYQSGVAFNEDAWEAYQRVNEIFADTVAKEAANGDLIWVHDYHLLLLPSLLRERL KKQGKNCPIGFTLHTPFPAEDFWRAIPVQKDLLKGLLACDVIGFHTDEYRRNFTESCE RSLGANAEKEGQLEYEGHTACVGTFVVGIDPQKFNDSMQDPDVQKRIKELERRYEGKT VIIGVDRLDYTKGLVQKLEGWDHFLKTYPELEGKVTLIQVAIPSREDVKEYQELEEEI STLVGKINGEHATPDGTPLIYMHRSVSFTELTALYCISDICLLTSRRDGMNLVASEYV ACQENKHGVLVLSELAGAASFMSGGSVTFHPSSVQELSDAVHQAISMDDKERKERYEN LREFITTHTSAKWGEAFIEALSRHIQE AOR_1_280134 MAPRVSDAIKEDHRELEQYYERITQSSDQDEQTRYQNLFTWELA RHSIGEELVIYPALEKHVANGKALAEKDRKEHQSVKEQLKKFQNLKASDADFIPTLEA LMKDLAPHIKEEESTDLPALEEALSPEESEKLSKSFGRTKMFVPSRSHPSAPSKPPYE TAVGLLAAPIDHLADLFRKWPDTSTMPNPSTE AOR_1_282134 MPSKVNIDTSLKDEKNDMLNGDGPNITVVAKEAPITVKRIPATH INEAIDNPGVARADVAVSIEKPSGDQEWANKVRGYTPLQQHVLFWDRDGDGMIFPWDT YIGFRELGFNILFSFLAVLIININFSYPTRLAYSWLPDPWFRVYVRSVHKAKHGSDSG TYDPEGRFIPQLFENLFAKWDSDNDGALTLRELFQLMHGHRCAADPFGWGAALFEWGT TWLLIQKDGKVYKEDLRAVYDGSIFWKIREARKSSPGWTQGFGLGGDGFVGGEKIYS AOR_1_284134 MALVAQSATFIHQYISSFLHSKPRKSPEALKLGILSSAQINAAA VIHPAETHPDVILYAIASRDASTAAQAAKQYNITKSYGSYQELLDDPAVDIVYVSTPN GQHYEWTSKALQAGKHVLCEKPFTSNADEAKGLVSLAKEKGLTLEEAFHWQFHPAAHA WRHILESGQHGRILRTKAVMTASPGVPKEDIRWQYDLGGGSAMDMTYALSFTRYALRA RHPKAINSVTARVSSDDPRVDAAMYAYLTFVGPQDTEVHSQIYTDMERQWVVGVVPRF WELPSIEVETERAIIFFYNAMMPHLYHYISVTDKTTGQTRYHKQYKGGPLWGNVTTTG GKGGSSHWSTYRWQLEAFVDAVRGKTPTYWIPGEDSICQMECIDALYQAAGLPICESL LVAYNFFKARGPKDLCRADEHAANSIYSWASDAALPSPVYAQIEETSDEKNDVILEDQ LRSRIALSVLATLSESLPVSKAENVADIVIALASFSSTEDPWTTQEAFTYATTLLNAF ASTTATNKESNTTFWSVIEKILKDRIRPLFAKTRNPAITSAGRKNFHPVPLPRFDAGI LDPETKPWKIQDIYATAVLSWIIQQYKPTNQTNLETHFPLLVPPILALIDDDTSAIKT KGCTLLRNLLTPIQQTKSPILHRTNLTSVFEDALKPCLLSLPTITPEPDSIDLLKEAY PALLTLQKTTYTNTPSPSPQSQSKTQPNKLETYISRLTTTLRENLIPSFHHISSSNTT SLSSDFASFPYPRLSTLLLEQMVPVLGELGIHTTKFLQDIVPILHNTLANPFGPAYPP MLLRAVEVARGVVLNAHPRVWRWRGELLDALCSCWIHVVEEEGEIVERGKRGGESESE GAVMERLKKELRGVVYLLKFALQNSIQVDGGEGQLEAKENLDKELRELVDADESLKGL LLEDIDANDGGFFGEA AOR_1_286134 MESLEEITRPRDPAEGTVDDGSSRTTSVADQSLANSLASLNIGS ADASFKSISSNKVPDEQVDRKFTEQEDSSIPPTRVLSPYAPVPYNPPSAAAISDDGAD EDEEPRIATSFERDSTPTSLQKHRSMFFDTGEEGINRMHKFSLYETATRFYMVGMDLS DTRFRILKIDRTTETGDLNVAEDDIVYSKREMSQLLDAIDDGNKISGGLKLRCSAWAM LGFIKFTDTYYMLLVTKRSQVAMLGGHYVYQIDGTELISLTNSSSSKLRPDKNPEEAR YIAILNNLDLTRSFYFSYSYDITHTLQRNICRERKAHRDGYPKPSQQDYNTMFIWNHH LLSPALENLKNPYEWCLPIIHGYVEQAKMSVYGRLVYITIIARRSRFFAGARFLKRGA NDLGYVANDVETEQIVSEMTTTSFHAAGPDLYANPLYTSYVQHRGSIPLYWTQENSGV SPKPDIELNLVDPFYSAAALHFDNLFERYGAPVYILNLIKSRERTPRESKLLKEYTNA INYLNQFLPEDKKLIYKPWDMSRAAKSRDQDVIGTLEEIAGEIIPKTGFFKNGHDAES GLRLQNGIARTNCIDCLDRTNAAQFVIGKRALGHQLHALGIIEGTTVEYDTDAVNLFT DMWHDHGDTIAIQYGGSHLVNTMATYRKINQWSSHSRDMVESFKRYYNNSFLDAQRQE AYNLFLGNYIFSQGQPMLWDLSTDYYLHHANPRSWSNKPRPNYIRWYTPDNLKEKEMP PPPSPPKEPLSRYDDHWLEYYRPLAISSFSKIFSYKMNSTLRYLPFRPPFVARIPNEQ VNRERQHQQRSVRIQEPDFVRDRPERTQFGAVTPAPNWHLSTNQGSGLMRDSSFGASE TSNSTQRSTPSKAQIAQWTLGQLVSDSLNPSVTSAEAEEYERYINHPLKVPLVVTSRD DLTATSARERESNLDLIEYVNKCNVEDSALKANADQNMADYAEFLNISDEGLTVTAED HEMKRYKRYRQWLRGKSLFKQRVDI AOR_1_288134 MNSNTPTDIVSFCFETQADHNATGPILIDGLTPTRSLTLHQFRQ LVCQLIAGLHEQKIQQGQCILVHLENSILYPALFLAIVGVGAVYMGAHPASSATELEH LLSLANPSLIITGRDTLSTVLQCTMSPSGGKKEKIPSDRVWVLNDIDQVLCEAFSSTP DASMGDAAYHHRRDITKLLHSGQRPWRTFDDDGQKSKITPAAMFATSGTSGLPKAAIL SHHALIQQHISIHHPVPYPVTRLLTLPLFHRYGALVALFFPTRYAQPLILLPGFQLRP FLSAIHVHGVTETYLSPAMVHILIQSTPQSSSIRESLRSLRYVCVGGAPIDSRPLQSL QDMLHPEACVAQAWGMTETATVFQDRYCLPSRQFDKGSVGVVLPGYQVRLVDVSGSGR VLDNATEIPGELQVRGSGLFTSYKGHPDHTDGDGWFSTGDVMYQKNGHYFLVGRMKEM IKVRGYQVSPVELEAELAQHPLVKDAAVIGVLATDGSSELPRAYVVPLSWAERPSPED IYDFMRQRLAGYKFLEGGVVFVDSIPRNSGGKIRRTKLSELDDQRDKLIALLT AOR_1_290134 MAYVVVNMNHKLRRLVLDFLVRQGARHIVWVGEWSDEDFAWIAQ LSRDEVHVAVVQMKAEIPISSLQTYMPIGGIIYDGLKDSPQQAAENTLLLDELCGNDA TFFILVGSLLGHIGFTDSNSFIGSTTGVLSGVISRRRQRGYVGSVLYLGEQNAVEDIT LSAGDIREAFSEAILLGPPESTSNGEILAGLRNSEKWELVPKLSAWNESKTLLESDDK SQSAGQGQAHDTDAVTQLKLATSVAEAREIILQLFKEKLRRKLGLSSDVPLRRETLLH ELGIDSLVAVDIHIWFARELGAKIPVVQIMGAGSIGSMVDEVVKRRNGFT AOR_1_292134 MKVDERVAIIGTGCRFPGGSNSPHELWELLVNPRDVARKVPPDR FNIAAFHHPQMGHHGTTAAWESYFLDENIQRFDASFFNISPTEAAAMDPQQRLLLETV YESLDRAGLRLEELQGTQTGVFCGLMRHDYHRLLTADMETNPPYALAGTAGSVLANRV SYFFDWHGPSITIDTACSSSLVAVHLACESLRKGECSLAIVGGSNLLLSPDPYIWESK MQLLSPTNRCHMWDASADGFACGEGVASVVLKRLTDALADGDHIECVIRATGVNSDGR SPGLTMPNSNAQSALIMDTYARAGLHPKQNPHDRCQFFEAHGTGTKAGDPQEAAAIQD ALFGCNMEENQPNNETVYVGSIKTIIGHTAGAAGLAGVIRASLALQNGVVPPNLHFNR HELKRNTTDAQWAIMSPPKREGGNCILGVFTGQGAQWPQMGLELIQNCPQARMRLREL QQSLDDLPIEYRPGFTLLDELSAPESQSRLGETALSLPLRTALQIIQIDLLRALGITF NAVVGHSSGEIAAVYAAGILNATDAIRVAYLRGFAVKHAASRGKMIAVNLTEHQANAI CSQPMWKGQVAVAAYNSPSNVTLSGDPETMDELKCASGRVILALGEANPTVLPSKSKG MSISYPMNVDKFYNQLQIVGGNVSDIFRGITELTRQEGGMQGVANVPSHDQPTFHPVV MTTALQVLWGAMMSDEGRLSALPLPVRIDSVTINPSCSHSGHVCLEASITRTGSGRNG CGDVLVFNGQGDGIAQLEGIHLTLSKPKNSSDDQALAFGTTVWGPLNPDPSIGYPKNL PYNLSIQNLQARLAVLYLRDAQAGLTAQDRERLVSHRRHYVAWMDSTLSKIRDGVHPH YPRDWLLGTIGELDSQTTSHETLIHVTHIVGQNLLQFLSGGEETILLKLRDNNIDLLT RYYQDDEAMRIMSDSLGKVVSQIVFRNPQLHVLEVGAGTGSATRAILSSIGRNYHSYT YTDISPAFFEGASAAFHTHEDRFIYKVLDVECDVTDQGFSMHSYDVVIASNVLHATRS LRRTLMNIRKLIKPSGYLVLLEGTDPDRVPTPFIFGAFEGWWLGEDDGRSGGPLIRRE EWDVLLQCTGFGRCTSYTPTNQANLYGMSVIVSQPTDMPAIPVIEMDLLLVGGSTETT RQIILDLKTILRDSFVQISSCLSVDDFTPGPGISQLAILCLAELDHHSEETRWQWQDM RLMMTAASCLLWVSPADDPHSGVSKGLLRSLALDSSSGLLQHLTVIDSTPIGAEMLAT TLMNLVRTKQEGMGRPEIELGWGEGILNIPRIVRDPTITQRLLASRSPCVFNLVDVRE QAVCRLVSTEGSQKEKVDVYLTDPKNATTSAAKLFSNESIVQYQVHYCTQAALTITEK CSLFLIVGQNVFNGARQLALSISHGSIISTPLSWAWDVPASVSTDNEPKLLAAVAATI LAFAIADLAGPSSTLWTGHQESYLDYITMWAV AOR_1_294134 MSPRSLFRSKLIGTWVLLEYRTESLKSKQVKWPFGSSPKGILIY SPAGYMSAQVMRPGTPQHEGQEFLSGTDEELAVAMRHYLAYSGRFTVPDMASTENSTR RVIHEVEMSSYPNWIGTTQERVVHIKGDILELSTVHPLVISGIEQRSFLTWRKLPRLT AOR_1_296134 MLVLVSLVLCLTGFCLLQWALKERKIVKGLPPGPRPKPIIGNLL DLPPPGALDWLHWLKHKELYGPISSVTIFGQTIIIINGHRVANELMEKRSGVHSSRPH VPIAELAGWQYTLGFIPYDSRLRAYRRALHQEMGNATSISKYHNILDMETHRLLFRIL KTPDCLMQHLRKEAGSIILRITYGYITEPEAYDPLIDLVDKAMEDFAQVILPGGWLVN FIPMLKYLPSWFPGCDWQRRAKAFKQRAKAMTDIPYAFVKQQHEQQKHIPSYVSRLLE QNNIKLGSEEELVVKWSAQSIYGGGAETSVSVFACFFQVMALHLNVQKKAQEEIDRVV GASRLPDLSDCKNLPYINAVVKEVLRWHPVAPMGVAHASSKEDIYHRYVIPKGAILVP NIWAMAHDPDFYHNAMDFEPERFLKSGRNEQNPEYDPHQFIFGFGRRTCPGQHLVSAN LSLGVARVLAVFNITNAVRDGKKVPISPEFSPGVISRPAPFELSIQVRNAECKRLIEA VGMKFPWEESHAEALAQLRI AOR_1_298134 MQGSKHGSDGAQYAFPTWRKCLILTDACFVVFTSSSALCSMFPA TAQIAAELSTTVETLHIANACVQIAMGISLFVWQPIRSFIGRRNAYLLAILTLFCFSI GAALAINASMFIAMRIIVGITGTFLLVAGQELLAETFDPVARGAAFGYMQIGNTTGLT IGPCIGGIIVSFTRWRIIYWLQVGLAGLGLILSSLFIPNEKGKSETVHGSISRLAALN PVNLLKPLVYPNIVITDIACGFLVFFQFIVLTSIPHIINPRFHFTTPLVSGLFYLAPG GGFVLGSLVGGIVSDRTTKRYIAKRNGIRLPQDRLNGSLPMWLGFMPVSMVIYGWTLD LEVGGMAVPIISVFFAAGGIVVAFNGLNTYTAEALPEHRFAAISGKYIIQYGFGAVST AAVVPLIDAIGVGLVFTICAIISVIGGVGVFLVAKYGLQMQNHRFSSRV AOR_1_300134 MNPHSDVFRNNVIAVDVLAVVSIVLRFVARRIRKVTLGADDCLI VASLALLFTISGIALGMIHYGMGYDKEDLSAESSLMVAKLLYVFEILYVVNLLTIKLS ILMMYRRIFTNISRLFRVGAMICGAVVTLWATAFVPTAIFQCTPVARAWDIDIPGHCI NLQLGFYCVALPNILTDIAILSLPIRSCWQLHRSVLYRLSLIGVFMLGIL AOR_1_302134 MRCLSFCQVGLAVLVFLSGQGALADLGIDVNNVDSLKQAGKAVA APMMDFYKKNETEGIPGKLTDTWYVAGSMFMTLIQYWQASGDDTYNAVVSNDLMFQAG ENYDYYSKNVSDWLGNDDQMFWGLATITASEAGFPEISGKPSWTSLARVVFNMEVERW DKSACNGGMRWQLWPYQEGYTMKNAISNGGLFELAARLARFTKNETYSEWADRIWDWS ASTPLLQTDRWYIADSTSNLNNCSDAGDQQWSYNYGTYLAGAAFMYNHTNGSDKWLKR VDGLLNSVLTTFFPKEGNGVVLSEVACEPILTCDRNQLCFKGYVAMWLAFTAILVPST RELITPKLQGSAAAISKQCSGGDQNLCGERWYSTEPVGPTGLEVQMAALGGITSNLML FEAQSPKTIESNPNATETEIDHHSDEEPNKPKPITTGDRAGAGIITVVVAIAVAGTVV WMIVP AOR_1_304134 MNRGRTNTDHERHPGDEPRDAPSPTKFTPQRVTSTPTNKGKRPK KAHKPTIPGSTTHDLPIAGPNPNSAIEYYSNLPVQRYLDWQTDENNRPIIRELTNNLS RLAAFVQTRGTEASEPCSFCSEQLGAWRSCIIGSDTTADTKVHGSCANCRFSRRYTCA HRIYRESSEDTGSSSGTREGTEPSSRPVDNEGFHIARPVSDEEVERFLLLLQPKSSQQ CGPNAQKTPDVQGSNDPNHDFFGGRQGEV AOR_1_306134 MTRQDRAPTAPYSEPLLPQLDIKNPYYTDLHHNLRAYVRNYVES SIAPYAQEWEAAGQVPEPVRRRHCELGFGIIHPLTTIEDAAGLFLPNNIPREKWDTWC SLIVADELIRTGYVGVIWGLGGGNNIGCPPIARFGTKEQRQRWLPKVAKGDIRCCLGI TEPDAGSDVANISTTARREGDVYVVNGAKKWITNGIWADYCTAAVRTGGPGKGGISLL VIPLAAPGVTRRRMYNSGVHASGSTFIELDDVRVPVDHLIGEENKGFPLIMSNFNPER LSLACASLRLARVCAEDAFHYATQRETFGAPLITRQAIQSKIFKFGLMIEPAYAFMEQ LVNIIELTKDRPTDDVRIGGMTALLKVMSTRALEKSVREAQQILGGAGYNKAGKGARI EQISRDARVHVVGGGSEEIMMGLALQEETKALRTRRKALEKKARL AOR_1_308134 MSMFRSPADFSSDSESEESGLDKGPSEQEDAPSSRSTAKKPKAT GTGTKSPPLLEGSSYESLDGLANENNDLDVDTEVHSNMMTAALLEFYCLSRAADILNA QEGSHKRYTRDSPEVQYLGRKMYNYKSRFLSSHGVIAGGIDKDELRTTRQYYRDNLDV LGMSALEDLSIDDAQRRVPSRTTTGDIVLASKASNIVQHNPDQAYAGPAKRGLYRPSL ADIGKRLPSTENIRALENVHLDVGSLPSQSLHLAGSSPASFPLFETNLPTPHNHLSRY ATEFAEVKVLGRGSFGEVYHVKNHIDGQPYAVKKIPISQRRLEQLQDGNENQLESIMK EIRTLARLEHTNVVRYYGAWVEQAHVSGYVRTRHEAVGVESENTQSALAGPESTDSES FGVVFEYSQASAGDIEDDEDTESIPRDFDAFTNSQVSTFGGTDDDIFTDGLSYDPSKL QIQRRHRGGPQVPAVVLHIQMSLHPISLSSYLNSQPRVEYLHSKGILDNEHSSWYSHP RIGDFGLVADISHFNENQSDNTSLQHRTKVNRVVGTEFYRPPLIHPYVDSPENSEETG SCYKIDESLDVFALGVILFELLYRLNTKMERQFVLGELTRCQQDQSTGSAIGRASFPC DFAQKIDLGLETFNGEVCIAEELMTCISGMLEPLPQKRWSLSDVKQHLQALLTGAQRA PCS AOR_1_310134 MHDLQNTFSLCFRGQNPQYLQQDTMESSKTTADIAIVGAGIVGS ALAYFLSQTDKKVVLIDRSFSELKGSTGHAPGFVGQFNESEVLTRLAIETVGEYTKVP GGFDVVGGLEIATSCEGVARLRSRCEMAKRAGLSAELISSGQATSLAPELVNDDNQIA LYFPGDGAANAIRITTFYHENARARGVELIEAEVTEVQQANGCVNGVMTTSGLIPAKK VIIATGIWATNLCKFDIPIPIVPVAHPYMYGEHHAPKLRKAPWVRWPQHHVYARDHGT FFGLGSYDHAPVFNEPRDTAIGDWIGQFDETLSQAMRFIPEETQLVPRERFNGIFSMT PDNMPLVGSIPSIEGLYMAAAVWVTHAAGAAKFLAQILEDQPVDDGIRRALDPSRFQG RDMATLTRESLNGYNNIYKTEESRAQIRRELLAVQSIFTTIEDLLQDHVFG AOR_1_312134 MPEAVATPQKRVLGDASNNPRGILKSPDASKKRKIDNRLSARVN PPSQNGQRKVPGSSQLQKSQFEEEVLEKLTQDINDLKDSNAEKDQQWERPPLGEFDPT KENVCFQQIDAEEGTLLGGKPAVRLFGVTEAGQSVLLHVTGFQHYLYIAAPVNFTKED CDPYRAFLESRIGNFQTMIQSVQVTLRENIYGYQGNQKSWYLKITVTEPKFISKLRGA LENGGQSMNYKGLWTGIEKIATFDNIQYLLRFMIDTNISGMSWVEAKAGKYRLIHEKE KHSNCQIEAVVDYHDLIAHPPNGEWAKMAPLRILSFDIECAGRKGIFPEPNQDPVIQI ANVVTRYGESKPFIRNVFVLDTCSLIVNTQILEFEKEEKMLMAWRDFVQKVDPDVIIG YNIANFDFPYLLDRAKHLKCTGFPYWTRLNGVMSQAKETNFSSKQMGNRDTKATNTNG RIQLDLLQLVQRDYHLRSYTLNSVSYEFLGEQKEDVHHTMITELHNGTPDSRRRLAVY CLKDAYLPQRLMDKLMCLINYTEMARVTGVPFNFLLSRGQQVKFMSQLFRKALEQQLV IPNNTPESEQEYEGATVIEPVRGYYNVPIATLDFASLYPSIIQAHNLCYTTLLNKKAV ERYNLKKDEDYIVTPNGDLFCTTKVRKGLLSQILEELLAARKRAKKELAVETDPFKKA VLNGRQLALKISANSVYGLTGATVGKLPCLPIASSTTSYGRQMIEKTKQEVEARYTIA NGYSHDAKVIYGDTDSVMVKFGVTELADAMKLGQEASEYVSSKFLKPIKLEFEKVYFP YLLINKKRYAGLYWTKTEKYDKMDTKGIETVRRDNCLLVQNVIETVLHKILIDRDVDS AQEYVKETISDLLQNKVDMSKLVITKALSKSDYAAKQAHVELAERMKKRDAGSAPTLG DRVAYVIVKGAGGSKNYEKSEDPIYVLENNIPIDTKYYLDNQLANPLGRIFEPILGEK KAGQLLTGEHTRSISVAAPTMGGLMKFAKKTATCMGCKKPLSGKAEMAGAVCEHCQPR LGELYTRSLTKVSDLEVRFGRLWTQCQRCQGSLHCEVICSSRDCPIFYMRMKAKKDVE DAQKELSRFDFDAGAW AOR_1_314134 MEVNLPKTQRRSRFGCRNCKLRKLKCDEGKPHCKRCSSFGVLCN SMSNISDLQPIAAGTGRQLIVRGKAELQPPVSSAVWTSDEFTSYQLNARCQDFITRYY GRSLTIPDDPNIIHVNRKLLRLAFEHSFLMHASLAVALTYDRHLNSSGCRRSLEECYH WSQSTALLNRRLREPIKAKDKDPIWGTAAALAILSFSSPDARTPEESWPLKSSDHSDL DWVRMSKAKMSLWHIVNPLRPDSLFSVMAGTFAQMQSPLPELGIDGIPSALAAICLLN DSSTAKDNPYFDAAHAVSQILGRPDSEVTTGHTQLFMRSIHGPFEGLLRNKDPVALLL LYLWYRKARRSIWWIELRARVECPSICSYLQLYHKGNVGVHAFLPGGTLADRWN AOR_1_316134 MTTHLAAISPAKGRPFELEPRPTPKPGPDELLIAVKSVALNPAD AIMRDQGLFISTYPTVIGFDMSGSVLEVGDNVPPSYQPGTRVAAYAASVWKSCDPDYG PFQERCLVPWQHAVPLPEGMSWNHAATLPVAVQVPLSAWDAMGIPRMGEDTAKITMGK REALLIWGASSSVGTMGVQTARLLRDNPNSSFAAVYATAGSANRSYVGSLGADRVFDY KDPQVVNAIISAAKGDGLVIRHCFLATGQLASCQAVLEAFLGEDHKGETAKIASAPVV PPDAMVMDGVETIFVMPSMLEEERLEQFRYWIGTWLKENLTKGTIRPSPELSVVGKGL GAINAGLDKLLRGVSCTKLVVEIAE AOR_1_318134 MSQTNDRPSLNPTVEEEDEEIDTEPPTTETTAPRNRISWPEICA QHESVLSSHIEMLNMVRDNVSNGDALQMVAGMIEKTNRLMTQFRVVKKQLISKTENFE KSSEKPTATANEYRTTSTSSSRDSSKRRRSSSKERRKRYRVETDSMDVESESIDTMPV GAVQYQKRKRLDMMMAGGDEDVRNVTPVALETEDISEEVQRRLEIKEEQRRKRSSKPE KRKRDSMASTGSTSSPGIAKPKKKTKTESSQDGNIDVPWDTGRKKKIMKPFDSEQGSD VGSFEEKRRTKRQKRNSGTPVF AOR_1_320134 MASFGEGPVVSHPGQGFVQTAGANNRTGRRPQDTSSGNRRRGRR NNEVSEINQRSRPSPPSGPRGYRGGNNHPANGNNRVQKQAPRQPQGPGGKTKFTPRRS RRGPGPQGAGPQHGGANNAPRNPGQHRGPYPRVSRDGDTIMRDAPALNKQPVRRPGIS VPHPPPQRDVVMVDVFATPPPSEVEDVVMLDVFTTSHPVEQQFAALAIAAPQFATSTG EEPQDIEMMDAPPLYFY AOR_1_322134 MSTTTPEDIQTRREEDYARFKNYAAYTFLVASPILIALPPRKLD HLTVLLGTAFAFSANHITYDRTGRSIVDRVHSKISSGRTIVPSGLPSERALEIQARLR ASREKQLREGGLTTEEIEKLRAREMQDKGVMERVWMGGEKEGWKERRLREEREALDAG KGYGDLIKEHVWDVWTWGKKDGEKKE AOR_1_324134 MSGMNMDDCTLATCPIDLAYINYQPNIPANVLFLVIFGLLLAAQ ITLGTWFRTWTYMGAMTAGLILEILGYIGRLMMHSNPFDFNAFLLYLICLTIAPAFFT AAIYICLGRIVIVYGEDISRIRPRTYTILFVTCDIIALVLQAAGGAITSIADSDQKSL GDTGVNIMIAGLAFQVASLTLFIVLASEFALRVRRSSEVMKNTSTASVRSGLKWKMFL LGLAIAVLTIFTRSIFRVAELKGGFNSHLANDEIALMILESTMIAIACICMTAAHPAV AMGRRWGELSAKSRRGVVSSKMSHASSEIEMMNA AOR_1_326134 MSAPTSLGRVRTCENCVRAKIRCSRTSSQSCDRCLRLNKDCYFR ATKARTPKKRETRIGALEDKVDQILGQINQPHSNPQQASLPLPLSPKSKSCAPTPHNP CDVIGNGLITHEEANRLIESFRDAITYFPFVQLPRNATVEDLRSERPFLLLSILLVSS FRNVPLHLALEDVSTSYLGGQVLQGNRQQPFDILQGLLVTIAGCVPDNFSLH AOR_1_328134 MSETDPPTESISLRNEEMRALVGYFLLDACHSIVLQRTGTMPWS PFIESCAAKLSQECEFSTDRYLLGQVQVQHMLVRIDSLVARRFGENQAPADIEVMIRS LQSDFEDCKARLPVPIDHDAFQGISSHAFDIHLYQTIFFDVYPSSAASIDHSMALLRI DALCHGLAAAKQFMSFYFSLPPGIEKGYSYTQWTMTGFSIAASCKLVLASLEPSVRDH DQVRGLRETLDMRHEIQKSVKRMNTLDQECKGGKWDQHEMFFYQDWLRFLSEWFEEKY RLAESDSAGENNGALGVFTTGISEKNIDEEPQPDPGFPWVGLQDVTIEEMLNVWLEPM NMPHYF AOR_1_1138134 MEPYTRGTDNGESEMQPEQQPFIPNLSKPMRNDRDNLLRPVHSQ DERPKSSTLSGSQGQRLIDKPAAPCSPKRPLEPRQLRYNKWQLMFDLLSAISALPFVA LALVAMIFNSRSVDEHDWDDLQIAMKTSVTIFPLIYSAVIGRAIRQIAKQNLERGSTL GRLEQLNKSTTVFGAISTPLILKSWNILGLVLVLIWLISPLGGQASLYLIRPQTLEHV ERQEVQYLDINGLSKAPNLSSFPRLTNALEAMFLACLIAPVSVKEADSDAWGNAKIPL LRSLVRNNTAQSEGWFKPAGNSITYSSLVGVPMFGISSRGNSTFQLQTSYIDTDCFEL GEPPDELVYEYKGQSGKFRIYPAAPWNATRSVNASVAPDIHVLSGYGREATNGAMITC HLTNVYVELKVLCSGSNTTAQSQRSCRVTAIRESVETHQSSSLPPLMYPDFNVRDTFA QGLVNASLSVNRKLSTYTEAYLQNIDAAETIPLPKYLLKTPLHDISDHLTQIMNTFYL GSIALPFVTLGPNLPSEGNYSKYSEAYEAFDRSSLASLVAERAYRDTTATYVVNWGWA AVLLLASLVLIGASVVSFLVARQSLNPDIIGYASTLTREAPYLPLPSAASALSGYERA RWLKDVRIRFGDVQPESTVGRLAISTPDLADRSRKGRLYF AOR_1_332134 MQAATLFPLAFAAVIGRMNQQLATWKLERGVSVGVLEQLFGSST FFNTVITQVSVRSIKPLPFILIILWCISPLGSQSILRMLHTKPTTITRPIDITYGNDT ELSTHTFIPSTTFTKAEAMYQESLLSPDVVKHSPLDIFGRLKIPLVNLRDSSDWIELD TENTDYSSLTGIVIDGVKDANGETEFTMEAPYYNLHMSSVEGEPTFNSKGFDFEDDGM YTIDKSQTRYGLTEVYFNFTIPDTNFKASFNLTEQYVDMRVKCIDGIANCATTAIRPI SRTSPHANGTYWADMRAIHTLFSYLRSAGSERSLTEAYFRNPDTPLAADIYTGSNFTI PVDIFLLRLTQAINTYALLLSASSGGEVYNGTGTFTDSSPPPVYEISWPWLAISIVAT STIIVGAFVPALLGFFTRNPDILGYVSTMTRDAPNLKIPPGGGTLGGMDRALFLNDMS IRLGEIRDDSVSVSRIGIGTLDQASPSNKGRLYE AOR_1_334134 MGLFDFFKSSKEESPRTQEPTWDPNTMTMQQPSSPSAPVVDRAV SEQPNTQEQMQLRGGGAGDCCCGICAGILCFECCEECC AOR_1_336134 MAEEAVANKVKCTYIGCKLVFKSEKEMKHHKKFDSEHAYCDECE EDFEDEERLLIHKIKSVKHIVCPVCGIDFGSDGGRNSHIRQNHHSLQVIPCHGCKTTF KSASGLMSHIEKDECPTIRSVHLLQEQSKKMMIREALGAGEGVNMPIIPPQGTLEDTE FDDADGGVMLVTDEAASRVLSNREALSNQPKPGQDDPTASVSAMLALKHWPTLDGKAS KGKSLAPSELLAFSDLSISAATGKENNSWKGKEPVRSIPDGVSTQRPFGIGPVRPGDT LRMLDRAWDATKFFDSFTGQFVCPCKKGFSTMTEFENHVLMKSRMMEDRQCPGCLRFF KTTAALVAHLESPSTRCNLSDGERYGQIFEEITGGLIQTAGYTEDGRIKYEAGKLEIT DGLGPATTTVGTDLRLRPQRRKF AOR_1_338134 MSLATLDTSQHPNLPSASATLFKAKAAKKFSFEQIAQHIGRNEV ATAAIFYGQAKASPEDITNLASLLGIPQEVLEDQLNGFPDRGKSVEMPPKEPLIYRLY EIVQNYGYAYKAVLNEKFGDGIMSAISFSTKVEKETDADGNNWAVITLRGKWLPFSRF AOR_1_344134 MSSTKSRPTLAELPLNPNDPPYSAWGLWGVDDEVGTLNLLDEST VTKAASEIQVGQRFSLNWSLASPRTPMFGRDTCEFSHKVYQHSPELIALDDELHFNTQ KSSQVDGLRHAAYQKSGLFYNGRSKEDILKAGSLTLGIHHWHDNGLFAGRGILIDYWA YAKRHGKAYDATGGASITYDELMACLAEQSQLSKQTIEFRKGDMLLIRSGFTHNYVKL SEDQERKSAHTTPPKSCGVAQDERMLQFLWEKQVAMVGGDSPAWECLPPVPSANFLYH EVLLAGWGCPIGELLWLEDLARACDEHKKWTFFLTSAPLNVPGGVASPANMIAIL AOR_1_346134 MGHLTENSDSTPRDPTFEIDWEQNDKENPTNWPLWYKCFTVWSV SLTTTCVILYTTSYTSGSPGIQESFGVTSRIVVLIGLTTYMIGLGLGCLVLAPLSEVY GRRIIYISTSVLFTILVLPVALAPNFPAVVISRFFGGFFGSASVVAGPGTINDIIQPK YRALAFSLWSLGAMNGPVLGPIIGGFVYQYLGWRWINWIVLICGGASTACLCLVKETY APVLLKRRRKAKQIETGDTRWWTKYDSQAEESIWKRLQTSLSRPLIMAVFEPICLFWN VYVGVVYAVLFLCFVGYPIVFQQLREWSPGLAGLGYLGIGTGIALAVFSEPLVRNFLI AKHPPDPVTGKIPPEALVRPICIGGILIPIGEFWFSWTARPPVHWISCVLAGVPFGLG NGLVFIYATSYLAASYGMYAASAIAGNSVVRYVFGGVLPLAGSKMYRAMGVNWAGTML ALVEAAQSDGFEDDLAG AOR_1_340134 MSPLSGKVAFVAGANGISGFAIIEHLVRQPKTEWSKIIVTSRRP LAYFWPDPRVEFVAVDFLEPVEKIVAKLRNICAPVTHTYFTSYVHHDDFRVLKEKNVP LFKNFMDAVDEVCPNLERVCLQTGGKYYGVHLGPVKFPLSEDMPRYDDKGYNFYYVQE DYLKEAQKKRNTWSWNVIRPNAINGFAPHANGMSEALTVAIYMLICRELGQPAQFPGN EYFWNSIDDNSYAPSLADLTIHATTKDHCKNEDFLHCNGDVFVWKYLWQDVAKYFGVE APEPQFNKATGQADTLNNEIDMVEWAKDKRPIWEAVVKKYGGKVEAFDWGTWGFFNWA TGKSWCTISSVNKARKYGWQRTDDTYETWIETYRSFENAGVLPSHTAL AOR_1_342134 MRRRRPTGLTESGVVDRELANADAGLHNHDDHPVDKELGIHGST SPQGATARRPVDSDISDVVRSQVPAFSGESSITHTLDQIEGHLERTDVTYGNAERPMS CQVSGTSLTAPSSPLGGFRETREAVDIRKVLNAYGIDPRKEEWDGYMHTFCDEVHILY PFLHIPSLWANYANMWNSGFSSTEHEFQRSKDYRMMVAQVWVCIALGRCTESPRVSSE EGKHSAGWSIFEAATDLIGDLVGCFRACSRPTIILQTYALMVVYLFRLDANERAEKFL ALAISHAHHLGFHRSKVIRRMPVFNDEMIRRLWWSLYALDRRLAIETGHPFLIQDVNV DAPHPQNLDDEWLTRYKEDSKTSNELESDIKTALSKDPITPIPYLSATTRYSRVLGKI WEAIYGANMTDVIPSSSLLEYLDQLISRAQVEVRPEFSDSYQAEPPNLEQTNPLRWLA KQQMLMRIRWLSLRLLIRKPILQQRASPQESIADILETEVTCIRIACNIIQEFKQIPQ YTASAFPFLHPLVGATVVTLGLIIREPSFKATYGNITLHAAISLENYCRKTWVSGKMI RTIRRLNQITSSVLSSSRTRASSRSALQSSSRNYSGDTQTVPQTTSTRTWPSSTTLPL ENPTSHTSAVQGSYIPISALTNQNTTSLTTSPMHASHSMTPSTQLQHTSEGWAVAPTN LVTADFDFEQSLTSDLIPGGHIYGWMAGTQPSEMQHDGTAYMEMGWLESLFGTDLGSN VMLPPED AOR_1_348134 MSKGNAEQSSFKLEENENESAFVPKPTFFQRWKAHIKKWWWLYL IGLACVVLVTVLPIVYAGVPRFANDRINKYTFDFDGLSITNPTPNSFHVRQSQQFHVG MGSGHLSEFDASMHYSGSDTPFAVLPFPRIDFRNDASLDIDQDLDLSCVSCFSKLAED AVRSEEISVLITGKPTLKVQALPTAHLDIHKTVTLPGLNVEEFMKRDDAFNVTKLDLI NPRTNDGYNVNATIVFNTPAAISVEMGAVSFNLTIDDSNLGYIDIPDLTLRNGTSTAI VLGDLDIKLLIRKGLWESSNSNYGKVTIGIHGNRSVYNGEEIPYFTAAVKAISASTTI NLFDYVSDILGG AOR_1_350134 MDILLRESSFGRLLNFASNGQIFPHRDLNIPKHEETTSSSSSCP EAPRHVLIDFSGPNDPDMPRNWPTLAKTVVMVDVMLLNFSFYAASAIFTPSIPRIEEV FGATTAEGTLGLSLFVIAYGIGPLILSPLSNLPSIGRTPVYVLGSLAFCLFNIGTALA KNLHTILILRFFGGFIGSAPISVGGATLMEVYGPTEIPYAIALYAVSGVCGPILGPIL GTLVIERWKTWTATLWLLSGVTAFTTVFIFFLLPETLYSNILLRRAQRLRDQTGNPAY QSQADIDTPQSNLAIRIVKQTMDDFKLSCMDPVILFVNMHTMLIYGVLYLWFEFFPFV FDGIYHFTAIQQGLAFFGILVGAVVSVITYVLWLYFSYQPRVAKPEAIVEPEARLVPG QVGAICIPVCLFMFAWTSRESVHWIVPIVGTAFFAPGFYLTFQSILNYLGESYPRYVA SVFAGNTFFRSSFGGALPLAAPRMLQSLGIGWASSTLGFISIAMVPLPFILERYGKRL RSWSKYAN AOR_1_1140134 MIRHTNLPEINPVLDLSPITFYLYSISTPLLQHNTPSQVPQESQ IWPRDASTHAPPSTTRIVHKTKKGKGKQPEAKKEDLGKYCYAKVTANVARKDGYMMFY QACGRLIIQRKWEIIHRTTLYSEDEIKAEDGHTMSVLVNSSGSEPTENEILESFWKAL EPARKNIMELNQKQWDVIEKVFKTAVEAGLEGVQVTLLKAIDDLFKIDLPEEASSSKG A AOR_1_352134 MDNPINENLCAVFFLEKKKDSPHDSQGRVPKKDADFWKLIHQLV KSGTFKILDRHAVPPDADSTTVYVCPLYGERGVWNRQRVPSSSSDEDLILALSVHALE NRLEALQDDLIDLVA AOR_1_1142134 MNNSTSQNGHGWGSTNPLNTSSVFGYHIKDHVASSGSNFAMDCW GRPASPVAVQEPDPEPQPQPELVAAPDPEPLSPARLSDHPLYKGWKKLNPRMKKKRTR LLVSKGLPAPGKDFVWPPPAPELIAEGPVEVLEAAPEPEPVPESEPESAQEIEPEPEA EPEPVLEPEPEPVQEPEPEPELEPEPEQAQEPEPGPGPEPQSPRLTIFPPQPERTVCT KNVATGSSFLLSLLSNIRDTGAFSDLKINCGVSTFNTHCCIVCPQSSVFEKVIMDGSK EITIIDHPFVIKKMLDYLYRGDYDEHELATEAQRYQDQGPALPKYANAMMHVTANKYA IRGLKDLTEKRLVSNLIHEWNDTNFIQLIQYVYGPRTPANSTLQTIVAQFAARHVSTL REFQSFHEVLKRFPDFMYVFSSEMMERVIQLEKEAL AOR_1_356134 MPWYAVLDAWDDSRHDDRGKDIIEIQADRTEAVRRAFERAERRN YTFKFKDRRGLGGLGGSGNLDEFLVELRQNDPKVEPTVKDMMDIVIPIVERQFRIEDV YLERLCIMGDAGALTWLEELNPMHQLAWSRLIKELEGNEWPGLFGYLKRLVEYLSLAS GTSH AOR_1_358134 MSEDNHDAPTVTNDSLCLMPDPEVQSLQHDNLSAKTAKVPSRKR GRPRKALGTRMDKNKKDRRRTQVRLAQRAYRSRKEANVEFLHKRVAQLEAAAQKMGEA VITFSDTLFQSGALTSRPDLARHLRETVQICLSSAKDIGDEDSFNTATHDESPLLSSL TPISPGHSTLSEIESPPSLSTVQPSPCFLRYHPSSLFFFKPGDVAMMEVSDFTNQLRI ACLYEGLLILDNSSVPLTTLKRPFRLLLSLFTRASITSIFRSALHARLNKRSYMSFKE VPSCLPSHAGQPSTQSQTTECQSALGQPQSISNSPLPSFNPQALKEIGGDWFDVQELA NYLQERDTRLLVRPPKNMTDSSSQSAVNARALIVALVSKAMCLGHSPGFRRSDVEQAI NICTWRGAV AOR_1_360134 MSNRDDHLAYGQYYGQDGTRGGQGESTRGFVGDTFKMLKETYKS HHSRQGAPRPQQSQGQQSQGQGSNQDYYGGQNNQQSSFQNTYGRPPEPQYQDPNKPQG KPQGKPPKEDKLSGLFGKIQGAVAEIGTDLGQRIGTALDPQAYAEYGQVKPQTQHRFG SFAPDRQGNDVKWYVDGCTYFYAVSKALESARESIWILDWWLSPELYLRRPPTKNEQY RLDRMLQSAAQRGVKVNIIVYKEVTQALTLSSHHTKHCLEDLHPNIAVFRHPDHLPDR QNLADDISTSLHNLALDAKSLVQMSGDAIKNIYGMHDDVVLYWAHHEKLCVIDGRIAF MGGLDMCFGRWDTNQHALADVHPEDVNESVFPGQDYNNARVLDFQDVAHWEKNQLDRK TSSRMGWSDISVSLHGHVVEDLRRHFIERWNFIYDTKYDSRKDARYSRLALYGRPSSS NRPQQPGAQQQQSTSPRPSTSSQGAPSYQQPPASPQPNLSTKPQAQATGNQAYQQSAT SPQPGLSSYFTASTANNQATSSPHQPASGAPQSSGATQDYTYTGQSFPPPPPGPPPSQ TPAQGQGQTPGQSQAPYYASAPIQEQAGQGQPPQYSAHAPLPTQEKPHSQNATQAQGQ PPQYSAYGSPSAYEQSHAQPSVQGQGQGQGQPPQYAAYGSPSTHEQSHSQNATQGQAQ APGQSQSSYYAPYQTQGSSYSQTQTQGQVQGQSQAPYFPPPPTQEPAHSQAPAQGQGQ PPQYAAYTPPANQEPSHSQTRGIDDHYEGTRGHGDRERGSGLIPRRFRDEFTQYSNVL RGQLAGQVHQYQDRLSSFGHPSQPRGNMCCQIVRSCSKWSNGTPTEHSIADAYAAIIR NSQHFVYIENQFFITATGDAQKPVKNQIGAAIVERILRAARAGEKWKMIVVIPSVPCF AGDLADDSSLGTRAIMEFQYNCINRGGSSILELVSDAGYNPMEYIRFYNLRNYDRINV SGPLVQAEQRSGVDYEDARKQHDVNVVGQGGYGPGAPAPRSAFDTTAPFQQYQQGARQ VPGAKTASGRWNSVSSCYMLNGEDIRNVPWDGPPEAEIDAFVTEELYVHSKVMIADDR VVVCGSANLNDRSQLGDHDSEIAIIIEDYTPLESTMNGKPWTASRFASSLRRYLFRKH LGLLPPQDYERPDANFEPVGVPNEFNFDAPESRLVADPLADTLHNLWNSRAHTNTEVF RKVFHSVPDDCVRNWSTYKEFYGYFFDKADKQAYGEEKDSPPSRYRYGHVVRDDFPGG PEGVRQVKELLSKVKGTLVEMPLMFLIEEDVAKSGLALNDITEPIYT AOR_1_362134 MAEEEDPIVQALPPATDYLTYLTLLEYQLTPARLPTLHKLLQDE VLTTNIGWDLVQLLLPMLPQSLECLQDIARLGNPREVILRVSEALMQLQPEDEDDDDD DDDEENETAEPAAAHDTEPVDTELKAATDRDAEKSNIQNNLPRHVLKFNALVAMLSIL HSRIQTKSPSRFFATSLQAVLEAYTLMPTNETTLALLEFFRDVSPSKRPAPPPRAASE SSVLRVSEASAPDPEAEVQSPNPSNNEPALIKRFLQFGLIELIKSYILSFSGPMDPGM SWTIRLQEKLQTRHLTGQVSETEVFANNKELSERDMILGKITALSRDFGLDDKQLLAV VSQPADKHPQPLDFDEPPRNVEEIPLERHGALLLLAARAAMAELFSSGQVTPIAVFPE LAQIFDNFVGAHDKPDEIAFGQPQALLDSLLTLTVFSMQRSIGEPSTEIEFRRFILSL TACTTRQSYNSIRRIPGTILHSHPSHIVRFKTIRLVLEDDRFQLIRDSAIGWLKDEIL DANKKPSGSPESDIFINPHYFSILFPLLFNSSELLLNVSSDIVASWIKFSQTLTPSIH AALSLYYILLSSSTLRAQLQLEKTYIYFRNRFLEPLKSLLHAFESDLTQNGGDGKIES AVGENMCQVGMARSVGLVSHAVEQVEDAVGDAFVGADDELQEPSMDDVARVDKIRKET AL AOR_1_364134 MATEMQSFYTPSTSTHISSHAPAAVDELINKYSSTMSTSTIPNS SGVSHLTMKNPRRNVIQRFADRVRLEYYRYEVTFGLYVMTPGEKVVANSFVMVVLSLL LWALLLYFPTLLYQKLIRLVWLLTGHSSEEMGAALGILETHVGSLSSSFSGESAL AOR_1_366134 MAANSYYNTGYSNNPPTYEQANSHTDSFHRQSPAPTYTGPSGHP YADSEPPHIRDSQHSLGSDQGAYVAGGRLNEGDHYAENIPLKENTQYSNGPPPANWMQ QPTHYPPDPAMLESAPNRSRGKKKGFFKKKIPFVTYILTVVQIAVFIAELVKSGQLTG SPIQTKPQFNPMIGPSAYIQINMGARYAPCMKNVDKVQNSPVDFLMPCPNSTTNALEC TLSELCGFGGVPNPHLGGSLDDQPEPNQWYRFIVPIFLHGGFIHIGFNLLCQMTMGVD MERMVGWWRYGLVYFASGIWGFVLGGNYAAPFQPSSGCSGALFGILALFILDLFYTWK ERPSPFVELIIMVLGVGISFVLGLLPGLDNFSHIGGFIMGLALGLCIMRSPNALRERI GLARNPYVAMSGGAGPTSDDENKTTTGPSFVNLFKGRTGPNSSSETAGPLGFFKGRKP LWWAWWLVRAGALVAVIVGFILLIVNFYKYPKSNCSWCYRLSCLPVHDWCEQGMEPFT ITNTTTTTGN AOR_1_368134 MATLAASPPSSPSWPKRRPRAWALRCERYCCAAASFFPLAFVYG LTTWAVYVEASIGIRPSRNSWIGLPTSIIGIALYICLNASYSVAVFTDPGSPLSSNRR HEYSALPVTELPEFTSYTRCVLKMDHHCPWLATCVGLHNYKAFLLFLIYTSIFCWVDF AVASSWIWTEVLNDTRYMDTILPVNVVLLAILGGIIGLVLSGFTIWHISLAVRNLTTI ECLEKTRYVSPLRKALDRRRYENILGNGHNGHENDDPESLGHRLQDYGNQILDAHANA IPGVTRPEEGEEPLPAYSQSGPGGTPAQQALSRSYADLERQREHDRYHSYLDEEDNEK MPNAFDHGWRRNLLHLFGDRPLLWPIPVHTTTGDGWHWEPSSKFIEAQDRVRQRRERE ASEEQQYYRDLYQRNMNNSRSWLGTDATPSMYMPHQPSNVYQETERPATGVSMKTLAP MSPRPRPGDSDFEDVEESHDFLQSRNDHLSAPRKPGLPAKQHGQSDEWRDWD AOR_1_370134 MSPSLRRLMKEAAELSASPSPHFHAQPVSDSNLYDWHFTIAGPP APSPYASGIYHGRIVLPPTYPLRPPSFRFLTPSGRFEVNREICLSISGHHEETWQPAW GIRTALLAIRSFMDGDAKGQVGGLDVSEEVRKDYARRSGDWCCEVCGKSNEAILGQWR DYCKENGVEVGDMGDEKVVSPAAKEEGEKGDTDATRQEEQPEKIVQDEPVAVSQKSVP QQPAPEVVQAAFSPPPPPSTSVTTSTLVPGSSVQTDFAAPAPRRPVTSYPAQAVASPQ SEDPWLDRAIIGVLVALVFMILRRMACSED AOR_1_372134 MSIDDTPKPLQIVIIGAGIAGLSAAIALSKQGHHVTVLEKSKFA RETGAAIHVPPNCTAMLQWMDVDPKDFGGTLIEQIHRYDSNGELKYCKELSGIRDQWQ AEWYLVHRVDLHNYLKERLARTQGTLHLGCKITHMDIESEQPTVTLDDDREFTCDLLL GADGLHSILRTHIVPNFPSPYPEGKSTFRWLLKTDDMKNDEATKDVVGDPGVFVEWAG NDRRLVAYPCSNNKIYNLCGFMPTAESGKYAEGWQAVGDKSALAKGFSGFAPSVQRLI DMAGDDLKVWELADMERMPTWVRGRAALVGDSAHPFQPYMGQGAAMAIEDALSIATLL PLGTKAEAIPSRLAIYEKARQPRVDYILKCTRLNGADENDPSVERMTAAEMVKVMGIC FDHNAIEHSRRLLEEDERC AOR_1_374134 MAKRKNAVCGAAKASQEAKRARLAEQNPAPVPPARSSQAAHISV LEQEREASVPASPRPLRPQIANMGTLVTPNSG AOR_1_376134 MTLPASFQLSFDLSNIIGPVTRTVLRLGSLAVLHDINRSGSDGI TELRLAALLGRHRIADYLKENFKSIVADSRQSIISRVLREEQLALEVGSGPTVQQAIT NPNPAWLSMVIQMSLLAFSQEQQSLAQAITTVSCKLSRGAAVDTRQELDYVSVLGAIT ACQQQTAQFPWTGFFERTEDKIFKDVQNGQHRKRYRKRRRLNNATQQENLACVFERSL PFVILQTLVMNLVSIQDFPEHRNLHLRTYSGSSTIIVWCYYVLGIGVNVQINGVGMQF GDNPRIFLENCQPFEASATLLDAAGENEPLFKLSQVEEDPPIQGEDRTTARGFIRRIL MLSGVSEKNVEAQAHSIAAHCIRLLSSTAQPSVLASLKVTSMASQVERNLLGAVAFLF DIAELDRCLVSKLLGKIQQKKEKLKSGDRQKWSQMILIIISFARVHNLEKCDNLPLSL KAYWGLCEEDFEISCQEEGFVGTAPDTFVCFDIISRMLLGHQYSKEYVASSALVSSWG WSVFFDSFDALDPADINPGVIHIRLGVPTRNGERKMRIVDGPTDVPIAWGEVLHESEI PITFWPGVFTGRLTATLIGYHGTDAFSAVQVYEWETGPQKSKKWRMGFRDKQEMCLTF NIIDICPCPEFLKDEESKAWINQLITTGHLQKRGVLDSARLAEVVEVTRKYPSSAEKL ASSPERVFCETLRRLDTTDSLSSAWYFFVTANTAARYCPAFPERASIRVLNEDTLNAA IQISQFMRTGGTNPHLHDPRPLIINFASYKKPGGGWLNGAVAQKEAICHRSSLAVSLD ESDYPLALDEAIYTPYIVVLRSDMASGYRLLFPHTPAKDLPLFSTITLAAIYRPRVQT FDVKDNHGGDSRSRPQWRKKQVFALDRHRNTTKDKMRLALRIVAIHRHRLLVLGALGC GVYGNPPEDVAHCWLEVLKEDEFSGHWWKGAWFAVYDSRNEGNYATFNRVLSGRQV AOR_1_378134 MALEAIGTASAILTLAESSVGASLKLYQFFTTIRDAPREITSIS RDIKNFNTLAKNLKTALESKDVRTVVDKDPQIKQALNDLLDPLNDCHQACLQIQERVE RHFQPETSVDQTSDGNSKVHRIKRIKSGYVTWYFRRGEVFSLISRFQLTKGMFSDGMG SLTLWTNSLPTQKRKFDDDAGSAIIEYVERLSNIAPSPSIVPSLYRRETPSDPKYINE LLDAVRRGTVVTVEMILNHVDIDARDPCTGRTALSIAAELGDRDMTKLLLIQGASVNI RQYSLSSYCFGRPAMASGRFPLHWAIAGNHIVVAELLLQYGANPNARNSPGRSALQEA CMRSDLKMVRLLLQYGADVNARSYNHGWAPIHEAANDKPIEILQLLLEYEPVLDVPAV FEPHAPGAAPLHLAVRSHSLDSLRLLLSSGADPDALMVEDMTALHLAAAMGWVEGITV LLDAGASINARDACTRETPIHKAARNIKMDAIGILGARGADTEIKNIDGQNYQTLLEC ARRSPDDWRVDPLLGSYCTFY AOR_1_380134 MSTQQGILPPPPGVEPNFINPQNQFHGCTPFVAFYLTINSLALV MRLYTRRFIIRASLAIDDYLCIIAYFLCVCFAATVLKGRALGIGRHIWDIPRSASTEI AKNSFHSFLSYILCLASSKLTILCFYYRLFSSRASLKVLIIIGIIFEACGTLALVAVA NYTFTPFERFGDPTVKPKIPRIAPIFFSGLLAMITDIYVLVLPIPSVLRLKVPLRQRL KALAIIILGASACTASIVRVVHTANMKDPNVLWHVLFVGGVGYWV AOR_1_1146134 MPPITTPGHESHGGCASADSSTLSRHRSTSAEFPPSQSPTPVSY PRTTVPLCPPPNMAFPCPRTHTPRSYAQQCLLLESRMASEDLTNMLSVPIWRARDTPI RSMYRLYEAMAAGEYYAIRPEVEYFWYQRSWILSRVPDPRDYDPVRYAILASIAEELA KAINWRLSLGMRRDKRKHIYRKTLEDVLPPYTPETAPSWTKRVPPIDVELITDLPDAL DSSGRLVLEAGGKSPAFAERNIVTDSGHFYTV AOR_1_384134 MAPSLRELIDFLLAEIALCGDQGASPSDILTFINTFYAKAAQDA STRNHVVDRRFQEKVWSWLAKNPEVSIGENGECNALSLSDVERRVNDAPDSEHTAQNQ IRVFVSKERTWLAITGHEPDENKLLATEFALLSIIASRKADGIAQTELVKLSGQDKRS VPKRTDQLQQKGYIEKRAVQIKSTRTSLCTLRKFMQAEQSSTETTKDQSSGQPQVIDY KEFSKQLFSILREHEIISRVDLKKILGFADRWRWRILSRALRKFERIGVLKRVKAMSQ FSENDKHYFACVKLIREPTEKDLELFHEFSRGISTNLEQDDNAELDEDVEPTDATRES PLLNNGETLNVMKREEETDEAGRILPLWTPDQTIHNLILDVVENAGTEGIMNQQIIRK CFGGFYRRPLENTMSRLVECWQLSQPLHLRHMAIVRDTVLNRTITQYNHFTATNFAKL VEAGESAWEAVEFTPKNPKVDKIRAPPVDAQPELDEYGLPVAVPAKELVKNGDVSLLE CIVAVDPSSYNATNTDAAAIRLKDGTYALHCGQTKAPAGSRKLATRSDIVTPSRPKRA RLDVSDSEMGDRTPGGPISTIKRRKVPRDESEKFQGMSEIEKLKALGLDETWTEYNAL LIERPNSGVYVTPRGRRRPTGKRQGRPRISRIAIFKCPKLSSFPWFTEEAETGDQDGG SQQPSREQTVEETVEETPAPTPVPTPATTGKALDAPEDINATPSRGTKRHPRPSTSKI GPSPATMRTAKQRRITDFTTKSNNVPITESGNPEGNPAGDPRQDKPDVGNDNKTEGKP LKRKRAESPNQNSQEVVTENGLRESNVQATQILETPSKKPREAVRNEGNKDAIGAKDK PPTDQAPVNDLSDSTPMETEACTTAETDGVAMSTTPASQAVLESNNGEELKANPLERA KDANGSSGAPTNTKTLAESSRARENVKEKKGSVGFIRRKIILDIVEKAGGAFPMGTEI WYPFATAWRKTKYKETPDLRTIKATVKHIIDAGKLRQLTFSGKDNKGVMVTKNIITKP DMRPDDPLVLDMQKKMLAAGSRHYIPDNVDYDPEMTKTGARRVTFGKDGRDPNTYAKL PVESALTVQLQYKPGFVVAQEKRKGLSVQRRLLQRIGEGKENHSKVVRLLSLQRPLAQ DSATPGITAATRPDQIANQGRRRIKAGRRSSVLNAQDSSTAEGGRRMRRLWIPISSMA PYAMLMSPRQTFNATTGTFSTDAGLAALRAARNDQKKKVRESPRALETIGDKTPELPH SLDDLFSQTRRRTVDYSESADPRSNRFFYDTNVIMRWELQNEGLLDKKSADLWYINQT VQDSFDSVPLEGNIRFDIDEPELPTRPPLEPRVTRQRGRQSDLLAEPGSFGAMTPLGH NRTLASRALAPGTAQNRRLEKLTASMAAGDESDTTLQPTGSRAPARRNRTGYQLPHLL TQRLMIAIVVVRALAGGYEGKVVDWTLFSSSFPGYDPLFIQAKGKYVLSKNRLQLAKM QSDFQERYLEAYANGQVPPINYDDLEGYDWEWIVDWANAQLDVPKSEKLLPDLPATRE QFDSVFEIREEPPSTLDDIYQTTQPLTINRKRNLLASAPFALPLIDKHKPPAPRKQDL SRLEVVKSWIRANVVTPEDAYRPAEARQALSYMGSFIDSAIDSLVTERVISMGNRGRI TPGRNYDITDHFLQALGRKRLIESTQLRRAARFKTQILDPALQSEGKFEIKYNAEDGD ILAMINLVAARRVILRPRDPPRDKYGLTEGGYLTRQIDKDKLRFRVELYPVEENYVYG NPIEKELNDVPAPCPPRATNNGVAWVPEKIPVWCDIHGGFIKLLWDLAVATVAGCVAN RPGLSAASIASMIKPTMGAWEIELLLKWMTEIGVMKKEGPDSEKEGGWILQEWWWMIL R AOR_1_386134 MEEGTANTNPLEPPPLPYSLRTRKKSIAFFWILFVFDTLAQPVA LYFGLWYGTNLSHNLVFTIVTAALGGISVFEYFYRLYNLFRKDSRTRPLNARKSWLDF FHINFTIVWLILAVELIVGTVQEEPYVRLVAMVLPSVMFYFGGVYLALDILRVLGFKA PFRISSTPKGSTMPTALYVMIEDVVAVDGGGGQTYRYALRVRYLSSPYFRRMLFQMNC FWAGGSIIFAAVITAIVFTTPKPVAYTLGWSLPFVWAGVWTLITIPWVQSDLRREKKA WAENRGQGGIPYTDDISAPADRTRLESIHEHMPNLWPWGREKNKEKPSTQSTPSDGHS NV AOR_1_388134 MASEPVASGPSEANLENPAMATPDSKIDHAASIRYWNSVAANSN TMLGMLGSYPWYTRIDLRGSKSFLSKVRRLVPGCTTEGKLKLGADCGAGVGRVTEGFL KDVCETVDAVEPVEKFTQVIRDSALKGSGIVGDIYTVGLEGWYPEKKYDLIWTQFCVG HLTDVQLVEYFVRCRESLTETGIVVVKENQSTDPNGNDMYDDEDSSVTRTDEKFKEIF KQAGLIVVTSELQLGFPKNFKLLPVRFYALRPKS AOR_1_390134 MDESPGKEHVAFTNWAISKGIEINGIAPARFPGRRLGMIATKTI EEGEIMLTVPQTAMLSIDSIPSSFVDLFPEGTSIHGILAAYLTHGDTKTLKGIDAWRN VWPNWQELEDSTPILWPSHLRRSNSAFKDEDNSSTPSLLPPSVSGLWNSFEKVPVGVD YDTRYQNMLGQEEKRLTHAWEQVLSVFPKTEWKTFAYYWLIINSRSFYYISPGKNEPE DWNDAIAMVPYADYFNHEDNAACEVRFDHIDYTFRATKRYEKGSEVYMSYGAHSNDFL FVEYGFFLDKNESDSIYLDDIIFQDLTITDKKELVHQDCFGNFEVTETGVNASTETAA CLKYMSKRDFRIYIEGRSKRAFDAGKSAEVIRSWIGVYLGECERTMEIIGSMLEKLGG SRRRSSVGEKWEMGRLEMLLSRWGQIKRICEKAIGAVGQGE AOR_1_392134 MTLFSPTTVLVGFLLLYLSSFLIFAIVRIATGVSIQRIGYFSLR RIAYVPKQGVQIELRGLGLSLHPPSFAQPTWLSLRLTELKVTVDPAALAKSKRNENHP DVSEPTSPGDFSPPEDEDSATLQGRSKTWRTLTKLKEQVKRLHRKIHWLKLVDVIAVN TTVNFLEAGQIQVGSLSLAVDTRRKMVDRGKLFRRKKYDSGEQRPAEWIMTVQNVLLT VDGREPTELLDNIGVNVHGMLHKDLEGLRDASIALKIGRMHIPYDDLMTLVQRIKKFR QTFSEASKSEADDEVSFADFVEELDKPGSQDDALVQTVADSKEFASSLLRGIHEIQIA LSFFRLSRAIQPPSPKQSSVYLNIISHEIGIDLHRMDQNSPAHRMYFQRNDVAHQALL AAISLSVSLDDSSGETDNILYIPMATTTIKTTLPSKTISSFSEWNPEERNTNILFANL VVTSPSIDLEPRHVSRLLGLVQDRTSSPRGKKRNNHQLISRLLPKASIKLSVHEPVLR FVLPISEASDVSTEDYNLLICSISSISLDIESSHSSEGGVHYSLSSIYRVASHQLYYQ TPLGVKHNLLTTDNMELKVLLSSSPQVCVIASGSLNTCSAHMVNGEVNRGIQQVIEQF RAQVKPKRKVSMSLEERKPSLLRRLPPWLLRFQFEATGLSLEIAGVDEAVSELSRGVS LQLHSWTADYRAQKTEQPSVSIVRRRTPSHSTIGDESPFRFPPTSPPRQTQRGAADGR RLALHVRGFEGFVIESEDYLEAEPFFSLPRFEVALSTLSDRLGPIFHINSVIKGVYLQ YSLYRYYCVGVAASVIQSAFLQRSPATTPQTPSPWNSPPSSPRPFSQRNELITADVRV TVVQVKTFLPSDPPMMLQIYGLAAGSHRLSVPFVRAHLVRLHAEAPKLKGVWARIIGM TNVRVDFRNAKLKQGANLTEEKSIDVWADFIRLGVPHHMVMHRIFDNVINTSKAIKQL HHRFKNHTAEFDTSRDPEEPKKVPRISLRSKALLFEMEDDAFEWKLGCIYRAGLLEQR QRLAREEAYDLKIQKIKECDQRRASSRLRAKSSHRTLRSERVSGESKRSKSADAKPRS SDADDSKRGRGRKFRYDTEGAACLSGESKISAEVAWYRLQEYNARSWKKKIDGALKFQ GTSIKEVRNLFSGADEPPEDVEETETVLSIPNRPALMAALISDINLVIDKPSFPLEDY PTFLHRIGKGIPMSTQYALLIPMSFQLDMGEARVNLRDYPLDLLHIPALRPGQSPRLP SWSLRTNFVIAEEYRDYKSARQVQVELVPPMELPDGSKSPSFEISVWRSVSPVKTYSD PTIEISTSLPTSISWGMSYQPVIQDMMKIIEGFTKPEIDPSDRVGFWDKIRLSFHSRL RILWKEDGDVHLRLKGSRDPYVVTGFGSGFVMCWRKDVKWEVHTSDDPKEFMGITSGE YVLAIPDYSHEARFMAEATAQDLESTSTSSELKNAAHFKKVVMKLSGEVKWVVGLVFE RNVDENRRSFQFMPHYEVVLQNPKYVDSSERAVYDAYRGFRSNHIHLSISVLAPESRD WSVDHVESSTSYNTVHLTPRFFTHFYNWWSLFSGVMSLPVRQGPLWPGITKTSKKFNR HLATVKYQLLFAPLFVAHIYKHKDREDYGEDVVTATGIKVRLDSLKLDVHQRREQVKT LAKGRLKQTQASAMRINQAELDLQAADFRAVSASIEGTNLDDIEQSKNDIISSFQQPV PSVDLSRFTIPDHNLDWIDMDDFVELDWTLPQESNPRTQILPLAFTPRFTYFRQTDHG DIGPDDTGYSSFGNEPTHACVMSESNEPRRVQMQLIRERLAHVETQVQDWERTIGEQE LRMAKDVNQDPSLRTDHENYVKQAQSLARRRAFLASALQRLERQLAREEMSSMKTSPE TVAADVTNRVGTDADSNNDGKDADMDGLYSSPHDEFATNFNNRFIIHNTQLKWNNSLR NIILRYSHQVSQRRGFVYYMSRRAVKFILDIVDEQSKNQRRHSKLFKSASRRPSDIRG LVDNEEDGTVEDRIEQLLNDAKRFVNADEQEDSDHKKQDSSKSDNSSENISPEFTPQN SYHLRLIAPQIQLQSEKNQKSVVLVAAKGMQLKVVSIMDKERVSDDVSGLVQRRFSLE MDGAQFFVATQKNLMKHLQFYAGNKYGNSPGSAWPPWLTIEAMFDFELNPFGFSRIVQ KTSASLRYDKYNNLRLKYNEEVGKGQPDHEHGLSSEESRIDQISVEFPHLRAICDSAE YYSMYIIVLDLLLYSEPLEKVRSERLERIMLSSDFSDLRGAPEMVFKLQSRIRHLEEI KEHFQIHAKYLDKQGWEDRLELEKDLAQCEDELFFLMKAITTSQRRIDPTMSGAHGLM RWNISAHEVVWHLMKDETEPLVEFQLRNAEYERTDNSDGSNHNLVAVERLYGLNLLPD AIYPQIIVPYLDQAKPLDSPDDYMIKVKWHMLEAVAGIPVLDNFEVSLFPLKIQLERE LGQKVFEYIFPNVGASAFENGGFSPLMIKNMKPLEDSDEDDEAESPVPPRSAHSNTDV STEDLQKGPGAIELRLQPTFTSSDDTRPRSARSNHLKGLAMTPLHKDSNRLGPSEPSR QTARPSTSGGLSTKRSADSLRLLSRQGTDKTLAHGSGTSVVEDKGKKFGLAKLPNRSK SKAATDDLSQMMSRASNYMTLAHVKVQDVVLCLSYKGKGDHNLEDIHDFVFRLPILEY RNKTWSNLDLALRLKKDVIKALISHAPAILGNKFSHHRPTKQQQKKYRDIANSAQLLH NPDSAAVTISDKSQTSQDSNSEYSESQSQRSVHSGSSRLVRSNSLGSSMLSIDQSGLM SDARSGSEVDVDARWEQSRRIVNPPSRPMTSGTAIARSETKRWDGADEGHKMTIRNFG RKLMPSRK AOR_1_394134 MSGQHSQNPNSEPNAIFSSLKVGALSGSAGLVYGGISGVVRSSR HPVIHSISCGIHWFACGTSFWWLRGNILKLHYEDNATPKQRAYVSALSGGIAGGAVTR LMGGRLIPGVVVFSLLGYLGQGSYNAIDNWQIEQANTPSKPIIQRIANSKWIPLKSLS DDDYRGLLSEKLLSIEAEMALLDEKIEELEKSKPRASEAGPPKTGSQ AOR_1_396134 MSPAPKQKAQKMSISTFLADENFGSWADEMDDMPLPAAPPQPRF DSDRRAGGPPSAGFGSGFGDRGYAVREPLPLPTQPPYTAHVGNLAFDATSADISDLFV DCAVTNVRIVEDKLTKAPKGFGYVEFETVDGLKKALDLSGATLQGRTIRVSIAEPPKE RDVKEFDWTRKGPLPAPEAPRRVPDRSSFGRNMDNFSDAGSERTGGRRNFESDGKFRD FGNWERKGPLSPTGPVREGGRPRTNEGSSFRKSSPAWGEGRSQDGSRPPRREFQERAP TAAEMDNAWRSKMRPDQPKESSNPPSPAAAPASPAAPTRPRLNLQKRTVTEAVSSPAA TTGTGASPFGGARPIDTAAREKEVEERRQLALRQKKEADERAKAEKSEKQRAAKDQAK GDKASTPADPNGRDTADTPQGAKNFEILRRAGEDESGMAADQDQSEETKPAAKAEAPN DAAAKKENGSWRRAPAQPADAADEEGWSTVSSRQRNNRRGAGRSFA AOR_1_398134 MHRNDIQENYVPVASSPPWKLGFRSSRRFVISVVAMAVFTDVFI YGMIVPILPVVLKTRVIVPDDQLQQWMAIMLAAFGGAIFVGSPIFGYFADKGTSRQVP FIFGLLALGGSTIMFWIARTLSSLIVARVLQGLSAAVVWTVGMALVVDTVGKDQVGAA MGYVSMSMTVGTVFGPFIGGVV AOR_1_400134 MACTKAPGRNALRYQSIPVIPFVGRSSVLLFCGATVVDAILWSS FDTVLPLHVMDTFQWDSFWVGICFLPLFAPSFFSPLVGDAVDRYGSRTIAFLGFLLDF PTFFLLRLITHDTKQDQILLYMFLFIAGIASTLQMVSLMTEVSLVVERYEKESPGIFG SQGGMGQAYGLFNVAWSGGQVLGPLIAGLLSDRGGWVTMVSVFGTMSGVTALVIGFSD KKVLRCFRKQT AOR_1_402134 MSTTTTTAALTSTTLVGMLPTINATGLIPGKNCPTCGQETTLVQ EHAADQRRIKELEGQISFLSVQAAQRAEKLAEYEHEVRRLRTQASYTSRNGSSISSTS SNEMNRPLSPPSNSQGRLSTLTSFLHSHGPSNTSPTQTPAQPISQPPSRQPSPDHTAE LQSALDREQSLRRAAESQLSQASSELEELTAQLFSQANEMVAQERKARARLEERVAVL ERRDVEKRTRLERLEKAMERVERIRALVGQ AOR_1_404134 MAIGVAIIGSGNFAREEHLPGVQASDAFDLKAIYSRSLTSAQIL ATGVSGIDLYSEDAGPGKTYDDLLSRSDITAVIIALPILAQPEFIRKALTAGKHVLSE KPIAKDLSAAQDLINLHNSRIVSSKTVWAVAENWRYMSKFVRAAEEVRRLGGVKNFRV VMRSMIKPGSKYHKTEWRRKPEYQGGFVLDGGVHVVAALRLILGQSDGLAMVAAHSSL RQEHLAPVDTVDALVKTRSGATGVLSVSYGSEINDSLFEFTCADGVVTLDFDRLTVNG EGYDVPFEGRGVNKELVEFAESITTGDGIATRLRPEEALADLELSEALAPDCTGRPTS ARNFEIEFDPSRQHERQRPYETDKMVLAKKHVPIVKKRTKTFKRHQSDRFKCVPESWR KPKGIDSRVRRRFKSNIPMPSIGYGSNKKTKHMMPSGHKAFLVQNPKDVELLLMHNRT YAAEIGHAVSSRKRVEIIAKAKALGVKVTNPKGRVTTEA AOR_1_406134 MALTPVDTTLKVPPPDPVEEPPKVAVTPCEPPTGPYYFEGGFRR VSPYHYTYNTFCKERWRGRELVDIFISEFRDRPPEYYREALEAGRVCVNGKPAGPDTK VKNGDVISHTLHRHEPPVTSQEIGIIHEDDGLIVIDKPAGVPVHSAGRYHYNSVIEIL RSERGGGWVPRPCNRLDRLTSGVMFIAKEPKAAEMVARRLKERTVHKEYVTRVKGKFP DGVVVCDQPIMQVSPKLGLNRVRATGKTAKTKFRRLAYYPPHDPEPVAANKDRAATPP PAYANEEEGYSIVHCLPLTGRTHQIRVHLQFLGHPITNDPIYSNRRVFGPGLGKSEDN GDRDKEIMERLNNMGKTEVADTVSYRTHLTAAPLVPPGTDSSVIEGIMSREHEAALVE YHKRKGERLSGEQCDVCGTELYTDPGVHELGIFLHAVAYSSDDGDWKYRSKMPSWALP PSGMEGPRAVPDWEPVPEEEEIVIGNGTVPEGMEDEDKPKRRQGDVLVRGVGVVDSEK LQSNSDLLELSLST AOR_1_408134 MAPKEIEAKKRRTRSDYVFHQVYRTRWFDNDMYAHLNNTVYAML FDSIVNSWLIAECGMDPFSINNKGSDSSAKAETNSASQVGIMVNSYCDYFASVAFPDV LDLGLRVAKLGSSSVTYEVGVFKQGEEEVKVVGGYTHVFVARETMRPTKAGMEERVRK GLEKLVKGGGKEGAKL AOR_1_410134 MAPNLFLCLRTVFCPTYWFQRGERIQGSIHKAEHWESPVPGIYK YIPGRGWHLVYKDGNEYDEKVPVPLVYCRILHRYMFEYELEDRCRWHSVTVHEGAKPE RFLFFRLDDGDTWVAGWDAKGKFIPGPYQKWYYDSETQTMRRVLFPDSTNVSRASIVP SKLG AOR_1_412134 MSCQSRTSCEGCSCSDGGSRPPVNIEDCESELLALRRRTVELEK TLASMQDGRPHANASRARKLRSANWFNCESDPGMMALYIERYLNYGITREELMSGKPI IGIAQSGSDLSPCNRHHLELAKRVREGIRSAGGIAFEFPTHPIQETSRRPTACIDRNL SYLGLVEILFGYPLDGVVLLTGCDKTTPAALMAAATVNIPAICLNVGPMLNGYVKKDL AGSGMVLWTGREMYAAGEINKEEFIDYVSKGTPSVGHCNTMGTASTMNALAEALGMAL PGSAAIPAPYRERGQCAYETGLRIVEMVHSDRKPSDIMTREAFENVIVVNTAIGGSTN APIHINAIAKHIGVEVSLDDWDRLGFHIPLLLNMQPAGELLGEEYYRAGGLPAIMAEL LDARKLNPDALTCNGYTVAENVRDKHTWDRRMIKPYNEPLLEDAGFLHLQGSLFRSAI MKTCVISEPFRQKFLENPKDPNAFEGTVVVFDGPEDYHHRLEDPSTPIDDRSILVMRG AGPLGYPGAAEVVNMHPPGRLLRQGVKSLPCIGDGRQSGTSGSPSILNASPEAAAGGN LALLQDGDRLRVDLNKRRVDILVSTEELEKRRKTLEAQGGYDVPESQTPWQELFRRET TQLSDGMVLRDAVKYQRLAQRYENPRHNH AOR_1_414134 MLSAGSLDEDIRLATSSLIFIRESGNLSAAAQMSQAEADLYEVL GIASSASKDEIRKAYRKSALANHPDKVPEAEREEAEIRFKAVQEAYDILYDEDKRHLY DTHGMSAFNGSGEPGMGAGPDLDDILAQMFGGMGGMGGMPGGPRANKPRRSPNEEQKY EVKLEDLYKGKTVKFASTKNVICSLCQGKGGKEKAQAKKCATCGGQGVKQVLNQMGQF ITTSTVPCSTCNGEGEFFSPKDKCKKCKGKKTTEERKILEIYIPRGAREGDKIILEGE ADQVPGQEPGDIVFHIVEEDHAVFRRAGSDLTATIDVTVAEALTGFSRVVVKHLDGRG IELQHPKKPGDVLSPGQVLKVPGEGMPMKRGDERGDLYLVVNIKFPDQSWKPNPEVLE KLKELLPKPDAPIQADTVDEVDYDPKGDLDEFGAKDAHGGSAWEDDDDEGEPAQCAAQ AOR_1_416134 MRRPHPRLLARRPWICTQCSRARYSSAASSKTMRAGNKKTNLPD FPARTRFAPSPTGYLHLGSLRTALFNYLLAKRTGGQFLLRIEDTDQKRTIPDAEQRLY EDLQWAGLQWDEGPNVGGSYGPYRQSERTAIYRTHARDLINNGHAYRCFCSADRLDAF ARHRSQAGLPPGYDRKCAEVSAEESEDRAAKGEAHVVRLKVEGYPMFEDIVYGKTGQN RSPNKLDLIDRVYDDPILIKSDGHPTYHLANVVDDHLMKITHVIRGTEWMPSTPLHVA LYNAFKWTPPRFGHVPLLVDKSGQKLSKRNADIDLTFFKDTQGVFAATLVNFAALLGW SHTQKSDIFSLEELEQIFNLKITKGNTVVAFEKLWFLQKAHAQRFATNGGPEFEEMVS RVSRAVEETCPSQQLAPILQSRSLAEYLPLLLRADAKSYTNASEFIQRNSTFFTTTLN RPPYAPAASASKSPSQTVPMAALHTAAAALSLVPEAHWTIESHKFNITSYDGASSILP EESDAGIHGSPEEVEKARVAADKTFKKELYHYLRWALSASAPGPGIPETMVILGRAES LRRLQEAQQMTVSLVNKSAGPTRVAKGAQSSENQDKTWMGSLAPKS AOR_1_418134 MVDKEKNEKEKEKAKKKEKEMQEEYEIERLSLTGLQAHALYHLF GKTNCFGTGISTSTPAAFNMKA AOR_1_420134 MAVDTSYLTTQVNNIVAQLHGIYDEIGVPSHERDSREAELFSAL SDTLNNHLKLVDNEKNEMTEEARQLIIAIEQMEESLEDEKANGEYQLNRDDLRITYPL KRCLAFLREKHNALSKLHHERFEQVKKLVVALESYSSHLEASFVTIALPPTAPGSSIS PSFNLSRQYVDDLNDEFSRVYEEYERRFEYVKTTCEEIIKLWAELGTPQAQTDSNIVK HYKESPEQLGLHQSDLAHLKAKREKLLEEKRSRERKIAELRNAVEALWERFGVEECDR KAFLSANRGCGLRTINEFEEELERLNELKKQNLHLFVEDARCRLQELWDSLYYSEEEM LDFTPAFSDVYSDALLEAHEAEITRLEALKEQRASVLQLVERHRSLLADREALAVSSQ DASRLMARGTSGQRRDPGKLLREEKMRKRIAKELPKVEADLRKELEHFEDEFGRPFLV HGERYLDELTPVVAKPPPRSKTPSAGPGSIRGGSMRQQPPSRPASVMRGPPPRSATKT PTGNGSMKYNTIGPSRAPSRAGAKSPSKIPARVPLSNMPHGSNSPARSGTPGLYSSNT MNGKIPTRAPPPRMRALTGGESRDERSSYMFEPPRSASALSNAFVRPVSPEDVYDDRN QRSFMSSSAFSQRSTGFSQSTQSSASSLSVNSMGYPRPNPYLQRAPPPAPRQVSNAST VNTGNSGSENWETFDSGTESEADASDVYYAKLRAAHGKRMALDDLAGKKPKGIRSVSP DEPAVNPNVVRVAGSDAGWTDDLEPY AOR_1_422134 MQKAAQQSWELENAISLIDPQRDALYQYDQETHKALSAERPWAK DPHYFKSIRISAVALLKMVMHARSGGSLEVMGLMQGYILPETFVVTDAFRLPVEGTET RVNAQDEANEYMVSYLQACRDAGRMENAVGWYHSHPGYGCWLSGIDVTTQDMQQLGGP FVAVVIDPERTISAGKVDIGAFRTFPKDYTPPKEEQEDDEYQTVPLNKAEDFGAHASH YYSLEVSLFKSVLDTEILSLLWNKYWVATLSQSPLFTTRDYGSKQMMDLSQKVRRAAR SIESNPSRGGTTTVKDQQLEKVVRDGQRIVSEEVKGLLAAEVKMKLFQGIGENNKAET AOR_1_424134 MYDTRLAEPLVIDTKSALATKTGTTITTTFAFTEEQAYTPTTAP GSRSRGSSLSFHYRDKSVDQSPQAHQSPVKMTATGRFTPSPEPAPALFVRAMYDYDAD DHTSLSFQRGDIIQVLNQLETGWWDGVINNSVRGWFPSNYCTIITDLSELEEHVSNGR EDGDVSAESGLGEEYEEDREEDEVDSAGNPRDSQPILPIEGTGPPNEQEEAAFWIPQA TPDGRLFYFNTLTGYSTMELPFENPSTNETGPYDRNNFFVPNQTRPPPELMARGFERD EDDYDGSASEAEGESLMLASQDSMSRRRQSYIDGVSPATSMDSLHLPSTTKSINEGKS SFRSLSKAYSLGTAASSTTSLSDNFYRPSISSEAPSHFVDDGASAPLTWALLVDNMRN AVETYRQTLLNGDRSEYVRKAEDISDHLRMLLAAGSDTTDNHSGNPSIISTNKALYPH FRDMMSKFSKLVLSSHIAAADWPSPDSVNKCLQEADGVMQGVYGYVDIARQQRGDFIR RIIPGFVVGSCSGGGWQNNGVTLNDSGPTSFLDQDGADTRVEPAVPLDAALLDHIDIL RRSFVGSIRRLEERLSLSQKKIVTIAEHHEIGDSVSAAAIKVIEQFRPWISSVESINL APLGTSFQNPQLVDFSLQKQRVYDAIADFVLGCQAVTSPLGDEWAELRGDSLEDRLNA VRGVARQLENYVSQIGFSLSLLLEQIPESTAAFRSESRLGQDNEGLKALHSRAESQAK IADESIGIPSSYSVNPPHDKVRRNMDKAQRFFGQAPPSAITREPIREPVREPEETPWF LKMDHEGEVFYDTKNDVPTLKCGTLAGLVEHLTRHDKLDASFNNTFLLTYRSFTTAVE LFELLTQRFNIQPPFGLNQEEMQMWIDRKQKPIRFRIVNILKSWFENFWMEPNDEAHM KLLERVHAFTKDSIATTKTPGTPPLLTVIEQRLRGQDTTVKRLVPTQAVPAPSPIIPK NMKKLKFLDIDPIEFARQLTIIESRLYSKIRPIECLNKTWQKKVGPDEPEPATNVKAL ILHSNQLTNWVAEMILSQSDVKKRVVVIKHFVNVADKCRALNNYSTLTSIISALGTAP IHRLGRTWGQVSGRTSTILEQMRKLMASTKNFGEYRETLHLANPPCIPFFGVYLTDLT FIEDGIPSLTPSELINFNKRAKTAEVIRDIQQYQNVPYLLQPVPELQDYILSNLQAAG DVHDMYDRSLEVEPREREDEKIARLLSESGFL AOR_1_426134 MAPSASNTASNSPAKKTSAPEKKYKCQFCNRAFSRSEHRSRHER SHTKERPFKCLKCRSTFVRRDLLLRHDRTVHAKDGGIPLVSEGRRRGGAGVQKSSPAP APPKPSITIDPATLEQIEASSDGMVDLETAAMLMTDFQHKAAAAATSQVNDRAESDRS FSPGRGPLLEPSVSYLSGNATLPQMPWDTLVSPVDTKHFMSQDSGSDSRTLSSSMDRH GPVGDALAPSLHSLVNSLPVSGNSTPNALSPYPSMTGPVSPVNYRKSPGPSQALTLPK APQISNEIERNMIVERIRNADALGSLPDSFQLPSTAALNKYLSTYFNLYHHHLPFLHQ ESFKPTNTSPPLLLAVLSIGALYTFERQHAFMLHVGSKMLVTQFLQHKDNFDSRKCPL WAMQSSLLNMIFESWSGDPKGLEWTCSIKSLLANMVAGNRYQLKLRTEAREGAKPTRE EWIEDESCRRTYYAVYIFFGMLTLTFNHTPAMSFDEFDNLELPSSESLWNLDVTDDEA WRRSLASTTTLTVREAHDCLFQGEQVRYSAFATRVLINALFLQVWNHKRSFEALQDVV TEYKLRLALETWENSLEVCEPETIVVPISTPQKGHPLIFNSMAVYRNTRARLEVDLKS IQEALRYHSSYEVAAAMTVAREKVKRSQEMNKVIQSCFECIEIAAIQGINWVAKTSAT NWSVEHPLCGLDLMVILSLWLYRLEHDEEPATEAEMAIYNKVRNLFDDDAVDAFGKLS STVARVWGNILDGVVVWGITKLMGESFKLHSQALVGYEDSLRVGKDQPIHPMPTKTLA SVGTAY AOR_1_428134 MQSTSPIPANDTEQLPETQAPPPTTEEASQRQAWSSEGYGLPPT SDKPPYGPVEPTPSRREPVARPLSKHATHLFTLSYLIFFAIFGTLARLGLQALTIYPG APVVTGVLWANVGGSLLMGFFLEDKNLFREEWGDVNQNNSNGTGTDPSAESKRHKSVK KTIPLYIGLTTGFCGCFTSFSSFIRDIFLALSNDLSDPSTPNGGYSFMALVAVILTTV ALSLGALIFGAHLALALDPLIPSFPFRFTRRFIDPLFIILGWGCWLGAVFLAIWPPDR HDNGPEFWRGRAVFAVVFAPLGCFLRYYVSLVLNTRLPAFPLGTFAVNIFGTIILAMC YDLQHVDGIGASSLGSGASSSILTSCQVLAGVMDGFCGSATTISTWVAELNGLSRRRH AYFYGIVNIGVALGFFVIITGSLRWTKGFVEPVCE AOR_1_430134 MSLPPNVHISSHPCLQAKISQLRSHKTSTRETRSLVHEITTILG VEAFSTVLKATKRGTDQTPLGIEYDTQDIEPANVAMVPILRSGLGMTEAINNLLPGPV PIYHLGLFREKFTLQPVEYYNNLPYQRQDGSDSNTAAADTAILLDPIVATGATAEAAI HLLREWGVKRVVMLSVLGSETGIRRAVDSWPEGVEFWTGAVDEKCNERGMIVPGLGDI GDRLFVAIGK AOR_1_432134 MARGLFLGKPRAPREPDISKPILKHTDALPRNNLKALKNGSTTH HRPIQQARRDSQRPRTSEGHQQDRKVEIPEPGFDFGIAVPPAEAIQSPTLSENGSDEN MIGIALGSPRLVDPQNTFAQMQEKAMESARGRPKAAPQIQRKPSKWRKIGGLFKAKNA VASGASQPFYQVQVQGSQAPLAQGSSHSIDYQSREAKSNHVMDTEVWPCLEPEVKAEE KHQNASVAQVSAQDRQQCAVSKSGPLLQVDIPSVEMERYSVMFSALLNKNEPSPLNRR SKTLENISVTSTETSPPPPDLLPPRRRATSPTRSNSPRLSLFPATQKSKASKMLGTQN LPRGPSPLPRNQVSRAESRQEDLSNEQDHILLMVRSDTISSHKPQDSVSSFISSTTIN SDDEKFILQKLKPVQTYVDPKGEPEWEMISKRKPSADETKPQKLTPALSLNTQELSPE PKNSESTASSPILSPLAAVQQRFSPLSPSDSSKSTVSPAETIRMPLTNETKVEEDDKT THEHEPTDPTPDEEPESETEQDHEEQEREPADPLPTIEISIARSVSVSKRKQVLVPVG RRPDRLTPRARTPQMVNGQYGHRHGNSQDARIESV AOR_1_434134 MNGPGSVESQWLTQLAAMRQAIAELKLPKDPAKDQVGYGSDLDL DLDDDYSSPGTVDDIWDVISSDDESIADFDESDGFASPSASSYDQFWLEQKCQSLTSQ KPGLSANELAQQITAALATDSGDDELQMSLAEIVGFDDLDFVIELIAHRTEILRSGHS GPEAQTDGLFSGRLQTRAEREQALRRQDFEHKNAPLMPAQTRQEPQYPHVFKSHDNRN VLSFSGKKYGLPLGSKQTDEQKYTEVEVPASRVGTLGTTQKLVQISSLDGLCQGTFRG YKTLNRMQSLLYEVAYKTSENMLICAPTGAGKTDAAMLTILNAVGKNTIPNPVEQPEA TEFAVQVDDFKIVYVAPMKALAAEVTEKLGKRLAWLGIQVRELTGDMQLTKREIVETQ IIVTTPEKWDVVTRKSTGDTELVQKVRLLIIDEVHMLHDERGAVIESLVARTQRQVES TQSLIRIVGLSATLPNYLDVADFLKVNKMAGLFYFDSSFRPVPLEQHFIGVKGKPGSK ESRENIDVVSYEKVRDMLERGHQVMVFVHSRKDTVLTARMLKQMAADEGCENLFSCQD HENYSNGLKDMKHARARELRDLFASGFGTHHAGMSRSDRNLMERMFSEGLIKVLCCTA TLAWGVNLPAAAVIIKGTQLYNPQEGKFVDLGILDVLQIFGRAGRPQFQDTGIGFICT TYNKLHHYLSAVTSQQPIESRFSSRLVDNLNAEISLGTVTSVPEAVQWLGYSYLFVRM KREPRNYGIEWAEIRDDPMLVQRRRQLIIQAALVLQKSQMIIFNERTEELRAKDVGRI ASQYYVLQTSIEIFNELMRAEAGEADVLKMISMSGEFDNIQSRENESKELNRLREEAV QTEIEGGNDSPHAKTNILLQSYISRAKVEDFALVSDTGYVAQNAARICRALFMIALNR RWGYQCQVLLSMCKSIEKQIWPFDHPFHQFDLPQPILRNLDERLPSSSIESMRDMDVS EIGQLVHNQKMGKTLAKLLDNFPTLSVEAEIAPLNRDVLRIRLPLYPEYTWNDRHHGA SESYWIWVENSETSEIYHHEYFILSRKKLHDEHELNFTIPLSDPLPSQIYVRAISDRW LGAETVTPVSFQHLIRPDTESVYTDLLNLQPLPISALKNPILEELYGQRFQFFNPMQT QIFHLLYHTPANVLLGSPTGSGKTVAAELAMWWAFREKPGSKVVYIAPMKALVRERVH DWKKRLTGPMGLKLVELTGDNTPDTRTIRDADIIITTPEKWDGISRSWQTRDYVRKVS LVIIDEIHLLGGDRGPILEIIVSRMNYIASQSKGSVRLMGMSTACANATDLANWLGVK EGLYNFRHSVRPVPLEIYIDGFPEQRGFCPLMQSMNRPTFLAIKNHSPEKPVIVFVAS RRQTRLTAKDLINYCGMEDNPRRFVRMSEDDLELNLARVKDDALREALSFGIGLHHAG LVESDRQLAEELFANNKIQILVATSTLAWGVNLPAHLVVVKGTQFFDAKIEGYRDMDL TDVLQMLGRAGRPQFDSSGIARIFTQDSKKAFYKHFLHTGFPVESTLHKVLDNHLGAE VSAGTITTKQDALDYLTWTFFFRRLHKNPSYYGLEISAEEHNTIAAQTIAQDFMIDLV DKSLGELAASSCIVLDSATGEVDPTPFGKVMSYYYLSHKTIRYLMAHAKPNPTFHDVL SWMCSATEFDELPVRHNEDFINAELAQNLPLSVESMGDLPMWDPHVKAFLLLQAYMSR IDLPISDYVGDQTSVLDQGIRILQASIDVMAELGYLHACQMLMSLLQCIKSARWPEDI PLSILPGVGVNAKAPFLPASLAAFSSLPTAAVSTLPKKLQLSPPQAAQFTKAASYLPN LSVSVSKVSATGISVSLTRRNPAMDSEYRIYAPRFPKPQTEGFFLIVCSAASDGKDGE LLALKRISWPPVEKQRNNRGKNNAGSSKPGEKNNHRGGPPLTIRSSVKFPDTAAKNGI KVKVISDSYPGMEWTMPTVEVDMAPEKQVVPESANYPEKS AOR_1_436134 MSSSEQEQTAQPVDSEPRVDILCSAPGFSVPNPETVREKVNKSN TIFHWGGVRIAKISPEIVVKLGSHITLNEAKRPFSTEEAFDNALIDAYQTKVPRYHIK SFLAGMLSQNKHQIVFIHGDLRLANIMVNNGNVTGIVDWEFGGWYPEYWEFSKALAVW MWQNDWSDYLQRIMTPYYSEFGIYSFMHPTLW AOR_1_438134 MTSPYHRRPIPDYFLASPLATFLYPLHHILLRLRGPPRLPPPDA HPIRVVCISDTHTLEWDDVPDGDLLIHAGDLCNDGSVREIQAAVDWLQGLPHPHKVVI CGNHDSYFDVRSRLEEDRDKSFATVSSSTASLRSIDDLESPHRIDWGDIHYLQHSAVT ISFPPPSSTGSRARSLTIYGAPQIPALVPFGPEHAFTYPPHYDAWSGTVPPNTDILVT HTPPQSHLDLSPVYSTGCPFLLTEAWRVQPALHVFGHIHAAYGMEPVYWDEAQKAWER LCASRRRRARNGRFSSLFGFLRDLFDISGWVDSARVVVYGVLGVVWAKVWGGENRGCG WMVNAACMSRDSKGLSNKPQVVVL AOR_1_440134 MSKGKLTGADVAEHNSRDSCWVIIHGKAYDITEFLPEHPGGQKI ILKYAGKDATEEFDPIHPPDTLEKYLDPSKHLGEVDMSTVEQEEKVADPEETERQERI KRMPPLQACYNLMDFEAVARDVMKKTAWAYYSSGADDEITMRENHSAFHKIWFRPQIL VDVENVDFSTTMLGAKTSIPFYVTATALGKLGNPEGEVVLTRAAHDHDVIQMIPTLAS CSFDEIVDAKKGDQVQWLQLYVNKDRAITKRIVQHAEARGCKGLFITVDAPQLGRREK DMRSKFSDEGSNVQASGGDAVDRSQGAARAISSFIDPSLSWKDIPWFQSITKMPIVLK GVQRVEDVLRAAEMGLDGVVLSNHGGRQLDTAPSGIEVLAEVMPILRERGWENKIEIF IDGGVRRSTDILKALCLGARGVGIGRPFLYAMSTYGQAGVDRAMQLLKDEMEMNMRLI GATKISDLNPSLIDVRGLTSGHHASVPSDTLTLRAYDPLQAPRFSEKAKL AOR_1_442134 MAPTFAGLSGRPLSLAVSTVATMGFLLFGYDQGVMSGIISDKAF NNVFTATKDNDTMQALVTAVYELGCLAGAIFALVFGDRTGRRWMIFSGAIVMIIGVII QVTSFVGHIPLLQFFIGRVITGIGNGMNTSTIPTYQAECSKTSNRGLLICIEGGVIAI GTAIAYWIDFGAHYGPDDLVWRFPIAFQIVFGVIIIVGMFFLPDSPRYLISKDRIQEG EYVLAALGGYEVHDQETQIQKNLVIDSIRASGAGATTRYRDLLTGGRSQHLRRMLIGS SSQIFQQLSGCNAVIYYLPVLLKQSLHQSNDEALLIGGINMIVYAIFATFSWFFIEKI GRRKLFLGGSIIQTIAMVITFACLIPDDTQVSKGAVFGLFLYMAAFGAAWLPLPWLYP AELSPIKTRAKANAVSTCSNWLFNFTVVMITPVMIAHIGWGTYLFFAALNALFIPIIW LFYPETANRSLEEIDIIFAKGYTENISYVKASKDLPKLNDEEIEQKANEYGFGNSTED PEKATAAEYSPSTSE AOR_1_444134 MSSSLEAKIVILGSQGVGKTSLVQRYVKNAFNPVGTASTVGASF VTKRVLDSSSDTIVRLQIWDTAGQERFRSISKLYYRGANACLLCYDITDEQSFLEMTG WLLELKKNLGDEDPVVIHVVGTKSDIVALDPLRRAVPFERTIAYVAEQLYPSQASTPP PTAGVSHSSSTTLQGLDSKRSSGFWGQDIGWDCCHEISAKDGEGIEEVFQVITRKLVE QRNKQLGITSSHGSTSGLDGVASPVGPRTLEGNGSFRLGHGDNRRSWLGFPPSSVGDE LDERIEFTKKKGKCC AOR_1_446134 MRLSIRVGTAALLILAIFLIKRHIDTVQDDSRVPLSSFWRFGGS SAGSDSGNQGAPVDKTAMESDVISPQNYETTPIIVPNDRALVMAKLASEDTSWVANDL NEWRNVIYTVDDLSATRHTPINKGRESLAYLQYIIEHYHDLPSLIVFIHSHKDGWPAA WHTDNMEYSNVVAIRNLQADFVQQNGYANLRCQETPGCPEELRPLRNPPRPGQTTEAA YAQAWKELFNNTEVPEVIGAPCCSQFAVSRDQVLKRSFEEYMQYYNWVLTNDLPDDVT SRVMEYSWHIIFGKDPVYCPDSLQCYADVYGNPYFW AOR_1_448134 MSSSYVTENTAANGDSSPQPLLREALENVSKECPTRLGMSPNEI LTKSPTVDVFFDAIAAERLRRMPPDGSRLDGALHRASRLAFAVGSLRDSVAGFMDGAD EAAMMIWGAILLQLELGIEHVDVIDSLFGQYGRVTLGISLLLQQESYFQTYRALQHEV TEIYAHVLQLVLHVTMEYREAERSQHWQSMSEAVNRSFFCYFNRFTTHWRRMSQVALA TGAPKQQTPLDLAAIYQFLELQDRPLHMLLEGHNHSLADGSFAWFDPHLTTFTINRRN MLLVTGNPGSGKSALAQWTMEKLQVSSEFDIWNVIPVSIRSDVPITTLPLSILKGLLT QILDLCVASRKTYESILSGVMNALELAVTGAPDPQVEEQLWTAIRIALQSNMHFMLVV DGVDQIKHSEVTVGPFLQRLQDAVSERNTPSKLIVFSRPLAAAQMPMREATTTQQISM NVSLTQQDLHATLVDMMTSDAAIFGLDTSQRDSLAAAIVPRAQGCFVWAQLTLEAIRN LSTFNEMQSAVKASPHTLGDLIDRHIRSIDLNRVGTQSLLAWLLAAERPLRIQEIEQL LAVDLKTLNITSRTSNTEREVFQPLARLISVHDGFVTFKHHLVRDHIQARALMGQEVP FSLSEAHYDLLIRSLAWVRKSITDEVAVAWDKLRVTARDRYLDAYTLLEYTARYWLSH MLASPIASSKREELNFPKPFRQAMPDSVLFAQLELTNRESQFSRSSIVELYRLAVTVR RSVLGGDSPALLQSLILSARAADMAKASWANDHLYEAWIRSRSQLGPSDPTTRELEQL LVATPEGRGKADQVAGFKTDALRDMALSGWDSSDISFAQRLQYLDRLVKSYKDNNQED AAYDVSKQFYRQTVMTYGSHSSEAIQAADFLTQHFNIAPPDEMALEIARNKYETMMRS MDATDPRRVAYSLQLAQMYEDSRQPAKAEAVLTRLWSGLSARNLDTSPAWDQKTKVAF YYSQFLRRQGRPDEASAILRQLSADLEAEGGVRSPEMASRAEELRVEAREMGLDDMDR ALSLQIWKYYQASGQQYSPEAVTLAESLARVSLPTQGNVENMGALSPEESKLLPGWID SIASAGAEKRTLPLLTLCHQLATQHIRDEEWRKGSDTAWAVLKHAWPTVEDPQSKAKF ASSEAPILANLALDHAYCLFRRLDINTASVVYGNAFRASITADQVAVPSVTAVVKTVV EFYETTFQFEKALVLLHQVSEFFGSRLGDHDKHTIDTRYYEGDLALRLDKRAEAENSY RHIYDACVRDGKVSSSGVKAAVALVNIYVQNKQWDSALEVYRHLWPTLVRFDEKDGYD RALLEGLLPKTYTGYMELLNNKAAQGSHAERYQVASQHQQLCRKLYGPTDARTRDATL TLAALCADSDQHVGEAINNYHQVLNTHDWVSPTESSRALPDMSEPLPISIKHQMAQLY LRQKDNSEPARSLYAEELALAKQQQGLSAPTTLLWLREIARMHAIQKSPESRRQGAAL LDDHVDEVVHVTANHEALVDRAHRLAEIYLELGYIDEGNRLIDDLHQRVINETPAAQR QSLNEYRPAVFVAAFEEVFGKQRSSKQVLDELSREGQVYNAFQRSLSSHDLMPTLAAG EKLYTLQTNQKRYSSAQDTQSRLYDYFCNTLSVAQPLRKKDAVQQFYALCRRESLQDD SNINIVTSTMRMVKDLCDRAQFQDAADVTGVFHSFVHLTDGLRSPESIFTAIKLCMYL NGYQTKKCTEEATAKNMSLESQMLLQEIMTKTKELPLEFTELPFAELNDLVTVLGEHE MFEDLEAILTELWTSRIVQKTWSLPAIVWIGRRLVETRFCRGRVSSAIQLGKDICYNL RQVWGNCDPVTLEMTKLLSGLYTASGNHLAAAALHESALAELLNSQTDRQEGAIEAVT QHLELLQHAHARLAKEGQTGTIDAAAAQERVQQIATKFGLSSEQLEAVTGADENVGVW ERPRRFSLDVEDLETHHNNLRQTSGSALLNGNAGAKRISISAL AOR_1_450134 MTQHLSDITTCHQTGAKHPVYDSPSDREPDRPIKKRQIRGRTML KSGTTKEELSKRLDRLERRLHFMFPELFPDPDRDEVTLIDSGDDSVTVCSENDSYPVA CKAAAIVNRWLAQSANFSDKIPIQPNLALAQNCLAEVTDTCSVGDIAPSFQASSSPLS SPLSSGGDDRNQRRHHDRNAIAEGPFWRLPRP AOR_1_452134 MASSAAPCFVHHPAEIILEVASHLSVSDLNALIQTAHRFHDLLS QELYGRAPTLIRNDGDTPLIWAAAQGRVTCVQKLVRRDPNPARLIDGRAAIHEATAAG QEQTVAVLLEAGVPVSLPDNDGHTPLEWAVTHDEELVLRRLLASGAGNVTNPSEENWE WGCALEAAVMMGHESLARILLEAGASIARDHPAFPMIHEAINSRLRFASYHGQCEMIS LLLEFDADAHLLRRGNLLPLHIAASHGHIDAVRLLLQHGADIASVDEQGDAAIHHAAA GGSVEVVKFLLDQGIPVDVRGDAETTVLLAAVIYRQAEVIQLLLEAGADVNLVESDGN NALHLAAYCGTIEIVESLLAHGAAVDVLGPDDRTVLHVAAAGDNPDVVPSLCRAGVAI NALDASGNTALHLAALHGRTALTRLLLEMGADVSVSPEDGRTALHYAASVDQADLLQL LVDAGAEVGALHSDEGHTALHYAAVNGSADAFRVLLRAADAQKFDLLSPCWCGGTVLS KAARKGHRGIIESMVEEGVDVTACYDGSSALHAAAAAGQTELVEFLLSLGADRLQLDW FGRSSIDWAARHPPTLEKLTGDAPLSAPSFDEESRTSALREGITMLATRALTEGKSVS YRLGKCLLYMNDVSAARMAFLYDAKVDPGSQDSAWVVCDLCKGKPTVTEGRFVCQTCP DIDFCGQCMDQYYQERLQIRLCDGHQFLEVQASSEELLAIQPNESRESWLRGLIAMYD SST AOR_1_454134 MATLTPVPVTLPQDKVPTKQNVRDIIGIFLTNEWPSVDPETLTV SYHASFANAHCSVERPRPTAGTPTEPLKVFIKLHTDNGGSLKIFEPLAPTKHEEALLC YEYGRTGLGAKVYGFFKTQDGILGRIDEFLDARNLEPEDVEDSVIRADVARGLAMFHV LDTSLEKKAVESYYEAVINGLGNYYMMDKLKALGKEGGVSIDKLVDYDFGRRLRNVVD KLESIGGKTGWCIHDIQFMNVMVKNNPKEGESKVTLIDFEFVMQNYRAFDIGGHFMQK LFKWFDEESRIADCRKYTEEEKRHFCDEYARQWNEVTGDSDTGDQVFLESEYGYLLAI TFDIHNMLCFMAEQDDKDPLNLLGLNKLFDEFVDQYAKLDLDDS AOR_1_456134 MKLSLNLLLLPMALGAAIGIRDEGRRGNYSVPGLGERKQAIVSA GGNTMDLAIAMLENDNMDPSTYPYGDGKTGDATNFGIFKQNWMMLRTSATEFLGQKTE DVKNGEVLNTNLEKDIKARHDGEKKYGFDVWYAGHRNGASGLENPNTQDINNYKSAVK WIKSQIESDKKYQSDDTRFWVDVVAI AOR_1_458134 MKSDHTRSLPEAPQLPFEGISMNGILYLTGLATLHLIQERSQRV QPKPLEEVDKVMKDFFGEGPDAASVKLESLRKSVLRGETHILWGDLAPFSMRPLIASI TLWYSCKLDASLVLLLASSPEPDSMFEALKFLSKSIGGLPTMADILKTPSTDLPKRFA QAIKSSD AOR_1_460134 MRSIAYLASGLPVALAATSGQFDVLSFNVAGLPAILNGNEVPGD KTNNSKAIGAKFAEYDYDVIHVQEDFNYHAYIYETDDHPYRTATSGGAGIGSGLNTLA NYPWVDFERVKWETCSDASGSDCLTPKGFTTMRVRFDEGVYVDFYNLHTDAGSETDDV TARSANLQQVADYIGNNSAGNAVLVFGDTNARYTSSGENIRVFGTEQNMTNPWVELIL NGVEPTEGTDPWMCDNPTTNNTCETVDKIFYRGSRSIELSATFWSYVGTKFELDGHIL SDHNPVTSNFTWTLSDSVRQSDLFGGPHGTWFNDLSSVPSSSTGQNKPSKITLRGENR VDRVGLALTSGQNYTHGGTGGTASELTLAEDEYWTQAKLCQDKYDDHTRIFYLLATTS AGNTVSTGKTTSDCKEFTAPDSWQIVGFYGRDGDEVDELGFIYAPQ AOR_1_462134 MGNWKIQLPESTSKDHVLGTISSGLPKYYAGEPRVNLGHFSPSL QNSLKRVIEQSYQAEADEKPSADDISRAYDILSKYLAAYLMGQSSDDSVTHWVVSQFP RHQTQPLQSIFHNKIPVDEAIAALLKNHDQSQTPEARYHAESLNRARGLFASLLEITT VDNINIFGNALRQSNWGLLEMTHVSYHLKKLRQAGTFDDALVSGFYKVFNADRTWMIH DFTKYWGRVDMVKFSQEYKKPDLALNIIKAMGDAGVVRDGLYRALF AOR_1_464134 MTTTSYSRPIDHEKTPSPVGLDANMQEDQLDENKSHPQQDLHEY PPLPRVIMITIALYLAIFLVALDRTIISTAIPRITDTFHSLNDIGWYGSAYLLTCCSF QLVFGRIYTFYSPKWVFLGAILLFEVGSVICGAAPSSTAFIVGRAIAGIGASGLMSGS VVIIVYIAPLHKRPMYTGIIGAVFGIASVIAPLMGGAFTENVSWRWCFYINLPIGAAT VAIIALVLKLPEPPHSNISTRERLAKLDPLGTAIFLPGMICLLLALQWGGTTYAWHNS RVIVLLIIAGILLLSFIGIQTWKQDNGTVPPRIILQRSIFSGFWYMFTLNGAMMVILY YLPIWFQVIKDASAIKSGIMTLPMIIGLVVGSIVAGAGVTRSGYYNPFMIIASILMAV GSGLMTTFTTTTGHSKWIGYQVCFGLGLGFGMQQPAAAAQTVLSPEDVSTGISLIFFA QYFGGALWISAANNVFANCLARNVASIAGVDAGDVVKVGATALREVVGDEQLALILPG YNSAVIDAFYVGVACACATGIGALTMEWKSMRT AOR_1_1148134 MTGTNFLVLLYMAMLASAAVISPLVSSAPPLPAAPVLKRAEWIP LDAPMLQGNGSYEKGLLPSSKGGKCGYWDVDGYIAQCDCIMTGSKMADSYIEQYASIA CDAFLSSLPGANVVNSKWLRFAVKNLVGTNGKPNVLNFRWKKVSKGELTLTKELCNDA YKMLANTICDKKDAKATRGGTIKFAGDQGIEIGVDPDEMKNFKEKDKRYTNVGKFIDA VIG AOR_1_468134 MSVPIATRAQYEHAAWGSAKSDSFSAEVDQERHIHSLLQDAQRL LLDGLHQVSRQDGAGTVPPTPATYIQPPPNINASIPSAPVFPPPRRSIHELDGRSLIP RVSSAPDQSIMLRILWEVNCLEDLFSMALVNREAYQVFKENELQLMQATLWKVSPPAW ELLQTVLRPDTISELRDPYSRLGTVDAAVYRVWTFCQLFGCQKGREWDIDGQIRWLRG DTVGADLPSICNTSPDANDVNNVLFIPPEGFAQGNQGPLSQSQLRDMMEIWTAMAALL DFLRDETSRARSVGVFDNAPVTAGDIQQERLMLRTWLRFIITLGPIAVLELAPSGPYS DPETAFRRASSQGWTDWVPPTPGSHSSGFLTGAIQTLLETSTCPPEIEADTATATAAD AOR_1_470134 MPKSNRPPYRLDKLAAFGQEHQQPCGSRKRSKDDDNLSGTTMND HPNCTAESSVICLHSPSVEASSTGSSPIPAGLGISWGSNTAASSSAPDIQLSETAKDY PAPLVPSSLRRAVSPCKSKTSAGALAYNEIPPRQDINKPLPPAPPLAPSRARGGKQRA LPLKPLPYHLAVLDKAFERAGLMHNMSPPAPEHPDDPQRHTAVPGAIGQDRLSLKAGD RAARPHQPWRAIGWLARQKTDTAQSTSRADTERTRDPWSAVTAPPSTMAITPGIVAP AOR_1_1150134 MHFHVKHAILLAAFFGLHLGTSVGAGSSDNVLSTKIESPNQSAS ETALPQFVSSAVTTNEASPDIIPSLDHTPRLNSKHKVPTAGVASSQPLHVNNTALPGK VVRPLYERAPRVTVQRWNGLDDGTITDPTFVRDVRPSMFGTAYQHSEVDTFARQGYES MKGQDRPKILVGALYIPGSGAYLCSVPGEPARKRFRATAHEVAPAWWHVVKDRRPRDI YHAEDCTAYRYELSRTNKLSPGMTYPEGSYFAVFGERDGETRWLNPCRGGGGEDRTPL DPHCTEVLGRLGIAFKNDAELRVINDRLRRERQGRAV AOR_1_474134 MKRSIILVLGYLAMLILAGAIHPLVTSIEGSAPPSAGPVSISER SKWIPLDPSLSSTSAAQKYDNQVEGFPPSNWVPLSRRAKGTGKCGYWNVDGHIAQCDC IMKGNEVASSYIAEYTTSACAAFLSRIPDEKTPKGKWLRFAVKNVVDTGGQLSVLNFW WKKLSKNGMTLTQDLCQDAYNRLGAAICDTNRGKSTKGTTIRIGGEDGVEIGMDPDKM KNFSDKDKRYINVGDFIDALSSR AOR_1_476134 MEGNGRSRDGTDSAPLRPVSSLLSHFENLSHRRAPSASPSGPRD PTSYLKSPEPVDDFRSARASLDLSRPRSPWVPSADFQNGQGTEYTNGAPRQRGVSSGR SPGRRQSRPMSMNLHSSPQLAPTLTVDSPRSPPRGFGTHPDHNEDIRTARTPPNAPRE SLPPRPIAQANSLTRPTTPTYASSVPTEHRIAHLSPGHPDSAVSTRDSPPERRLKSAS LPPPANRAEKPKIPAKPAGLSFHDGNGLAPRQERPHVEDGVSPFNTPPGSPDKSPPKV PSATKPQPPASPRPVPPPVRRSFDERRPHPNALGLPERPQPRRPSPRPTRDTKPLMVQ IPSRQTSQQETMSAIPHTAHRFQSFDSPREPPGLPPRQPSIARRGARSPGAQLDRLRS PVQPECPPRPELRPHPTGEVHTPRQLQRQPSFSRETKPGPPPAIPERPVVDRPVVERQ PPLDEAPAPRTDYPDASLANRRPPLLKKGPQEIPTRYDTRLMDVCGKYVCTTGYLTRV WDLTTGEQIMTLSHGETVKCLSLAFKPGKGLEDEGQRLWLGTSAGDLHEVDISTQSIV ASRSYPSRREVIKIHRHKKEMWTLDDEGRLLVWPPDESGTPNLQYSYHNPYDRVARGH TFSVVVGDTLWLATGREVQIYRPNARDDVSFKVLKRPLGSQHSGEVTSGAYTTKDGGR VYLGHADGKVTIYSAHDYTCLGVVNVSVYKINCLGIVGDYLWAGYKTGMIYVYDTSTN PWMVRKDWRAHDSPVSAFLLDTSSVWTMNRLQVTSLGTDNCIRLWDGMLEDDWMESRM QAKDVEYCTFREIRASILTWNAGASTPGSARTSTFIQDAIHPEDPPEILVFGFQELVD LENKKITAKSLLMGSKKKESSEKEHISRQYRVWMEHLTRVINECMPLEESYVLLHSAN MIGLFTCIFVKHKERQRIKDLSAAEVKRGMGGLHGNKGALILRFILDDSSLCFVNCHL AAGQSHTTHRNNDIAAILESEALPAETSLMTRADRFVSGGDGSMIMDHEICILNGDLN YRIDSIPRNVIIEDIRNNRFTKLLERDQLLASRRKNPGFRLRSFIEAPITFAPTYKYD VGTDDYDTSDKKRSPAWCDRVLYRGIGRVKQLEYRRHEARASDHRPVSASFKLRVKTV QPNERAAVWESCQQEFQKEKRRLASEASIEYLISVLGTEPQQARALILGNGN AOR_1_1152134 MCKHILNAQVAIRSACCKKWFDCAECHHEQESHALMKTTEMVFA CKKCKKCFRKDSTEFEESDEYCPHCDNHFVLEAVTPKPALQVEGEDARIDNRMLKDDR VRGHQERSIFNVRDAEDRLG AOR_1_480134 MSGYDRALSVFSPDGHVFQVEYAMEAVKRGTCAVGVKGKDVVVL GCEKRSALKLQDTRITPSKIAVLDNHAVLAFAGLNADARILIDKARLEAQSHRLTVED PVTIEYITKYIAGVQQRYTQSGGVRPFGISTLVVGFDPNDKVPRLYQTEPSGIYSAWK ANAIGRSSKTVREFLERNHEDDMDREQTIQLTIKSLLEVVQTGAKNIEVAIMSPGQTI EMLPEDQIEAYVKSIETEKQEEAAKKKTARTSTTTAAILTRGGGESGDA AOR_1_482134 MATVSAPTPKLDRYIVIHVATTCDEHGVYVTKDSAEVIELGWIL LDAKTCEELHRESVLVKPVNTPITPLCSTFRDAIARFDEFAKEHLISKNLEFAFVTLD SWDLRVQLPREARDKAVVLPAYLQHSRTFDLRTEYQRWQTHHPESLPFGPSSLSNICA ALEVEPVQTSAPIKHNLPFHLQALAPASPRRAMEEAITLARVLRGLIRKSQPPHEHPE ILTRPMDARADVRAFLAERSKVLHLSGLPHDTTQSELESWFTQFGGRPIAFWTLRTPD QHKPTGTGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVLDRAAEILTPFPPSK NRPRPGDWTCPSCGFSNFQRRTACFRCSFPAMAAAPDPMGYGAFGYGPPSMMPPHMGH GHGMGHSRGMGGNGGVVPFRAGDWKCGSEGCGYHNFAKNINCLRCGAPRSGAAVVADS AFPSPMDPPSNFGMGPNSMASTPAPGPFTSTAGGFGGFSQQFGAPPNNYALPSALGSG PGGYPPMGQMNAGYGSSNTSHSAASFANPATQAAFTGADHATSTSASNGAFYGADGSN DPFAFLSTGLGGLSVGDDGHSRRNGTGASKSPA AOR_1_482134 MATVSAPTPKLDRYIVIHVATTCDEHGVYVTKDSAEVIELGWIL LDAKTCEELHRESVLVKPVNTPITPLCTSLTTLTWEHVRSAGTFRDAIARFDEFAKEH LISKNLEFAFVTLDSWDLRVQLPREARDKAVVLPAYLQHSRTFDLRTEYQRWQTHHPE SLPFGPSSLSNICAALEVEPVQTSAPIKHNLPFHLQALAPASPRRAMEEAITLARVLR GLIRKSQPPHEHPEILTRPMDARADVRAFLAERSKVLHLSGLPHDTTQSELESWFTQF GGRPIAFWTLRTPDQHKPTGTGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVL DRAAEILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSFPAMAAAPDPMGYGAF GYGPPSMMPPHMGHGHGMGHSRGMGGNGGVVPFRAGDWKCGSEGCGYHNFAKNINCLR CGAPRSGAAVVADSAFPSPMDPPSNFGMGPNSMASTPAPGPFTSTAGGFGGFSQQFGA PPNNYALPSALGSGPGGYPPMGQMNAGYGSSNTSHSAASFANPATQAAFTGADHATST SASNGAFYGADGSNDPFAFLSTGLGGLSVGDDGHSRRNGTGASKSPA AOR_1_484134 MPGRSSARSTSATTSRRPSAQPSLSGGRAGSVTPSVAIPEEPPL PEAFPNLRRDVCSLFADAQRSTTGHRKLVVRLRKLQEACCGISQKSSKKNGKEVQEET LIPGEETLPETEFNVEIGRCMLRILPVKKSEPVGDRILRFIGTFLTHASEKDAEIFAS DDDEVSAETPTSRLAASLVALVMPLLATKDRTVRFRATQITAHIVNSLETIDDDLYHT IRQGLLKRIRDKEPSVRVQAVMGLGRLAGNEDEEDEDSAVLVEKLVDIMQNDTSAEVR KTLLLNLPLLRKTLPYLLERARDLDAATRRALYARLLPTLGDFRHLSLSMREKLLRWG LRDRDESVRKATGKLFYDRWIEDVASTDNDEEGPANQRSPPNIPALLELLERIDVLNS GIETGIAHEAMRSFWEGRPDYREAVVFDEEFWESLTAESAFLIRSFNDFCRVEHDGKF DNLADEKMPEVTALAYFLNKYMTDLLQRKKTAKEAGTATDDDSVEHEFVVEQLLHIAM TLDYSDEVGRRKMFSLLRESLAVPELPEECTKLAIETLRFVCGPNAAAENEFCSVVLE AIAEVHDTIATEDSFVSARSEISESSSRQRSETPEAKPFNKEEAKAKVLKEIMVNMKC LHIALCMLQNVEGNLQANMNLVTMLNNLVVPAVRSHEAPIRERGLECLGLCCLLDKVG IYHSMLLIKGHEALQTTALHILCDMLTTHPSLLAPVTQPDGETVTPPVFQKPLLKVFA RALKSNSPNSVQATAATALSKLLLTNTFTPSGANVPPAIQEYNQTSVETLLQSLVVTF FHPRTRENPALRQTLAYFFPVYCHSKLSNTEHMRRVSVPIVRAVLNAAEEHFSLEAEE DSDGDIDESVGQRELKALMSGVLGMLAEWTDERRVVGLGGEKILAGGAASSNVCGWVH LALVKDILERVLGISEGPNRCSKEEKKLLFSLLSKLYIAPPAVPSRAGSRNLEGEDPI APSARSNQSEVSPENAALAQEVKELLDETIEEGLASEAASRNALVKVKNSVLKLLAAA QGRPSSSRIREGTEEGDSDMLSLRSGRSGSVRPSIEPGAYRRGVSIEPSIMEEDENED SRTTIKSEAHDY AOR_1_486134 MFRQSIRRFGTTALRAAEGSTAYSVRVSQAQGYVNGLTEAIGNT PLIRLKRLSEETGCNILGKAEFQNPGGSVKDRAALFVVKDAEEKGLLKPGGTVVEGTA GNTGIGLAHVCRSKGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFDNPQNYNHQ ARRHAESLDNAVWTNQFDNTANRQAHIEMTGPEIWAQTGGQVDAFTCATGTGGTLAGI TRYLKTASDGRVKCFLADPPGSVLHSYIQSGGNLIERSGSSITEGIGQGRVTDNLQPD IDLLDGSLNISDEKSIEMVYRCLDEEGLYLGASSALNVVAAKEVAEKLGKGKTVVTIL CDGAYRYADRLFSNNWLQSKGLRTAIPKHLEKYIVLP AOR_1_488134 MTYTHTEYSVQNPLNREPPVKTLVSSFFTPEDISYDRNHGPIPH LTADTHTVRIDGNVPSPLCLSIHQLQTEFPQHEVICALECAGNRRHAMRTLLKEVQGI DWGDAAIMNCKWKGPRLRDVLLRAGVTSSLDRDLYVAFSCYQVQCQEDDWFGSSVELK RCLDEDMDAILALEMNGSPLTPNHGYPVRVVLPGIAGARWVKWLDRITVQDHESSNFY QQRDYKVLPPDAVDSASAEPYWDRTPAMCDMPINSVVAVPGDGETVHLSESSTLEVKG YAVPHGADGPVTGVQVSADGGQTWVDAEIEGSSLERKWCWVLWRAKVRVEKGTGKQVL SRAFDRGGNVQQEHSQWNLRGVGYNGYGRASDLIVV AOR_1_490134 MAHGFSALKEMDLDAFAAHFVSKLPLSCLVYDNRGFGDIDTKDQ PRHEILPAQQISDYSDAITYAQSRSDVDSHKIGVWGSSYSGGHVLWLGAVDKRVKAVL SQGGSESAISRYRLARAAGKPPGMLPVVNADVHGPSALPTPNSSDFFMNWAKKSNW AOR_1_492134 MAKSSPEHQFEVREIQTKEEYARLVDVLWTANFQPYNPIFTAVH PVTGHTAADRKADKALDTEIRWAAHTKNPASHLIYVLDRHTGRVAGGCEWLIFHENPF PHGPQRIPCTWYPEGSERAEYTSTFLSQVFFYRMSWLQRPHAGINAMGVHPDYRRRGV GRLLMQWGHEQIDDLGYESFIEGSPMGRWLYKEFEYRRVVSLHVDLEKRNPSEEWSRL LHECRPPAILLLWRPPRGNWDGNVPDGPWAVEDGTWK AOR_1_494134 MVSNKLYNTVMEGSDPAGVTYSLETIKGLLQEFKAMDEDNDGLV DLDVLKQAYGEQGAEAFEEFFDSTLDKQVSFTEFTSAFIFVQRASGYPNKV AOR_1_496134 MVLHLTQLQRLSLVIGISLCFFLAEISVGFYTKSLALVADAFHY LNDIIGFIVAFAAVKISSKKESPKDLSFGWQRARLLGAFFNGVFLLALGVSIFLQSIE RFISPQVVESPKLVLIIGCVGLALNILSASFLHEHDHSHDNLPGGNLDASAESGINEV TELANREDRKSAEHPRQAYAFHTGHRHNNLQAQKKGYDLGLLGVFIHVLGDAFNNVGV IISALIIWLTHSASRYYADPAISMAIALMIMGTSIPLVRNSGLILLNSVPKGIDLSDV KHDLELLPEVSSIHELHAWRLNQEKALASVHVGLPDIRISEFVKLAKTMNECFHSYGI HSAIVQPELVQTVEDTTEGTETKSDSCQITCGSSCELLTCCG AOR_1_498134 MLSISKVSVDDLASEHREAFIQALHNVISRQPTQRALAQVVDGI PTRTDSNGWEFVKAGLKRKDDPFEESIETVKAFQDKFEVDTLEISSDVAQAYQDTSIG SRDFKLRLLEMVAISFHNMVAHLFKSFEADPDAWLSRNSVPHPREATWFYHSDYLDHD QYPLGVPDVVGYWAEKQVFGGVVLFDRGENDNKCHDAFIHPDDGYRIFKLSDDQLDQF ASLGAKPGLNDQNNDSVSPIPFQAERYTRRIEPEEAMERHIYRHLYERRPKAEDNGRT QHKRRRLEDYPEFGDLLHRLGKGEQR AOR_1_500134 MASIANFVVFTRRSSDPSLGWEDNPPNTPVYTYVASAINIALSI LESPHGRHYLTQLALIIDHEMDENSHFQGNKDIAKHWVDVFLAKVRAQFPVVIVDFTM NNPNELGCHPRGGWMGHLKDFDPRSHMICINGQRTADMVASACGQDGQNFRNFQFLFA TMFTHEVGAHLLVTFLRNGRVNTPPTITVQGYGSRTVGESGRFLEAYLFGGTTEYYRA ASQDMHQIRIPFSIYKSRQSREPKRYEING AOR_1_502134 MLHFCVICGVLIRRTSFAPGVLPDDDLQWYQQLHIVWRREISDT ATLSGVGYIDSDDRVIAPLGPESSYRDASVTFEEYIPYYEGSQLPWSFFFHATCWDIL LQRVPEGLSDLSRFSSIFHNVLYCTTWSRHRYVRPGHDFGGSIQFQKPAGDPIRKIIA EGYSYLLAEPLQPQNMAEILRICGGHKVFTVPTRAPIIRSSQGSRDIFSRLPLEVLYM IIELLPSSDIGRLRLASTSTAHVTRPTSLPQRFWRSRFRPDFEMGFAMPIEATIDEDW RKAYFAIRHALSSPLDFAYLKNRQRMWNIVTVNASLLAEHMKGSGLSGDLHNDNNDSC PKLQNGLSELGRGQIITTQFSTSCHEYLHVGSRKLSDTSIVLPVNEGEVRIIWLSMIC FNSQKFIAGVRFQALDSPTQGYRNYSLGYISRGSEVKVDIPLSHRIAGFELATRVNGV VGMRVVLQKGSDKSWTSWVGDVGDGDPNIAFGMLSLTKGLQRALIVAGFDYSSRGSFN AMLVMDFGGNHGQNLAHLTRIVAHIQEYSAPIVGLTFYFDNQKNIHFGRQGRMEVSSF FDGPAGERVVSVTYERASTSCGIVTLQIHTNYGNTAVFMPNELRKWPSTKLSVPAPPN TPSDLSLQRPSTEYSTETLRAPEDQQITGFAANLEASNHSFQSFHLQHEKLDSSLSFP NGVGRMLESTSSPTKPAPPLGFDLIYERSNHCMAYTFADLHGVQRILFSKGDDGRPRG SNEISGLWIEYYGSRRPVIIGQWIAEGSSLTFEQGERITGITLLVTKDTMTYRDRYHL GRVVQVSIFTSTRTEMYPNDSSLSMDEHNILRFQESRLEELSSLAWIFNDVWDYPRVI YSPKASGSQLLTWDPFKISVYRPWIAPQKALFKETNQDDILSSATGFYGVKHPYVIVG LRLIYESGVSIDIGDVTGPQAPRSIELDRSESIIGIELFKDMLGLVSINFHTVHRVTG HKAIRGLIDISPTEVTRPGMGGSIHRYHIDISSGLLRHNSLSSDTTDKSEENIPSGEV VGLWGFLMPDHGLCIGLLLLQAE AOR_1_504134 MSQSTHPPTLLLTPGSWHTPTSLIHLQTALQKAGYPTQTIAHPT NGAEPPTKTLTDDTTNLRHTLSQLIDQEGKDVVLIAHSYGGLVISNAAEGFGKKDRGK RGLQGGVVLMVYMTAFLVPRGKSLFGVLGEFRPANMVLEGSYCRATSARESFYHDLSP EMLAEAEAQLTHSCIGAYTESVNYEPWRDISCAYIFCEEDRALGLPVQEMLVEMMRES APFPVLTGRLKASHSPFYSMPGETAEVIRGFISEVEGV AOR_1_1156134 MTAMAMEKPENIVAAGQGPAPMKFSRYRSVRKAASQKPHPMHPP PVSTLPSLPSASRPGLQDGAAAVDGTNPAIKRSMSRYRRQRAATESSPNAPPLPVPGD RVLAHRAKPHVDVNGAAVQKTSRGSPDDAKPVDASYNAVSARAENRRRAFNVEETTVN PFLTDSEEDEDVRQKHRQDAMNRLTGEDRKPSVPAPHRRHTTRERDVKRPAERPPRRD VEGPTSRRASHDSKRLSNKEMPMPARSIDTANKEVTAIDTSVGNRFPGIDAPVSAVNA GERRVVVQYRKTSLNLRVTPSTTAQDLLFLAADCLAGQIDPPKFIMMESFGELGLERP LRTYECVREVMNSWAHDQENSLIIVPAASLDALSLLDSRHAPSEQPTDVTLHMYYSQR PRKWDKRYITLRADGQVTLSKKEHGQDSVNVCHLSDFDIYSPTSRYLSNNVKPPKKIC QAIKSQQKSSMFLTTENFVHFFSTNDKAVSDTWYRAVQSWRSWYLVTKLGAGSPADQA DVPADPFKPLLNLDSPLNSGAENSDSATDRPKASKTKELFSRKKSTREHVPPPSSFPK MLSDESDLSAAQSSDESPFASGGLLGRTYTQRQRAMKEREEKEKKATEEPFTNGLVGA VDTRRQFAGPGSRANSRPNSRSNTMTSTHAPDPSGMVKRSQSVKNKPLVDLTPVYQEP PQHTRKGRGFTVEAGKPLVDAATGPEVPGGIVIPSATTWRRPEVPSPTADARTRKRSN TNRSASSQQRHQYSRTAPTSPTTPVDPLPSREEPFIPNSLLARSAPGAAVPGQPKGHG VATGDRNASKPMLDMSPENPFAEGSLLRDL AOR_1_508134 MTSIEPTSEKMSSVVLVTGATGLLGRQVFNTFKHSGCFVVGQGY SRANPPTILKADLENKDDIQRILDEAKPQIIIHCAANRSPDLCEQDPEKARRVNVEAT RTLAEEASSRGAFLIYISTDYVFPGKEGEAPYETDAETNPPNLYGQLKRDGEVAVLEA TKETGLGLVLRVPVLYGPSDNNSESAVNCLIDAVWKSQTPESSVKMDDWAQRYPTNTE DVARVCRDIVIKYIKERTRLPQQPHILQFSSEDRMTKYEICEKFAEVLGLPLDRMIRN KQGNEPGGVQRPYDTHLSTKGLKDLGIDVRTTDFVAWWRKHLGAYKK AOR_1_510134 MSSARNISVALRRARLPRPRCFVQPIVSRAPSRIATRSFSLTSA VNATKEIKYTSNAYPNLKRDPKFAEITAEDVTFFKELLGSQSAVIDGVTTDAADDIEP FNSDWMRKYRGHTRLVLKPQNKEEVSQVLKYCNDKKLAVVPQGGNTGLVGGSVPVFDE IVINTSRMNKIRSFDEGSGVLVADAGVILEVADQYLAERNHLFPLDLGAKGSCHIGGN AATNAGGLRLLRYGSLHGNILGVEAVLADGTIVNSLSTLRKNNTGYDLKQLFIGAEGT IGVITGLSILCPPRPKAVNVAYFGVESYEQVRQAHQEAKSHLSEILSAFELMDGRSQK LVHESTGNKNPLDSEYPFYCVVETSGSNGEHDMAKLEGFLEHIMGEGIVADGVLAQDE TQFQGIWRWREGITEALSHLGGTYKYDVSIPLPELYQLVDDCRERLTKLGFVGDDDSF PVRAVVGYGHMGDSNLHLNISVREYNKEVEKAIEPWVYEWIQKRNGSISAEHGLGLAK KEFIGYSQDETMVKLMKQLKDLYDPNGIMNPYKYI AOR_1_512134 MADDMANQYQMMEELGSGSFGTVYKAIEKSTGEIVAVKHIDLES SEDDIQEIQQEISVLATCASPFVTQYKASFLRGHKLWIVMEYLGGGSCLDLLKPGVFN EAHVAIICQQLLLGMDYLHSEGKIHRDIKAANVLLSHTGKVKLADFGVAAQLINIKSQ RNTFVGTPFWMAPEVIQQSGYDYKADIWSLGITAIEMINGEPPHASTHPMKVLFLIPK EPAPRLEGDQYSNTFKDFIAQCLTKDPDRRPSAKELLRHKFIRNAGKTEALQELIHRK QDWDAGRGVTRNVKYYAESLNTITHLKDDDGWVFDTVKAPTMKIQEDPYVDENELDSQ DFLYDETSEMMNDMHISSPPPPPKHAPNSATNSAVNTAVRRAPAPERSPSTRRSYRKR RSSGVKQPLGVNLTFGNSPSTVRQFRRVSDKIPSENSYSSQYSFGPDENNSPKTLFSE PNSKEAQLGRRAYSKAVGLSCQEVLGTTGDQEKREAISRLAEAWSDLEMVDPEGLYHI LKIMNEKLQGDPKLSGLVPQAAPPPESPQRPRLVLAQNNPHLKSHRRRQSAVVAEPSL QPAQLVNLPGQQVPGMEHTKQLSDVLYQRWSEGLRNRWPGI AOR_1_514134 MGDITGTESPAPEAAQPLLRSSLDHNLMSATDITEEQLEQQRQL RQQKRASLPARPHGAARHKKRLTLNFPINVPPLTTALDSAVTEPSSMTPVTRPSTRHS HVPAVGTPLTFDDQDEGSSLLTAIASQERKVLELREELQRAETELDSLKRQWEASEKT KKRIDISHRAEPLIPLRSPDRPGADERILHSREHSVASSESPSVAQPRFSRELERRHS VRAAAAKGTKISANGRRVFQGSHTRTLSLLSPTVGPVPNLPGSELGNDQRDQDRMGRA PRAATLPSAERSPMILGSNEDMVAQWRKTMPPPSREALMRTGKQMASDLREGLWTFLE DIRQATVGEEGINATESRAVPSRSSTSRSRDRLSTQGGMSSRSASSSRSKGAGAKLSG KDSKSADIDSSFWSEFGIDTSGQKSPNAQRASTTPSGPNAQNESNRLDIEDNWDDWDT PQPKKMHTPSSSQSTWESKQDQSPMTPSSPRTSTSFGDWRPLHDSSVPDPSVSDGIPW PAMADMPSPKLPRTATNLMAEWERSLSPALDSPTFKKD AOR_1_516134 MNIPGMTTGMAPAGATAGAGFPGAGAGMQGMSEQEQAMVKAMHA AMESCPVKTVISGTMGFGLGGVFGLFMASMSYDSTFTPQGKAIMDLPWREQVRRGFKD MGSRSWSSAKNFGIVGALYSGTECCVEGLRAKNDLSNSVISGCITGGILGAKAGPQAA AAGCAGFAAFSAAIDAYMRMPSEE AOR_1_518134 MRLFPVSQGLVSVAVAVTSTAWGVRAASEATTGNPLATFDQQEL DALAIQIYQDYPFTVLKAEAKVAYQTAHGLPISDEAASSLNASIEELVFSAVQKAVNN DPYHPKVYWVDSGPRSWFGLNVPGGRYSYDNPDCIYRTIPIDNDVDYVVTGYRHSPGP TDVSFSLISDPNSQNTVAYLAGSDLIVDSDGSYTITINSSAADGQTNHIQSTSSAVQL LIRNNLGDWNTETPDNITVQAVSNTTGHDAITSAKIISDAIWNLQESIVDYGVGALGL KTTINAVNTLSAPSQSSSLGTLTSQASSFGHFNLTAEEALVATLTPGPADYFVFPVTN PWMITVDPGSSLVSLNSKQAVANTNGTYTFVVSLDDPGVYNWVNTTGLHEGTIMARWQ GLNTSSSSADSLAIDVQVVAVSDLALVLPEETRYVTAEERAAQLNQRATAYSRRLEY AOR_1_520134 MPVTAITSASQYKEVTGGVTPVAIFFSSDRVASCKSIAQLLEEK TKGFPSQFYKVDIDAQDKIAKDAGIGFRDVPTIAIYRYNQKLGDCTGSDPQIVDRAIG SVTSF AOR_1_522134 MTAKEQQIEAAVAAGEIPGLILLATDTADKFHYERTIGSASLKP GAERPLTLDTTLTIASCTKIITTVAVLQCVERGQFGLDDDVSTVLDELQDLKILKGFE EGTENPILVPAKNKITLRHLLTHSSGIAYDFLDPNIIRWRMSTGRTPDIGESTPLLQR TNLPLLFEPGEGFVYGYSLDWAGILVARLNNISLEDYIQKNICEPLAITDLTFHLEKN DEVRSKLADFNFRSGGITQFGTPADPNGSISWTPGRVWPDPVVEEYGGWGVFTSGPSF LKVLASILRNDEKILRPDTVDEMFKDQLSAASKEMLNATLSIPEINNFLGGTPLGLQK TWGLGGLLVLEDHTTGQKAGSLRWAGLPNLFWWIDRKVGLCGFYASQLVPTGDPKSVE WSTVFIQDMYERVEGFGAQV AOR_1_524134 MEPTNDTNNDQVFLQISDRQFITSKSTLSQKSTFFEALFSTRWN NQRPDGSYFLDADPNLFEHILRYCRRGTFPLFYDRACGHNYSLYLQLLQEARYFGIDG LREWLEEKYLQAVKIEYSVKVMKVPEYLMCYTRLANTELEYFPH AOR_1_1158134 MPIFLKRMKEYTYEKLNNNEPPKPSKTTFNWQTGIPWMLSGILA LLSGYLLFRQPVTHGANKTDFPDVQPYVSYEERVFTGKFYYNEETQMIEREVDSTKPQ YAGPPSPEIDAAWAELLRGEFPAITDQEATPYKPYIQKFPDTGNYHFEYVSHPISNPY ENRLDVFHSLHCLNYIRMYLDKDYYSAHLEHHDSFLRNSSHMPDNWGQVHLHHCLEQV VQSVICHADLSPVPMYGWKGVPVFLGVGQTHTCRRWESIREWMDVRNEVRKPLEEE AOR_1_526134 MKLSAILLTFFAGAIMAAPVPDNSIHERGIITPSTPIEERGIAG GLVKERGLALKKPVVERARLTPSVPIEKRGEAWVKKPIAERGAAAGAGGWVEERGYGR PIAERGGLELGHPIEERGVLLPDDGTVIHPDVVVGAGPH AOR_1_528134 MDTKHESKEYATSIHSVASTTTTLLDTSKGSFLEGKRFRVEAKG IRALRPPMPMRQNEIPIYTADGSLAYTATKAKAMSSHTILASPKHGDLFSIDFKPGCC PWIRFLNPIEGDVIPEIALEGKLTTRAMSFTPPEGGCKWEWKYSIIDSPSGGKLKALC LEKIDGSECGKATRIAYLLRSPDTRATGSSCCSAGNGGQLVISPDVDLSMVEEALVIA TCLVMLRRERDRRRFIQAMVIGGMGS AOR_1_530134 MPTGSCLCQTVKYEYDVEPLSKAVCHCLTCRKLSSGSSANLLIP ESHFRITSGSPKTYSMTHESGMNLTTRFCENCGSLLYKTGDREGFEGAVIVLAGTLDN TEDFEKAKPEAEFFVKHRVGWWPGLGFATQLKEFE AOR_1_1164134 MEQSKVTCFTRMRSKVHHICNRKGKEKEKQESELPSSLAPAIVD PQEQADSEPAVKPAIIEVQSIGEASASGAILDESTLPEPLSLQTCGEDDNREQIKPET PERPQNAKRDLWHEAYVRLAPELQENLKSLGFDQQSPEPVKQRIEGVLAEAKRQRDKC EEKDWIIKVGDHEIKVRDTAVQIIGWVTKIGDLAVGFAQPAEGPWSVIKLLLGGIEVY DKEKGALLSIVENVTRVIYSGQIYESIYKLDKAGVEPTSRLYDVLVEIYNFILELVIK STDVSSNTAVQFLKSVFDPEKASDMLCTLRDHKSRLADAVRVCEATAQANLDSLLRIQ LEDAHMALVGVTHRIENVVQRMDDQERDKLLSWISDIKYGNHHDHVVERRTPETGTWL IRHETFREWRDTTSSGILWLRGYPGTGKTFLTSHVIDHTSANSTCTQNQGFAFFYCYR EEELRRKSLHVLRCFVRQLAGPLSDCQSVRINLQEAWRAAKDRGSDLNLDACKAQLLS SFNLYSKTIIVLDALDEVAVNERRQLIRVLDELVSQSTSTVKVFIASRPDGDISFQFA SKLNVQIRAADNMEDIDKFVTNKLEEITALADLPSYLKIDIRKALLSGCDNMFQWAAL HLAQIEQLAGDEDSIYYALNHLPRGLEKTYDQIYGQIQQLAPPTRQKVQNALMWVMFA NRPLRSDELLAAIRMNVETGDIKLTSHITEKSLLSFSQSLLVVDSKKYVWRLSHLSVV EYFERRQTLLDRPARFKCGSVCLSFLIEAYGKLNDHSASVHPSSSAVTVFPGDKLDIN NPFHQYAKQHWPVHFRNNVPDDHSKRILKRFLDSFELPYGNGRVSQDDLEPIDTPVLA MAWLGLDHPLQEWWEDEHIDLLLENNRGETLIAIAVRVRCKPLCKKLVSRLPKDQRTR GCGCALIIAAKQGDENLVRFLVQEGNANVNLVARGFTTALCEACVIGALNIVKYLVEE AQASVDVRTECDNYISALAAACHSDSASRLELVKYLLEEAGAVVDPPLVSGLANSTLG IASSIGPLDLVKYLVEKGNADVNLQLEGWRCSHGTALAAVIRRRLEIIKYLVTEVHAD VNQQLRFGHTNTALTTAVETGSRDIVQLLVEEGNANINLQSTCGGDRNFGSTLEYAIA YSSLIGTDILMYLVSQGIADVNIKHLQGPYANPLGRAICLEDQQSVQILLSAGASVDF RWNNRSLLRVPNSNLKQIQYSGGGRAEVVDMLRKADPDRWNDEAVEQIPWGFWRIK AOR_1_532134 MSNLRSISKPLLTMSPTRAAQVEEYGWTAVSCDPQQRAATNPPT KPSVPQLVKDTTLPDTPLVKDAIEYVKAELPAHTFNHSMRVYYYGLAIARQHFPEWKF SDETWLLTCLFHDIGTIDKYTQDVFMSFDIYGGIVALNVLTEKGAPAPQAESVAEAII RHQDPVKVGTIHSIGLLIQLATQFDNLGAHKEYVHPDTVEDVNQHYPRRQWSKCFSSK LREEIGLKPWCHTTAEGEGFPIGIENNTLMEPYDGRF AOR_1_534134 MDNSCYTCRRRRIECEMSEPPCKKCKKAGLECYIKRPLRWVEGA AFRAKKKASSTKDASVSATKFALNATRRDGSCARDINNCESIANGDAVVYGGDVLEPR NNFVDDVPVNPDDPYASNLDKVSKYYIYYYSKCVCKLFVMYDSKRNPLRNLIPAALSN SVLLTSIIALSSRHMANGDQSFYELGTSTLPALTDAHYNALWFKYKAIQSLSHALNDA NSYKQDTLVAGAFLLIFLDLLESGSDKWNIHLEGVKKLITQIQPSMESQTGTEQGLGD TVEGIRKFIIRQIYLIDTLGATYTRPRLLSNTPYQGPTTPLQMRLDQSYIGCPEFLLD ALRSFSICRDMLAGSHPLDKGLQLYIENVSTVLDLTRSFDCRAWASDIAQLHTHSTQD TTMLSMMAESYKAGALIYGRRDLDALTGNMTPLSDLVRELITIIEAMRCDEALFKCIL WPMFVAGLESRQQDQRDFVIGCLEKFWFETKCINVVNAANILKRFWRMEDTQQTLSSQ WIFNIGQFGRDWLLI AOR_1_536134 MAEQPTAANTTSSPPKLSVLRPEDDLPLLEVAEEEDEEDFSPTD SALGSTGTQSYATSLLSEVRNYKYENGRRYHSYREGQCVLPNDEQEQDREDLLHHVRN LHFSGDLFHAPIPEDVENVFDIGTGTGIWAIDFADTHPNARVIGTDLSPIQPAWVPPN LEFFVDDVEADWTFKRDYFDFIRACDLAGSIADWPKLLRQAYSHMKPGGWLELSDFEM EHFSDDDTLDLAPSLGEWFRLLIEASSRFNRPMKVAADHQRNMIDAGFTDVKEKIYKF PMGPWAKEKRLKEAGRFHREVMVMSLESYALALCTRVLGWSPVEVAVFLASVRNELRD PRVHIYGKFHVVWGRRPVE AOR_1_538134 MRLSLNLLLIVGSAAVARAALVPVPGASEELCGRLGVMYYDPDN LPEGVEVHEIRKCAGHPMGRENYWGLGDYLPRWFP AOR_1_540134 MRAQALLSFAIMASTATAIANETATIIFTEMDSVDLVGKVIGTD GPLTTYVINCNPKPPNQNFYQVGDCVSSSHGWTVTAGPSTMRVAFDDPEATMIEACTL HDSTSLNCDITMDYGSSTDVESDGGTTAPASDFYRTVTITGTEIASGSASAQTSPTAG RATATTTGTASATAAAGESGSGAQKAAANTASSTAGASQSTNAAMAQVTGNARWAVSG AAAMAAIAMVLE AOR_1_542134 MPPSLSSDLVPLTVIKGAGHEFIPLPKGENATTADFHSIRTQTE SPAYFTSGFYKIEAGPQRPAHYTFEETKYVLSGQIDVLDEATGITHHLVPGDFAFFHV GSKVKFSTKSQGFAFYAVTRPVRAPHPNLQGREESKSKL AOR_1_544134 MSTSCEGLNWPARAWMTIGTASRLDTLRRLTGHHTSAAQTEDRS ARCHWSVFILEKAFSPHVLDLSSSQDTPDYPLSAPLPSTLPTYRDENCPPDLHVSEEV NKDLGINAYHIKIVSVWGDLTRYLHGIRSGNIETPWLPESTHTKLSVRLYECDAELPQ RHLLRNVCFYKRSIAEIMQEQEYWSPWLAMQMLSHASPAILNHPFVHLVAMRGSRGMP QSRLFLQQTVDLALFHSGWVFQFIQFCENLQYEINDPLIGHAVAATATIPWLFQFARD PKVSKKAHDDLGRCERFLSRISSTWPHISRKLEILQHLQYVAKEKLQEVPRGSTMISF QPQMFWELLDAKVCQVPQGDPTSQCGTSRVSNVPDARMRVTTHFVHPLLDEQVEQPHP PNPAGNAMYSFPPSSDDLEQVCLDEIFAHFPRDEFY AOR_1_546134 MDKNSRIVIVGAGVFGLSTAAKLASEGYKYVTVVDRHMPPVPDG SSSDISRVIRFDYADEDYLNIAYEAYQKWSQLPKYQGIFFKAPFILTGNTTVHGRAWI EKTTAALTKKQLPWAKLDSAAAAKSRYPILSGTLATPNFTGYYNEQAGWADANKAVSQ LRDDCLELGVSFICGRAGTVISLDTDSQKKIKAVRTLAGTSIEGDHFILASGAWTSGL VDMYNSTLATAQVIGYTPLTDAEVKKYKDVPIYANFNTGWFNFPSHDDTKTLKMAVHG WGYTRAPTAEDHQSVKTNISSPPLIPPRQRVNFTPPDGEDRLRTGLREILPELADRPF ERVALCWYTDTPSGDFIMDSHPDYKNLFIAGGGSGHAFKFLPVLGDYTFLAFTKRLPE HLAKKWRFRTEYKDDKDTFLGDGSRGGPARRELHARERAKL AOR_1_548134 MSLDLVGIIFLADLSLIAQRTALTGGSTFLDTFILCPGLHRQQD AANVHRGEYPAVAAMTTGYVFRVENPATVNFLQRVGHTGQLTTLSVTNTRKTRKGWRS HFMSSIDSSMSLGAVAAYLLAVSSTIAVSYLLVLTEDWWGLLVLTVLMFTRFINVLLI RSRSRVGWSGASEPGVVGDLLVLLSQDRWVRIRGYVDDLKAWVLSGTCGIDSLQLQDV PIPDPGDYEVLVKFHAASLNFRDIMIANGQYYIKTKDRVIPGSDAAGEIVKVGPKVTR FSTGQRVSPIFHLTHLYGSVKDTDIQNQLGGTYDGVFCEYGVFNEHGCVEIPSTLSYR EAATLPCAALTAWNALYGGPRKLKPGDVVLTQGSGGVSIFALQFAKLGGAQVISTTSN AEKGAKLRDLGADVIINYAEDSEWGQTAKSQSHRKRGADFVVEIANTMVQSSQAVANN GCIATIGRRGDSERGAGSSHSSVLATVRRILVGNRQLQEDMNAAIEVSHLKPQIDGLS FKFHELKEAYDYFQQGSHFGKVVVDFD AOR_1_550134 MIKIDFGFRSRSQDGEGEGWFGKLPQFTVISRPGSSLGHSTPSS GLATPTEEKGLTTLQPCPEIGRLASWRGALILLVTSGSQFLDNVFMTSSNIALSSIQE EFGVSSTELQWMISAYTLTFGGFLLLAGVLSDRYGRKMILCLGLFWLSVWTLAIGFGQ SFIQLAVFRGIQGIGAALTVPSAIGIISSYFTGVDRTRGLSIYAASGTLGFCVGLIFG GFLTSSLGWRYIFYLIVTITGSIGVLGAIVLPKDDLAGKEKPKMDYFGALLSTAGLIL LQFVLSSGGDYGWGTSFIIVLLILAVALLVAFTFLEWYISYPIMPLDLWKIPNFAGLW IAGFTCYGSYQNVIYYIVLMAQQVDNLSAGETALRFLPMGVIGFIASMGTGKALEYVN GKYTLIAGLVLTVLAPVPSALTATDSEPDFWVNVLPTSLISITAVSLIFVTTSTTILT TVPVNVKSLCGGMLNTAFQIGSGVALAISAAVTEAVDIKKGHGLAQQYSTGLWCSAGL AALGLVIAMISVRRRGIGPGDRNDTLVAI AOR_1_552134 MTNKQPEANIYAHASFDLTALLSIARSGSLNWAIFVVFEDGVEW VFRSPRKAFGLEARTATEALLSEVATLEYLAKIGCIPIPRVFSYCSTGKNDIGIPYIL MGKATGVPLSTYKWDDDKIHSTGPDCEQDPAVLTFSQKAKIVKQLGEIQAHLSNVRFD KVGSLFLKNGEFVIEKCLYPSLVWQGRDEFDEQDIPRGPFTDAKSFYVALIRELFAHA KELPMEHHLFHGPVPVPQEYDNFQEYRTATDRWNDYVVIGLKTESTQNRLDYALVGMS LEDNVPLLVEKGNDIKCDGFPLCHPDLSCQNIFVDDELNIICIIDWAFASSVPPSMLL VCPGLPHPRDRIQPCLTKYFAEGFIAAKGYDGEKDLHFSDSSIFWTFVRLVNLDGLQD HVYFSEFMRSCIGQEVPPYIRKLRDRGEFKEFETILLAYEIDGEGPSKDEKNYFSCVG HERFTLSQHLTVMKKIDRDFVADKRLWKWMAQHLKERDVYMYPTNEDEKRGRKRKINE AL AOR_1_554134 MAVASTHWACTLPSDRRRTIAATRFRHKATRIYRQSLQQPIGRD NMDMLITSCILLGMFSFAAETTSPLDSWVFSDDPISMNWLSVQCGLRCLLEITKPWMD DSIWNEPFQESSNYEYADDHRVGREDLDPELADLCDITDTTTEETNPYHWPLRMLCPL LRIPRHKCGASRITNFMGRLLSDFVNLLAAKEPRALLIMSYWLALMCTSVDEWWVGPR VTLECRAICMYLEACGDRRIVELLDFPARSCGFKITS AOR_1_556134 MSQPSDVAPSNGEDDMAIFQQVLDHLDFTKIPPFALSICQQRER QEGRTPTCTSCTVLPERLFGSNNILFPLEFNTAEKWILKIPMNGYPGRFNDMHARVLT AEASTMQFLRRETTTPTPTVFSFSASLVNDLRCPYILMEYIDGKSTSHVWFNEELDDA TLERHRIHVLQDLSKIMLQLNKFTFSEAGSPIFDDGNNPIGVGPLRVMDMPAMLEELR TNDVWDRVIQSEIQPTSDPKAWMLCMFERHEPPDDKFSQGAHQLLKHFIKWLPWSELA QEPFVLTHPDFNFQNILVSDEGKVCALIDWEGVSTVPRFLGNERYPGWLTRDWDPMNY GYGMEECFVQENSPEELSHYRDIYRDMVKSAIAEAEAEADANCIALSKRNLHRHLPVL ESLSIAADQPINLPEIVQKLFTEVSKQEGFPEDLELWEVCFGLADDDLEDEQLESVRR GFEKFLQSAQ AOR_1_558134 MSLRNDLDNGRPTKRLESWDIASMWLSDRKDEIQDWWDFSGPQL ATLAHEAGYSTMTQIELLLFFRSVVLPRMGRFPDACRPRACAQSRSILTYDGSPIEYS WKWNNSANDHPEIRFCVEPVGDGLCADGIVGGKLRATDEILVQLAKRVPSTDLEWYHH FRDSFGLGHWTDGPLHEDAVTKVYFTPPATLDDMPSFNMFADVVRPIGDKDTTALDES MEYLSRDPVGATLRPDVLAIDCISPLKSRIKLYAGTAMTTFTSAISVLTLGGRIPVTR HSIDEMWALFRMVLGLHDKFLQDEELPVQNPFQPSRAHPEDYYSGLLYYFNLAPGALL PDVKLYLPVIRYGRSDADIALGLQRFMASRHRGQYVDGFQRAMEIISQRHKSGNGHRI QTYIACSFDKDGSLSLTSYLNPGVYFSSETVDV AOR_1_1172134 MTLKGALIRMTEYWPNLPDTKGVSCPVQFTNAELEEFFEKEEQL FQLNAVVNLWREQIGGASEDGWISNGNYESARQKVVELMESLIAIAEGDQEDIALLEK GWPFRDQEGDN AOR_1_562134 MMQSVRIIPTYIERAPGAPATGSSLHSHGHWMPENVELRRAWLS SLLDKTKAEIPRKLRDNVENPVETFRKLIENDSTLYMLAHSMFDEVPEKAPYDRDPTT LKKQVRNYKTMLYLFNTLLTEVPEYFLRDNPNVPSGLIGFPFNIIVDWPMGTPSGRQF FLDTRVNKCLKDILNKWNEFLKDPTAQGNGNKGGNQALIDAGWSSDAAVEQLVNKANE STTDKKKTFSEIFQHPANGTQENFFNYACWDNFFTRRFKDGVRPVADAAVVNACESFP LSFDTDVSRRNTFWLKGTPYSLHDMLGATQDERVASYVDGFVGGSVYQAFLSADSYHC WNAPVTGKVVYRSLIDGTYFAETAAAGFGGSNGPDPAGPDVSQRYITHIAARGVLIVD TNVTGGAKIGLVGFVPVGMSEVSTCDWFDNTEEGKTISKGDVIGAFHSGGSTHCLIFQ RDAVKKLQFIPKAQYPEIATTNLAVNSELAKLTS AOR_1_564134 MTEILFAFMLLGSLALGNVTHQNSTYTDPILPGFHPDPSCIFVP SWDNTYFCASSSFNVFPGIPIHASKDLRNWKLIGNAISRPEMLPRLATTIKDTSGIWA PTLRYREGSDSTNENESDHGKGRFWISTTLVFDNLAADDESRWDNFVICTDDPFEPDS WTDPVHFDFGGYDTSLFWDDDGQVYVTGSHEYKVWPGIQTATINLETGETGPWENPWN GTGGLAPEGPHLYKKDDHYYLMLAEGGTGEGHMVTMARSRDINGPYEPAPHNPVLSNA NTTAFFQAVGHADLFQNVHGSWWAVALSTRSGPDFKNYPMGRETVLTPVQWEKGEWPI FQNGPYVDSPDHLTFPPNSTLPLHLIHWRLPTPDTYLISPPGNENSLVLRSSVLNLTS TNGLSTSGKGQTFISRRQTDSLFTYQLDINASNLRNKEDDVGVSIFLTEAYHFDLGIV LLPQTDKNDTSGELAPYRLHLYEEVQKLHQQYGDYVRLGPTELSIADPQAVKALYSGQ AKVTKGPWYTVLEPRVSLQMSRDKKEHARRRKVWDQGFSSKALRDYEPRVSHYARQLL EAVRKNVGKPMDMAKWFNYYSFDVMGDLSFGKSFNMLAGGQDTYFSTQLHADMKSIGL FSHLTWLFPFFKRIPILNKDYLKFWDWVGGRVEERIKNEPDRPDVFSWILDAFQNGPK TKQDHLDLHGDAYLIIVAGSDTTAATLTNLFFHLAADHTWQAKLQEELDALPDLTQEK VTNVKLLDALINETLRLHPAVPSGTQRLTPPEGLQIGDKYIPGDVMVCIPTHTLFRDE RAFVRPDEFLPQRWMTQPELVKDASVFIPFNAGPYSCVGKQLALMELRRVTAEILTRY DVEFAQGQTTEDFLDGAGIVRALGQNVKSVESAHPAYCEAFAGENYVGRQGGMKISKN GEEPWSKYFGQSSFARHSLASEISVVNVKDMIKSEDELKLFAPLGCGFQTGMGAILNS SNAGPDDVVMILGLGAVGMGALMTAKIRECKAIIVVDKVEARLEHAKRLGASHTINTG TPDKPNLKDAVRQLFPSGASVVIDTTGVPTLIEQSLQATQKRGKLVLIGVPPLGYELN VDVVQHINSGRSIIGCIEGDCIPGKAIPQMIQWYREGRFPVDQLVRHFDAAEYKQALK DMKEGTAVKPVLVWEH AOR_1_1174134 MLLKSALFYIALPILQAVAAWQVPAEYAITPSSNGRFLQDSDAK PFFWQADTAWLLFHRLNFSEAETYLSDRAGKGFTMVLAVGFTQAGIDSPNRNGDLPFI NDDVTKPNEAYWAYVDSIVELAWSKDIRICMVPAWGKYVHGSDNSGSVLNTSTAYPFG KFLGQRYPYLPKTLVGDTNPYWQNKTAVKADYANGGAPPTYEVTDWSPVYDDLANGIV AGEREAIAATSAQNATTWSPLMSIHPTNQWFTGGPLALAHAFFGNRTWLTLDASQSGH ADYPPNPPIPWWNCRRGWEPVELMYAAGSVPGGRARPVIDNEAHYENRYNNGNSSEAF WNASDVRIGSWQAVFSGAAGLTYGANAIQQCIIPGLFDSDGSGPSENWLEDLVLPGSS QMQWIKKAIMDRGNTTYFSRVPAQDIIDGTVGTDDTRVTATRDSGGDWIMVYTPTGEP FQIETKSLSSCDVEASWYDPLSGAYSQFSYTQCGESVTVKTFTPPITSGHADWVLVLD AIH AOR_1_568134 MKFQSTFFAIFLAMASAVYAAEQCPENQEYKTCGSSCPPACDSP PDQVCTMECVEGCQCIDGYVLNQYRECIPQSECPKSVREDDTEA AOR_1_570134 MRFIPVALGLMATTSVMANPANVQSVTVQLANDQSGANANVDIP ADGNQRSVQALWVKTSVSTNGIVSASSAQLNKFQQTTHCRITQNPNVNAELDAQRTWT QLDQGKVVQLTHAFIVCKD AOR_1_572134 MQSRSVLICVLLALDILNFTVASLTQRPIYAIAHRVLRNEAVTA ALSHGANALEVDLTAWYFGWWADHDGKLFSAGSTARDLFKFIAQKQWTKDYNISFVWL DIKNPDFCRKGRPCSIEALRDLAREILEPAGIRVLYGFFETAESRGFKVIRDGLNSNE AVVLSGETSTILHLYNISGAGIPVKQMVMDFGDSWLRKGVDIYPELRYGSWKRDHGKL GKVFSWTSAQGDTEMVRYLLREAGIDGLIYGYQTDEYNDKSGPKSALKDIVDFVEAHS DTHRMATEDDTPW AOR_1_1176134 MLSHPIILGALTLVATTVHAVVAEKPAINRQNVVRRYNPIRTNL STTTPMQVGNGNFAFGADITGLQTLLPFNILSSWCWCNDSLPTTANQTEPSDFTGMDW LTHDRLVNYDIQNPAEAEISQWLVANPHRANLGRVGFLYKGNNISSDDITGIRQSLDL YSGILTSEFTLHGQIVSVTTIGDPSSDIIAVDVQSSLLVDGSLSVFFDYPLTTGLNKF EAPFVGNWTAVSEHTTALNIITENEAAIGHSLGGTTYYNTIEWEQTGQITGPFHGTHR YLLQPTGKTNRFTVSTEFSASSRTSIKPFAAVKEASIHWWAQHWESGAFVDLTESGSS DAEELQRRIILSQYLLAVNSAGKDPPQESGLQNNGWYGKFHLEMVFWHLGHWARWNKW DMLDRSLGVYSRFLPSSIERAKRQGYAGARLGKMSDPSGASAPGSQNALLIWQQPHPM YFAELEYRSSPNVETLKKWDYILDELATWMVSYAWWNVSTQVYDLGPPMYPSSENTSP NSTYNPTFELAYWRFGLKIASDWKTRQGILVPESWTRVLNNLAPLPIVDGAYSICADL PDMWNKSSYTSDHPSQIAVYGLLLPTEGVDPSTVNATMDLIAQTWNFTASYGWDFPML AMTSLRLGNVDQAVEYLLHSNFQFDDVGNPVGTVVPTPYFPASSAFLLAVAMMAGGWD GDEGIHFPKSWGAKVEGLSVGI AOR_1_576134 MSEEITLIARSSPRGMVRILSYGARGGGLGPMVLGLLCAMGSGV ALPLMNVVFGNLTGEFNRYFTSSDSLNEDAFKASVNKNSLYIVYLFIGKFVLTYVSML CFRIISLRASSALRLEYMEALFAQPVRKLDETSVGTVTNAITGLSNTIQQSVSDRLAI LFQSLALLVAAYAVAFRYSWALTLVVSSAILFVLVGLSITVPIIVKAQQMVDRADEKQ AAVAAEVFSAIRTVLALGAEVPLSHKYSSWVKEARKRAARTCPVTGIHLALLFFAMYS SYALAFWFGLKLYREGHIANVNTVIVVFFSVLLVVTVLGGIASPLMAITKATSASGPF FDVIDADRVSTTGLGSSEASSQEDIFFDSVSFAYPTRPDTQVLRDFTAHFQRGNTTAL VGPSGSGKSTVVALIERWYQLRNTSLSEESPTAQGRIHVGKHNINDLDIKWWRSQIGL VEQEPVLFNESVYTNVAFGLIGTPWEHEPEPVKMDLVVTACRDAFANEFIDRLPLGYD TVVGEGGITLSGGQRQRLAIARSIVSNPSILILDEATSSIDIRGEKVVQAALDRVSRD RTTIMIAHRLSTVIRADHIIVIKDGSKSEEGTHQTLMDRRGVYYSLVHAQQLEVVSMD ATTAIEQLSHSLPEETKLEAYAADGYEVTDSEEVVRKKGERGAIRTLWHIIREQKTHW PIFALTVIGTMGAGSAFAFQSWLFAKLVQVFQFTGNKLAEAANFWSLMFFILALAMGL FYFDVGYASTSFSMHIAANYRQDYFQSILHKPVSYYDQEENSSGTLMARLSTDPKQLQ ELFGVNGVFPLISIFSLVGCVAISFSFGWKLAAVAFFAALPFILLASYFRIQYEVQFE GMNAEVYAESSKFATEAIRAFRTVTALTMEDTILQRYSNLLCQQRVRAIRKAWLATVV VAFSDSIDLCAMALTFWYGGQLLASREYDPVAFFVVYIAIIQGGQSAGQFLSFGPNMA QTTASARRILETRSAFNERDNDRTHFEQLTAGITPFHQADVCCRDLTFQYPSRGGPVF TGMNFSIRSGQYVAIVGPSGCGKSTIVSLLERFYDPTKGSIEFAGRDIRFYPLASYRR ALSLVSQEPKLFEGTIRENLLLGLEPGDDGELEPSREARMIEACKDAELHDFTTSLPE GYSTSLGINAQLSLSGGQKQRLCIARALIRRPRLLLLDEATSSLDSQSERLIQKALER LAARKTMTIIAVAHRLATIQKADLILRQGVYWQMCQVQSLDSHTY AOR_1_578134 MGSGDSNPHPICPLAREPRLKHTVPPLDSTDPTNGTETGKYNKL SKNAFATANGRKHKDTVPSKWLEQVSRIASQCHYTVDKIEDIYPCTPLQEGMMALTLK DSTAYTVMYKYHLSHSIDIDLLRSAWDQTAQANPILRTRIVPTQHSGCMQVVLRESVP WIVCQGYNAFDQDTVCSGDAENSDENTTNQSRTIWKIGSPLTRLIWDPSAHVLTVLMH HALCDDWSIRLLLRDVDAAYQGQVLGARPFRPLIEYIEQSRERSAKFWREQFNKAHQS EMKAFPSLPVPGYTPVLSEELTQAFPYSHDRRLAFPINAKVRLAWAILQSLYTRSDDV LFGTIDTGRGIPMKGIDELSGPALVCVPVRVQLQPQHTITDALKTIQYQYAATMEFAH IGLQYMMHLGPGPAAGCHFNTLLAVEPEKSDPVPSIFKHNSEIQETYDTYPLILRCRP SSRPLTIQATFDPAILEPQQTNRILHQLAHIYCQVEGHPKLKLSEINTLSPKDRQSLS AWNLPIPSVAKSTVPELIHEMALKQPQAAAICSWGGNLTYDQLNRLSHALMTRLKKHG VAPGVFVPLCLEKSMWMGLAMIAVMKAGGAFALLDPSYPLSRLRQMCEAVKSSLVVST NQTHSLASQLGLGVLVIDEEDPHLLLQRVRTCDVTGPIARCSAQNPMYATFTSGSTGA PKGVVVEQGGYTTSATAHAEQYNFTSHSRVLQFASPGFDSCIIEHISTLIKGGCVCVP DVDECRSRLAQTINKYSVNNVCLTPSVARILSPADLPILQDLAFVGEAVLNGDIARWK PFVHVRNAYGPAECSAVFSVQPTLTEHDPKNIGLPTGGVGWVVHPEDPQILMPVGSTG ELLIEGPIVGPGYLSNPVLTAQSFIHPPKWRGQFGEVHGRMYKTGDLVQNTNTGSFRC LGRKDTQVKLHGQRIELGEIEHLLQSIAFTDAPQVVVDIVQHDNVHPPVNTLTAFICW PATSDGTYDQEPDGGAFLAPNDIFRHSCTVAESRLSSLLPSFMIPNLFLPVRRMPLTP SGKLDRRQLRTHAGTLSAETVKSYRVAPCSPQPPSTPEEVLLQSIWAQVLNKNANEVG ITESFFNLGGDSVSAMQVGANCQAAGYRYELTLNIMEREKTIEAELSYWSYMLTELQA ELIASTFQHIVYQLVSGVSDQLGQLDQVSQLNRDCIMDWNKQAPLSVRSCIHDEIGQF AIKNPSSPAVTASDGSLTYAELDQFSTILAERLSQHGVGPNVFVPLYADKSRWVVVGV LGVLKAGGAFVLLDPCHPMERLRDIMKNDIHSQLILTCTRLAPLAGTIADAVIVLEQL GSEGDARRHRIPSAVERVCPATAAYAVFTSGSSGKPKASVVGHESYVTGSKAHSKALG LAEGSRVLQFASFAFDASVMEILTTLMVGGCICIPSDKDRQERLSDFIQETQVDWALL TPSVARTLDPEKAASLKTLVLGGESLSPSDMQKWSPYVKLMSAYGPSECSVIATVQNS TTVLMSEPTNIGRPTGARAWVTDRYDSERLVPVGAVGELLIEGPIVGQGYIGRPELTA VTFLHDIPWLQHISCSQGGTLYKTGDLVRMLTDRTLIYMGRKDRQVKLRGQRIELAEV EYHVRHCFPGTPDVFADIVASNDADQTYLIAAVSQPTQDENEFASAVSIAESHLLRAV PPFMRPSFLFRLQEVPQLPSGKVDRSRIRKMGVSLMQKQRDGCNSDTKDYKATDLSAE EQALRELWSQLLHCREGAIGPEDDFFSLGGDSITAMKLVSAARTRGLHVAVADVFAHS QLRELASSVTGHSEEAHEYEQTRPPVAPFSLIPYGREAEIQKQAMIQCSVDQDDIEDI YPCTAMQAGMAALTAERAGAYVAHHEYSLPPDINIIRLRYAWQRVVTHHPILRTRLIQ TTDLACWQVVLRNQELGWTESQMVKAEDFALGGLDPIGGRDDGIFGIPLFHVRISIGA TCKMTLTMHHAVYDAWALPQFLHCAHKAYVSDEYLSSDPVPFQHFIEYTCSQVERSLD HWRTEFNGLDVEPFPVVPSISYRAKATSQVEHSIPIELVEEISVTRTAAVRLAWALVQ AQYQSKSEIVYGVVSSGRTAPVPGVEAISGPTICAFPLRVNIDTNENISKALKGLQTR TANLTPFEHVGLQQIAKLGPEAVRACSFQTLLNVEPAEELNDTPAYKNLFNSMGVKAR DGAFASYAVVLNCVLNPDSITVTATFDDQVISRWKMGHIMSQFSHILQNVHRLPQSPV RDILAELNPSSMQQLKIWNGTIPDMVRETVPGAIQQQCSRQPLAPAVCGWDGSFTYSD LDRWSNKVASLLQRRNIHPKSIIPILMDRSRWVMVAMLGIIKAGAAFVLLEPSYAFAR LRLICNDIDSSIILTTDQNAKEAQALVADTMVVDERLADSSPNISTDSPAWCPSPNDA LYCVFTSGSTGKPKGIIINHGPFVTMTQEYARRARIAPDARILHFGSYAFDVSILETL STLIAGACVCVPSEAGRKERFAEAVQDLRPSHALLTPSLARALPQNALGSVRTLMLVG EAARRDDFVKWMGKVRLMNLYGPAECTILSSMQSNCTLGTTPASIGHSVASVGWITDP RNPYQLAPIGAVGELVLQGPLLGRGYLNDPDQTAAAFIRGPAWLEGFGLESNMSQPKM YRTGDLVCYEDDGSLVYHGRKDTQAKLRGQRLELQEIEEQIQRSFPGILTDVVAEILT PIDGRGAPCLVAFLSVGGEGQDTEKPPELGEQRLDIVAIPSFPDHVKATESKLAECLP DYMVPSIFVPLRQMPRTVGGKVDRRGLRQAASSMTRQQLEQGSTGTAPGRHKAMSSDV ERLLQSIWAQALGISPENIGAEDSFFRLGGDSISAMQATSQARAAGIEHAVADLFQWK SIRRIVEKFAQFIPVPQATGHQAAEQDRQGGNVGAGVETSSTDKAEELLPCTPVQCGI LLTQMQDPLSYAPYFIWKVRGSGNAPVDLDRLVGAWQQVVARHGALRAVFKNDAKLEG DGFQLRLFRHIDAPVYILPESPIDSKGLPICLTEDVRRDSDIPHQFTICHSANGSVFC RLDINHAIIDATSVSLLVQDLKSLYDGQDLSHGAQDAYHDYLHFVHKQPQEPARAYWS SYLTGMQPLSLPYPWTADDKPTKPLERLEVPLACSGMDINTFCRRTDWTASNLLYFAW AMALGAFSGSDDVCFGVLTEGRHVPVKNIQYTVGQIANMAVCRVRLAPHLSLDQAALS LQENYGHILSFQTFPLSEIARAAGVTVQELASTAINVQYALPDNGSEPCESSLTFEPV GGLDPTSQDIMLYALIDQHGGVRVSMSYRPSRVSTILATQLADYFSEAISSILRNPRT KVGDLHLLSVNDHERLLRWNTALHEPEFHCVHQAVTQVALRQPSAPAVCGFDGSFSYA QLEIQSTQLAAYIVVRGVTPATYVPLCFEKSRWTTVAMLAVMKAGATFVPLDPTHPIT RLQELCRRVDACFVLTSVTQAHIGSKLADNVIVIGDRNYDHSARTADIPVIVDPEQPA YVLFTSGTTGSPKGVMIPHVSFLAAAQAQMRAFSIGLGSRVLQFSSYAFDVSVMEILT TLVAGGTVCVMSESERNRMLLDGVCPIVVTHAFVTPSIAGMLDAGRASWVETLVLLGE PMSTSHIKQWANVCRLMNAYGPTECSVLNTATSRIVTGCDPKDMGYALGVHFWVVDQN DHHRLLPIGAIGELVISGPPVGLGYINDLKRTADAFIEPPAWLQSLFPKDISSWRLYK TGDLVRYNTSDGSLRYEGRKDRQIKVRGQRVELEDIEYHTARCFPGAADVVAEQIMLP QDSATASGGEPSVHPRIVACIHWKDLTKQSISNSSLERDVLTSPSPEFDDDAAIAAQR LREVLPSFMVPDLFLPMVVVPRQKSGKTDRRLLRDAIVALHPSDRQSARCVAEPSKRS LKTATEKKFQMILSQLLKLAPHSISADDSLFHLGGDSIIAMKIAAHAQAGGLDITPHE VLRDPTIRGWASVVDGKHAPVSVIQESPAISLVADSHSAEIFRSFFDNGSPFSHDNVQ SILPVLDSQSYYLGSSSIVNFAELFPMSLDTNRLRKACCTVVSQYSILRTVFVTVDHL LFQVILRDLEPEFTELEVEDAEAYLQLETKRQATPSTPLGTVPVSFSTLTSTSSKTCA FIARISHAQYDGACLPILWNAIKSAYNGEPLPAATELTDVLHHRLGKEHPRSLSFWTD YLRGASSNALDPLCITSLPDPPGDNMSPTTARREIRRPVPMAGATVATLIKAALAWLF ARYQSQYDIILGQVVHGRGSDLPNIDKVFGPCVTFLPVRVTIDPNWTVSDLLRHTQAQ HVATVPHDAVGLRDIVRQCTNWPEDAKFGCLVHHQPLQSASEPLELGGLNSFSNITWA SSRPSAGQVDVISIERQDSLDLVIASPANVLDQASVEWMADRLSEAIELFCQFPHRNL TELEPDRVDPVDVSMGLPSSFPTLLSSAECG AOR_1_580134 MALDAKSPTVATLNDGTTDYVPMRKKYTSNQPHITEQPITWGNW YKHVNWLNCFFILFIPFLGCLGAYWTPLHLYTGIFAVVYYFNAGLGITAGYHRCWAHR CYKATLPLRIYLAAAGAGAGQGSIRWWSRGHRSHHRYTDTEKDPYSVQKGFWYSHIGW MVLKQNPKRIGRTDVTDLDADPVVVWQHTNYIKSALFMCLVFPTLVCGLGWGDWLGGF VYAGILRVFFIQQATFCVNSLAHWIGEQPFDDRNSPRDHVITAFVTLGEGYHNFHHEF PSDYRNAIEWWQYDPTKWSIWIWKQLGLAYDLKQFRQNEIEKGRIQQLQKKLDQKRST LDWGIPLDQLPVMDWDEFVAEAKNGRALVTIGGVIHDITSFIKEHPGGKAFISSAVGK DATAIFNGGVYNHSNAAHNLLSTMRVAVLRGGCEVEIWKRSLPEGKMPMLMDSTGRRI VRAGDQVTRMVQPVPMVSA AOR_1_582134 MVPTASARGKRKSACDFDGSASIQSPKDLSGTCKSLVSQAGSAG TGKVTSHPTGGGSAASTSTSEGASSGIVSPVAVRVSGWFGVAYFVAAAVAGFLMVSL AOR_1_1178134 MTDIQKHSSDLLKFRQTFSFPRLRQTLRRLYYEIDLPASSARHK ERDVNDKAFEKDIMDLFNELSTWDKQGNVDLPELPYIRALYIPDVRRNPHPSMIGWII SSLPRLETLMLKLNAPPPKNKELQKEYRLALAKALDSPSLSNLRSLYLSLTESVLLNH SYETQTEDPAYPDGDSLNLSIRKLAETSPLATISLTGFINEAMLTYDGRWYYTGNPSS VICRFPLGTNETEWGQAMLNGQQPWHQWRTEPDPEMLNALMKSMAEAMNRMPRLLRLE FCMAMYLTDNPEIVFTYSETRPAR AOR_1_586134 MTVKAYHTPADDFSRYGGATAHLPKLEVNGLQHAGPPPGPGAKY TPPQPGPAQPWYNPRGWSLRTKLIAGVVGVAVVVAVIVGAVEGTKANRYPDYTKLNYQ LVDTYSGTNFLDRFDYYHGEDPTEGFVQYVDQAAANALNLTYATDSSVVLRVDTSNKN AVKGRQSVRLESKTGYDNGLFVFDILHTPYGCGTWPALWLTDTYNWPDNGEIDVLETT NNAPEGNAVTLHTTRGCNMNVRRKQTGDTVYTTCDNSTNGNAGCGVQGSPDSYGEPFN NNGGGVYALELRDAGIRAWMFPRDSIPDDITNSSSSPDPSTWGTALADFPNTDCDIPS HFRNQSIIANIDLCGTLGAQKQFYTEQSHCPGTCNNFVATNPSAFTEAYWEFKSFKVY QAR AOR_1_588134 MRIISIGLLLLASAFTAQACTYCQCEFEDGSHCCVYSDATQGNL DCPSVCKNAHRADGKTSDDGMPGTACNAGGKYKCASAFTALDRTPCYKQ AOR_1_590134 MFSSFIRGVSSLKSQVPLLKNLHLNYILIHYTYIIAMSIITSII IYPGNDLAYIDALFFAAGAATQSGLNTVDFNLLRTYQQVILYWVSMLTTPIFINTVLV FVRLYWFEKRFQHVVRDARALRQTRSRMRTISEDKDNQTHDREEAGVGGRAIVVLRNN QGDAPGREQQDAIYKTPSDSESGPSSSAARDESGNTSEQETDPNNNLKRGFGSLRVPA QLSPEQHIAFLENQRKPKGALRIPSPREYDRGGVPEALEEGEGEGAEAARQTSNLSDE PPSPRHVEEDDQVGPMEGPHITINEPDMIRTRTRNSTFPRLDTRPTVRETRDFGDPSP LARTTTRRPTFTGVFRSLTQERDRNTLPYLSWNATVGRNSQFVDLTEEQRDELGGIEY RALKTLAVVLISYYVFFHLLGVVCLVPWIMTTRWGAVVTNIGQGRPWWAIFTAASSFN DVGFSITPDSMSSFQEAVFPLLLLAFLIIIGNTGFPCMLRLIIWLLSKFARKESPLWE ELRFLLDHPRRCFTLLFPRNATWWLFAILVALNGIDLIFFIILDLKDSAVTSLSTGIK ILDGLYQAACTRTAGFSVVSISELHPAVQVSYMIMMYISVFPIAISLRRTNVYEEQSL GVYPAEEDDNEDNQTAPSYIGAHLRRQLSFDLWYVFLGLFIITIVEGGRLQRQDDYSF QIWSVLFEVVSAYGTVGLSMGYPGVNASFASQFQTLSKLVIIAMQVRGRHRGLPYSLD RAILLPSEALNHHEIADAERRMRRRASSLSQMSVDHRLSQAQENGTSTGLDPRDKNTS AWFSGSPFMRRQSTMRSQRSQR AOR_1_592134 MSSENDTLSEGQYYRGGADDLRSPCPIINSLANHGYIARDGRNI TGIQLKAALEHIGLGFDTAGGLVKIAFQDHVDPPEGTPRTTANFGLRDAGQVNEDGDP VLNLDQLGRPHAIEHDVSVTRQDRALGDYIHLNPDLYQQLLASSSNGTSFSISDIGNL RKKRFEQSKRDNPELDLDKRMHYIACAEVGGIMGVFGKGLYHVPKEYIEAIFGEERLP FDEGWRPRWTKLYLPEAGAVTLAISHYAWPF AOR_1_594134 MAPLTAAEIVKHPVYDSVAWNLPPTTSGTCPVAQNRRGGPLNLY YEIHGTGPAKLVWIMGLNASHDDWKRQTKYFGHQNASKYTCLVFDNRGVGRSDKPVNY YSTSEMAQDAVDLLSHLGWIDLSAPATRSIHVIGASMGGMISQEVGMLIPDRLASLTL CCTAPRLVRTAPFLENLRERASMFIPRHVDVELARLGHTLFGGDFLDQPDTEYEDPKK NFPTRRERFAAGQLKKREDPDSFTKKGFLMQIVACYFHHKSPEQLKTLGDAVGRERIA VLHGTEDRMLTFRHGEILHEEIGKGILWKVYEGSGHVLMWENEDEVNQLLEELVDRTS AOR_1_596134 MYRSLMLIALYISALAAAAPNPPSNEPDNQPPNQPVVGIRPPNQ PVVGLPDNHPLDQPGAGSRPPNQPVVGLPDNHPLNQPGAGSRPPNQPANPLADQQDLS PARLATRNLHNNCQNIRIENPPPPLQNMDTANAQPRYATELTASPESEYWSDASTLRG SERRANLPRAPKPLLVGNCKKRDGQSRETKLSLDYCLGWREENGGTLVAESR AOR_1_598134 MAPVHRVAVIQWHIKDLAMDENHQKACTYIREAASQGAHLAVLP EYHLNAFPPTNPLYLPQTNSQITTKYLQSYQSLAKELNICIVPGTIVENHTPTNPILY NTAYFISNDGTILSSYRKKNIWHPERPYLTSSGSDPHEVFDTPIGKVGLLICWDLAFP EAFRELIAAGAEVVVVPTFWTQYDASPALRAKNPDCEKLFLESVLTARCFENTCGVVF ANAAGENEGDGFLGLSRVTMPGVGVVGSLGWEEGVCVVDLDIGLIRDAEENYRVREDL GREGWYYSYRHQGRE AOR_1_600134 MASPTSINVNDPSLISLVNKLQDVFATVGVHNPIDLPQIAVVGS QSSGKSSVLENIVGRDFLPRGSGIVTRRPLILQLINKPATQSNGVKEEKLDTTDSAAN LDEYGEFLHIPGQKFYDFNKIREEIVRETESKVGRNAGISPAPINLRIYSPNVLTLTL VDLPGLTKVPVGDQPKDIEKQIRDMVLKYISKPNAIILAVTAANQDLANSDGLKLARE VDPEGQRTIGVLTKVDLMDEGTDVVDILAGRIIPLRLGYVPVVNRGQRDIENKRPISY ALEHEKNFFEGHKAYRNKSSYCGTPYLARKLNLILMMHIKQTLPDIKARISSSLQKYT AELSQLGDSMLGNSANIILNIITEFSNEYRTVLEGNNQELSSIELSGGARISFVFHEL YSNGIKAVDPFDQVKDIDIRTILYNSSGSSPALFVGTTAFELIVKQQIKRLEDPSTKC ISLVYDELVRILSQLLNKQLFRRYPMLKEKFHAVVISFFKKCMEPTNKLVRDLINMEA CYINTGHPDFLNGHRAMTIVNERQAGSKPTQVDPKTGKPLPPRANSPSVDLGNTESGS GSGFFGSFWASKNKKKMAAMEAPPPTLKASASLSERESTEVEVIKLLITSYFNIVKRT MIDMVPKAIMYMLVQFTKDEMQRELLENMYRNNELDELLKESDYTVRRRKECQQMVES LSRASEIVSQVQ AOR_1_602134 MAPSGKGSQDEAHHGAVFSVSGPVVVAENMIGCAMYELCRVGKD QLVGEVIRLDGDKATIQVYEETDGVTVGDPVERTGKPLAVELGPGLMETIYDGIQRPL KAIFHQSKGIYIPRGITVNALDREKKWDFTPGQYKVGDHITGGDVWGSVFENSLLNDH KILLPPRARGTITRIAEAGSYTVEEKLLEIEFNGKKSEFGMMQTWPVRVPRPVNDKVP SDAPFIVGQRVLDSLFPSVQGGTVCIPGAFGCGKTVISQSVSKFSNSDIIVYVGCGER GNEMAEVLMDFPELSIEIDGRKEPIMKRTCLIANTSNMPVAAREASIYTGITIAEYFR DQGKNVAMMADSSSRWAEALRELSGRLGEMPADQGFPAYLGAKLASFYERAGKSVALG SPERIGSVSIVGAVSPPGGDFSDPVTTSTLGIVQVFWGLDKKLAQRKHFPSINTSMSY SKYTTVLDKFYEKNYPEFPRLRDQIRELLTKSEELDQVVQLVGKAALGDSDKIALDVA AMVKDDFLQQNGYSDYDQFCPLWKTEYMMKAFMGYHDEAQKAIAQGQNWAKVREATAD IQTALRNMKFEVPENQQEVSEKYEKVLQTMSERFASVSDE AOR_1_604134 MAQQRSTNAKICKCWGYTFEWIDGLHSSVEQLHSIMLNYDKLVD ECLDRLDEISPPGSARTESTGSTDIKTPKRDLYSLLEKHAKDDPKLDELWSEINTVPD WVDWEQIKRGQEVFFRYGTPILNVLGFQSLLGGMGSPRVVETLARTGGFSADVVRRRL LETLQHILQVSLSLDSIKPGGKGHVSSVRVRLLHASVRRRVLSLVKNRPEYYDIKKYG IPINDLDCIATIHTFSTSVVFLGLPRQGIFLRNQEIEDYIALWRLVAFYMGTPTDCFE TTAQARAMMESLSVSEIDPTDTGRILAQNIILGLEYTAPTYASKEYLEAMARKLNGDE LSDSLNLPRPSLYYQALVYGQCILVMAACYGLRVFPMLDQAIIELRRKLYYGLIMDKK EGLGGESFFEFKYVPFYSRTTRLGKRRVSQPAWFGVETLGQLGLLTAFLAGVALFCGS ISGIKLLAPIVVPAAFVPDVY AOR_1_1182134 MAPFESPFADVAIGCTIIGVILGLVPQLHKAFFNKYEDGGIFNA WLTSSVKNIGKLFTTLQIFVVGCKLGVSFEKMKTSGDSGRMPLKAIGTIFLVRLVIWP ALSISIVYGLAKKTNIVLTDPILWFSLMLMPAGPPALVISGLAELARISELEKMAIAK SLTAMSAPLVLLQSQVKGQIYQAFDLADHPFTYIPSSTAPDRPDPPIPIEPTSSYPRS AAASDNILSSSRSDPSVDSSYPAVGASGNFYLSSTRRRRRPSTPGVSESNIDGTGGLT GDHTASEAGISEQRVVYANTAEGHSPSPKRRRLTNMRPDGVSSANGPSHVSNGSVASP SHKVGLSHSLNGQASHASSNGDLQTNGVQKSSAVPPSYFGHDREEVTRILIQSLYELG YNGAASLLSKESGYQLESPAVAAFRGAVLAGRWAEAERILVQSFYPDGTRRTTSGDGD LPTKERLVLVESADMNEMLFYLRQQKFLELLEARNLSAALTVLRHELTPLNYDIGRLH ALSSLLMCPPEHLHDQAGWDGSITSSRERLLSELSKSISPSVMIPDNRLAILLDHVKQ NQINQCLYHNTATPPSLYSDHMCDRADFPSRPGIELSQHSDEVWYCQFSHDGTKLVTA GRDHSVIIYDTSTFAVLHKLMEHDDGVAHACWSPDDSKLITCSQDKKARVWSVDTGRC LLTINHHRQPVTAAAWAADGDSFVTASLDKDSHLCHWSMRGHALHMWQGDFRVQDCAI TPDGRRLIAADVEEKIHVYDFLTHEEEYCLALKSKPTSVAVSKDSRHMLVNLSEGQIQ LIDIDTTDVIRRFQGQKQGSFVIRSAFGGAAENFVVSGSEDSRVYVWHKENGTLVETL EGHIAGCVNAISWNPTNPGLFASAGDDCLVRIWTRERDANSHTTARTQRPTSASGFAR TSALRSTMSF AOR_1_608134 MADGIDRRADDRMEFNTSKEVTVAPTFEDMHLKESLLRGIYAYG YESPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFSISILQVIDTVVRESQALVLSPT RELATQIQSVIMALGDYMNVQCHACIGGTNIGEDIRKLDYGQHVVSGTPGRVADMIRR RHLRTRHIKMLVLDEADELLNRGFREQIYDVYRYLPPATQVVVVSATLPYDVLDMTTK FMTDPVRVLVKRDELTLEGIKQYFIAVEKEEWKFDTLCDLYDTLTITQAVIFCNTRRK VDWLTDKMREANFTVSSMHGEMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQVS LVINYDLPTNRENYIHRIGRSGRFGRKGVAINFVTSDDVRILRDIELYYSTQIDEMPM NVADLLS AOR_1_610134 MLLEDQRFIHEDLERLEQAIADRVADEPRNIKDRLARDHEIAQF LDRIDEQSKRLLDIYKDADGAREKEIQAISTGDQFEEFYTQLNEIKDFHKRYPNEPVE NLERAYKRHEGEPIGMDIDNLFTGEEGFGQFLDLTKAHEDYLNLPGVKRLTYVQYLEV FDAFTPPQMLIKRPNKLSDRYFQYVGELAAYLEGFIKKTRPLQDSDKLFGSFDEEFEK QWAANEVPGWSEETAQNGAQGPQTEGSGEGIWCAACEKEFKNENVYKNHLTGKKHIRA AEAKKASGDSGEKSAAANGVSAVASRLKERAVAEREHRVRSLAKVLDAERQATRTNVE RKQGMTERERQMELEALLAESENAGGDRAGDQSDDDGDDRIYNPLKLPLAWDGKPIPY WLYKLHGLGVEYPCEICGNFVYMGRRAFDKHFSEGLHIWGLKCLGITSNTNLFREITR IEDALRLWEKLEHDRKKDKDSRDNVVQMEDAEGNVMPERIYLDLQKQGIL AOR_1_612134 MGFKFSYLCDLLSSLENNKILKATTEARAHDPDAQTVTRWFKQH GRRLRNKDTDQLAVLSCMFPEKRTDRVYWLQDTSLARVIGRCLLLGSSRREELERWRV SGGIDLARCVENVMRQAENHIREGQEVTVEEIDDALNRVASRCRFSGPRVRRQRAAVD VDETLSPLYRRLSSRDAKWLTRMILKNYSPVTLPRNLTLKSFHFLLPHFLLFQDDFEA TLNMLVSEPISHFPPHPEPGLARDLGMIAMQHLSPVVGIKIGRPDYYKARSIKHCCQM IGRRRMSIERKYDGEYCQIHVDLSKPSRSIQIFSKSGKDSTADRSGVHHVVRDSLRIG RPGCKFSRRCILEGELLVWSDRHGKIMDFHKLRKFIARSGTFIGTENDSPPQPYEHLM IVFFDILLLDDDVCLKKPHRERRLLLKDVVHVIEGRADISEQRIIDFSRSGSQSHLEN IFAKGVAQRWEGFVLKGCEDPYFTIFPSQANGSMGRWIKLKKDYIPGLGDTVDLAIIG GKYDSRDAGGLKQIQRLLWTHFFIGCLLNKEDVLQSRSKPKFRVVDVINRHCMSPKNM QILNQFGEYTACGIDSGHGFDIEYGTGNIPGLGVVFRTPFVVEMLGSGFEKPSGSRYY TLRFPRIMKIHWDRSFEDAASFSELQLLADDARAVPSEELAQEETEWNKRLKLGNGSS EYIVDRSQSLTSSSGVTQSPVKLRENM AOR_1_614134 MSPSDDSDMHGNFLTSNKNLSSHQGSRQQKNNSSTTNSTRSKQS KSTEFENSDITIHSDTTSTLTNSSTQDPKVIEKQTNPQKTTKSPLTTLPIYFIHNNLS NPEQPISTLPLTVTPNLNILIKSLLSRSLKTQPRTTSALVILLLNPTQTPLGQALLDL ATNLHKRLQAPSHHPGHLQTGSIFLLDSSLLDLGTDVTDPSSCVRMTWGDIGKKYFYA CVSWRLKGGVETVPCTPLDGVPKGVVDQGVRVSVVFDRRVMGVLESVGGLGDE AOR_1_616134 MAAQFTEENVQELKFRLEDATIKCSERCLYQSAKWAAEMLDAIV PIDQYDTDPESPMDIPDTPSTRPNPYLRTQDPVEASLEAQESYKYLLAKSYFDTREYD RCAAVFLPPTIPPVALSTSSPNQKKRQSLTPQKGKSKSSQYAGGKDSNVSRNPYPRLS QKSLFLALYSKYLAGEKRKDEETEMVLGPADGGATVNRELPDLARGLEGWLTERREKG LEDRNQGWLEYLYGVILLKGRNEEEAKKWLIRSVHLNPFHWGAWQELNDLLSSTEDLK QVVDLLPQNIMTLLFHVYCSQELYQATEDTYQALSELETIFPNSAFLMTQRALLYYHS KDFEEASRIFTEILIASPHRLDSLDHYSNILYVMGARPQLAFVAQVATATDKFRPETC CVIGNYYSLKSEHEKAVMYFRRALTLDRNFLSAWTLMGHEYIEMKNTHAAIESYRRAV DVNRKDYRAWYGLGQAYEVLDMSFYALFYYQRAAALRPYDPKMWQAVGSCYAKMGRVP QSIKALKRALVAGSYYAEDPSQLGGSGRKILDPETLHQIATLYERLGDDEEAAAYMEL TLQQESGQMPVEEDASSDNENDDNQSEAGAQKSSRRARQSSTYNQNDDDDDSWHGTGP TVTTSKARLWLARWALRNGDLERADQLAGELCQDGVEVEEAKALMRDVRARREGDE AOR_1_618134 MPATDRAAFQEVFPSLAEDILAYAKESNLPENALQWFEKALNVN VPGGKLNRGLSCPDTGLALLEKPLTEEQFKHLSILGWLTELLQAFFLVSDDIMDSSIT RRGQPCWYRQEGVGLIAINDAFLLESSIYVILKKHFRSHPAYADFIDLFHETTWQTEL GQLCDLITAPEDKVDLNNFSMEKYMFIVTYKTAYYSFYLPVALALLYLQRATPSNLRQ AHDILIPLGQYFQVQDDYLDAYGDPEVIGKIGTDIKDNKCSWLVNQALQRCNAEQRKV LDEAYGRKDDALEAKVKALYKELDLEKVYQEYEEKIVGELKEKIAAVDESGGLKKEVF NAFLGKIYKRSK AOR_1_620134 MMIMLNVVLILLVLVIARVQADHGPYFQSAAYDEGKFGEWPTET YRSSPIVGPALNYLQYSDECKDGLYTFIAPRGNKVANPGPMILDQDGHLVWTKRYGQS YNLNVYTFKGQDYLTFWMGNDGVGGHGEGAYYMLDSSYREVYKINAANHLPGDLHEFH ITQDDTALITIYDIIPADLTTVGGLEEGWIWDGTFQELDIETGKTLFQWRASEHFNFT DMKRDREGNGDSDVHPWDFFHINSVEKDAKGNYLISSRYASCLTYIDGRTGDIIWRLG GKNNDFTDLSDGAATNFSWQHHARFRDNGTAITIFDNASRGAGAPELPSRGLYLDIDQ ENMTVKVRHEYWNPDPISSQSQGSVQLLTSSNGNTKVLVGYGHIPTWTEYASDGTVLC NTHFGAASGDGNIMSYRVLKYPWVGHPTTSPDISAYNYTAAASWNGATEVVTWALEGA DSPNPKTYTFIAAVPKSGFETVIPIPADTESTYIRAHGLNSTGHILGTTKLVRWDPDS NEAVVGRHDEDDGDSPVHSLLFFFGGFASAVVLAFAGWFIYRRFKSRTGAQSTEDRER GNWQPLDRFNGDEDLSDGEMDDVEFALLRGERSGSWPEEDDDDRCTGK AOR_1_622134 MSTDKITFLTNWHATPYHAPLYLAQSKGYFKEEGLKVALLEPND PSDVTEIIGSGKVDMGFKAMIHTLAAKARNFPVTSIGSLLDEPFTGVVYLKDSGITED FRSLKGKKIGYVGEFGKIQIDELTKYYGMTADDYTAVRCGMNVTKAIIRGDIDAGIGL ENVQMVELAEWLASQNRPRDDVQMLRIDQLAELGCCCFCSILYIANDAFLAANPEKVQ KFMRAVKRATDYVLAEPAAAFEEYIDMKPIMGTPVNRKIFERSFAYFSRDLKNVSRDW AKVTNYGKRLGILDADFQPNYTNQYLSWTLDADSTDPLGDQKRMAELQKEVACEGGFK RLQVASSA AOR_1_622134 MSTDKITFLTNWHATPYHAPLYLAQSKGYFKEEGLKVALLEPND PSDVTEIIGSGKVDMGFKAMIHTLAAKARNFPVTSIGSLLDEPFTGVVYLKDSGITED FRSLKGKKIGYVGEFGKIQIDELTKYYGMTADDYTAVRCGMNVTKAIIRGDIDAGIGL ENVQMVELAEWLASQNRPRDDVQMLRIDQLAELGCCCFCSILYIANDAFLAANPEKVQ KFMRAVKRATDYVLAEPAAAFEEYIDMKPIMGTPVNRKIFERSFAYFSRDLKNVSRDW AKVTNYGKRLGILDADFQPNYTNQYLSWTLDADSTDPLGDQKRMAELQKEVACEGGFK RLQVASSA AOR_1_624134 MASEETGAEPPKRDVRNHMLFEVATEVANRVGGIYSVLKSKAPV TTAEYGERYTLIGPLNRASAAVEVEELTPSNPRMIETIKSMQERGIEVIYGRWLIEGA PRVLLIDTSTGYKFLDEWKGDLWNTAGIPSPAADTETNEAIVFGYLVAWFLGEFIAHE RRVAVVAHFHEWLAGVALPLTKKRHMDLTTIFTTHATLLGRYLCAGSVDFYNHLQHFD VDAEAGKRGIYHRYCIERAAAHTADVFTTVSHITAFESEHLLKRKPDGVLPNGLNVKK FSAVHEFQNLHSQSKEKINDFVRGHFYGHNDFDLDNTLYLFTAGRYEFRNKGVDMFIE SLARLNHRLKASGSKTTVVAFIIMPAQTTSLTVEALKGQAVVKSLRDTIEMIEKGIGK RMYERCLAWKEGDNMPDEKDLMASQDRVLLRRRLFAMKRHSLPPIVTHNMNNDHEDPI LNQIRRVQLFNDPTDRVKIIFHPEFLNSSNPVLPLDYDDFVRGTHLGVFPSYYEPWGY TPAECTVMGVPSITTNLSGFGCYMEELIENSSDYGIYIVDRRMKGVDDSVNQLTDFMF NFALKSRRQRINQRNRTERLSDLLDWKRMGLEYVKARQLALRRAYPSSFGSGEDFYDI IGGTEQKISRPLSVPGSPRDRSGMMTPGDFASLQEVKEGLSTEDYIAWRLPGATDEDE PDDQYFPLTLRTKKTSDRPASPLDRISVNGGN AOR_1_626134 MRAVVAHTAGSPDVLKVIQYPIPQPSSGQVRIKVKAFGLNRSEM FTRQGHSGSAVQFPRILGIEAVGIVDAAPGLEDKFPLGATVATAMGGMGRAFDGGYAE YTCVPAKQVQILKTKLPWDVLGALPEMLQTAWGSLIRTLTLRPKDRLLIRGGTSSVGL AAAAIAKKHGAFVASTTRKTEREALLRDFGVDEVWIDNGAISQQIAETSGQYFDKVLE LVGTATLADSLRCVKKGGTVSMTGILGNVWHLENINPMELIPSESKLTAYSGGDEDFM RTPLKELLKMVEEGKLQVRVGRLFKMEDIAEAHRCMEESEVERKIVVIP AOR_1_628134 MPRGAEYDDGVPHSDNAIPQGETKVHGAGNSNVQMGRVSRTAEL PEAAKELSGNAVSFGGSAGHSSGKGGHEPKSLGENKGLGAHKA AOR_1_630134 MSSASPRKSIDSLASGASTPSLSQYSFNQLESPRVPPQRYPLRR GSTASSIASIGGILDSSNRHGSIAESGQNAISTLLQPPIVRTGLVPHTAVPSSGYKPP SSRDIPPVTLTNIPRVDAKVFDPYLSQVGSLYDVFQQAKESAGDQESQLARDGGKASP KPDDSEFLSSQWSTERRPSTLSLNSRASSPFDNRGRRRSSARGRGHGVTPLSTIPQIY FDDDFHLENPRIFDVVSEKSEVVTPPKTPGKDGRFENGAVVGPAPTGRKALATNAILQ EKLSWYMDTVEIHLISSISTASKSFFTALGSLRELHSEAADSVKRIQLLRKDLQKIDR EMALGGLKIVNLRRRRENVRLLADAVSQLQEVVQSVSRCEELVENGDIEEAADSLEEV ERLMAGETVFGSPAGVDSHEHPRKAIDLRRLKALEGASDDLAQLRTRIGMGYESRFLN DLLGDLRQHVENVPLEVTLQRWGSSFQRQRGVQRSGVTVSPAYMTFDDQLRSRLHTQL TGLARAHHTTAAATSFKTAVLREMKSLIRKHMPSSSDDDNESMVSVSTHRSSQLSQQE KSSILARNLRALDAEDAYAMLARVYTGISESLRRLSVQVKVLLDLASGLGSNSVLKSP RSPHSPNLDSAAGFGASSQTAATIMAQDEILQVLDMSSLLGQAVDIAQSQVNKVLKVR SEQTSHLPKEEFLKYFTLNRLFADECEAISGRSGTALKTLVGNQIRDYIARFGDKQRH SIVEVMDADRWDARDFGETENTILSRILDASTKDIEAWVDASKIWLSQDKPEGNTLEN TTNGSGKDKVRSAVVDEQKYILSESAVAMMRSIEEFQFLMANIPSMIQDIAPGLLESL KLFNSRSSQLILGAGATRSAGLKNITTKHLALSSQALSFIIALVPYIREFVRRHSPSS PLMGEFDKVKRLYQEHQSGIHEKLVDIMSGRSSVHVNAMKKIDWDASGSSTVNPYMET LAKETGTLHRVLSKHLPDMTVSMIMDPVFNSYREQWTKAFDEVTIHTENGKQRLQRDA EFFQSKLSKIDGFGDLGERLLELVKQKTPTAEAKEKGSNGANPSSSSDTSNGEKSPQA AOR_1_632134 MDRRTPYTLSVLAPSTDGAEESRTQIQQRLRDFVLEFQLDNAFI YRDQLRQNVLVKQYYCDIDIAHLVSYNEELAYKLTTEPADIIPLFENALQQCTQRIVY PSQRDVVLPSHQLLLHSSAAHISIRDLNATNISHLVRIPGIVIGASTISSKATVVHIR CKNCDHSENIRVEGGFSGLSLPRRCGRQQQPGDAPGEQCPLDPYVVSHEKCQFVDQQV LKLQEAPDQVPVGELPRHVLVSADRYLANRVVPGSRCTVMGIFSIYQSKGAKKDGAPA IRNPYMRAVGISTDLDQTVKGSAIFSEEEEQEFLELSRRPDLYDALARSIAPSIYGNF DIKKAIVCLLMGGSKKILPDGMKLRGDINVMLLGDPGTAKSQLLKFTEKVSPIAIYTS GKGSSAAGLTASVQRDQATREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAME QQTISIAKAGITTILNSRTSVLAAANPIFGRYDDLKTPGENIDFQTTILSRFDMIFIV RDDHDRNRDENIARHVMGVHMGGRGIEEQVEAEIPLDKMKRYISYCRTRCAPRLSPEA AEKLSSHFVSIRKQVHRAELDANARSSIPITVRQLEAIVRITESLAKLSLSPIATEAH VDEAIRLFLASTMDAITQGEGQGSKEMMEEVSKIEDELKRRLPIGWSTSLATLRREFV DGRGYTEQALNRALMVLQRRDTVRIRSGGSQVYRHGV AOR_1_634134 MSGILLSSHRSSATGVLQNIQLTPHFSGIRKKHKTSVKAKMLSL EVLRNFLREYLVIDHPVAVSDIQHREQDQTHTPDTPGNPTTAAVTAVTIEYEPLESAT NKRKSPPTSPLTATQQKRLKKELKLEDKRRRTAGHRVFHHELEDGFYGDLISLHYEDA IATAQHAHTLPLTEGKYHFHNFWVDASGSQGSAGAAIAYKDPYYGEEWMDWGYAISDL KGKTRVDMSELFAIGAALRLALARIGKRVAVAEGAEEHAVTVFSDSMRALGVIRRWAN LWSEGEIDRSALGTLAGDVCGLSRQLFGLGVKVRVYWVPAHCEVCIVGHKRADVLSRA AARHVKPLVQRDEKYSADGVVQLVDSTSLKTLHLLADECDPL AOR_1_636134 MSYEGHCICGRIRVLLEQQPPSSLVCHCHNCSRSGGGSSINYVL HKEEVTIQDPQSSLKVYEDSNTVSGNHIQRKFCSNCGSPIMTESPSLPGKAIVKASLF DVISQPDKELFTADRQAWKGAVEGAKQE AOR_1_638134 MAPTIRVVGSLNADMVSVTPRFPEAGETITSSSYFISAGGKGAN QAVACGRLSRARSASSTSSGKGSVKVEMVGAVGGLDGHFDALLKPTLEKSGVDTSRVK IVEDAYTGVAVIIVDSSAGGENRILFSPGANYQGMQPEPSVLGMAMAAPVPDVIVMQG EIPVDSVIGILREIRAWKTKNRAEGKRGIEAGPDVMFNPAPAPPGGLPEDVYAAVDHF IMNETEAELMTPPAEQLLKVVPDAEGLSGNDKVARYFHQLGVTYVLITLGSKGVWYSA TDAGTSGPADGVNRFTNQIPAAKVSRVLDTTAAGDTFVGAYAVGVARWREQRRADGKA GQDLTSEEKPVRYQKVMDDAMGLATQASARCVERQGAMDSIPWEDEI AOR_1_640134 MAALTMTPTVRQPFASLDTPRVRPLLKSKMNLKNQQNGAILSGK RQPLSEVDTENIDPTIFNSSTKRKRGSDEDEHEPIKNITKPMKTSRITLTTVKSNAAP RIPTTPPKASRSTPKSAPTLKPAGRSPQAKPCKPFARRSTIAKSRPEPASKKSVNRPF SLAAALASGKPTSQPASKAPSGWSFDIYVDSEQEEMTNLMQHSTCVLDISDDEGKGGS TTPGKENIPPAELGIDLPRSRQRESPAAAARKSVMMEESRAPLGDLIAADYYGEDCHA FSYTIVYDDEEADATSTKKTPLPTLPRSCHSRSKLSSVSSISSILEATTPVEDAKSGP SEAEVEVWESGSAVEESA AOR_1_642134 MSEQHGSRTAPSYPIPSRRIVTVEHPAIIKNVDKAIDTLRGNTG ISKILNPPKADFPANLYLRPEDPMSRPIQSTSLPSNNILLKVTVPRRTGRKRKRGSDE PFTGVPVSTLGPEFQPRRSARELLRSLSDNVGRYQIEPVGLVSRTHVFRGMPDFAFSS TGSSFSNRFREQILPFDYEKMKQFNLDMAKGMSSNVDIIPPPSFSQGDIPFTYAYRQN QAVRQSIDSSGNITTVNTQKSTKVLTHLVSYDVPEVPSKPNENTPPLETQDATLRETV AIIRDLFDKRPAWTRRGLRNHLSTIEQRYALRLAIPYVGYIMRSGPWRDAIIKFGHDP RTSPDYRPYQTVMFRILPKEADVARDGYAGRRHAVPRLNEPVTDPSTDLRSNTHIFTG QLPLPLDGRMWMFCDITDPLLRSIVFPAEEAPGFIRETCDTISDGWYGSGTLAKLKLI MRHKILGLIEERIPDDQDFARILSFPDYATPENVNTAFTLDASVVSTKELTMATEIRA MIKGTPSWRESTNQGQEQDATGKRGKSATGRRVQWRDATGEEESEGEEEAIEKQEILE AAVEEVMEAATSGVDEDGNDDTGESDGGEEIEESRPRRKSGSRR AOR_1_644134 MKGFRQRVHEQLSRAKDANKSSKKKDSSHSSQNQASLGVNHNQQ SSSPKNGTPTSSTTSVNDTRGKSPDNAAQAGVYPPGQYNVPQGAGVAGQPVNNGGPAT PTKQGQVPAPSVIISPSAPHVPPPGAAETMPGDLAPPRKSHVFDRLQTTPKDMSEGIR TPKRQHSSRFDISDQRQRELEKLPGFHEVPPNRRQDLFMQKIDQCNIIFDFNDPTADM KSKEIKRLALHELLDYVANNRSVITEPMYPRVVEMFAKNLFRPIPPPVTPQGEAFDPE EDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKAYIDHHFVLQLLELFDSEDPRER DFLKTTLHRIYGKFLNLRSYIRRSINNVFFQFTYETERFNGIAELLEILGSIINGFAL PLKEEHKLFLTRVLLPLHKAKGLSMYHPQLAYCIVQFLEKDSSLTEEVVLGLLRYWPK VNSTKEVMYLNEVEDIFEVMDPAEFAKVQVPLFQQLAKSVASPHFQVAERALYFWNNE YFCNLVSDNVETILPIMFPPLYENSKGHWNRTIHSMVYNAMKMFMEINPQLFDECSHE YNERQNSAEQRERARKERWEKLAEQAKDRQNGVPAPPPPADIPVYVDEVDTITEDSQN RLQSLKLDDSGSVKERRSSTVRVSPTMERNIDIYASKLGDEKLDIKIRANVAVELRDN IEPLCSGASYPIFLSKLWPVFKGILKGEPVFTNLSFEQKLRNCVLETLHRLPMASPDV EPYAADMVDFLMDLVRIENEENAVLCMKTIMDLERNQAKATAARVQPFLELIQEMFQT MEQVVRDTFDTPSQATPSGMPSTPGATAQNFQSPRPSSPATSVSDLGPSDQQGSNVLL RGMQSFKVLAECPIIVVSIFQTHRNSVAANVKLFVPLIKSILLLQAKPQEKAHAEAAA QGMIFTGVCKEIKNRSAFGEFITAQVKTMSFLAYLLRMYAHQLQDFLPTLPSVVVRLL QDCPREKSSARKELLVAIRHIINFNYRKIFLEKIDELLDERTLIGDGLTVYETMRPLA YSMLADLIHHVRDHLTRDQIRRTVEVYTKNLHDDFPGTSFQTMSAKLLLNMAEKISKL DDKREARYFLIMILDAIGDKFASMNYQFDNAVKVSRAYKESKKDIEPSSKRYLADKDH PPDWDEIDIFSASPIKTSNPRDRGGDPVSDNIFLFKNLINGLKNIFHQLKNCNPDHIQ IDPNSVPINWSEVSYGYNAEEVRVIKKLFHEGARVFKYYGVDQPPPEVNYSSPFDFLA SQYTAPMSREEKELLESFGTVFHCIDTATFHEVFHSEIPYLHELMFEHGALLHLPQFF LASEATSPAFSGMVLQYLMDRIDEVGTSDMTKAKILLRMFKLSFMAVTLFSVQNEQVL HPHVTKIVTKCIELSVTAEEPMNYFLLLRSLFRSIGGGRFELLYKEILPLLEMLLETF NNLLSAARKPQERDLYVELTLTVPARLSHLLPHLSYLMRPIVVALRADSDLVGQGLRT LELCVDNLTADYLDPIMAPIMDELMTALWDHLRPHPYNHFHAHTTMRILGKLGGRNRK FLNHPPELTFEQFTDDAPSFDIKLIGPSEKRPFPIGIGIDLAIAKLMETPKTPAAKAS DGYYKQQAFRMISSQLKLFIGPETLPEDLASLLRLHANDLFENKTTGMADILDKSERS SSIPKKLSQEVSLKKLLKACVFATTVPDLKQTANSFVADVCKHFAVVEVGRALAQVRH NRKPFDVASGEGSVYLDSRVLAEAIVESLSSDNALVREGAQAAMQIMKDAAGVIFGTP ERISKLPFFQHLGRVFCHSCHSEEWFTKAGGSLGIHLFATDLDLGDSWLFDKQAEFVR ALMYVIKDTPADLPASTRIRAQDTLDLILRRCCKNVSKDDLKNEKSRLYSLCGFFVYE LSHMNKYVREASRRSFSTIAEVLGSQVHELIFPVKDRLLQSIFNKPLRALPFPTQIGF IDAITFCLSLHNNIVTFNDPLNRLMLESLALADADDESLASKPNEFKNAEMIVNLRVA CLRLLSMAMSFPEFANTPQNTSRARIISVFFKSLYSRSPDVIEAANAGLRDVLTQTNK LPKDLLQNGLRPILMNLQDPKRLSVAGLDGLARLLTLLTNYFKVEIGARLLDHMKVIA DDAILQKVSFSLVEQSPPMKVVAAIFNIFHLLPPAATSFMEHLVNKVLDLEEKLRRTS NSPFRKPLVKYLNRYPKESLAFFQARFKEERFGRFFGQVLADPESEALRSAVVADTEG FTSAFFGQESADGKNTAAINGIYVTHSICSYQSTKRWLVSHADLRAKLLSSGRELEKK LRNDKLPAAERLRVEQAEDQLMDIFTIYLAESTQDLDFLFEVMDGLSADELKRTLAFP KFIYRHIITNESIDYRRSVIMRCLDLYGQRTCSQKMKTYAFRNLVNPIFAMDVQTTWN HPPNSPKLMDKSMTEFIQSRLWKPQLADLSEESSQSGVDHSRMELLQLSALLIKYHHQ TVQDSRKDIIKFAWNYIRLEDIINKYGAYVLISYFIAHYETPFKIVVQVYVALLRAHQ NEGKALVTQALDVLAPVLPTRIMTASSSAQAPDARYPLWAKWPRRILAEETANLQQVM SIFHFLVRQPDLFYESREHFVPLIVPSLIKIASPPNSSNESKKLALNLINLIWHWEEK RVKSHTAMPNGTIESPNTKKRKLEETQGTSSSPSLAPPNARERSEYMVPPDLRAALTK YLITFITTIPERFPVPASRIRDLPSSKTQQPVLTGDMVKKAVHLLRNLLSPEYWGDLD IELYQKVTEPILAGEKADKSDEKHITSMVNALQVVRVLLAAKPDDWITGRLPLIQKLF EKPLRSDNPEIQDCLHGVEDEVDISPKLLPPVRRVLDALPDDQPEEEDAMDVEHSPSE FVTYLSAIATETLSANNYVSSLNVLWTLSKNKPAEMDTHIPQVMKVFSQKLAKEHVAA SVNNQNQVIPGTKPAEGVPDQQEFEIGVDLIFKTIELISVRMSHLGEQRRPFLSVLAQ LVERSQNIKLCSKVLGMVETWIFHSNESWPTLKEKTAVLHKMLLFESRQDQTMLKKFL DLVIRIYEDSKITRTELTVRLEHAFLIGTRAQDVEMRNRFMTIFDRSLTRLASSRLSY VLTCQNWDTLADSFWLSQASHLVLGCVDMNAPAKLHSDDYTVYPLSFLFGNADKDSRK ADIMVDIQLEAFVSERKRFVADIGDVRARDLMEPLCQLQHTDPNVAYTLWTNLFTIFW STLSREDRIDLEKGMITLITREYHQRQLDKRPNVVQALLEGAVRAKPRFKIPPHVMKY LSRTYDAWYTAAGYLEETAINPIIDTPTVRESNLDALVEIYAGLQEDDFFYGTWRRRC KFVETNAALSYEQQGMWDKAQQLYENAQIKARSGAMPFSQGEYYLWEDHWLICAQKLQ QWEILSDFAKHENLNDLLLEAAWRNIENWQSEGNREQLESLIKSVSDAPTPRRTFFQA FMALLQYHIKKENIQEFNGVCDESIQLSIRKWLQLPKRITNAHIPILQHFQLLVELHD ASHICASLSQTNERNLDTKSAELKLLLGTWRDRLPNLWDDINAWQDLVTWRQHIFQLI NATYLSLLPPQTNNVASNSYAYRGYHETAWIINRFAHVARKHQMPEVCINQLSRIYTL PNIEIQEAFLKLREQAKCHYQNPKELNSGLDVINNTNLNYFGAQQKAEFYTLKGMFLA KLSHVSEANDAFGVALYYDLRLAKAWSEWGQYSDQRFKKSDPSDYELASNAVSCYLEA AGLYKNSKARKLLSRILWLLSLDNEEGRVAAAFENFKGDTPVWYWITFIPQLLTSLSH REARLCKALLVKIAKLYPQALFFLLRTNREDMLNIKKQHDQKQEKLNRARQQQAQAQA QASPQPKPSPSAVGSSPAQNAQPPNANTSTPVQGQANLPNQQQAQNQIQQGMVQGQSP QVNGQAQQSPAQGQNQGQGQTQNQAQGGQQQNLQVPGQNGVSQPNQSASTEPEKEPLK KPWEYSDEIMSGLKTAFPLLALSMETMVDQIHKNFKCPPDEDAYRLIVALLNDGLAYV GRMPGSYAQDFKLPAPTEANITRFAETILLAHIRKSFEADFVVKKPTMYEYIQKLRRW RDKFEEKLDRRPQSQFLETYSPHLSEFRFLKFDEVEVPGQYLLHKDKNQDFVRIDRFL PDIDLVRGIGVCHRRLKIRGHDGSIHPFAVQHPAARHCRREERILQLFRIFNGLLGKR KESRRRNLYFHLPLMVPLAPHIRLVRDDPSYISMQGIYEDYCRRVGINKDDPVLFTME KMRSLAETKQSRTPDQQQVLRTEILTAIQEKWVPSNIVLDYFQKTYPNFSDFWLFRRQ FAYQYAAIAFMTYVMHMGNRYPNKIMISRTTGDIWSSELIPAINPAKAFFYNPEQVPF RLTPNIQTLMGPIATEGLFACALMAIARCLTEPRHELEQQLSIFVRDEMMFWATAQHR GVLPVPQLRDLVYNNSDIIVNRAVSLASPPEGNLPANQTTIDLISKAVNPQHLATCDA LWMPYL AOR_1_646134 MSERKVLSKYYPPDFDPSAITRTKNKQTGPKVITVRLMAPFSMK CTQCGEFIYKGRKFNARKETTEEKYFSIPIYRFYIRCTRCSGEITFKTDPKNMDYTAE KGAKRNFEPWRDPKNETYNETEEQLLDRLEKEENEEHERAERDKMAELEEKMLDSKRE MAIADALDEIRTRNARIERNEALGDETALAHVREEVDEDKLKAEREIEEAARRAFTTE TGEKVKRLVEEDFSNGATPDPKPSDAPPSFARVKKAKKPLPNSLGIKKKAKPSLV AOR_1_648134 MEKFLRSWRQDALNRGQHDAAVYIGDKVLALTNSDSDAFWLAQV HFSNNNFTRALALLSRKDLIPRSTACRYLAAHCYIKQNQFEQALSILGDHNPTHLIRS NNNSNSRRKLQHLNSQSHVTLRNGKTTASRIDRGEEREREDASNIRFEAAMCYLRGLC FAKQNAFDRARDCYKDAVRIDVQCFEAFDQLMKNSLMSPAEELEFLESLDFDSISSPD PSVSQEAAHFTKMLYTTRLSKYSSPAILSDATETLSTHYNLSENPDILLSRAEALYTQ CRFAEALELTSSILSTSQSSTALTATTASIPAQNHLGHAPAVYPLHLACLYETGATNA LFLLSHTLADHAPEESYTYLAIGVYYLSVSKVAEARRFFSKASLLDPHSAPAWIGFAH TFAAEGEHDQAIAAYSTAARLFQGSHLPQLFLGMQHLALNNMSLAHEYLSAAYAMSTG ATSGSVPALPPTPSTGASSLGGDPLVLNELGVVLYHQNHLEPAVKLFRQALSLAASLN CEPGAWVATRANLGHALRRIGRFTEALVEFDECLRIGAAGASFGYTPFLGGSGGNASG AASSGVGGYEDRGLIGSLHTARGLVLLELSRTVEAVTALHEAVRVLGASGGGDAAAGA GVAGTLLSRALEIWALEGNEAEPMLSEEVSRAGSGSRSSNRSSRDKGKGKVARRRAIP EEPYSEEWTDEVAQVDSHNLRGGSETLEDKVEMELDDEADGLLRQAMSRVRGGRSRRR PMLSPEVEASDTPEPAAGRRRGSRTLRTNARQ AOR_1_650134 MGSAPRPNFLIIVADDLGYSDTKPFGSEIETPALDFLARTGTCL TNFHTASACSPTRSMLFSGTDNHIAGLGQMAEYMRNAKQYQGKPGYEGYLNWRVAALS EVLQDAGYFTTMSGKWHLGLKKEIAPCSRGFEKNFSYLAGCGNHFNYEPQLEHPGDGF LAPLKSSAFWMEGDRFLDRTTDIPSDFYSTTTFTDQLLQYLTSREKDDDRPFFAYLPF TAPHWPLQAPRELINKYKDLYNEGPEVLRQKRLERLIQLGRVPKDVNAAPITLESDDW AWDKLSDEERAGSARKMEVFAAMVDLIDQNIQRVIDHLQSTDELDNTFILFMSDNGAE GAMLEALPVMGPSGNMKTIIDKYYDNSLENIGNPDSFVWHGPAWASAAMAPSRGFKTW ITEGGIRCPCVVRYPPLGVSNGQTDSFATVMDVFPTVLELAGVSLPGEQFRGREVVPV RGSSWVPHLAGRTAEFHEDEEGYITGWELFGRRAIRQGSWKALYMTAPLGKDRWELYN LDEDPGEVVDRADSEPEILQRLIEHWEVYYAETGMVDPAQELPHTKT AOR_1_1184134 MRFAYSTVALTATALAVPVTVPSTYHRTSTVPGKVLEASNPAAG RLTARTFGPFLNWIGSLHGSTCEECATGERTGNTMGVSVGSSEENCDENSNSNENSNS NSNSNSNENSNTNTWGSSTDSGSMPPGGMPPIGGMPPSGGTPPGGMPPSGGTPPTGGM PPTGGTPPSSGTDTCEDCEGGTPPSGGTPPSGGTPPTSGTPPTGDVPTGDTPSGTPPT SGTETGEETGTETGGSPPSGSTPPTSGTPPTSGTPPSGGTPSGTPPTGDVPTGDTPSG TPPTSGTETGEETGTETGGSPPSGSTPPTSGTPPSGGSPPTSGTETGEETGTETGGSP PSGGSPPTSGTPPTGDVPTGSGTPPSSGTETGITTGEETGTSTGGSPPTGGMPPSGGT PPNSGTECEACQGGNNSGNSSGSSSSSSSSSSSSSSSSSSSGSSGNHASANASGPNDC AECEVSASVSPEDCEE AOR_1_1186134 MAPGPSVPLEIKYANASDLGALVSIEIRSFPSSNYMRSTYKGCD PLAVHTFKTVCSLEYFAKSDCHILAGVDSETGETIAYSRWNIPAIYGVERAVDTSLSI DAQAQMQNAWAYAPKLNKGTYMFYEESIKRSRNRHLKQTDIGSLPYFSWNYCVLPEYQ RMGIGSAFLKWGIEKADASNARIYLEATMEGVPAYLKHGWKIVEEILLEYTERGGEGS QTFAFMIREPQGTGF AOR_1_652134 MAIPSCSRWRLRPIGLLYLFYLVGFMSFACIVAIWYGVNSDRDY IHPLLTQLIPAGHCACQTSTTFQCSTCLSCSEHSLVPQLTSAPKWEFNSDRDSNNEGL STPQCKAAFPGLYEDVFRAESFWRSQGALATEDLDRIPLGFGMVRAFISRGELYVVAA RAKQEDHRRKIVAALSSIHRALVADSDRATRRDIEFVFSVEDKVEDVTSSDNPVWVLA RSAAEQGVWLMPDFGFWAWDNPRNSIGPFDQVVERVKRADIPWSQKTPQLVWRGKPSF APKLRRALMDAARDKPWGDVKQVNWFERTNIMSMEDHCRYMFIAHVEGRSYSASLKYR QACNSVIVAHKLQYIQHHHYLLVPDGPNQNYIEVERDFSDLESKIEPLLDDPSTAQRI ANNSVRTFRERYLTKAAEACYWRQLFEGYGHVWNSSVPVWSDVYQRERGLRYESFILL DSQMMFDFSATGGMP AOR_1_654134 MPTFFQAVCSTCIALAIYTASLAIYRLFLSPLAKFPGPTLAALT GWYEVFFDVLLGGQFMWEIERLHGKYGPIVRINPHELHIKDPDYYNTLYAGPTRRRDK YLWFLSVGAPTSTFATPESDHHRLRRGMLSPFLSKQAVRDLEGVIKAKLDLLCEHMKK AMRSGEAVELHAYFVSFAVDVVSTCAFGESGCFEELRRERLDDRWKNVVTGAFGKLLL TRHFPWLVVVFRFLPVWATALLTPVVRHIDYMEKGVENQMQHVYAMNKDGVKENGIFS QLMHNLKLPLKERVLYRLADDAKFLMVAGIDAPSQVLAITMFHVLRSPLVCRELREEL QDKTAHSRDELCLNTLEKLPYLTAVIKEGLRVSAVVTSRLPRIAPEETLEFRGWKIPP GV AOR_1_656134 MPSPIPKPKGVPILGNVFDLDGNNTWGSFNKLAKDYRPIFKINI LGKDIVFITGAHLLEEVCDETRFRKCVAGPILAIRDAVNDSLFTAKHTTEEIISWGIA HRIMAPLVSWEAVEQVFSGIQETTDDLIKKWTTGSRQRINVTNDLDRCNHAANMLCFF DQRVHIMEGPEPAVIKAMGCATNEAMHRPFRLRIVNWLFHSRKWNAWIKTMRDYGKDI VETRRANPTDKKDMLYALLEGKDPETGKGLTDSQVLDEIINIFIGSATAPNLIAFAMY YLANNPDAAAKAREELDAVVGGPSVRIEHEHLARLPYTEGVLRESFRLSGVAPGFNIE PIPENEGPVLLAGGEYEVPKGQALIAILAAVNRDPAVFEDPEAFKPERMVGENFDRLP KGVKKGFGNGKRECIGKRYAWQWSFMVLASILKDVEFELADPNYKVGNDGVNCNGAFS VRPQEMFVVTGPRARAA AOR_1_658134 MGLIITTIALVLSALSWLDCAVSVPRAPPELDLSKFQDENIITR DVCIIGGGSAGTYAAIRLRQMNQSVVVVEKEDHLGGHVNTYDDPITETAVDYGVLYYE NLPVVRDYFDHFDIELEKISILEQNSTQHRVDLRTGTPVGPAEGNMLLALAAYTAQLL KYSYLNTGFNLPYPVPPDLLLPFGDFVKKYNLEGAVDIIAMFNQGTGDMLQQTTLYML KYFGLDVVQSALGGFLIPASHNNSELYGAAREELGEDALLNSIVTYTYRNEEREYAYA VVETPSGAKVIRARKLIIAIPPRLDLLKGMDLDELERGLFGQFNNTCYYTALTRIPGF PEDIQIVNRATDTLYQLPPQPAVYVINTTRAPRLFTILYGSKDHMTEAEVKGNMTRSV MQLRNTGLQIEPPEFVQYSDHSPFLLTVPPDAIRNGFYRHLNDLQGHRKTYYMSATFN TQASAQIWSFIDGLLQTSF AOR_1_660134 MRAQHAKDDRASAATNPKLEQDPIERRRLQNRLSQRNHRRKIRD RIAKLQERVIASELRAAASLNGWGYHHPTAPLGGVPTSAYDVDRKVLSPAAEIMPAMS GPYLPGSTGTYYPYSTLSPASTLPPQPSPTFPQYEATAKEADPSSSLSPSVLTNSSVC SPDAGSLNLDMATNASNNYVPPDMNGQLQTDPWNIYTQNSQSSFYYLTTGVFPGITAT DLADARQWKYEAKGSYSASTKFPSSRSSTAAYISTSLASGASTSHQLVHHARPDMSLS ESKLPI AOR_1_662134 MTSPYQPSMSFSPSVNGPDMTAAPSRPVSDLPQSQVDAIIRTKR KAREPKACYPCHARKVKCDRNLPCDGCVKRDHADLCSYERPSKKRIMGAAGPSQYRDS PAATPGGSESVGLPGSAETPIRLKQEPGVARSNPLTSAGRVSIPREEWDNVRTRLKEM EQTINNLRVGLEKAEEGQASSLETGSVQSGDASIRSKAASPEREGIHAANTLGEGTVH LGSRSVLAYILNNKSGSDQLQALLEGGILPKLGLDNESATYPFVDLWSSDMSTFDVSA VCSALPSDQQCKEFFYYYRDIAGAIYPVLEDIPNFEMHLDLLLRTRTATGGIYRSDND QAQKPFGVSIAFLGLLFAVLASGCQSSDLPGKERELTSQVYDAIQTLLVIGNVLSYNM NPGISYVLLGMTLRMGLALGLHVESSRFSAAERYRRRHVWWSMAWQDSHFSLSYDRPS TTAVCQPEIAYKEDSTPGECSYFETLCRVIQLALEVVRSRMLNPHAQLSQKTIHAYKE RIQHIMIEAKPYLRDRKYCFSTSEHLERVVLKLHSSYFASELLRPALKMTADPSDPLT TSMRSDCVSNLMTTVEAYVEMHTVSSHASRSWIALQRAISSVFLLAVTEESKTNPRFW TLLREMKMIIAERANEEGLFGQGDASGLPQTDRNGSFNAAIPTTTNTTATSPAALSTA SPASAAVGMDTQTQWAKPLTKTLRALDKLEAAFSSHGQLPTGHGTYLNPATASMQNLA PPVSAAMTPSVGSLPPPTPESSTSGEWTMPNILDRAAEYIHPPLWS AOR_1_664134 MQDNHLRRSSDAARTLSGSGQDTDYLDLPVRQVTRDANLEEYTT ETAAGQIIKPVRSAASGKMEDWKLVTFTIDDPENPKNWSKAFKWYCTMVVAFTCFVVA FASSVITADIEGPAEEFGVSREVSLVVVTVFVIGFGVGPMAFAPMSEMFGRRPVYALT LLIAVIFIIPCAVSKNIGTLIVCRAIDGIAFSAPMTLVGGTLADLWKNEERGVPMAAF SASPFLGPAIGPLAGGYLADAAGWRWLYWLMLILAFVAWVLITFTVPETYAPTILKRR AKKLRKTQNDSKYVTETELDSRPLGEKLRIFFFRPFQLLFLEPIVLFISIYMSVLYGL LYMFFVAYPIVYQGGKGWSAGSTGLMFIPLAIGVIMSAACSPFINKHYLSLYAKYGGK PPAEARLIPMMFSCWFIPIGLFIFAWTSYPQIHWFGPAVGGWPVGFGFIFLYNSANNY LVDTYQHQAASALAAKTFLRSIWGASTVLFTEQMYDRLGDQWASTLLAFIALACCAIP YVFYFKGESIRRFSRYAYNEDEENTGKVEKS AOR_1_666134 MTSASSASTFLALPGSVAFSRSRGHAIAASIGAQDVRAQWIHYV HASQPLDEPQQNVLKQLLQYGDITDIPPSFSAEDGQFDVFYVFPRTGTISPWSSQATG IAHVCGLRKYVDRIERGLKISCLRASSAEYKSGFQDVLHDRMTQVLGQEEPDLHLMFS EHSPLPLETIPLHGSDKSPKEVLQEANKRLGLALEESEIEYIAEAYGPNGPIARDPTD VELFMFAQVNSEHCRHKQFNASWVIDGKQMPNSLFAMIRNTHKKNPEYTVSAYSDNAA VLEGDVAAHWAPDATTGEWNHTKEIVHFLAKVETHNHPTAVSPYPGAATGSGGEIRDE GAVGRGSKPKAGLAGYCVSDLQIPGLKQPWELDVGKPNHIASSLDIMLEAPIGSAAFN NEFGRPCISGYFRTLLTEIDIGNGQKEVRGYHKPIMLAGGVGTVRPQHAIKKPEVVKP GAFLVVLGGPAMLIGLGGGAASSITSGEGSAELDFASVQRGNAEVQRRAQEVINACTA MGDNNPIKFIHDVGAGGLSNALPELIHDSGLGATFELREIDSADKSMSPMQIWCCEAQ ERYVMAVGEDSMNKFTAIANRERCGFSVVGRGGGTSEEEKRLVLMDRDSTEYPKPIDL PLSVLFGKPPKMTRVVESRKLKLPAVDATLTKYLPALAPNHLELIGEAANRVLSLPAV ASKSFLITIGDRTVGGLTARDQMVGRWQTPVSDVAVTATALLQGVKTGEAMAMGERPS LALISPAASARMAVAESLMNIAAADLVDRLSHVKLSANWMSASSHPGEGAAIYEAAEA IGMDLCPKLGISIPVGKDSMSMKMKWTDEASKQAKEVTAPMSLVISAFAPVGNYRKTW TPALRRLEDVGETVLMFVDLSFGRKTLGGSALAQVFNQVGDDCPDVRDVEIFKDFFDA TQQLQDAGIVLAYHDRSDGGLFTTLAEMMFAGRCGVEVMLDNICPSSGTKDVVETLFT EELGAVFQVRKEHEIQFRSCFATCGPPAGLIHKIGRVSERTKQNLAIYHGHTLVYRNN RANLQQTWSSTSYHMQKMRDNAACADQEYANILDDADPGLSWNPTFDPKDKALPMLTS LTSMSPFSNKPRVAILREQGVNSQAEMAFAFNMAGFSAVDVHMTDIISGRVSLASFVG MAACGGFSYGDVLGAGQGWAKSVLLHENTRSEFQSFFERPDTFALGVCNGCQFLSRLK ELIPGAQNWPSFERNASEQYEGRVCMVRVSDPDPSRPSVFFHGMDGTSLPIAVAHGEG RASFSPSSNVTAQSFVQEGLAPVQYVDNAGLKPTMKYPFNPNGSPEGIAGIRNANGRV LAIMPHPERTVMGGIASWLPAKAQEWGDIGPWGRVFYSARRWVG AOR_1_668134 MAIPASISALTDELVATVAKVDKGTPRFKILKRRTEDTLRANAH VRTDQFAVANQLEGLQEKFQVLNKDDLADALRVRLTELNEHKNSCFPEILSLLLQLAD RPAQLSKVDRLESIKKPQERVESLSWTELDVSGTAYCEEDIWESVDFGAGSSEDDLSS VSSDSYQGRSLPQTSIALEEDYVIPEDLFSSGEDEDLVVSIKSAQFWRNKTSTDTEQH GGKPSQVLTELQILYESVLRESKLLLLLAEIVSNLRHDASDSPVRCLDLLYDSVCMTQ ATGDENEFKFLAQLFFSCFETYARPIRLWMEKGELEETVQGSFFIRDNRNNDLDLRTL WHGWYTLDESAWISSAPKFVQPVARKIFVAGKSMVFLRHLDVSGDDEHARKSSLTLGD VFPEDSASVYMPFSALLDSAFGRIVDENHSFTSSLLRRELDQQCGLWISLQALEHIYH CKDMSVYGPIDHKIFDLIDRGRGAWDDRYLLTELAQSAFSTLPFIDPSRVIVRSSKDP TNKAHTHSRSVKLLQAISFDYVLPWPVANIITKDAILSYQRLSTFLMQIRRAKHTIVK QRLQYSPEIYEARKNAQAYALRHNMLWFLNTLYSHMTDFVISTTTDSLRKDLSACNDV DTMVSVHQSYMSSLEDQCLLSQNLVPLYEAIISLLDLCVSFSDLQSTRYTQTKSAQKE YGQDKDQSDEEEDEDEDDEPEHDEKQTPVHETQYLQQVKTTQDQFNQLVGFLAAGLKG VGRANAQVSWEILAERLEWRKERTADHV AOR_1_670134 MAVARPIRMLGASCIILILFLIFKMNNGPTSIISKGPKGDYTGI QNDPLKEPTGEPEGHLWRADENDYSPDSANSARTNAALISLVRNEELEELIPTMRDLE RTWNSKFNYPWIFFNDKPFTEEFKKRTQALTKAKCQYEQVPKEHWDVPDWINMDLFRE SAEVLKEQGIQYSDKISYHQMCRWNSGLFYQHPALKNYRYYWRVEPKVQFFCDVDYDV FRFVEDRNITYGFTINLFDAPQSIPTLWPETKKFLAANPTYLSDNNMLDWLTDDQLRP EHTNAANGYSTCHFWSNFEIGDMEFFRGEKYSAYFNHLDRAGGFYYERWGDAPVHSIG LGLFADAAKVHWFRDIGYNHIPYYNCPNSPKCSGCTPGKFYAGEPFLAKEDCRPSYFK HVGTH AOR_1_672134 MSPVSVFRVATRAVRPASLFRASQLPRARLQTPVALAINRPAFS TSSKRLGGHHEDETYEEFSARFEKEFDGVQDVFELQRNLNNCFAYDLVPSVEVLSAAL RAARRVNDFPTAVRVFEGIKAKVETQDQYKQYLEALEGLRQELGVALREELYPGEQ AOR_1_674134 MASAVINQIAILTPKEGKFDDLAAELANITRNVQEHEPETLVYY AYADAKKEEIIVVERYVNQAALDKHRAAPYFQDLIKKAPELLGKPLELKVGSELLQES AQVVRV AOR_1_676134 MALEFLQNVPRPTIDRPFGIHLWPIFDQAFEKVVGYPASEFKFV EGQTPMSGFRETAAMLVTYYIVIFGGRMVMKNFPALKLNALFMIHNFYLTAISATLLA LFIEQLLPTIWRNGIFFAICDHQGGWTQPLIVLYYLNYLTKYLELLDTVFLFLKKKPL TFLHTYHHGATALLCYTQLIGLTAVQWVPITINLLVHVVMYWYYFQSARGIRIWWKEW ITRLQIIQFVIDLGFVYFASYTYFSSTYFPWAPNMGKCAGEEFAAFAGMAILSSYLFL FISFYIATYNKAAKTGRPRRNTGRQAVIDMAKMEVVPSANGSAANGSAKSNGAATASG RSNGPVTRSRKA AOR_1_678134 MALLATNGVELNRDDSGRSPDDSDTNTQTPFINHDSFRDGCLLA VKGVYLVSKDNRGCMLFYIDLDVRDVKDTANIRLTTKFGHIDIRRLLLVIVAVDLGWK ENQGLDALIPVQYAYPEAADFLFCTGRMKIDSSVERD AOR_1_680134 MYDTAGPIRLDYINQQHLPRRYESDEEDISESEMGGHDNAFSPV ENYEPSNPATNESEIERPGFPRLLPTYSSSGKTSRPVSMDTIKRSSATTFVADSYIFE HDDDVIIELPSPDATSPLQSPIFLPPSVYVPSESPVSTRPQSLTSISSSSMYSDDEES GLLVAEQVKIVEPIAKPNLILISPVSEHSPSPFKDSTPTPNTTKDKSNESGAQGLYSH RRAAASQPLLWNKCDQNSAKTEALKRGSMHLTTHDLERLPMVASGPIVSSPVEVSELP SPMTLPNHSMTFPRPATAVSEKVSSEMHSRRPTDILRRPPSIKSLSSASLPFFHSRQA PTSAGPDSRTRSMSYTHSSARSVHGLPLQSSCPPSRTASPSPYYSSPSFTRERSGSTY SISSFTRAPTPLRQPLKKTSTSSSIYSSSSLRSEVESVRSLDPRDVAEPDFQQKVKRK KSLRRIRQSTMESSDLSGKKSFMGFMFGSKRKSTIKSLNA AOR_1_682134 MAPSTDVERLDLLQTEARTSTADKIDLLDTLGLCEEFNKEERRV GGAVACCLPTIASLIDDLAPRLEAGGRLIYVGAGNSGRVGFMDCSELPVTFSVDPQQF LTVVAGGTEAIIQAQEGAEDVESDGALRMEALQLNEKDTVIGISASGRTPFVLGALRV ALKHDALTAGITNTYPSRIDKLGVKHSICPLVGPEFLTGSTRLKAGSAAKQILNMIST CSMVKLGKTYKGLMIDVRVNNWKLKARGRRIVRQVCNGAPMHIIEKNGLPSSQAVDVP ETADGDAIIDSLIRECQGSVKLACAVAISGFPPDVSKQMLDSAGGNFHVFVQGIRMKA PPSPVSLGESDYCLCVDGGGTNCTVSIATESMVVGQGVAGPCNFNAVTLDELMDQIKL ATKRASSTILARQGFGYPNLPRFSKVWVGLAGLYHADQVATLTRRLEELFDVSIKNGT LRLTSDGILLGSCIAMDDSVECAISVIAGTGSVATAFKKAPSNEIVQVGRTGGWGYLI GDQGSAFDIGKRALQLVLSSVEQRQFQATHELTEFERVILEELNSNEAGVLTQIYHSD AKPKEKISDMAKVVTKLGFRERDPDPQALGILTSAAGTLVQHIKPLTEICDPRKCALI LSGALMNLPDYQNLILQEWDKQQQSPFKKVLVVNDASGYAAQFLARQNMTIY AOR_1_684134 MSETKSAEPDVISSSRDEESIPPRPAKNIWARIYHDPWFQVSLI SFISFCNPGMYNALTGMGGSGQVDGTVAANSNVATHACTAGAALVLVGAFYKYLGPRL SLLIGGWTYALYAGSLLHFNRTANGAFVIAAGAILGLGAAFFWVAQGTIMVTYTNDNT RGRAIALFWVVFNLGGAIGSLASFGLNFHSKSGTVTDSTYIAYIVVMLFGWVLSVFVC STESLSRKYHGSRISQDSKAVNWSNLKRTIMETVKIVFDWRVMCLYPMFYNANVFYSY QQNTVNGMTFNLRTRSLNGALYWIAQMVGGLLMGSVLDLKGINRRARAWIGWAVLFVT GMAIWGGGYKFQLWNDQRMQLGFKQDIDYKAGSQYLGPMFLYFFYGAYDSFWQSYCYW IIGAQSQNPVVNAVIVGTYSALKPAGGAMAWRINANKLNAMSEFAMNWGLCIGSLLVA LPSVLTLRKGKDNVETDHC AOR_1_686134 MDASGARRKSTPYKKCWAMGGSRKSASCLICRRRKIKCDRSEGF CNNCRNLGEQCVYSTEAGTDTNVTDEGPTSSDSVTQAGLKRRRVLRSCLECKRTKSKC SGGSACTRCTKKGLHCSFHEEEPNPREETYVQGSSQAIPTWLVMRNLPPIDRVRELID IYFAQIHTVRCMGFLHIPTFMERFKDKKTILTEMSGLIYVMCALAAPFYCARVIASKE DGPSSAVLYFDAGRGWAEAAMQCLFSSFGSPRIECIITAVLLHEYYLRVGDYAKGFLI SGFIARHVQLLQLNMEYDDDILCRKSKMSWAAKETRRRVLWACYLLDASIECGINQLC LISSSDIYVQLPCSEDLFVRNIPCNTEMLTRGKLLPFADAAVVSAAENLDIRAYYIRA MAIRSKILKYVKHLEGEIPWEVTETSQFHKLDNEIRELDASIPDSLKMSAENIYIFKA SGRLNLFFGVHILIAQTFNDLYRVGVSRLVFPNTATKWIRENAPAEFIKLCHRTCISK AAYIGSLLQDLWNCHKLSIVDLPYAVHTQICSSVLVTSLSSWREPEPPLPHISHSDYK DILQTNVTILKYLQRYIKADLYYESATQALKHFNTRFSNETPERRATSSIRETSPIES NDHNRPSQSSLEHILNPLGTYPMARKQVQHYDQQHARDGTSDKPSVPQSDIAAFCPST ADNPANMDGFLGFQDQSFFSQFPDWAPDIPIISDMGYPTFLDQYPVSIADGGLVI AOR_1_1188134 MPQTLRFVTLDVFTTQPYLGNPLGVVFLPNNPEEAITQDQKQLI AREFNYPETIFFLELSPDNDDRTNVQTLITKAGPFAMTRVPDSGTVAAKIAHNVHIHS SRFPLSELLRLHPSLRPYLSDDTGNNAGFPVFSIVKGMSQVHVQLPSLEALAVAEGPV SGEVVPCHSVAQGGYLDAGWEGDGLIVIYFFVPGVHDEKTGKTVIRSRMFLRNFEDPA TGSAASGLAAYLTLTRAGEESETVFNYDIVQGVEMGRRSDIGVAVTLNAEDRGKVESV ELRGTAVQVKSVTDTQDRFEIDTPTRSFESSSVASDEDGYNTPTHDTLSHISNDEYSA PHNTGNIPEYINTDFDLPSYSSMTDSRVGVCPWKGGTYIIRDPETKLVIALEKGILGL YPEASVVESIYQFGRGSHWHCVENDRMWLGFYNAVSGTYIGHDNRKSNWRFQAKAERH DEWEWFCARQHPDGGHVLLVKHWGEFLPMRIGGKDNRELMVDAKREGGTVWEFIKVDL EK AOR_1_690134 MAPNTMRAVNYVGPHKVRVQEVEMPKLEHPDDIIVKVTTAAICG SDLHMYEGRTAAEPGITFGHENMGIVEELGEGVTLLKKGDRVVMPFNVADGRCRNCDE GKTAFCTGVNPGFAGGAYGYVAMGPYRGGQAQYIRVPYADFNALLLPPGTEHEADFVL LADIFPTGWHGVEISGFKSGESIAVFGAGPVGLMAAYSAQLRGASRVFVVDRVPERLA VAEKIGCTPIDFTKGDAVDQIIRLNGGEVDRSVDAVGYQAVDTSGSKEKPNIVLENMI RVTRACGGMGIPGLYVPSDPGASDEVAAKGAISLSFGKLFEKESNLSPTSGLSLATGQ CNVKAYNRYLRDLIIAGKAKPSFVVSHEIRLDHAEVAYEKFDKREDGYTKVLIHPNGG FESTTVGQVS AOR_1_692134 MSAQGRLWDIQHGVEGEVEAGKGQITRYSNGQPTRFTFKKRFQR TPNVQITPILTNRHGTFNPFYLYLMSPSGGPPADEDGFYLGIYSKPGVSMDFEYFATG IYDGED AOR_1_694134 MYLARAWLALWLVLHAQQGQTLVLEEISHTQVEPNPLVVRGVEH TNLDLLKQDSFYYSGEQNGQSSFANFTVSLDGEQENIVSMERFEDLLESIHCTNTSVV MSFKEEQAFTYAEHAWQWVNDMGNRTFVLIVGKGCCKWNTNRLPFIISKVTSDENTKT MKLHGKGSSWLEIAHTYELNIGKQRASTSTARRDIDRSASLEFNHVIPVKSGRLPDDN IDVTWECADCSTQGAFELDFHVKTVAGIPKTGSLHLSPNAVSATFMPRLAFDGDLKDQ KSGEIDLGRIPITGISIPGGILNIGPQMVFSLGYVIGPLTGSATVTAGITANLDDSAE VSIDLESRSVDSDGWTPSVEAIPMSVDAELEGEIELYPKASLQISAQVIGKGVEVGLN LKPSLAATMAAIHSTDGACPDDPKHRENGITVDPSASVSLNFEATFGDNNGEPDVNHV LAYSDSFRKSNTIQ AOR_1_1190134 MPRHQQHIVPWTDSESDYSLSDYSTEDAHHETSSRRPSFGSSTL NPGASTGARRRRRSSEPVPQPPDVIINIKDELRKKGSNRSTNRTREAYIDPYESDDET FRREARVTEGEHDIQSSNRDITVHIDLYGAMDVAPDLEQLSRLNRLGRFKEGIILFNE RLAPHLDFFPVVAEYADLLLEQANFRSLGELISQVLGSHAKDFEKDQVLLLKLLGSLA GMHSKGALLPALDTAKEVIKFLEDQDGEDSSDERLTGIQGMEDLIKQAFSGDRNALSQ DIARGNYELLSEDGSIVLPVVWENLVQPGWKVKMQMKNTQPENKEMNHGDTDTANAEG SHTPENEKDNRPKSYQAVAVEAWSSEEDIAPNPRREDEDV AOR_1_698134 MSLFRRRKVSENSSRQAKPFRYVEFCQNQTSASLCEHQARKVRV DCCFLFSKTQWGQLDDRDSGLMYLDLTFHQPSECKLANATITMTFNHTNEQRGGLKDS VEVTEFFGPQMLSGEKKERHISKCFYMNPKFGAANASFEGVGGSRTSDATLSSRWKFT GSRFTVNDPLSRRSNRAYRQLVWHLEENDLERQAIHNPVIHTALAFHHQSKPFYLDLE IKAKLHSWHHRFKQHLVYPPPSQVSRTRAKIDPGVETDPFFAKTARNLNRSMIEANLH PVTEVSDPKPTMELPDQKDESTLSLPGHDLLALAQQLTGQRVILPKQTLAVHNPETHP TPPPSTISNSSTKVESTPNEHQPDKPAPITLDAYSSNPVEPKTVMMQAPLLQISQLLT ALLASLIVGLSKLHSDLARAGKGSEGVQALQDDNYGSLDSKQT AOR_1_700134 MTTHNPSYLIVGAGVFGVSTAYHLIQKYPNASVTLVDRDAYDAE SRVAASWDWNKVVRADYDDKVYCQLALEAQDIFKSDPLWKPHFHQTGVYWTCRSSYAQ NVITNHKELGRNDDIIALPVAEARKLYGGIFDNADYTGVKEVLINRASGWAAAGDALR AVTKKCLELGVKYVTADIATLQFDGRGSCTGVKTKSGQTLSATHVIVAAGAFTPTLLE WSAAASGNPGLRAEERILAAGITTGMAQLNEEQYEKFKDMPVGFQGYTPNEGKPFIGS IPPTKDRELKWWGSKIFTNTREVLPGRYISSPPPTFDYNQWKVPGPLKQDIVEARNLW YGPASADWKMTKHRICWDAFTTTSDFIISPHSASKGLYIATCGSFHGFKFFPVLGKYV VQMLEGELAPELIERWAWDRQRPDSSQNVEYPNAEMKHLLQPASKL AOR_1_702134 MWLLNARTVTLEDFFESQAPSYAILSHTWGAEEVSFQDIQERQE VCKKKKGYQKIIHTCRQALKDGLEYAWVDTCCIDKSSSSELSEAINSMFRWYGKAAVC YAFLADVLPGCDPRDTQGPFATSRWFTRGWTLQELLAPENIVFYASDWSQIASKTELR KELNLITGVDVRYLSSGRARHVTIDSPSRFASVAERMSWASSRQTTRTEDLAYCLLGI FGISMPLIYGEGERAFVRLQEEIIKSSNDQSILAWGYLEPWKSREDNQSELYAQVRVN EKRMGVLAHSPAAFRGCGSIIPSLVDKGNSLPLSVTNKGLHICLPVSDGEFPVALLRC RPVDNPTIVLAVNVKHVQGNIYSRADDMIEWVDHRTWSRWPRKEIYLSLDEHLEEELH ESEFSYPGSSIFIRHVPDTFSVQELSPYTRDMATSIFPPNYKTISPGLSPCTGNIALL FTSKQDSRKVALYFSLTKLPRVGASPLMMRKETFLMSVPCHITNNEARDYLYHRSTWK NASNYIWCGADALYCSVTLQRVARVPLFVIDIRLSSRSSDLLWFRIQNLIPVRMRVFL SRLSRLWESLHFLFNTRLPIVIFISLAADLIDSMAEDSSESIACDSSLVHGVHATF AOR_1_704134 MADTNGLVIAFFYESISLYRSRGYSEEDCVELDKQETIDAIAAS IQSNGHRVVCVGDIKELVQRMAREEHKEWDLAFSISEGMHGVGREAQIPGLLEAYHIP HVFSDAATLALCLDKGKTKMILEQFGIPTAPFAVVPASWTKADCTLTQLLEKTMHGQK LSSFPLFIKPACEGSSKGIYPSSKVDNFTELERGIQVLQTRFPGASILIETFLSGQEY TVSIIGTGKRSRVIGTTHIDWEGLSRQKQQASVAEKGPANTSDGSFWDVNNKNGPDAD EPERFLNSRDNPNVQAVEDLALQAWRALECCDVGRVDIRYGADNRPYVLEINPLPGLR PDWSILARTAVHEGISHKELIGKVIDSALERYPILKAKCDH AOR_1_706134 MSIRCGGSTGDHFIAIDWGSGGTPQLAHVLPWTIQDIYRQPLPP LAYRIGSASRRGMKNSLQSPKALLNFLAAVLPSEIRSSSTQGYVTRAEFIADVATGMK KAPMAVRLTPHILSLINWKEAYSDPIRRQFIPIASSFKPDHPQLQLDSLHETHDSPVK GLVHRYPDKVLFLATSVCPVYCRFCTRSYSVGQQTETVSKKRFLPLQKYWEPMFEYIA RTPEVTDVVVSGGDTFFLEPSQLREIGTTLLGIDHIRRIRFASKGLSVCPSRILDPSD EWTRVLIEISNRGREKGKNIALHTHFNHPQEISWITEQAAQKLFHNAVTVRNQTVLLN KVNNNVPTMKRLIRKLADNNIQPYYVYQGDMVQGVEDLRTPLRDILHIESHIRGTIAG FMTPSFVVDLPGGGGKRLASTFESYDTRTGVSRFLAPGVKGNTVHQYYDPLWSLPEGS QTL AOR_1_708134 MSSSDLDIHKVDRLQQILQNINIPLHHLGLGSEEEVESINILKY LGPTGVLRAGHRALDISLSKPHWPAHDHSSVSPVPPGFIVRLEIGLWPAAIQFETVEG FMLKVAGHHMTLAEFVPLRGRFENDSCASAFEQSSSMLFYAYIEVQYMIMTGFIPTVY TQFLPQAGKACANDYYPEA AOR_1_1192134 MFSNEHLEKLLEERKRLAESPTEEKYERAVTNWALWRLSRSEPK DANLTREDPDPTPQQLKLFAESYVVSRKTKPSQKSACNNFTCFTSKWERETSRTLPLG LKKDVLNYIRTVLTERHSLPTKPRERFLVTAKDIDHLLHHLFGEDDHDYVHERARVQT ASSLALFSGSAARAGAIVESSSYRKTNECLYYKHLTFNIKWSGDAGGLKRWVVIDPEF LKGLRYRDDKMIPKNWFREHPVPGKSFVFWVIVHGIADGAFKGISTVEELLEKRPPKG RESWTLEWKEDVKELPFFRMTTSQGPKADEAWTFSSLRHHLTSLAERDGFRDRLRVHG IRGAMANKIDPKATAATRGQALDHMDHDSYLKYQSSLKAVDMMALYYDLDPDYECREM EQSMAHHRDQNVPLRLDAASLAEFEKDEEVILINQRISELTQEIRGRPDKHADLVSER SKLYTRKAKKLRTKRSEFIENWWNVCYDEYITGNDFLERDTTCLFQIYRKYMPERARL NDNIFKQVPLDSDIGRQCLRDAQIAADDCLGELYNDPLDDTGVDLSGILQDFDKAKGT LQGRERIEDRWKRFCVIKAQLEPNESKWQEAEEALRRSSNNDKYRFLGVDSKTRPREE AOR_1_1196134 MNNIALTRTLIKNGADIQIKTGKNSTLLHIAAGCNRPFAHRMLE TLSEHGAITQVSDVDDKGRSPLHMALEHGRTPSVKFLLKHVSPTVLNRAAKDGKTPLH VAASQAGNCDIIQILLEYGAVRAISMVENDDRTPLHLAASLAGNAETVQLLLDHGAVE SIKLVDNDGRTPLHLAASLAGNAETVQLLLDHGAVESIKLVDNDGRTPLYFAASLAGN AETVQLLLDHGAAESINMTDNNGKTPLHLATCLPWNNETVQLLLDNGAVETINIIDNN GRTPLHLATRLAGNDETVQLLLDYGAVELINKIDNDGRTPLHHAASLARNAETVQLLL GHGAVKSIKLVDNDGRAPLHLAANSAGDNNNIQLLLDHGSVDTINEIDNTGRTPLYLA ATQPGNNYTTQLLLDNDAFETIKILDISSRTISDFATSHPRNINTIGLLLDYGAIETI KMVDNDGRTPQHLAASHARSPNMVRLLRGNGGQKPLICLSRVARRHCISLRASHFGGS SVILFLNILSSSNVAKDCGKELYGDYHKSGPASVEDFSSNLKRQRGMKFKTTPVSVPP QATGCIPGHDQPSENTGDKSGSDHSMNTVDMIQSDLTLPSHLTQSQSTSTNDTTQTTT TKRFFELCVNTGEFSISLGEIDITHVQSDSELFQKIYQRYKDIRAHRMRRIFIKPVNI HFVHFSVQEGYQVGIYGKPLVLPPEDEVHVDITIIENAH AOR_1_1198134 MSSDTLGSEGSCAEVVQQGVGDEKSGIRRKDTNLTVETEYVHML LELDCIHWIYNFVSGFASWILLAGYLVVPGTFTTLQSSHTVKQELDTNPTRKAVLSTI QNPPLVGISCSLLVIGALTMTYLFFRWKQNYFWLINRLFIPTSLNAVAGLLTTLINIY TAKNGNWSIMALLTVIATATTAFSSLAVALFYKFWKIRKLKEEHEREQKAGLVMVYP AOR_1_1200134 MSGKRKTLLPKTLHLVHEQDLQDSPTTSISSFIEKPETHLAASS NAAPQKSPGKLLKRDTDLQKCTSKIDKYYTIDQAGLGVLALKDAHHLPFCVIKKYPRN SQKHIRNLKPARHKNLICLFEYAEVQSEMHLVYEYEHIPISLGCLAGSVQFSEVAIAT VSREVLEGLQYIHSELRMSHGAINPSNILLTWKGEVKIANIGDSMLNGRTLRDRDLDL KAVGSMVIGLNNRTLLVGAETLDTITAESNLSVSAQEFIDNTKCKSIKELLKDDFLQL ATPEGAWNLKPYYLEALPFGFRVGCRIRG AOR_1_1202134 MEAGGLGQRADQITRRRLRSYRGCALVELRHLMFEGEEVLGSRP LDPKNVDRLAKIYELEGCQQLEPEHRVAALIKEDILYQTIEQCNIIPNALFDYANPPT LSFNQNVRLLCLYGKHRLKAAEIHAVASWLVDLYLDDIPAEAIIQLREESTNAKNFKE GDSFRIYRHYKLAQNYAQERKWWARFQTDERRKHIRRLEQTPILINGFDLLLPYIGLW DHLSASQVRQVLGLRCHELVSHYLKVIYRQWSDFFGTEKGGMVDAESVRLIEGRMPKY SLDDQSFISEVMRSGQLFPRLPEPEDRHCLLQKILQTPGRILSLHTLSQDIRFLESPA KAFRHLIPLRKKDTVNQALLKHFRVGDIGNNTEIQISEYEFSPAPAPVNLSFIGIIQL WLFALRHFTRPPKVNGQQQTEAWYLHGQSLGEMAVLATRLGFSSNRIRELQSTDESRL GPEKLFRSLCINMFYLVNDSKVHNMARHFNAGMRSLSRNKEGPRSPPAFTTERFEDMS RRRYNSPTLDEYLRDRAHLFVGNIYSADQSPSQYPTSFAVTREIIFAFFGKEPLYSIF SQRQPSSPSEVPELAREGQIPAPMESGSVAHDNAVVGLEQNIPALDANGDADEYGRAV TLGSPNDYQYEPVELLPHPPGTPDRSLMDVITDDVPLATGFEEYDSKAPLAIKAEISV HRKAVDILQIWYGADDGRLIILFLFETRSYYKFLVSGGWELRSTLQDLSREHYFLVCN EFGIVQVEVNVVYDTALQHRLILVGKIDSPLHGVEDQAGRISAEKLREYISCYDVKTG KRKADLSDTRTVKRHLVS AOR_1_1204134 MGTTKALGSPIQMNPPPYNQGTSCTAAEGGDQQDATFVKYGSPF TMDRLFIGLDPTDGGITAIELIENRPVSFVLKVKINDRDIKLDRLRPTCHRHLANLKE AFVCHESLLFIYERWDGVSLKGIQELRPTFELGEVEVATICCQILHGLHYIHNTLGIS HGALSEQNIYIDDNGDVRIGRIGESMIRGLIHGGEVMDISATLNIARKLLGLQGTSGG RGTVGLLAHDFTAAPRGVTIEQLLQLGGRIAHKYRKIKYEMSLEYIVTTTKRRSNTLQ QRAS AOR_1_1206134 MESSSKDRTSLHCLPVELLEMIIRLLSSTTSLKPLSTVNRVFRQ LCVPFIFRTLRISCSTSGLNCLVEASHCSIAPYVKAIRYEISERIDPLAQNWETFCTY LYTPTEYARDRRGSCWAFEGGEVSYSTIYSYFNSLAKDQQEIIQSNRDLTSLCTSLPL FTGLDTIQLCFSSYFKPPFDWCAERMLLDGQLSFPRHVEVMATAIAAAKKYRVAIRTL IISGFYPRVLCHSRLVTDIINEAFSDVKELQLHDSPAILNFFEQCPLPQLERFEIGCY WMSVSHLERFIYAHARTIKFLHLEDIWLFRENREGNILNLTLADTKMILDSLTNIRYS GILSELTINRKIDGCYEMKESFA AOR_1_1210134 MALHWLYRCLSSFFNTRHSCLGRTSPYHLFLRYLVYPRTTFRLL QIDTLSPLGFVLLIFYFAGTAIFNFVGATTTAEKGVRAAHLALANLIPLYFSSGREFG AHILGISLEAYGIIHRTTGFMAALQAAIHVTIGYQNNAFNLSSPTGFNGLLGGCMLLS LLILPMVKRRVYEVFFITHLVCAVIALVAIWRHIDSSHSQSRRYILASLCGLTATGAL QLLRLIYRNVAVGRKSVRIVARPYSEDIVQAVLYIPRPWKVRAGERINLGVPFLGIFY LFQAHPFTIAWWEEDYEGNADSISLMFRARTGFTRKVLDCLEPDREYWAWIDGPFGPS SPQNFGSSREIADFGHILMVTTGIGIAAQLPYIKELLRKYRNASVNTQTISLVWQLDR TGDWESARDWLRQLVKEDDGYMLKVAVYDPLRTDSPQEPRKIGHHELISLYGGEVNWA DILAGEMGKRTGKLLVTGGRAYIFHSADTLGIRIKPTEVRLRKEEDMPYAWHIDNDEL KPLFEKQLSKHSVGAYMKLYVEVGHSFWAVRSQHGESSTEPSLKDQIEILRNENATLL QKLKLSEEYSAEVSQRKTELEFEVSNLQDAINASNSIISNYQQEIQQWTSTVKYYEAY CHQCTDELNQVISSLQRLKDCFAPIMCGEVIEF AOR_1_722134 MVNSVFTQQTYEHFNKTVTTIVDRAFELSLFHDCKVYVLVEHSR GSLVFNSVDDHSWPFSDMSLDTGKLPVTRIHGTDLAHLRFTESERKEFIQLWLFFHYL LCRLDPRQPVEAYLPDVKDPDDNCLENLEPDN AOR_1_1212134 MRPKTDTSWLDVGVGENGSYVIRDYGRLDEVVSELTQPRQQYPF LSVFLGGKNKDIALQAIFPQNNIRRTQPSSRIGLRYDITSSNSESPILFADGNVTPTK GVLGAMPGVHDYPITWPISSTDNASRLVYARLIFLFADLVCLFADDFPDLMSVAHFLV DCVSMRSASLMLVAVRPRVLVVLMGNPDRSERNGPLQQFYKQLYEADSTHLSECFSHI NVVYLDPIQSDSLRYDSVRTWIHNQKENIQIVRRENWSQVNAVQLQALFTSAIRNLVS QNQAFFDFVKTSREWNPVGAGLSDHVAHFLEVGHREECKFEILLSSLVSALILDHCLP GMMLMDPHAVFQTLYHDPVLRAFRHRQAPRFSKSVPELVSLIEQEFVTQYHIYASGEQ SSIEYRRQHLLSTNHELCRVQSDKICLYCLLFGNAAPDAEYQFSMVGCLLCNSRAVTT IDVLPPTMNPTVLAIDGGGVRGGIPLEYLLLIQESLGPECKLADLVDLAVGSSSDSFV PICHIFWNQLSNDDRGVDRIGEAFGGDRRIFEPVKDKLSARWRSGAKFGVVATSIARE TKSFVFGNFNAVNWFDLNHGYELFRANKRNNEPLLWEAARATAAAPFYFSTAQLRDIG SFQDGGLQDNFAAGIAARISRRIWPSRVGIARLVSMGTGEAASRPDQTPHFRHVFRDS FLRRGFDAFMSNLDTKAKWLQMVDQLDCTVRGDYLRMDVSLGGMPCTLDNAEIMDDYR NLVILQPGSARLAKEAAIGLLVARFFFTLDGDFEKPVIGLDLWYHGTIRCKGPAMAVV EALRRLSLDNVDFVTDSETLGAFGGVQDICPACGRYSKTVSFTLRHPGEIMNIYMRVN QHKQWRISGFPASMSSFAEKQYLYDQFGRLDHGRPATTPCNTCNPAADSFRGKRRKRT SVTSTEERRNKRVCIAGDVAD AOR_1_1218134 MDSYTCHVLYPIEEEITPQDHLILQNGGTSSNIERLQSRLQQSK LPPRSLKKRVEDLAYENSYLKAELAWHTETKHALLQFREEMYTMFHRMEDALVELKNC LRNAESRYLSFWGLDVCDTNSNDMI AOR_1_1222134 MRPTAHMDTQDSQMARTTTLPPTPEKESRSMKAYCTRERNKIRL TAPFSQLTKHLAHIPIKDMNNWVHRPIEVRRYEVAKRDGKVTKPMNSFFLYRQAYNER AKEWLAQSNQAILSIAFGQSWRMESQEIRSRFEQLASIDRKNCMEVDPRWSLRRVDIR GGQNKILGSTISPGSAESQYSFIMRASTPERRSGKNSDYTDPSRTVLDSLAPKLLPPP IQVGCPRTCTCENDVDTSCYECVNNEAAMLDGMQFGPPSLLETSVKSYSDFISSLCPG CTPGSSTGRGVDYRFLGSSEKPSYEVSEHLQCPALFHGVPLQSQADSCYDPLGLPSIP PSTIHHPITASQLNMEPPLTEDLQPSEAYASASKGDMEQFDSWINYEAFDV AOR_1_1224134 MRPSSRDEFAIAIICALTLEAEAVEALFDETYDRLSAFYKKQSG DDNAYFNGRIGSHNVVLCLMPRMGKGNAASVAASLKISYRKIQVALVVGICGGAPYSP TKEEVFLGDVIISDAVIEYDFGRQYRGGFMRKAGVRDTLGRPNREIQSLLAGLQPQQS RRDFQAKMLQHLRIGTVASADTVMKSGEHRDNLVKSEGVIGFEMEGAGVWNNISCVII KGVCDYADSHKNKAWQAYAAATGAATAKAFLEYWDPTVLEETNEFYIPLDLRGVPAIE EFVGREEELSCLWKHLQPASERTRKVAVLHGLGGIGKTQLAIHFAREHKNDFTAIFWL SGKDRAALVSSLSSCLPRIRNPPVDTQVTNEEEAEQRANQVLLWLAKPDNTRWLVVFD NIDQYSPVQGYDGSKYDLYEFFPNADHGSIIITSRLRELTELGKSFPVQKLSHEDTTR LLLQSCGLSIEDIRRTEAEQDITDLAGLLDGLSLAIVIAGAFMRQTGTNAKEYLELYR SSWSDLQSQSGPTRHYQQGNMVQTWMVTYQEIRKSDPTAANLLLLLAFYDNRDIWYEL LRSGLDCSDPPSWFKMAVPNKLAFKTKVKALVGFSLVETKQQGGSYALHPVVQDWCHH VADCNNLTSQLHELALMSVGYMVPHNSEREYAEIQQRLLPHANYLISRERDHWQNDTI DVSNAFHGIGILYSDQGKMKEAEEMYQRALAGREKALGPDHSSTLDTVNNLGILYADQ GKLKEAEKMYQQALAGYEKTLGPSHTSTLDIVNNLGRLYADQGKLKEAEKMYQRALAG KEKALGPGHTSTLRTVNNLGVLYTDQGKLKEAEKMYQRALAGKEKALGPGHTSTLRTV NNLGVLYTDQGKLQGGRRDS AOR_1_1226134 MLFHINGFKSITTTGPVLDSPESLYSLGVLDGLGQQELKLKDDL LDKYVFCQTVREATGFRIAVEKSYVTCGPNHRLRTGGQAIPPSTELTQRKEEVTDALQ NVMLQHADIRTFIRHYEVDVDVDVQGIVRKTGSQTPLVRFACSLSASIDPDRPFWLSA EESKSLNQLPAVRERQKTVNERKRKWVDRKAKLDRVTQACQASFGPLNAGALTGRHRQ LQVKLEHLQDRTLEAKRKYNASIRELRNEKQRQRNRRIRENLERYKNEQPVIDLERQL AGKLVDAKVMGALERKGFMPPQQMLMIDAILSLPGTTLEAEYQRRINAINAVTAFCGV EEGRPTRRTTQSRRRRLPGDDESSPVFKRHQSSAEDETEIALRQAMESVRIDYPKQRP QICFLCLGNPKLPLKDRLLKHSTPGSLTRHFLRKHVNPPWPAKGVTCTVCDGKPLQQK SELLNHAEGSHGTVVGGTTRLRLAQEVNPRLKW AOR_1_1228134 MDSSSSPDYKALYLRAEEDKRKAEENQKRAEEQREQEKKERRRA EESQKRAEEERDQGRERTRRTTFLELLRLCHSLFSLQLRVETPSRSTTGKIPPPTGKY CPLRLRHWEDCAARQQEVYRSICAYLETPGETAAQLFSPRLVLEDLGQRFGERAISSE QDLESYERFGVENYVHDIIAELCKNPAARDQFGLGDGVKFDNHANALDETEADPSLLA ESSTYRRSRPDQFCIRRVDGERNTLLTTVEYKPPHKLSVENLRAGLREMNFWEEVVQP NSIPTEESAKLSYNAAWLTGSAITQEYHVMIQEGLEYSYLTNGLALVLLRVPYDEPGT LYYHLCEPNMEIDLNDDQSFDQPLTTIARVLCLCLLCFGAPVRDQAWRNKARKQLPVW KTSFDHTRSQIPERELRQNPPSSEYSPSVSSRRTVSEYLPSSSPVESPTQRRRIPTRS RAQCAPNTMAREDSPDSDTDSAPGGRKRGFSQVTSSPSSSSVQRSTRQTGTRPNEHGR YQHNAQFCTQQCLLGLQRGGTLDDCCPNVELHRQGGTSNQHLIDASGLVRRIKQQLDQ NLDVDCTPIGGCGASGAPFKITCTAYGYTVVGKGTTSYLWNEVKREADIYRILWRAQG RAVPVFLGTIDLAMIYFLHGAGQIRHMLLMGWGGEPIHKLEDVETIRHEVSRSQKEIR SLGVLHQDLRSDNMLWNAELERVLIIDFHRSQLDSRPMKKRMRLREQHSCRAEVHGRK RLRIGQ AOR_1_1234134 MESPSDITALTEFDKILSNLLQFLEKNKTVPKAKLQSRISILPS TQKTLLISFFSSRNSEENTKSAATIQPKNGNNVESPPQIVITDTLKNTFKEWKNKTET YFEEGSKVSKEYHWGLAIGRLEQRESEVDVNRIKSRFEKLNMFRSAVDHNYHTGNKWY KDGSSLLVEVIQRQYPSVDEKNKTDAKRLEAAIQEGRNYDMWAEFLGDDGYLAALPLD VHESKYSDRRHRLEIKGKCIELLELGIKRIVDDYDLVNLGTIVSKRLQEQLQVDDYFD VKEGRKRTKTSPGKPKNKSHKSKRRRVDTARTTSVNHRSPTANAGANTERLAENTSVH ESQHTRANSSENVIEINQRTELNHLSRSTQNWHFLEGSPLRAAIASQNQQLSNVHTSP HSRPQNAALLLGQRGANSNNDSSSSTPAVQPEDTECPNFNGQSDGPLEPFHYESRSNF NAENPGNSVAFRTCSRKHQWSREGDMDLNTSRVSDPQPTVPDSEDRCEARKTWSTGVP RAAPDTISLQQSSLMNTEGWTSAEYQRNDIPSSTPSNNNTAFHLGSDVHQCQTAESTT FPSMDRDPDHNVSLHSPESQSNTNTDSYPDQSVHISQESTENLNSTAQATETLLPYLF PQYVPPSPVDTMSPSNHPQFVPPTSIDTTIFSSYPQFAPLDMMMFSNYPQFIPEPPQE SEMGNLFNN AOR_1_734134 MDTPPEKDWIQLDSGQARNGLGLVSSKTSERLNQVDRIRANGVG DHIALPQLVVCGDQSAGKSSVLEGISGIPFPRQDGVCTRFATEIILRHELKYRRNTAT IIPHISRSEDERARLSAFHREVTDIAQLPGIIDEAARLMGVQGANELADAPTFAADVL RLEVVGDTGLHLTLVDLPGLISVSENEDDLQLVNDLVNSYLENSRTIILAVVPASSDV DTQSIIQRARRYDKDGLRTVGIITKPDLINDGTEARVARLANNADRTKLKLGFFLLRN PRPLDLEKGITMIERRKMEADFFANQPWNKLGLDPSRVGIDNLRVFMQDLLDRHIERE LPKVRKDVAQLLNEINKELMDLGTQRTSPAQIRMYLTRIGTDFQNLVRAGVEGLYGNR DAFFDEINGEKDYHRLRAAVHMANGRFANYMRQHGQKRKIVSAEGQEGTDSDTGQILV TEEQMSAWIKNIYDRTRGRELPGNYNHALLGELFHEQSSRWADIAREHVTTIADLVSR FIQAASEFVIKDPSARDNILRIIVAKLDENAECAFHELCKLLDDEAGCPITYNHYYTD NVQKARNKRSRQDLGTSLNNAINKDWNGRFHVSNSFDEISRLVASLQNHGVIVDMEER ACYEAQIDLDAYYKVAMKTFVDNVCRQVIERHILAKLPAVFSAMTVATFSDEDLVHLA AESPKLSRRRTEAIQLQEALEDSLRDLR AOR_1_1236134 MDCACPPPRALVRTRRTVDDELQGEAHRTVSNSHPETRQRFDLR RNLIVLFSFLLQDSPSLPISNEPLVRTITWDSSSPRRRLGSSQNSPNTSRISDPPHNN DVFSQVNEENARGNEEEDRTSSFILTLPIPNTRGKRQMDRKMRHKTRIEALKKELEPL CTDNGSAIVLLCWGESSCCSILPVPVQYPEDEVATWTEINKAWYTRRGTWGKYLPGFG VTRVDIVEISILGLKKTSKGREMMNILEYTLRKTPMLRGDDYNIPSTMQVPCHTVRTI VTQERYIVLLTVRAARRDLSLLDTVALMKYVFSCPILATSNDFLEKGNLVYSHRDILS EVDSWHGWNSPGLRELKFRGIAITEGWTLDMRHVVLPLMSTIFLVVVVVAKFIFGWST AWTVGAFFVALVTLLWMSEQTTSEYLTSSSPDTSGPRVTTRAAASCAPPSDPYLLESS SDSETDPAASARRKRGFSQVTSSPPTQRSAPRADRQGNQSGQTRSHEAPFCTQRCLLG LQQGGALDPACPNTDLHILGRREDRHPISAADLVKKLKAQLDQDLDHNCTPMGPCGSC GSSGAPFKITCSTLRIYCCRERDDFKTLVAIDLAPTYFLHGAGRIRHMLLMGWGGKSV GHATLDKTIQRAISRSVKEIRYFGIFHHDLRPENILWNAELQRALIIDFHLCSLDRRP LHKRPGALKRPRCGPKEYESKRLRVV AOR_1_1238134 MKLEEARKDLSSVDRNKAPWIIAAGHRPWYISAKNESGTVCEDC RKVFEPIFLKHGVDLVLSGRTHLYERNAPIRTFNADPNGLNNPSAPWYITNGAAGHYD GLDSSRAAFDSAYGWSKLTSHNRTHLTHEFISSSNGTVLDAATLFKSRDCSGNH AOR_1_1240134 MASVATTGVSSYVLRQHRPGDLGWIVHRHGILYNQEYGWTERFE GLVARVASDFIEHYDPKCERCWVAERDGSFIGCVLLVKDRDSDLNGAKLRLLLVEPSA RGLGLGRTLIQQCTSFAHEVGYSRIRLWTNSGLTSARRLYQKEGYKLMKSEEEETLGM KSVGEYWELVL AOR_1_742134 MIRQMSQENRLITHVRSSAHHPRKPLGIKRSVADAIRRKSSAPA ESPVLDAWWLGVRKNADILNATAKSVSMKEQLIQDSQELHRNQRESVSNITFGAESGG VPTSTRNPISENDSSTRNPLLKDWAWFQTQNKSALPIYVSEVACTAFATRLCQCLNSK DASTSDMPRMSLARARLLVNTALGHANPPFHLALRKTSVDYLQTIYQNATFDDPVLVC KYFALFALGEVCSVPSGRLNNDTSPGTTYYARAINMISVLPERPNMAHIEALLILVLL SLFLFTSGFTDLYEALCSQFLNRWHSAYVLVGIALRLGLSAGFHHNIPETQYPDPIAR ENRIRVWWTIYIFDRFWGLKLGLPMQVNDDDIHVDFPSNLAVETFHDEFADSSYQVAV IELARISTHIMRNIYSRQKSAETLLQREQKLLNEMKQWMQSVPDHIRLQPDTHNRRCT VLIHLQFNYCLILAIRPLLLGILDHIATTDFSPETTLSPALATLTEACIHSARHTLIL CADEWTKGSISVFGYAFAQYIFTSSLVLVISNLLPYGNADDLASIDTAAEMLRSFAAD GNLMAGDLYEHIQKVQKCHSSGRFSSRLPSTLGNTMIQVQEPCEDDAPSVPHPTATTY VPPTNSQIYPTSVAQLPISDPQYKTAEMSLYQPTMEDFLTQSVTDIGLLDPEEISTNL PDSWPSIPLWTNDF AOR_1_1242134 MGIRLGYFYGDILFPLERPFRKLSRGLEKLEGRAEVFQEIHGLL RLIGAPIAALFYQYYRTPLSRAAERGDLALVTQLLDWPGTQPKQNTFIRTPLSLAAEN GHGSVVKLLVERNADINFKFIGGRTPLSYAAENGHRDVVEVLLRSDTLDVDSKETDTL RIPQATDNGEPDLIVTLAESKLMTAGPPVLCCREGVQGGGGNTTKLKQGRCQLKGRTR VDTTNVC AOR_1_744134 MVSEFCPVYAPFFGTVGCASAIVFTSFGAAYGTAKAGVGICSMG VLRPDLIVKNIVPVVMAGILGIYGLVVSVLIANNLAQKVTIYTSLVQMGAGLAVGLAG LAAGFAIGIVGDAGVRGTAQQPRLYVGMILILIFAEVLGLYGLIVALLMNSRAGIVEH TCE AOR_1_746134 MVHKPDYVLTRDYLDNNRINLHHYLCVELFGYLTDPEIPTADPN LKVADVGTGTGIWLTDLARRLPTTVQLDALDISFDATPPAEWLPSNVHTRVWNVKTDP PEDLIGVYDIVYIRHFTLVLLEEEVESVLARLFKLLKPGGYLQWMEVDMNSWRILKTN TDNQSDALEGLFQALQGQDDRLSPTYVPSLSSRFEAAGFENVKSEAKEAPPHLALVLH ECNLLITDILPRKMKNEKVKEFVKEIMPRVESETREGSCWAFTRWSVVGRKPQ AOR_1_748134 MATEAIIQDFLTNEVPVFRVGEVEYERSVATANLLYRFTRPDCV VQPRGNGDVQYIVTQAKARNVSLTIKNGGHSYAGFSSAETGILLDLVRMKKVTIDRKT MIVTLQGGCQWGHAYKQLVNERMNGAIINGGRCPTVGVSGFTLGGGLGPFTRSFGMGC DTLKEATIVTADGKLVTVTDGDDPKSDKGRLFWALCGAGGGNYGVVVELKLKIQELQN SGGEVVAGRFTWMPKVDEMDDFMQTMIRFYTTDWPEQMTLDSSWLCDLKQTSSELGVR VLSYFDGSKDEFDNVINTFVQQPVLKKQLKRRSMAEPSTRFLHETLVSQWSEETVKSF PTNRSYQIYSSFVFKNDRSRMKAVTEAIRKEMQAFRKLFNGEQGLLQVTWIHSGGEAS RKKRSATAFRWRDCTYHVYIMLQWEDKWLERDMWGFLGLFKQKLQPYSMMGRAAFINF PDRTLTTEAHEKVYYGNNRQELQRIKRIWDPDHFFDWKQGIQRPTSTREVQSRMATIQ LRTEPDTGTAAADEEEEGGYEVSLDEKDLTDKIAGSQWNDVSLPPQNAVGGSGVFALT DLGF AOR_1_750134 MSIEVKSLNGQWVGVYTFDNGNGATNGESEFFLSFDSDPNDRTL ARINGQGFDDAGSFTIVGTLDSKNLINLRKNYSSHGWTYSGKLDRALSVLHGSWGDIR NGPMGFFAFQQVGDEDVVSAGERTWRINGRWKGTYSAAREDTRWPCEFELTASPGKKE EQMAIVGKGVDNAGAYWIKGMVLSAHQVIFVKQYAGHSWIYRGELDEDGSVMEGDWEG KGDQGTFTFTH AOR_1_1246134 MVNTGFPSKACHTCRRRRVKLAFVYAPTEHWEAHAKPFLLGQFT IVTLHGARVYGSLDFLPQLLERAGTESTLYKVCDAIASAYFANRSRSDSIEFGHRKLY VRALQSLSNDVSDVEKQSQDTTLAAVWLLCLYELIVGARGQEPRGWRTHGEALIGLLR LRGQNQFQTRTGCQLFQLAYQTVVSNTNDTNRPDAATGSSLSVAVNAVISMARNLLFL PAFLYGDLASRIYGTICDLIDQGSYDKMLESIDDIIIDCKTLESSIDTVVTQGPALQS QPGPSDDLATGQSHEWLMKQHAANYLGACLFQVYQGTVELLSQTLKANRSPKKHTTLL YLRESSIERLKHLADRTLVSLALLLPVKAAIADRQAPNIPAWGHALKLLWPLGLISSS VHVHENQRGAANLAYFE AOR_1_752134 MRLRSSLCIGALFTLATASRSIPNVTITNSTTSTYQLSSDSEFA FVLETFLSFANGGGAATGEILRAASQIKPGDMESFYREFKYLADQIADQAMSVNTTRF PVSAREAHLRASSYYRAADFFLHGNASDPRIQTLWDSVLDHYDTAMKLLPDPPEQVEL DGTEYKIPIYFHPPPKSSHANATSGQRKRLPTILIGSGYDGAQQDTYHQLGKEILARG WNFVTYEGPGQPTVRRQSNIGFIPDWWSVVTPVVDWLRTRDDVDTDRIALGGISFGGQ LAPLAATREHRLAAVLAIDGMLDLHETVLQQFPASIQKLYQSGNKTAFDAIVWEAYKE TTDTSQIWGIDQGLWSFKTSSPFEWMTKMKKMAIDQTMLDNITCPVFVASGQDDHIAP GQPERMARLLGDKAYYHLFKNNVGAGEHCTIGAEPQLAMATMDWLDEIFENPTSRS AOR_1_1248134 MDSQRKRLAHRDYRIGWICALPKELTVAIAMLDEQHERLLPASY RDNNTYVFGKIGNHNVVIACMPMGVTGNAPAALVANDLLHSFPHLQFYLMVGIGGGAP NDQNDIRLGDVVVSAPTGQHGGVVQYDFGKTLADGVFHRTGTLNRPPSVLLSTLSYLR SSYELLGRPDFLRHLSAARSRYPHRAAAFSYPSTLSDTLFKADYDHAKPLQPCEGGCD RRRAVRRPLRRNNNPSVFFGNIASANQVMRHGLTRDRIAREHNVLCFEMEAAGLMDQF ACLVIRGISDYSDTHKNDQWQDYASAVAAAFAKDLLLVIPTRALSGGLPRSPDTDSLH SDMPLTDVHDEEDAGRQDDRTESNCATETVASPSTPDTTEATASGPLPSQNSTYPEII QLRPRVPLNWQQFHSFPHEALQEPLTTAVPLGLDRSLDFELSIIMAQRPDSRIGVVYI CDVHLEPRRSSKNRIIRIGSTTDVYRHLKARLNSSTHGRLRLITFYPRPESESDTYRQ ILNCGQVELLIHTTLQKFKYDKKCQCGNNHTTLFEIGEDELDNILKTVEHWVSWSERK FGHIVVPRGG AOR_1_1250134 MKEIGLEGHGISPVAASEPFSLFTPETIKQIHAEVFSEPVLADC QYSSTFARNMVRGMGRAYRPGGFFQIKNPSDDEPPAFAWHYDSFAFGRYLEHQALKAL GGRERISMVTAFRPKSHLVRDEMVLSGSRAISNWSELYTGYTGYKMELLEERPRAKLK EEKQRERSNRPLDIPGMKRFLEEQKALLELTIEEFMEVEDID AOR_1_758134 MATLAGKQISQNGLGLMRLIWHYGPTPDEKAFRVLKTALSAGAN VWNGADFYGLPNNNSLHLMNRYFTAYPEDAEKVVFTVKSGLVDMKTFTMDGSRNGLRA FADNTLKILDGKKKIDIFGLGRVDPDVPIEESVKALAELRVEGKIGGIQMSEVRADTI RRAASVTKIDMVEAEVSLWSREVFENEVAEACAENDIVLVAHTPLGAGMLTGSIKTVD DLPQTHHRTVPRFQPESIAQNRLLVEQLERFAESKGCTAAQLALTWLKVQSRKPGMPF IVPIAGARSEERVLENMADIELDDTDLERIQSILDNYPVAGARYPPAAARLIEY AOR_1_760134 MNREDEKVTLPRSLCRTKTKDRLSRENAARKCRIDTHEIEAIRP CTKEQLLYAPLLSRGDEAPIAEWTFDISEAINLDLLKKAWQDIARSNEFLRTRIIADG SPEIFLRVVLDGETLLWSEGDDIDDPWVLGSSLARFHIIKMPKTNQRRLVLKVHHAVC DPSSLYAVFESVDHAYHREDDHTLQHCEAEVNCNTEGPFYHATTFPELPPSVRYPAAR HTIQQSISLGTSTYRLGEITTYIWLAWAITQSQYQSSDRVLFGTAARCDEERPGSPPS VNPRLLVLDNQAVVRDILQELRVMLIRSSEATTAVPHSAAAKQISGAIQTVVSVGKRS QHQPLRFANLVDSQKQNPFQSYALTLDCRVEGDSLLTVQVHYDNNVIPQWTTQRVLSH FLHILSQSLHGNLDTKLANFKGLSPNDEGQLAYWNGHPLPIVDQPAHHIIHRICLKQP DAEAICSWDGKLTYHELDILSASLASRLVELGMGGAESIIPVYIDKSRWVPIAILAVL KSGAAFTLFDPSYPVQRLRLMAEDVDARVILCSKMTAELASQIVPQTLQIDDETDCSE LHPGPVSHVLRPSRPEDALYVAFTSGSTGKPKATVIEHGSYCTGAREHIKAFRLNKNV RVLQFALYAFDVSIMEILSTLMAGGCICILNEVQRTTPQAFEEAFSTLGITHALLTPS FARTLRHVQLPSLDVLILGGEPMSPVDAEHWASRDINLMNAYGPAECSVNTTVQPCVF SNPGNIGFPTGAACWVVDPRDHNNLVPIGAVGELLVQGPIVGRGYLNDPALTKASFVK FVPSISPRLPGTEIAYRAYKTGDLVRQQMDGSFVYIGRKDQQVKIRGQRIELHEVELQ VQKSLKNDCDVVIETVITEGQPQPLLVAFLNLKVHGGHVNRDLGPFAMPDEEWLRHIE AIEEALKQSLPPSMVPEVFFPLAYTPTTPTGKIDRRLLRELSTSLPRPQLELYRNRNK NKFKQLPSTHVEETLQQLFSEILEIEQHTISVRDSFFQLGGDSISAIRLVGAARGAGL DFTVGQLFSTPTISGVALHAKELGFLKDEPSKLSPFVLLGASGNFPEIMQLVRNQCKL PNIDNIEDIYPCTALQEGMFALSVKSPGTYTGDILLRLPGSMDIERLLSAWHATVEAN PILRTQIVQTPKALFQVVMRQVSFKCKQHASLDAFEKLHDLHDRGVSSNPMCQVGLVK HNGDQHFALKIHHSLCDGWSLKLILDQLDVAYRKDVSLTPSYFNTFIRHLTSIDGWED YWACELRDLQAPIFPALPSPSYIPRPTSLREHAIDNICMADSGMRLPLLIKLAWSILV SNYTDSDDVVIGLTLNGRNAPVPGIEHLTGPTITTVPLRIRIHENDTVRTALDCLHNK LTAMIPYQHAGLQRIAKLNDNCRSACSFQMQVGIQPSADFDTKGRCFDIVERSIGASM DYSDFSIYGIVAVCELSATGTALRVKMRYDPDLISSDEANCMVHFFEHILRQMCEYPD TRLSQLELAGPQDIELFAQWNATVPAPVEACLHELILSHSRTQPGASAICGWDGHLTY KELGPIIIQIAYYLRESYGIGPGVKVPICPNRSKWAIVSMLSVLYAGAACVLLDPNHP QARMQGIISDTAADVVICNTETEEKVAGLTRHLVIVGPGLLDSLPPSTSHSQCLPNAT PKDPAFIIFTSGSTGKPKGIIMSHQSLSTSIYYHGPPLGVNEQTRTLHFCSYAFDASI YEIFTTLVRGGCVCVPSTTDCTNNLAGFIRDFKVDWALMAPSVVRLLHPDNVPSLKCL VVGGEALTRDIVNLWADRVRLVNAYGPGEATIMAGGVVQANHWITGLIGPVVGANPWI TKPFDPNRLVARGMIGELLIEGPVVADGYIIAPGKGPDPFISAPAWLRSIRPNSAGAT RFYRSGDLVQQQRDGSIRFMGRRDNQVKLRGQRIELQEVEHCATSHFPEAVVVAEVAS FLTNGRSIDELVLFIKGSNAKAESDMNTSNSQDTSTLFSSPTNVDHTAMAGLKDHMAR NLPRYMVPRIILPLEKVPQTASGKIDRTRLRVAAGNLERRTLDEYMNTAHIVKRQPST DQESHVRSIFAQVLSLSESTIGIDDSFFNMGGDSISAMRFLTLCRQANLHLTMPAFLN YNTVALFCSNASTLSDVSRFDTSEEMDRPFSLITINDYEQLNILETTLRSQLGLKSVS PIESIYPCPSSHVGLIKGLVGNGDRHNVRAIFKLYGSKAVDPTHVLECWHTLVQRHAI LRTVVVDNPLTLGGPLHVVLKQLAIDTAVLSFQSQNVVEELCDVRPSFDWATSPAHQM VVGQGLDGEVFCKLESGKALIDWTSFSILVDELCLAINGLLPSKPAPLYGDFISYVQS QPLDKIMNYWEGTLHGVTSSFIPRSLPEAPDTPDAVPVLHSRRIILDGFKDIDGFWRK NRLTLTNVFQVAWGLVLSFYSRSPEVCFGTLVSGRDIPITNIENMVGPCFNVLPCRLD LSPSRNIMETLQQNQQDMQRRTDHQHCSVRDVTRNVADTTSMPLFNTCLSVKQMAEPK EDSVGDVQVKIVEIHDPTEYDICLSVLVHRSQIEIELHYWSFMFSEQGETRLLNNLRK VIAHIVVHSAQPIASIAWDS AOR_1_1252134 MLIERDKCQIALDMFFETELLPPYLAVENNSGSFKMIDKRPSQK TTIPVIEIMREKRSEDLETQIIQGLQFDSLQLPQDLLWDDAGQILFDDLCNSSVYYLT RKEKEILHKYSSDMVATIPEGSALIELGCGSLRKSGIILSALEKSKKAVTYYALDVSQ ESLQNGLAHLHKGLGPLDHVELRGLWGTYEDGIAWVADQGPMNAYTSITFLWMGNSMT NMHLAQTQSLLSRMSDTCASSGIRCQFLVSADGCTAEDTVMAAYSTESQPFRDFMLNG LQSANRILGQDVFCSSNWTFGTVFDRTRHEVQMFYTPTHDVSIYINSERRKISKGEKV AVVTSGKWPEPYFRSIVEGIGLQVLDLWTDSDQVYCTNSPPLTHHCTR AOR_1_764134 MDDYYEEFADAYRKYSKNGRAFSISDNIGEGYIVMLPPQHYQDW YNVPRNHLNWGKAVNQEFSLDDLGIDLGWHIAPLTVQKCSQVDFIKRVEGPIGRELDR LLVENLTESKAPLREELAAALKQTDNAWSFDIFKHTPKLESFTKECLRVFTPSGIASG GLVEKPLQLRSTGRTLSPGTKFSLPAQQAHLDPDNYPNPNVFDGYRFCDPQSGACDIR GTITPSAKWLIFGIGTSACPARLLATRISQTLFVKVIRNYDLRLKVEDGQPEVVYSAA NMFVNFNTKMYVKSASI AOR_1_766134 MRYPRPEGNTIILKFDSACYDIQLEHDKKIVFTDRSEDTWYSWD KANYEVLGHIKLGLTYVEIERDRRGFAAEMNKK AOR_1_768134 MNNTPDQKHLDSVLSDLYTCLVRERVSSITPQDVFYASSIRDSL QAEPYWTIKSFHNHLARTHPDTTIPEAAIDVLWSCFCFYAYLPFSFAGAGDRKLHLPA FERGLILLPLQGTRLLGTIVDDFGPCWKRNEDPCSCRSRINRIFRSISLVNRQSSSDP RVARFDTFVTDDVIDAILLISPAHPLLNPSFEQLNPLAERFLERRPDQYHMKVNDLAA LLCLMMRLRVNKPTWGRDFHYGSFEESCPEKEELANILARSFCLDQNEYLDPDAVFRA LDILSLTDSLDLGHILQQTFHDDPSRLSHLVLFLGYQAQESEKVVVGAFFSSCTETHA AKEGKEPEKIGKSRIAPPLLLFQLQPSFSLFRWSGEDSMSSSQAYNSATGHTDHPNGI GDLNRSKVGMEIDSVTRQATFLRGTATATNRMSGGYEEVTRKYDGTETIDGDQQERKT IFTVTRIAIFNVDGGPNYDYPW AOR_1_1254134 MYDDEKEFFVGWESRVIDLHVDHIASTWVLDEKLAELYHQHTAY EHHLRPRTAAAYGTFSCHELHEPSSEAIIKVFMQLTYEGLEYASPNERARLASHILPN FGEYQLEALKGLTENACSSAPKLLHMEQNEQDHTGPVPGGFLFYLLIQRPPGQYLNHE IFWGMAEQDRWTIRRAFKQAWLDCVSSGFKPAMSAIENLIWDAEKRKIYIMNFEDAGR SYENPIWSVWRDTEWIAWGLAKVPRKYNWGPDQTFHPDMSQWTL AOR_1_772134 MSLLDLPTELLLLIIRNLVNDKDISAFVQTNSFLYDSLSDHLYQ NNALRHNGSALLWAAEHGVPQAALRSLRNGGHIERCTLSCHTPLSLATGNGNEELVRI LLAHGADINHLYPSPGSIIKNETALMKAASAGHDVIVQLLLDHGAPIDWSPKYEKTPI CLAAEAGRLSTVRLLLTRGANPRLPKSNLADTPLAVAVSHGYPEIVELLIQHGANVRH QNRNGNTLLSDAVEKQHWETAKVLLKHGAKVDKKDSCGLNALTNAARSNNIAGARLLI EHGAQVNADSWLGETPLFAAARRGYEDMAKLLINNGADINSLSKLVSHEHPSVYEKLG KLSRRKGVDYSYTLSIPVTPLLVAAANGNGAMCRLLIDNGAEVNYETLTAMTLECLAE AYGYHEIKSLIEKATSGQESESTV AOR_1_1256134 MSVSLTLRSALGPCRAASIARPGKSLFAFQHSVIQSRTPYVPYQ RNAYERPSVSRWPQVARRSASSSSSPSPVPVVPYSSLTVGVPRETYPNERRVAITPQN VALLLRKGFSRVLIERGAGEAAELLDQAYEQAGATLVDRATVWSQSNIILKVRGPQPG DEIEALQQGSTIISFLYPAQNKQLVDQLASRRVTAFAMDMVPRISRAQTFDALSSMAN IAGYKAILEASNQFGRFLTGQVTAAGKIPPSKVLVIGAGVAGLSAIASARRLGAIVRG FDTRPAVREQVQSLGAEFIEVDIQEDGAGQGGYAKEMSKEFIEAEMKLFMEQCREVDI IVTTALIPGKPAPKLITKEMVAAMKPGSVIVDLAAEAGGNCEATVPGQLTKYHDVTVI GYTDLPSRLPTQSSTLYSNNITKYLLSMAPQEKSFGVDLSDEVVRGSIVTLNGEILPP APRPAPPPTPKVEAAAPAKEQTELALTPWQKATRDVATVTAGMGTTLALGKATGPIFM GNMLTFGLAGLVGYRAVWGVAPALHSPLMSVTNAISGMVGIGGFFIMGGGYLPSTIPE FLGAVSVLLAFVNVSGGFVVTKRMLDMFKRPTDPPEYPWLYAIPALLFGGGFVAAAST GMAGLVQAGYLISSVLCIGSISGLASQQTARRGNILGILGVASGILASLAAVGFSSEV LAQFGAVAGVGSVVGALIGRRITPTGLPQTVAALHSVVGLAAVLTSIGSVLTDVADIS TLHMVTAYLGVLIGGVTFTGSIVAFLKLAGRMSSRPTILPGRHVINSTLLGTNLATMS AFISMAPGSPVIAATCLGANTALSFLKGYTTTAAIGGADMPVVITVLNAYSGFALVAE GFMLNNPLLTSIGSLIGVSGSILSYIMCVAMNRSLTNVLFGGIAAPQEAKKIEGQVTQ ISIDDTVDALANAENVIIVVGYGMAVAKAQYAISEITRMLRAKGVNVRFAIHPVAGRM PGQCNVLLAEASVPYDIVLEMDEINDDFAKTDVTLVIGANDTVNPIALEPDSPISGMP VLHAWKSKEVIVMKRGMSSGYADVPNPMFYMPGTRMLFGDAKSSCDAIKANLEARK AOR_1_774134 MAPAQQQADLLVDQDAHPIVNEEVRSQTESQDDAALLRTMGYKP VLHRTYTLFENFATTFAALYFVGGVRVTFSTGIAAGGNLAYWTSYLVTMVFTYITAAV IAEVCSASPSAGSIYLWAAEAGGPRFGRLLGFIVAWWSTTAWTTFCASNTQAAVNYML SELTVFNVDFPTDTSSVKFRAVQWICTEILLALAAILNFMPPKYFRYVFWFSSMVVLL DFILNIIWLPIGAHNTWGFRTAEEAFMSTYNGTGAPPGWNWCLSYLATAGILIGFDAS GHVAEETKNASITAARGIFWSTVVSGIGGLATIILFLFCAPDPDTLFSFGSPQPFVPL YAVVLGRGGHIFMNVICIVALWLNTAIAIVAASRLVFAVARDGVLPFSSWVSKVHNGQ PRNAVIVVWAVAALVTCTLLPSDVAFTSLVSAAGVPSAAAYGLICLARLICTPKRFPK PQWSLGKWSKPFQFIGVFWNGWVVAVLFSPYAFPVTGANLNYAPIIMAGVTIFALISY FAMPEEAWLPRNRISHFIDSKGAQATVEEVERPSGEDQGTSTPRL AOR_1_776134 MEIQTVVCAQAKPPSATPQSAPAPEKRKRVRRWHHRGFTGCSTC RRRHVRCDEASPSCKNCTRLGLECDGTQGRMTFKVYGPPQAPESSSSPPKKREAKKPI SHVLDPTDGNKLIKIEPGEEDESYDALVVSPTTIAESKPMKYRFQEPISPAVFMSSSL DCVDGRYYTHFIDSVATLLLIYDNSININPYRRYFPELARSSPTMANAMQALGALHLA NTSRGQQRNLHFQRAMGKYGEVVKSFRTRYTQPDQNLQLTDLATCLLLSLFEMMDSQN HNWNIHLKGAREIYRLLFLPNSDPAKEAQRQAEMNHPLRHFLVSLLSYLDVAGACATS EGTVVEGSYWRTHGGGWEYNLGIPSLSTDTSAENPLLVELRQCWSVMMEIQAAISSFG KAKSEGQMPPEQQDLLYQDLMGRLVQWRLNAPKCIQEVGELDDESLKQYPYPEVLEYA GCIESYEKATVLYLHKVAAADRPDRVPQRALLDMLASRILNLIEKLAKDVGQLAVLWP LFTAGRETRNEREQKFVRETMINLQRFGFKNVEKGLEELEKAWFKQRAFPEGWIDRME DVRSSILLP AOR_1_778134 MSSFYTDKTPDPVKNAKGIHLITSLTPNGRKVHILLEELKDVYG LEWTTSLIDLDTKEQKKEWFLKLNPNGRIPILIDNTRSPPHPVMESSAELLYLVSSID KDHQFWFSDPIEQSEAYQWLIFWHASGQPIQGQYNYFRRHTIDPHATTRFRDEVLRIY QVLEAHLSGKHSCNAREYLAGPGSGKYSIVDINAWAWIRTYRSIGFSEDEIAAWPSLG QWVDRIAERPAVQRGLGEWYDEDVHPELVVRTE AOR_1_780134 MGTFGSQTSTYNKLVAIFVAVGSMTYGYCSSIISSTIGQPGWYT YFDLPQEGEPGYASITTPAISTANGVFSAGGAVGTLFIMWSCDYFGRKVNIQLGAFFS MFGGALQGGANSLKMFQAGRFVCGLGIGILVTVCPMYLSEMSSAFRRGWLVGHHAIFL VFGYMLAGWVGYACYFAEGELGSFGWRFPLCLQCLPAIVLLLGSPWLPRSPRWLISKG KHEEAKIVLQKLRQSPDDPDNLVAKEEYFQTAEQIRLEAERLSTYGNVWNAVLRKKSY RKRMAIGFLTQWGAEFGGPLIINNYAVLLYTNLGMTGGMPLLLSAVWLTTAGVIYNPL GAWLHDKVNSRRGMYITGFVGIIISTSCLAAMTSEYAGTTNRVGNGFGIFFIYLYLAF QGTFCDTTMYLYVSEIFPTEIRPIGMGFSLFGQFAATLILLQTAPMGFNNAGWKYYLV IICWSAVFIPVIYYFFPETARLTLEEIAKNFGEEVAVHVTDATDEEKAQLERDLAAKS DSSEPAGSVKGVETGNKSATEGAHEAPRIE AOR_1_782134 MHPPQTGPTISIAVKIMGSLSPFDVPFDELPNPKQVWVGKPGSY EEGLGKLAILTSEVVAKAASTEIKTGRRVTMGWDLTKLNYPNLNRQPCQHKIVPLLGG VAYDDIYTMNPQQSSQWDGLRHFSQTVPGQTERVFYGGVTSEEINDRSNDRIGMQHWA REGIAGRGVLIDYAAWAEKKGITYSTFSTHQVRLSDILEIAKECNITFQKGDILFVRV GVTKEWDTVMTDEQKKQYSDNPSPEHAGVEATTDMLRWLWDSGFAAIASDTISWEVYP PQSDVFLHEYVLAGWGMPIGELFDLEALARICQDLQRWSFFVASVPLNMPGGVSSPPN IMAIF AOR_1_784134 MTLGQLFERDDAKPIDRTVQKWNTATQSLCIAAMTLFFLMRAYT RVFLLNGFNKEDWTCLGAWLLGVCYSIIALIMGAHGGGLHIDDVSPHNQIIFQKTVYV TMVMYGPTAYLTKVSLLWIMTRVFSPFRKAVTFIYIFLGVMLAYYIPAVIVKIRICDP ISKFWDPDRPGTCLNQRSIIMADAVVSVVSDLIILLVPLPLTLGLQLPTKKKMRVMGI LGAGGLAVASSIIRLILIAYTGQSEDGTMAFMRINMFGNAEIAIGVICACLPALSAIL SRVYHEYSSSNKATGGTSGHELSKMKNQSRSMKTDKSRSRMSYLEMTSDQDVLMHNAQ SEPKVETTVHGDRNYYGENPGGSLAIFKTVDVETSVSRRA AOR_1_786134 MTRQLTSGARLQGKVAIVTGGGSGFGAAIARRFGEEGAKVIITD INVEGGQKVAAQNPESLVFQQMDVTKADDWTAVMDLAFSKFGRLDILVNNAGITYRNK PTAEVTEEEWERVFNVNVKGIFLGSQALVTRLMEQGQGGSMINISSTGASRPRPGLVW YNASKGAVSNATKGLAAEYGPHNIRVNTVSPLLSGTGLFSMFTGMPDTPENREKFIGN VPLGRLTDPDDVANMCLYLASDEGSFINGAEMIVDGGKCI AOR_1_788134 MEPEGPESDSRESLPIRRACDQCRLRKIRCDKRSPCSNCRSSQI ACRTTGAGQKPREPRRRVLISDQYEKKIDLIEDRLSSIEQVLRDVKSTITSSTPAETC FHATPDSGQLTPSTSGLVPDINATLDQHESGPAFEGNSSLAAHSAYASQFLETAVSRS ALQLSSPTINTALSTLKQLVNMQDNQAHPSSRDVRFPNQKAMPRSGLRNLNMPPVQVV LPLLRHMKENPSTVLLGFFPFITADSLFERCRQVYFATEEYSDATFIVVNACLVYLFS EFSFSESETSKREIYDKYHDLCRVNLETGLANLSLLTPATMESIEALSMGAIHAIEIS KPSLALALTSTAFRLCQTLGYHRASSMENDSYTVKQNKRRLFWSVYVTEKALSLRLGR ASSIQDYDISLPTTFELLGDFEPWRTIYPLWINLARIQGKVYEMLYSPAALRKPADER ASYARQLAAEMQMNVEEPFKKASPKFSNISALDEIYLKSDQVCRLSVLTLIYRAIPAA VDSRSTFVDDCIETARVTLELHQSCMTMMEEGNRSNEVKCSYLHWTIMYSPFVPFIVL FCHVIESSSYSDLIRLEDFVNSLQPNCSLSEAIAKMHRLCQVLSNVARLYVEAKAQAQ TQENEDLASVGQEFDVYLSALGLAPTQAEAGDVRWNGPVPVPGPIPQGLAEGAEGQGF QNTMPPTTLGSWFSGNQHMMGLLEEDLSLFDPSAWS AOR_1_790134 MPRPKTLPLVEEWTDPDGYVDALLSFATSSDLFRHLCGGVHNLD FLTREPDVYTSLLPEHWRAFFELHDLQDLLDLFLREDLQPLLDQSRNPATDEGNPNAR QEWRGAPLPPTDLLEYLQQIRRLSLGRDFRPPQPGKPTIPKHIAVGMNVKKYHEVAHF SRYVDSLCATVDGQRGDNITHVVDFGSGQSYLGRTLASPPYNRHIVAIERKHQFINGA KDFDIFAKLQVKKKNRLYNKKAACKDCEEPERPVTIDENASSRESEDAENQDVDEEDV AMINVFRDFSIAPDEIGSAPHKNDLNKKAAAKKQESNQPRGTMDYIEHEIKDGYLEPI IKDVISPPTENSTSAEQESNGKSNNARVMVISLHSCGNLLHHGVQSLVLNPSVKAIAM IGCCYNLMTERLGPATYKLPILRTMHPRLTKDAIAYDPHGFPMSKRLEDYEHDSGRGV KLNITARSMAVQAPYNWGREDSENFFTRHFYRSLLQKVLVDRGVVEKPSIPKDLYGAE SLDSSEAGNPLIVGSLRKSAFTSFPTYVRAAVVKLSRDPHNGEKVKAGMADITEEELN DYVEKYGHTRKYLGIVWSLMAYSSSLVEAIIVVDRWQFLREHDSVKDCWVEPVFDYGV SPRNLAVIGIKK AOR_1_1258134 MGYGEQVWRLFLTQLDTIGNPPDTTIIISKTKQRQRPLGSIPDV LFQIIINGDIGVVLALCLVNKATYEAIKLLEPHICRWLMRSHGISTFDPVLTLNPRTG EQRTLTVHNLSGFIERQDIAHKLACQIVPSVWGPFSDEESPKMNYHAEQRLVQRLERG LYVLFHMADIARDTYKTKQKINPLVPDVTGRLLVLTRMLEEYHEIPRNKRRLMSFQEY ASHAYTVLKWGYREVDIGRRRLKFRGYLDEQTEVDFHVTLRMLRELMERMLLRHGPKV WHRDARNEYSMISWFLLKQSPQSLAKLLLSPQDECCGLEEKASESGLRKCQFSDPLDN YWKAWKNVPGLGCQACDCKRRVRSWSVKPALIDDQGRQYNRAAEKYLKDMWTQRHAGL HQVFTMGYFNIVL AOR_1_794134 MDHADPFLHVQADVLATLQTAKPLFSSYLRIRSLAKSPSNPELQ QARSELETTLTELTTDLDDLVESVRAIEQDPYRYGLELEEVQRRRKLVEDVGGEIEQM REELQKAVTSSAATGSARLDAPTGSSPSGLPNPSDFDNVLSPSADDRGDDYYAALEQQ RQMELMHEQDEQLDGVFRTVGNLRQQADDMGRELEEQAVMIGEVDTLADRVGGKLQSG VSKIKYIVRKNEDTMSSFCIAVLIFVLVLLLILVIAL AOR_1_796134 MSTDFGASLLDRLQGSEPTEAQLKKMSFLEEKRSRIDVDCLRDS TLKMHDWYNERDAFVNGNDEIKENFWVRVFANAPSEIDQYIMTPDAAALGSTLTNLKV ERFELNEQGQGEPRSIRLTFEFRTGEENPFFENEKLVKELYWRRRSVKNADGKTKSWE GLVSEPVRIQWKKDMDLTKGLLDAACDLAEAEKGGKDRKKLPELEKLKNKIVELETTA DQEEDEDEDFPLSPAGASFFAFFGYRGNDVSAEESKVATKQADERFAKLSKGETVEDE EEEEDEEFEDIEVFPDGEQLAIAIADDLWPHALELFNRDEGMDIEELEGDVDDEDEDD GDDEEDARPKKKTKV AOR_1_798134 MTQQKTIAVVNATGRQAASLIRVASAVGHHVRAQVHSLKGIIAE ELESLLNVTLFQGPLLNNIPLMDTLFQGANLAFINTTSQSGDEVAIGRALADAAKRAG TIQHYIYSSMPDHSVYGPWLPVPLWAPKFTVENYIRQLGLPATFVYAGIYNNNFTSLP YPLFQMELMPDGSFEWHAPFDPDTPLPWLDAEHDVGPALLQIFKDGPKKWHGHRIALT FETLSPNQVCAAFSRALNRPCHYVHVPRVEVKVNIPPGYREQLEAIEEVFGRCNAPYF PQPEFSRPAAGSPKGLGPANGKGAGAGMMQGPGGVVSLRVTDEARHLWEGWRDMEEYA REVFPVEEEANGLDWML AOR_1_800134 MATIDDIIPEMIERQLEPQLPEARVLIIMTGGTICMRPSPSGFI PARGFQEQCLARVPTFNDGSPSTMMDVVVDNTGQIKGHQSLRTPQTAYGRRVRYTVFE FEELLDSSSIDAKGWAEIARTVERNYTLFDAFVILHGTDSLAYTSSALSFMLQNLGKT VILTGSQAPMLELQNDATDNLLGSLVVAGHFMIPEVAASDFAAFDSPNCTPLAVTTSM RTNVNWELVNRPKGIEHFSIQTHLDTTHVACLRIFPGIKPEMIDAVLRLDGLRGLVLE TFGAGNAPHGQDNALIKVLADAIKRGIVIVNVTQCLTGSVSPVYATGMSLSRAGVVAG LDLTTEAALTKLAYLLGLPDATPESVARDMSKSLRGELTEVSQPVFRHPDGALTERVQ ALTILGYAIAQGDLTRVEEILKLENHYLLNDADYSGNTPMHLAATSPSLSILRFLLMQ GGSVHIRNRNNRTPLFLAANAGLSEHVMLLRKSGAHLHSDERTAAQLLARRRPGVWGL AGIGPREVSDREMEEVGEERLAERVMAGSAP AOR_1_802134 MADFDIEKKPNVNPASKSENASGADDSDALRLAEMGYTQDLQRN FSILSLIGIAFCMSNSWFGISASLITGISSGGTVLIVYGLIWIGFISTCVGASLSELA SSMPNAGGQYFWANELAPKKYARFASYFTGWFGYAGAVFACASVALSLGSAGVGMWQL GHPEFVPEPWHTVVAYQLINFFCYLFNCWGKTLPAVAKVTLYISLLSFFVILVTVPAC AKTHASGAYVFGHFVNSTGWKSDGMAFIVGLINPNWIFACLDSATHLAEEVPQPEKNI PIAIMATVGIGFVTAWFYCISMFFSLNDLDKLLNTATGVPILELYYQALDNVPGAIVL ETLLLVTGMGCLIACHTWQSRLCWAFARDRGMPGHKWLSQVNHTLDVPLNAHNASCFL VGVLGLLYLGSSTAFNSMVTACITLLYISYSCPIVCLWYRGRDNIKHGPFWLGKWGAF ANIVTILWTIFCLVMYSFPSTMPVNTGNMNYVSAVYGVVIFIVLCDWFARGRRVYKGS VSAVEGHGASEDSE AOR_1_804134 MRQTMRLDVGLVTCLAAFSGLAEAFWRLPCRGRAGLARLDPLMD PGKDSYHVHAIHGPDSFSMTADMDSLRDSSCTSCAVTQDKSAYWHPALYFMHENGDTE VVDQVGGMLAYYLLYGDNVTAFPENFRMIAGDTFKRDFKWPIPDPPTSEWSGEQESQA ALRQKAIGFNCLNYNKAAEPSLGRHFLPNKTYLDEHCTDGVRFEIMFPSCWNGKDVDS DDHKSHVAYPSTVMDGTCPEGYDTRVVSLFFETIWDTYAFKDKKGTFVISNGDPTGFG YHADFIHGWESGVLEQAVKRCTNPSGRVEDCDVFDIQTEAEQRKCKFEVPTLLKNEDV YSHKGGLPNDLVVEYGPAYASPISYTTATATQAPGASVSASVSVSIGLSIDLPGIVAV ETSTSSTTTPTWTPTPTTSYIEGDVTQAIVYVEREVTVLVDGQGNPLATQTGGLETVS TVMSTTTSIISTVVTTPTASPAKRDLHEHKHAHGHHRHGHHH AOR_1_806134 MFGEGPEDGSRVYIPPLLDSERSDDPDDSDILPQNEEHGASYIP VWLRESSKSFKWGWVPLPIRKVARATANWVKGPDPPHDLLLKPLFPHIQELPVRYLER FFPKRKQKIALLGLFYLAWFLPWTIILLHSRSAGYIEGFGRPETLTCRATLWEYGNEC GLNGNDCRPFTAATIPFRCPANCRDAKLAAPHMVGNQTYSYKGLVVGGPQPGSDDTPV YRADSFICQAAIHAGVITNTIGGCGVLKLEGATHSFPASKQNGISSVGFPSTFPKSFS FVSLGSSQETCPNDPRWPLLGITIGALATLWLLCRSPPVLFFSTFFMVFCQVGLVSDP PTLPQFADLVSSLLANLLPASFVAFVLFRYCARPLLRPLSDATYQITKTFLYLPPVFI GALNNYTFARLIPLERLTPHDIQRQPGAKVALAMVIPTVICIILSQAWQIRQGGLMPH YLKIYCTMGLILLILLPLPGLRLRIHHYILAILLMPGTAIPTRPSLVYQGLLLGLFMN GIARWGFASIIETPAALGELPGGAHGWWGSTFPNVTDTTVNITLPGPGSNELYHGNGN ITFTLWEKERMADLGVDGVSVLVNDVERWRGYLDEDTLGEFTWHRHGHNGLELLHRPT IESDQADIDSTDMADEDDNRPEDLFFRFAFLKGAEAGKYGGAGVWLEDGGWISPPPPK R AOR_1_808134 MAPPLDLSHHYASTTKRRQGSGIKDLYKYFFIPGIANLAGGLPN PSYFPYDTLEATVATPQRFQPSNNGTTKKSGDDAKSSMRLIVPKESHATDVQNRIDIA TALQYVTADGLPPMASFVRQFARHHLHPNVPYAGGPETILTTGATDGFSKSIEVFTNV WNPDRDWTSQREGILCEEFVYMNAVTTVKPRGLNVVPVAIDVQGMLARGKGGLADVLE NWDFRKGRRPHLMYTITIGQNPTGGTLSVERRKEIYALCHRYDIIIIEDDPYWNLQYP SAAALEAQHRGTPMDTASVKRNYNAHGKSSGYEFLDSLVPSYLSLDTDGRVVRLDTFS KTIAPGCRLGWITAQPAVIERLTRVTETATQAPSGFVQALVAKLILGQQTDHKSTNSN KSDRSWQMDGWVRWLEGLRGGYERRMQDMCSTLEEGRFIIASEAGLESSEESWEVVDK VQMYDFAWPTGGMFVWIKLRLDTHPLHGRYDPARLSKALWVHLMQKPHLCLLGPGDLF APSQETLNRSWQYYRLCFAAMPEADVKDITVRLVDGFRAFWKKTDLDGLEGDGADISQ VVQSMGMERVGNFLGSGC AOR_1_810134 MSAQLESLGNNELAEEIEAINAIYEPDTVTINSTAASSSTVSST LDLGNSGSTGPLAATVKLQIPEHSHLSFILGFDASYPDTPPKVLGTASTASRGEGKIA VDVLEDILGRTYQPGAVCLFDVINEAVEAFRELNIGGSSNNENNKEQEEERDTPDLKA EDIASLSLKESFGLDNPPDWILSDVVTEKKSVFVGRAAHVTSLEQAQAYLDYLLATEK KVAAATHNISAWRIRQQKPSNGKGESAEMIVQDCDDDGETAAGGRLLHLMQLMDVWDV VVVVTRWYGGVQLGPDRFRIINAVGRDALMKGGFVKESTPGGNEKGKKKGKK AOR_1_812134 MFSKAFLSAALLGAAAVEGHMMMAQPVPYGKDTLNNSPLAADGS DFPCKLRSNTYQVTEENTAAIGQSMPLSFIGSAVHGGGSCQVSLTTDREPTKDSKWIV IKSIEGGCPANVDGNLSGGPTSTGASKFTYTIPEGIEPGKYTLAWTWFNRIGNREMYM NCAPLTVTGSSSKRDEVPKEKTVEKRSANFPPMFVANVNGCTTKEGVDIRFPNPGSIV EYAGDKSNLAAEGSQACTGTPTFGGDGNTAGSSGSSGSSSGSSSGGSSSSAAGSGATA PPAPAVSSTLVPNAPSGGSSSGSGSSSGSNSGSSSGSSSSSSSSSSSGALTGSCSSEG TWNCIGGSSFQRCANGQWTAVQQMATGTECTAGQASNLKIKATNLKPRMLHEMRHRKR NYHNHA AOR_1_814134 MKLFETYAIALELPIGRILDLQPRTTTTTTSPSTTMASRPTVTI ATAEGKPSGATAPLPAVFNAPIRLDVVQQVHTGMAKNKRQPYAVSEKAGEQTSAESWG TGRAVARIPRVSGGGTHRAGQAAFGNQCRSGRMFAPTKVWRKWHQKLNLNQKRFATAS ALAASSVPALLFARGHRVANVPEVPLVVESKTFENAALTKTKAAVTLLKALGAGDDLV KVAKSRKMRAGKGKLRNRRFRQRRGPLVVYNPEVDGKELVRAFRNIPGVETSPVFALN LLQLAPGGHLGRFVVWTSSAFEALDQVFGSGSSPAALKKDYLLPQNVVANADLARLIN SSEIQSVLRAPKGEARTKRANVQKKNPLRNKQVMLRLNPYAAAFSKEKLGQKGVESEK PEAPSKEFLSTLHEN AOR_1_816134 MSNYYVGQFGLPGPHPYKLPPPRSSAPLQLGNDPFLHQRNQGEG ADSGGALSRPSPGHQQTPNKQLPSVSQLLTPTVHESRPPSPYRPHAFNYAPHNGATDP THRYRPKETGPVFVTPRSDIQESAKFRSDPSPQLQPGALPRLTHMSPHGLGHEPHFSP ASQGSPSIPPFAPPSYSPQGFRSHERDSGDVAGSETSDSGSATNKSQSNVRPHVIDEK YIDGEGLCYIYADGSHCPKIIDGVPVNANWGITKAGKPRKRLAQACLTCREKKIKCQP NLPKCDQCQKSGRECRFESAPRGHRAALKASQLLNRYEIRDGFTAGHNYPGSSGSFYS MVRASESSTSLPGTSSQSPVSEGAVLTPSAMEGVQENTLEAEHQRRLRVQSLSRVSVG VEEFSKRPAVHVPPDYNEILMEMKDLDPQDPIACDWSIDPYEADPELTVHYIETYFNY INDRLYYMLPRKRFLLWLRSCHTKSLDDHMLLYSMMALGAIFSDRPDRVMALKRYSRT ARYAVERSQHSLTLQLAQSRIIMSLWFYAIGALVKSWDAAGAAVRTVCGLRYNVESGG VIVDQTQQTCEYGLHPQALIECRRRTFWIAFMMDRLSSFYTPSSTFISSQSAYLRLPC REEVYEAQQYTTVPYFQNFLNQTPVSPEDELSGISAMALLIDVMAIWGDVSDHVFRLS LIPADAYRKLFEEFHSSIIRRSDELVSRLPDHLTFTAVNMERSIRTKKADTFVEIHLL YHATLMKLNRHARHRSLPEATIDWHVHTTRNHAAEILRISLTLMRYAAEYEPSRLVME PATAKGTILSPYLGYVIVSAVDVLSAGGLMVDIPETITLIRGGLEALKELSRFWAGSV PLVSLVETRLDAMLEHRHHPMMSEGKVAFMVTGSSLDSQVRNGTQKQEPPSNEDLMYG GLPRERFFAAVGAGKVPFLEENILWIRDTS AOR_1_818134 MQASYSSESSDWVHAEATTSQEWTTGPEYLDNTTDRNRADPTAD PEPDYATLLSYPEETDYYALLGLSRTPPPSDAEIRSAYKNLTLSFHPDKQPGEWQEIA RRHFERIREAYDTLIDHRKRTVYDLLGAEGVQAEWGPGGSMGRGGEAERERRVGVRAR SPEEFRRWFLEAMKRRERKAINSMVQSRGSIVLGIDASDMISVDEDEGEVYVNVPSAK PSKFNVGYTFKAPLPTPRKLLGKLERDEPVEEGAEQQEAEAEDSDEPEMVINAGISGE MRHMAQKLTIEHTDGTTEIRKVPLPPIIASQEITLGASVNHVFGDVASQKGILSKRPF SFLRYSAVSVGAMVLPVPSVQANMVKAFTPVAGTKPFNVNFSSSFYKSPVKCPPAMAV QVMKEVGDRKHAFCRWQSGTISWPGAVERLLSPFLDIGLDVDSAFTIPKQISQFQIGL LSLPKSHKQAAFMDDYDEQPEGEEEESEYQQLRSKQRAEDKVGEAWQIGFSVSPEASG LQLSYARNLFSGTAANDPVRSEWSSEGHYALPPANEPRSIRVEVASTVNMDLSLSWKI EGSRQVGELTRMGLGVGVEGPHGLVMTVSWSRLGQKIKLPIAVCPIDMVNADAAALAI IFPWVAYCAWEFGFIRPRERKNRRRVIARRQKELKKLVPIKRAESLQAKEERQDGLVI TKAEYGHYPSKKKGNDVGKEYEVVDVTIPVAGLVDRSQLVIPKNMVKFHILGFYDPAP LLPKTLKIWYTYHGAQHYVEATDSEAIACPMRTHLMADEI AOR_1_820134 MTAIHRDGDAKEGTPFVAFNTPVPVATAKYQFPYPKLQPEEHIV TATPTTSTKPAAIALTAPGATPAQGDYQCCPGPKFHPSCYSSGPYVGVFPTPAYCPKA AGYPGHCLCRPVDVSNPVVPKPYHPDVFEVNKPMAVSAASSSGKLMYQVPYLVEEDRK FWFHAPNGDCMHLTVKQIAEKQCAGHWVNTCAGQPYYVCLPKDRIRYDAKDFNAVFQM SGLSHIEFHKRYQPF AOR_1_822134 MTSIAEGLFKSLPKPKYTGEDEEIPHHAQPRGPRVVGADQIDES QIVLRKTGPPPYGNRTGWRPRAPEDFGDGGAFPEILVAQYPLDMGRKGSSSTSNALAV QVDAEGKVKYDAIARRGHSDNRIVHASFKDLIPLRQRVDMGEISLDRPSEEEVAEQME KTKNALANLVSGAVAAQKPKNVKGGSRAEPTFVRYTPANQMGDNSRKNDRIMKIVERQ QDPMEPPKFKHKKIPRGPPSPPPPIMHSPPRKLTAEDQEAWKIPPPVSNWKNPKGYTV PLDKRLAADGRGLQDVTINDKFAQFAEALFTADRHAREEVRLRAQMQQKLAEKEKAQK EEHLRALAQKAREERATSSRRDSRAVSRSRSRSRSVSRSPSPYSDRSRTPSDDEQAAR ERERMRRERRQENERQLRQSRMGTERRIQAMAREQNRDISEKVALGLAKPTQSSETMW DSRLFNQTSGMDSGFNEDNPYDKPLFAAQDAINSIYRPRAQVDADDDEGGAEGEMSRI QKSNRFEVLGKAKEGFRGAADAEARDGPVQFEKDTTDPFGIDSMIADVTGGAGQKRYG IQEVDREDRGSKRARVDEE AOR_1_824134 MTTNHHSKQPVPTHTIHPISPVDLTRLTPSQQAIYNCLRSQGWT DTHCTTWLRSTEHMQESLSGWFRAQGWSEEQLRAFRERCEGDLPVGVAPPVTGDDGWQ AEFDLQVTYINKVAQ AOR_1_826134 MEQSLSLISAQVQFMDDRLALVHIPLDLYPYFLNPILQVLFHEV PPISGNHADFQNGGPDGVHKRAQPAFLNLSITPVECSIMCPRQLANDYFAPLVERFAK DNVSNPCRLSISREDFIAMQVYGEGLEAGQRVLELTSPLAMAGISIFFISTYFSDYII VPLRSKTQVIRTLEKRGFQFEMSTDAFINNNQFNSCLSPVSSRSASSLGSPPPTPPPS SLDELQTRTFSSLRKNSITPSVDRSLRLVHCAVHHRDNSDVSSISILRDALTIALVVD KPRFLSLTMTAADPAASLLLEQRLLPRFSSDPTFPAEPDDETSLLLGSKEEILVPIML DLRKLPLEATGIVCGVAGRLADATHARGDDITDGSSTIMSHSFNGFSSFDDTMNRFFS SSVGSGGPVKPPTPGSGRKLANSNLTHHLQPDLDSSIEAVEISFLSTARAGTILVGEH ELQRAVDALEAESHEPEDLEEFEI AOR_1_828134 MPATKQFPTKLVHTLKTHNGPVNAVTFSSYPGAYVLTGSSDRAV HLSRAIPNKPGTETTSPIQRYEAHGYSVLDVAVAGDNSRFASVGGDRQVFLWDVEQGI TVKRWSGHAARVEAVQFAGEGDSVVVSGSADTTINLWDTRSNSTKPIQTLTEASDTVS SIHVHTPTYSIASGSYDGRARIYDVRMGRTTVDVLAHPVTSVRCSTDGNALLASTLDS RIRMLDRTDGKLLQAFGNGDKGPGKTGYRNSELRIRSAFAKGDAMVLSGSETDKEDRS AQAYVYAWDVVSGELVASVPAGEGVKVVSCVAWNEKGGCWAGGCSDGSVKIYG AOR_1_830134 MASTTETASPIGIANLPNQRHKIVAKRGAAFTIMVAGESGLGKT TFINTLFSTTIKNYADHKRRHQKQIDRTVEIEITKAELEEKFFKVRLTVIDTPGFGDY VNNRDSWQPIIEFLDDQHESYMLQEQQPRRTDKIDMRVHACLYFIRPTGHTLKPLDIE VMKRLSSRVNLIPVIAKADTLSPADLARYKQRVKAVIEAQGIKIYTPPIEEDDEHAAT HARSLMAAMPFAVIGSEKDVKTSDNRVVKGRQYAWGVAEVENEDHCDFKKLRSILIRT HMLDLIHTTEEQHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEESLRKRFTEQVKVE EQRFRQWEQKLIAERDRLNKDLEATHAAIKSLEQEIESLQGSSTRSHGRR AOR_1_832134 MKTDFKFSNLLGTVYRKGNLLFTPDGTCLLSPVGNRVTVFDLVH NTSYTLPFAHRTNIDRLDLNPQGNLLLSVDENGRAILTNFKRRIVIHHFSFKGRVSSL KFSPSGRFFAVGVGRRLQFWHTPSTPGADNNGELEFAPFILHRDLAGHFDVIQHLEWS HDSRFILTASKDLTARVWSLDPEEGFEPTTLAGHRQGVKAAFFSADQESIYTVSQDGA LFRWEYVTKKDPDTMEDVDEARWRIVKKDFFMQNDAKVNCTAFHAPTNLLVVGFSNGL FGLYDLPEFNMIHLLSVSQSNIDYVTVNKSGEWLAFGSSKHGQLLVWEWQSESYILKQ QGHLESMGSLVYSPDGQKIVTTSDDGKVKVWDVKSGFCVVTFTEHSSAVTACQFAKKG SVLFTASLDGSVRAWDLIRYRNFRTFTAPSRLSFSSLAVDPSGEVVCAGSPDSFDIHI WSVQTGQLLDQLTGHEGPVSALAFAADGNHLVSGSWDRTVRIWSIFGRTQTSEPLQLV SDVLSVAFRPDGKQVAASSLDGQLTFWSVADAIQESGIDGRRDVSGGRKITDRQTAAN AAGTKFFNCITYSADGSCILAGGNSKYICLYDVRTGSLVKKYTVSVNTSLDGTQEILN SRDLTEAGPRGLIDETGEASDHEDRVDRSLPGAKRGDAGARTTRPEVRVTCVNFSPTG RAFCAASTEGLLIYSLDTEYVFDPFDLDISITPSSIMETLENAKQAYTTGTGDNDDSF LKALVMAFRLNESKFIRLVHEAVPPSEISHVVRALPTVYLPRLLRYVAHAAEETPHLE FNLLWIESLLSSHGRYFKENSGTFAPELRAVQRAIDDIRENLKRMTEKNLYDLNYLLS KPVLAGKKTSNTLTLADVEPDDMAANGDENMADTAGGEEEWIGLE AOR_1_834134 MDYMDYLKEMGLAWHWVPIFVGLLAFYFIMERSTANASRTSLPI VKHFKLLPPFFNRILYVVKAPFLIYYGYEKYKSKPFRILKLDGDLVVLPQKYLEEVGG LHSRQASLVGAKYKNILGGCTNILINSELPARTVSEKLNPVLDRQIPRLLFELHHAFS AVVPYCEGRYVPINLYHMILKLVTHSTSRIIIGQRLCRSEQWINTITKCTYDVRIAVK QLQLVPRFLRRLVAPFLPSVQRLETQLRWIAEQLILPMIQHRRRRELNDPSYKKQEDF LQWMMDLADNDLDRDPMNLAYGLMITMALAVVQTSTMLITHAMYDLMVHPEYLEPLRE EIHETLTNGWIRASLSDFGAQRRLDSFLHESQRLNPPSEVSAQRVLGRPLTLSDGVTL PKGTHVCFPSGPMSRDPTVVPDPLTFDGFRWCKDLNAPDGSLTDVSPANLHFGFGGQA CHGRFFGAVIAKAVMSRLLAEYDLKFEEGQSGRPENIVNGEQIMPSISTKVLIKKKNV DI AOR_1_836134 MASVPDFDSLTLDPKGPVGNAWGLFPRNDIGMLNLLTPENIRQA ASEEIRTGVRISLDLALDRLNHPSYGRKPFTREMVNKAPRIVNDDILMFNTQTSSQWD GFRHYGHQELACYYKGHTLEELQDSPVIGIDSWVNNGGIVGRGVLLDYATWAKKNAIP LTPFQTSSIPLSHLKNIVQENNIKFRPGDILFVRTGFTEAYNNLSNEEEAALAQRPSP DFSGVENGESTLRWLWENQFAAIASDSPSFEPSPLVREDSPANTTLHQWCLAGWGMPI GEYFDLEELAEYCRVNGRWSFFLSSVPLKVPGGVASPPNAVAIL AOR_1_838134 MGVPKFFRWLSERYPAISMLIAENRIPEFDNLYLDMNGIIHNCT HKDSDSPTFRMTEDKMFIAIFNYIEHLYGKIKPKKLFFMAIDGVAPRAKMNQQRARRF RTALDAEVAKEKAISQGIEMPKEDAFDSNCITPGTEFMAKLTEQLKYFINKKISEDKD WQGVEIVLSGHEVPGEGEHKIMEYIRHAKAQPGYDPNVRHCLYGLDADLIMLGLLSHD PHFCLLREEVTFGRQVQKKPKELEHQNFYLLHLCMVREYLELEFQELERTDVLSFSFD MERVIDDFILMAFFVGNDFLPNLPNLHINEGALAFLFKVYKEILPKMGGYINEQGVIN MERLGMLLDGLSDVEFRFFEAEYSGERWIQAKKNGGENNSESQERPKSFTITPAQKNL LKEVKKYVLNRPEKAADPQPLDFPPTLPARDRKFLEQLADDLRLPWTTEPDEHGERFI RFKLPAKQNDDSDDEEDEEASMAVQRIIRKYENAKVQELSAEDAQKAAEKKYEEKFQE WKSKYYTGKFEWGLDNHEEMRKLTENYVQGLQWVLYYYYRGIASWPWFFQYHYAPMIS DVKKGLKADTNFQLGQPFRPYDQLMGVLPDRSKKIVPAAYRDLMTSPESPIVDFYPRD FELDMNGKKMEWEAVVKIPFIEEKRLLDALKTKEHLLTPEEKARNGFGASLKFTYSPD VQFIYPSSLPGVFPDIPNCHCIENIFDLPTMDGLEPYIGLVDGAQLGASALAGFPSLK TLPHVGQLGFHGVCVFQQESRNESMVITVLDPGSRSSSLLAKQKLGQRVFVGYPFLQE AYVVRVSDELFDYIKPEGEEHVVNIPHTEAQIEQWKKKADKIEGTYSRRLGTIIGPVE AMVHVQLLKGLLKTDEGATVKEFADIPGQETDYALQLVVGEVINPDERFIERDALPIE EEFPEGSRAFFLGDFNYGRPMHITGHSNGKVNGLIAAVKGREPEFGKERARDAERFCP YMPSYAIARSLQLNPLVLAKITSAFSVDVEGQRVNLGLNLKFEARKQKVLGYSRRGDS GWEFSPKAVELLQQYMIKFPEFIAGIQRNPQGDRYKPTDFYPEETALLKVKEIREWLK SIEAKNFERVPLDAEQLDSDIVKLIEQDADQLIQNQPAMQAKKVGGVPRSALLRPSDV EQRLGNQTFRLGDRVVYAQDSGKVPIATRGTVVGLTRTSRALLLDVVFDVSFMSGTTL GDRCSPFRGQTVLSSSVLNVSYRQLLATTRAASSQQSQQSPLTVAGYGAPSGPGGQGQ LKEASAPPPLSGSYRGAVAGMGNGRGNGFSQRGRGGRGGRGASNGVGPQTTLPFRPHF NGGEQQTDGPHRGGRGRGRGGMPRTRGGYVAVDPNPDAGVVKHNPNFQAHNYSQVPPP KNLNNRGGRGGRGNPRGSHRGRGATAGNNQA AOR_1_840134 MEPYDDGFIEEQEEQEEERTEEKVINEEYKTWKKNAPFLYDMIL STALEWPTLTTQWLPDKQEVPDKPYSTHRLLLGTHTSSDAQNYLQIAHVQLPNPSAPN PDDYDEERGEIGGYGGSSKKAPMEIKFNIVQKIDHKGEVNKARYQPQNPNVIATMCTD GRVMIWDRSKHPSLPTGTVNPQMELLGHTKEGFGLSWSPHTAGHLVTGSEDKTVRLWD LTTYTKGNKALKPSRTYTHHSSIVNDVQYHPLHSSLIGTVSDDITLQILDIREAETTR AAASAEGQHRDAINAIAFNPAAETVLATGSADKSIGLWDLRNLKTKLHTLECHTDSVT SLSWHPFEESVLASASYDRKIMFWDLSRSGEEQTPDDAQDGPPELLFMHGGHTNRISD FSWNLNDPWVLCSAAEDNLLQVWKVADAIVGKDLEDVPTEELEA AOR_1_842134 MSSGSPQGPKPKSAVPPRLLNGSSSLNSNMNSRGARERESLNSS IRSSFAPRIPAEFNLPETVAHSVDESTHVTNESNTDSGAVKPSLNDPPRDPRDDARAL TPPSTASRPASPYTLYPPIDFDGLSWPCPGTRARLESSPEETEERVQKLAGAVRTILE CIGEDPEREGLRETPERYAKAMLYFTKGYEENVRDLVNGAVFHEDHDELVIVKDIEVF SLCEHHMVPFMGKMHIGYIPNRRVLGLSKLARLAEMFSRRLQVQERLTKQVALAISEV LKPLGVGVVMESSHLCMVMRGVQKTSSTTTTSCMLGCMRSSAKTREEFLTLLHRR AOR_1_844134 MEALDDKDIEAAQTLIMLSAPSREQGPRRGQRTTSIEPRSSHPE GSTQSNTPHVRQGDYPTTTNSVHSSTSNPAQPTRQSSSGSHTIPALISTDTMTASDRA LQAYLIRQQLLAGIAPENMIPMRPPTTGQTQGGNQGIVNRPNNPSLVQITNNGISIPH VPPARFIGVLHPLPVQPTRNSRGPLSPQRQPIFRCGSNPDAGLRMQPIAGVRSETSQS RNTVSHEGSGALSGLQSEPHASERPRQPSEGDRVRRAETRRPSQRDSRLAVSHQQDRP QPRLQLFPTLSRGSISPLPANNNTMAHDTGNKSSNTTDLPHNGFDILLVFTYHQGLAL ELTMYLNGEDLIKLIATSKPFNQFVKRNYSDIIKRQATRDAPQSRQLIPPRDTAGEND LAPSFCWLQMIIYREQTVKKIMEKMLVAGHGLPKPCEPAIKKLWFLMDIPDNRRREWT IMNRNLWQDIELFFAMLFIAQLDALLRKKRSNITGRLYHLLMAQPTMTVVWDVLRDVA LRNEFDILKSFVRWKYTPLPHETDLYVYGVPPHEVGALQYEGYGRHERVTKIVQPDEL ILREMARRQLNLGDMYKDIFLMGNGARYYSRSAQAATSWVGEMKREADAQGVDWQNVV KLN AOR_1_846134 MGAVVFDGKNFVDHPRPSGGLSLVAVTFGLIYRDLGNVLGPVYN GGDTAQVKDFVFFCVLMEGVRRVIDLCGSPARSAWQPPEYGVNLGRGIQSVWAMSDDR SSGSVGSLMGEVQGRHEPTQYQGKIHGVNGVLRYPLARKNAVAATVLDDRVCPLWVGD DVVVHDISPSPYRGAILEICAFPDLVGL AOR_1_848134 MTSTRPIQSADNPLRSPSPPQPIPSFDVDTTSALVDGDVPRESV SMHGMRASDARDTKSSNPRSHLDTRQCSTSPQYVTPSNSYHGSPQSTSPYSGGRGGRN GQQPPHTGRSPPYRQPPTGPQSQFYQGQSQFHPSANHIPTGPRGGHSGYRGNYQNQGG GRRFSASGSQNYSNAPSPRGRGSFTSFQWSGPGSRNRGPHSPHTSHGQTSQTPPPPTT SENQAPMGLDQGDITIRPSGQDRPMGEEKPESEIDDAQKMPPTNIGQPDTSATPNKGG KISFAFKAKTTPAPAPKPVPDLAQRMLAREPPPRVAEPPRNRMANGPPPKFKPEPRFD RRDRDRERERDRHRERDRDRGRNDRRDFRDPRGFRDPRDRREGRRDDHRFDHRHDRRR GDRRQDFRPERRRDRSPEPKKQPKVLVRPKPRPTIPEEFAKSDSVYYRKPGNESVIGA GTYGKVFKAIHVYTQRKVALKKIRMEGEKDGFPVTAVREIKLLQHLRNDNVVSLLEVM VERNECFMVFEYLSHDLTGLINHPTFTLTAAHKKDLAKQMFEGLSYLHHRGVLHRDIK AANILISNRGQLKYADFGLARFFSKSRQLDYTNRVITIWYRPPELLLGETRYGPAVDV WSAACVYVEMFTKKAVFPGEGGEISQMEKLYNCLGTPTRAEWPDIVEMPWFELMRPTE RKRRVFEEVYGQILTPAALDLVSQIFRYDPTARPNAEEILAHPYFAEEEPRPQQAIEL ENIEGDWHEFESKALRKEKDREARRAEYQRDKEKRKAGTSAPPSERETKRTKQDAEDS QSSAQPTEQ AOR_1_850134 MDNANLWTRRSNSSKLSLSMTGTDGKDGARVELPRTKRFGPDSS HGRSNPFNALSPLSGGVSSPSTNASSAFGLGSGAFASFGAPKTPGGSDLKTPLEKRDN PTEHDSAESAKTKAANTAIKEHPLKSTWVIWYRPPTPKYSDYEKSTVPLASISSVESF WSIYSHLKRPSLLPTVSDYHIFKKGIRPVWEDDANKKGGKWVVRLKKGVADRYWEDLL LAMVGDQFAEAGDEVCGAVLSVRSGEDVLSVWTRIDGGRNIKIRETIKRLLGFPIDTN IVWKSHDDSIAQRSAIDQARQEKATGNNGHHHHHHHHHHHHHHNNNNNNNNNNQHHNL GADRRRVTANDDSTGDKGKDVAS AOR_1_852134 MTSQRKSDSEYADTLGGRLGEDKSTRIQKRLPTQNDEERLLPGS TIRSDPAAIRIIPGRAGQKYGRKNKPNIPSLKPHDTISQRARESGPVHAQGKADRSSN SQRSTRVIVTRPENGAVHDDQERPSKRRRRESQDTSGGIISILDDDIMEQIPPDNSYS AGHSTRLSPSLSQHSERTKLWDPSFKRNRVDEYRDVERGIKPPRTPKRGSIKYSQLSS DGYYEERFTEDAARERRGTASKVEPESTKIAWPDRVGKHEAIESVEVHPRLSEHQEGP NLRSPSVGTDQNHPDNPRDSPDELQGGATVQPAPNTLKRDREKETTIAMSEAKEHPGI VGRLASPSDIQPTVFTGSSQNHRKSDKRRKAPATPVKLAVKCFYATFVRFGPHEHRSS QAFEIGVETTESTKTTETSITFPWNGQRTSLERLDKVVQGEPPSRRVRLQFSRKGGLD NEMDMEFCTSEEQETLCNLLERWLHGQHVRWLYRQDGWLKDSFMKRAEDLAEQKANGA KRLGEDKQKPILYRAPEVAKRVKLSDSLQDNIENTAGQIQPSDTALVECSKSSATKTS GTLNAESTRELPSNEQEADKAPVKKLSPRSSFSNRATRSMSRRAPATTVCDDEVEDDG SQQKAEETDKIWRKPLVYPRFGKKKAEVDAQDRERLRDNEFLNDNLIGFYMRFLEDHL ERTNKDVAKRVYFFNSYFFATLTNVKGRRNINYEGVQKWTRAVDIFGFDYIVVPINEN AHWYVAIICNLPNLPGIADKSTEDRPSENDKDNSAAPESEGREIPETPEPIEELPAVK ETKVNNSRNLEPAKDEATRRSLESMSLLDKEESKDGAPELPPTEWPEQEENLAFSPAK FSSPAAIAEPTQKASLREAPRLAASPRKGSKKAKPSGKPGGAKFDIRQATIITFDSLD LSRSPTISNLRDYLYEEAKSKRGIEIDRSLIRGMRARAIPLQSNYSDCGLYLLAYLEK FVQNPDLFVKKLLRKEMKTQDDWPLLRSGILRRRLRDFLDDLYDEQADLDTEKDSEKR IMADRYPISYLLGSSASTSAQNEECSGFQEPQVKVSSNSEIDPQKYPPDKRRPASTQS SPAAYDGGRALKTEKDGEHKMAPSLKDPGVEIASPNATDKIEDEGVEVQVPDSQEVIE EISSHSAKIPKEEDGSPSNLAAMKPVDHKMRSVDDKKTKKAGQPAKLPTVEVQIIPPS YQGDMKYQRSARQKPK AOR_1_854134 MGDHVLFFYGTLMAPQILHRVIHGSPNPEPWQKALLTFRPAILH GYRRHRVRGADYPGIVPAKPTTREPGTDSNANGMAAVLGTVVSGLTDGDIHRLDIFEG TEYEKGKVKVRILRESLGGKDGELEGKDTDRHLMDVLDAAGAEFADEGEEVEAVTYVY VAGESKLEDGEWDFEAFKRDKMAWWVGADESEW AOR_1_856134 MHSSLNRAQAVFGFFTTVALFVAGFAALSVLLFPTDEAKAAVSL KDVKVIKGRPHYYSNKKEEYAQMRFDLDADLSSLFNWNTKQLFVYVYASYSSSDKEST LLPQSESIIWDTIISAPESPYSFNTLRERFFPSKSSSKRTTGAKKSTKKDKAAPGVLR LRNQRAKYQISDITGKMAERSNVTLSVGWNVQPWVGALWWSPGSGAVPRTGGDSGRSK PFEFPALKTKANTKAAEDQGQAKKVEV AOR_1_858134 MAGTCSMLCLILITLFIPPLGVFLISGCSADFFINILLTILGYL PGHIHAFYLEYVYYRQRDGVTGRAPGVYSERIQRGGHHETTYGTIHN AOR_1_860134 MLRSHPAGRWHAFRQACAPKCRPYSGLHQLQPHNPPPWRPVSAL DEWVERDIRPISLRQLTFFGRTLTESRLISSANYVRTELPTRLAHRLRDIQRLPYVVV ANPHLSLVYELYYKAFERFRTIPEIKTLDDNDKFCDILRKTLQEHLVVIPRLAMGVLE CRALLPADVLDQFMNTLLRARISRRVIAEQHLALTETFNSPWHFPGSQDRTDVNADYV GEVFLKCNAKEVIERCGKLAQDMMRQASGTDKIPEISVQGHLDATFPYMLSHLEYIIG ELLRNSIQAVSEKYNGLPEKPPPIEVLICEAPQHVIMRISDQGGGIPREVLPYLWSFN KGPHSKARLQNLEQVPAMAATMQELTVPKERKRADKETFRESSLDTLTSRPPNLRLGM GLPMSRVYAEYWAGSLELHSLEGYGVDAFLQISKLGNKNEQVTTRASIDAV AOR_1_862134 MDNVPDNEMLVDEYEQYHNDRTDDVVVSRSGSEEPEPEPLANDY AAMMARILPKDPELETEDEAYHTWHIKDWRKLKKKEHGPTFHCAGFPWRILFFPYGNH VEHASFYLEHAWDNEPPENWYACVQFGLVLWNVNDPSIKISHVATHRFNADEGDWGFT RFCELRRLFNLAWEGRGVPPVQNDEAMVTAYVRVVKDPTGVLWHSFQNYDSKKETGMV GLKNQGATCYLNSLLQSLYFTNAFRKATYQIPTEAEASRDNSAWTLQRLFYNLQTSEN PVSTAELTASFGWESRQIFEQQDVQELSRKLMERLEEKMKGTPAEKALPELFVGKTKT YISCINVDYESSRVEDFWDIQLNVRNNKTLDDSFKDYIQVETLEGENKYDAGPPYGLQ DAKKGVIFESFPPVLHLHLKRFEYDINRDAMMKINDRHAFPMEFDATPYLSNDADKSE PWVYQLHGVLVHSGDLNAGHYYAFLKPTKDGYWYRFDDDRVTRATDKEVLEENYGGEY ELANGAAGVKQPYTRGLSTKRSMNAYMLVYIRKSRLDDVLLPIMKEDIPSHIETRLIE ERVELARRKKEREEAHLYINVGVLNEESFKSHHGFDLTSLDLPAGDPALPKQYRILKA LKVGEFAEQLAQEKGIDANRVRFWVMVNRQNKTTRPDQVIKDPDMSVEEAYSRFGTKG NPFRVWMEVGQPSADGTVSWPDNNNSVLVFLKHFDAPSQTLSGVGPVYVRKNQKVAEL APTILEKMEWPAGTEFMLYEEIKHNMIDVMKPKQTFQQSEIQDGDIITFQKSIKEADL PSTALYQDARQYYDYLLNRISVTFAPIKAGEGDEFTLTLSRKMTYDQFSKKVGEHLNV ESTHLRFAPVMASTGKPKQFIKRNPNQANQTLYQILSGTMTGYGYSMHRSDALYYEVL ETSLSDYESKTSLKVTWLPEGITKEQVVEVLVPRDGTISDLLSGLQKKANLDEDTIRE VRIYETHAGKIYRDFPVDTKIAGINEFVTLYAERMPEEEVNMGEGERTINAYNFDRDL NRPHGVPFKFVLKPGEVFKETKERLSKRTGIKGKQFEKIKFAAVPRSLYSSPRYLEDD DILSDIVGDSDDLLGLDHVNKNRSFWNRSESFFIR AOR_1_864134 MRFAALVIGLLATFVSTVTATALTYKLEANEKACFYNYVDQRNA KVAFYFAVQSGGSFDVDYQVVGPGEKVVLDGTKERQGDFVFTAQSIGEYRFCFNNEMS TFAEKLVDFEIAVENEERAQLPSRQGASPEQASAIEESVYKLSAQLSTISRNQKYFRT RENRNFSTVRSTERRIFNFSVIEGLMMVSMAGLQVFVVRFFFQGARKDSPPTMTTSMQ QPEAVQPIVPPDGGGTSPPGDSHLQMNRTVNPHTSVEDYSRVMLEYTHNRMASFADLD ADNGSPVSRSSRSSAGSGESGDSAGDMLRRGPAPTSAGVSHHDFGERGGRKAIRDGEK KPSI AOR_1_864134 MRFAALVIGLLATFVSTVTATALTYKLEANEKACFYNYVDQRNA KVAFYFAVQSGGSFDVDYQVVGPGEKVVLDGTKERQGDFVFTAQSIGEYRFCFNNEMS TFAEKLVDFEIAVENEERAQLPSRQGASPEQASAIEESVYKLSAQLSTISRNQKYFRT RENRNFSTVRSTERRIFNFSVIEGLMMVSMAGLQVFVVRFFFQGARKGYV AOR_1_866134 MNPKTILLLLTTITLSTAIPFSQQQTPASTPTKQSAHPALSSIA ASASVSPKANNGICPDTHRSKQCCQSIDSIAEGITKPLGQLIPLLDGATVKSILSLDC KAMADTDPNENCTKDVMCCNGQPGDKGADLFKECESYDKAMKDKQEALEKNKQRPLEM AMSWAAMTSSMLAASSAAAASSSPGLASSGVHAVPTGN AOR_1_868134 MASHQLAIAKASFSAGLLRPDPTSVPRDEITTFHTSFDRALSHC SSANIQTCKEWLLEYVVSSSNRVNVWAKYLVALSGSFSEDRQQPPAKPQQTRPISTKR KRLHILYLLNDLFHHTKYHLDSTAAFSTLTGSLQPYIVELLGYAASYDREKHPKHHRR LDTLLDIWEQHGYYGSDYVNKLREVVKNSALSGPVKTSIDVEESNTDSAHRLPSKDVP FIMPSTHGDPSTPYYDLPAGNLVPHIIPNSTVPLRPDSIKPLQFLAGPADEKLVIALK AFLKDVDQIYGIEKPEPKEDEVVDIDELGQTVIRDKHTGDILSGDTYYGWSRGFCQQM KKRNAKSSSRSRSPSPRKRRRYSDSYSDDSRRSRSRSRSRTPPRRFGRYDSRSRSASQ SRSGSRRSRSREKSYSPRSPSPPRSIPAQHHNQFNNAAPPGFPSQPPPMHFQQGSPAF PQGMPGAPPPPPNYQGPWPPPPPPLPNYGPSNFPPPFQPQGGQFPQQFPPVHVPQGQQ MPPGSYHFPPPHSGRGWNQHGYPPGRGWR AOR_1_870134 MSRTMFIWNLKGKILSIGLGVSQLLTSTTASQNGQPAFAEFAVE TDDDTSVVSMERFKSLLKSVHCTNTSMAVNFKNQKSFEYTKRAWNWVNDIERNTLIVV AGTDLGPEIVFSFGISVGPVAGSASVSSGIDISLLDSAELKIDLLSPHVVHSGWTPQV RTEPVKVDAQIEAGINIHAKAAIQLAAEALGHGFAAGINLKPVLGATLSLSQSTAGVC AKDKEHHVFGVNVAPSAGVSLNAEITRASDKGNPLAKLTIADLKEAIPDACVGFGPIV AKSSLPAKRDSSGRASSQSDADSLSTASSSSHVRRRHHKRHHHHGKWH AOR_1_874134 MAIAVRFVRPTIRHFSVRRPQPDTRTSRFVTTSCLFSAVVLPFV PPALESSRAKNTDGPNCNKPHPPLCFHAR AOR_1_872134 MNTIRSTWVGWGTLCVAGGGAYYFAKKSINADRAARYEAENKRK SKLAQMEAEYRRQSTYNEKVPTPSESPSLKRANMAQFQSAADDVASPSAEASHDPAPT RHEPESEADRVLEKGKYEAAQPFRPPRGNRFS AOR_1_876134 MPIKRKATDSGRSSRASKRATPVPDIQDVDSSDEFSEYDPKEDS LNEVVDKFSLESFSNKKSSAVQKQDPNFGYKDFSSLPLKPDHANRPLWIDPLKGTITL ESFSPLAPQAQDFLTTIAEPLSRPTHLHEYRLTGNSLYAAVSVGLQPQDIINFLDRLS KTPLPDTIKSFIIDFTKSYGKIKVVLKHNRFFVESTDPSMLQMLLQDEVIGAQRVQGG SAGIIQQAAPKMGGLVIPGTKDAAGVKQSTDQQQPADDNQGEKRQEDDLLLAIRDEDD DEEQAQVHSFEIPNEAVEPVKARCQAMGCPALEEYDFRNDEINPTLDIDLKPQARIRS YQEKSLSKMFGNGRAKSGIIVLPCGAGKTLVGITAACTIKKGTIILCTSSMSVVQWRN EFLRWSNIDPGDIAVFTSDNKEKFRRSTGIIVSTYSMVSQTRARSHDAQKMMDWIQSR EWGLMILDEVHVVPASMFRKVTSAIATQSKLGLTATLLREDDKIKDLNFLIGPKLYEA NWMELAEQGHIAKVQCAEVWCPMTTEFYSEYMREKSRKAALLYIMNPRKFQACQFLID YHEKRGDKVIVFSDNVYALERYALKLNKAYIYGGTPQNERMRILENFQHNEQVNTIFL SKIGDTSLDLPEATCLIQISSHYGSRRQEAQRLGRILRAKRRNDEGFNAFFYSLVSKD TDEMFYSSKRQAFLVDQGYAFKVITHLQGIENLEGLAYATPSERRELLQEVMLQNETS ADVEAVTDDLFSERSGGPRAKKGAVKRSAATLSGLAGGEDMAYIEYNKSRNKQLKDKV GHHPLFRKIERERQKRKKEMEEFGMH AOR_1_878134 MRFFTLAITIFATSTLAAPAPAPAAINPDWMGGILNIFNRPHGK VSAEASASPSASTASSSAAVTPTPSPTVGTIVPLNQAWCKGIIAVVSFRAGYI AOR_1_880134 MATARKIELSTSDSGVYSAGVREDAARAASEVLQENLEKHHIYF NDSGFHNHIVHHILTMFALGASPDEIKAAFERNKSYQRPALPANDSVVQSLYDQARFK ECLGKRNNYPNFLEYFQREIETKGVENVVNQYIFAGDDLAEDMLVRLFGGLIHPLIHL GFGIEFNQPAIVAEALAQAATHEDWTGPMFLLPAEKAAGGIGKPGKKTLLQILEEIRN NEKLANSAHWDDENRMRDGVLVRAPDEMIKHAAEFTISEDQIEEKLVEIVDTVAYFTA TAQRPSKQVKFDFFYIHGMNATIFLTKFISLPWLEVRSKLRLLEWKGRLNLLLYVSRN TPELYLSDVTEYQASRTWEDIFAYANAHPRDDGHIGKLVRAVANGERVCRPYEAKAND LGLTITGDMWLKIGNMVMDSTSDEHSVWVRSTGFDGAWEEFEDRSRL AOR_1_882134 MAGDDNSNRNRDSSAQSSQLWPDDDNPFVAFRRFADEQISSMLQ SVTGLPSMVTAPPPDHWSIFSDDRYYKDAYTRQRRSGDGNEGDHYAGSETGASAESTD NPPSKSRWPGSDDPWQSHRTRRQTLPHDSFDIDFFFDSFFDRFWFDDRVSSRFFHPYN RPLFSSMINDESPAWPVTYLMFSPYSPLHLERQAQYRSSRERGVFSSLMSTLNLSAEN DPTEPQWREAFEDLLRLENGKPMLDRDPADISKRESGKDWLQGLVKRGSLGDRFKYIS GTEGRPWSTITFDSPKSTEDGRSLAEEEASTNSTKAEISWGDAETESVTELDMYDRFL ADIEAREREFFKDAHESPLLRLLLEDRYRTPDNRVSSRKANWVEDTESSLDQVSGEHQ KTVSETDSKPSTNTSPVETNHSVAENKHYVISTKTSTERIRLPDGSIQTKTLKTQQFA DGREESNESIEVVNPLQVNREPTNPEGAPSDEKPSGWFWKGE AOR_1_884134 MGHLVTLATCSLNQWALDFEGNCERIIESIRQAKKAGATLRVGP ELEITGYGVLDGFLEGDTFLHSWEMLARIIDHADCQDIVVDVGMPVRHRNVRYNCRVI FYNRKIILIRPKMWLANDGNYREMRYFTPWQRPQEIEDYYLESIVGKITGQYKVPFGD AVISTRDTCLGLETCEELFTPNGPHIPYGLAGVEIISNSSGSHHELRKLDTRINLVTQ ATKLSGGIYLYANQQGCDGDRLYYDGCAMIVVNGNIVAQGSQFSLNDVEVVTATVDIE EVRTYRSSASRGMQASKQTPFVRLDLDMRLSRQNEEADPGLAPSEAIAPRYHAPEEEV ALGPACWLWDYLRRSGAAGFFLPLSGGIDSCATAIIVHSMCREVIKAVSEGNEQVIKD VRRLCAEPADSTWLPTTSQEVCNRIFHTSYMGTQNSSKETRDRSKRLSTDIGSYHVDF NFDTVVTSLTNLFTMVTNFQPKFKVHGGSRAENQALQNVQARLRMVLSYLFASLLPTV RQRPGGGGLLVLASSNVDECLRGYLTKYDASSADLNPIGSISKVDLKKFIAWSRDSFE LPILHEFLNATPTAELEPITSTYVQSDEADMGVTYAELSTFGYLRKIAKLGPWSMYER LLHVWGNEYSPREIYEKTRHFFYNYAINRHKMTVLTPSYHAEQYSPDDNRHDLRQFLY PSFTWAYKKMEDSVKYWESKGWTAGKAQKKNVKAD AOR_1_886134 MTSHPQIPTPAHTQAESMLSRQFGRETVNYFSSSPLNRLSFLRT EHAFLSAAIKHPSTRFVLLKDLAPLTKSPSELYYAHYTEVEKLVPETIYDKTEEETIK EYDSRKTTAQLIFLGLDESRKQDGLAWKIYTGAPFFALDVTPKGDEEQQTNSKAVISA MEEKGLSFFQSRVVMTFSADEAAIYAQSRALMDWNNRNSFCGTCGHPTLSVNSGTKRA CPPTDVARVAEGKPAERPACNTRTTLSNLSFPRTDPTIIVAVLSTDAKRVLLGRSKRY PPNWYSTLAGFIEPAESVEDAVRREVWEEAGVTLSRVIIHSSQPWPYPANLMIGAIAQ VSDPAHETINLSHDPELEDAKWFDVEEVEEALRIGVSALGDKAGPEYKEGGLRLPPPT AIANQLIRAAINMDLLAGDKTSKM AOR_1_888134 MLISLDTSAWAVFKGLDDKALTHDPPIEYGSEEEACATDLRQWY QETGMAMVADNQLQASINRESREGTPTSSAALSDSGSIESTLRDARGESSFSSRGSRR GKKSHRRITIHELRDGRRYTEVGSPSGKESIHELRDGTVYANL AOR_1_890134 MDANEQFPTSEPLRASRIPIAQLSPSLEHFSESSIHASVTLLWP YSSSTKSLSLLLAEPDFRLRHSNGQVKAVFHGHIAESVAQSHIGIGDSVYLSLNGARL SDNVTAPGTPGRSVAWDMHFDDRVFLEIWRSLNHLSTVKVDPPTPSPNDTTDAPPATP IAKGYDRSDRNLLIDGLSSWQSPAFLGRSRTALGGLADSVLDQFAEEDGFVPGKGRKR PRFSMRSSEWRVIDEPESPGERGEVPDWTHIFDEDWGSEPEPGPDGLSETEERPPDAT KIKNTGIDSEEVSLTVPASEADVAMENASLASSRTSPERHIAQTADGIRLPPHGISGI AEFSRKRGVLNCSGHLPIETPRLHPIPSPGLPVPSPLVTMSNSPQGYFTPAAATAHSH TSQIPTTLSREVTDLENEIPGAQPDTSQTPECKETVITSGTEDRAIGVPEFTSESNST KITLKATSQPHTMANTGLEGLLPDEDSKAAAEPAQVHQFTPHISEKDTGRLDGHLGDT DQLRSPNRVGNEFELDETCIEAQMKAESEKDKGETHQEQEVVKEDNLEDNGHRFTRTS KSLVPGDTRASGVAKVVEYGTDGSGEEDTEALERSGHYHDLATQSETYTPETDREHPT GVAHEGENKWERKESEGESKEELEEEEELEEEQAQGIKETDREGDADNEEMERDDLEA EWDDEEGWYEEEEEEEEEEEEEEEEKEEEEDGEQEEGEEAEDMYEDEYGDGAESEIVS DQMSIDTPTQPPAMKNVHPEVIVLDSDSEDEATPASQAYPMTTSRDEASTQEIESSEP ETSASEEGSLRSRRSDNSESSEDEEDENWSDENSEDEQLLDETGGEMMEDERGRANGE QQRAGHAVDELSDNGSTRSEQVDEDSVDAHEEYQADNEVMKEQPETKRGQVSVSQPMD IRDAGSDTDEPTPQHYQLGHTIRAPFHDSEQRNLDYHACTMASAQDPHSDSRTTLHEF ETVIDPELQNIGLAEEQANKDVEEEHRSDYIYAEPRPEVPAKIPEVVQDIDQEKQLYN PKAKCHQRFKLGQLRQRNDISMSLKTLSMKHVSSLPSGRESMNSRNFLRMEMWERQRL SVETQPKQMSFIQMMNPLEI AOR_1_892134 MTISKQIRRVAVIGAGPSGLSAVKYLLAEKAFTKIDVFEKRSAV GGVWNYTPPALKQSTLVPVPQLDPNEPGDEPIWHPTADIQGPLEPAFISPIYSTLDTN IPKELMAYGDKPFPPDAQVLPKYSTVKEYLDEYAENVQDYIHLDTQVVNIKSGDSGAD AWAVTTKNLRTGVGRTDTYDAVVVASGHYDVPYTPDIPGIKIWNETYPGIISHAKLYD SPEPFRGKKVIIVGGSASGIDIGSQINRLSQGEVLASQRTESYLMPSNATDKDYVPEI VEFLPPTEYKRAVRFADGRIENDIDAIVFCTGYLYSFPFLSSLDPPVITDGRRVLNTY QHLFYIHNPTLVFPVLPQRVIPLPLSENQAAVFARVWSGRLTLPDAAEMKAWEDDTVI KKGNGTAFHLLHFPLDADYMNLLYKWAGSAEPRQGLPDNGNGKQGNYWGERERWMRQL FPEIRRTFIGKGDERHKFKSIEQLGYDFEKFRKEQAHL AOR_1_894134 MWRKRKISSAVSTHSSDKYRKKRYKNTHPVITSDEENDNESSAL AEDEWYINCILDETESQYLIDWEGPWSPSWEPKEHANDAAIQVWEEKRRHRQSQADSH LTEISETQPAIQFSQGYSVESIDSSDQRLEQRESSPLFVPFDSVSELCPSLEANRWTE VPESKQVTPITAPTHRHGDLTLPIASAAALSDAACVFEYSPSTAIPLEYLLPEELQLL LVKVKY AOR_1_896134 MSTGNMESHNQKKEVPSLAETMEKYSQYEGATPREKMKNAYAQL SAKANSLQAIDMSVTPSSVEDIEPVAPVSVPETTAPLSVRVDKEPTVHHTEPEMNPAS SEPLEEMSHQEQSIQTIQPSALTVTHTEEVPPGSVHLGPSEFAVPLPMDSRVKDDYER VLVDETQGIRNFLRGSSSSGMSNGHDERLVLKMREILGSLSNVATHPDLNIAEHIKDS DSDLGKEAAWAEYSSAKFLFLGYLVEIASNRDIHLVIMVQGEKTQKVVERYLIGKGLI YTRPREEMGSGTNLEVSLVKGSLSLGIQSTLSEGITETYKSPSAIIALDSSLNVKSPS VEHMRTTFARHGNLLPIIRLIVSNSSEHIELCFPDPPELQRLQLIVQYTVRLRNIVGD LQDDALGVREDVEEILPWLYSDHFSISWPLTPIEPLHVVSSDKLLSVQLEAQPQTTVA GTPNHNTQAQKRLFVEDSSEHTSKRLRVESSQDNTQLTESTKFPSQTLDSGLHALEKN LVQMRTTHAAELEKFQNALTDMQTRLQEREKLLESLQHRYETRTKDLHKIRRERDRLA EYKATSEQKIEKQREDISKLKDERTQLRQDLEQARAEIKTGGGAVAELETAREDIRRL TQENAGLERKAEYEAKQAEYTREQYQTASNMAAQTGNEVRQLREENELLKRKVAGNAS RLREINKENDGARHLSRISELEASLASREDLLRRKEDELREIRKNRPSTRSTSTQPRS PRLTAGSRPTSPGINNHNGRGSALRFSSEMPS AOR_1_898134 MEGPRGVYLFGDQTSDFDAGLRRLLQVKNNTIVASFFQRCFHAL RQEIARLSPSERKIFPRFTSIVDLLARHRESDPNPALESALTCIYQLGCFINYYGDLG NVYPSASDCHIVGLCAGLLSSAAVSCSNNVGELLPAAVEAVVVALRLGLCVLKVRELV SSDQASSTSWSVLISGISEKDASQLIGEFTAERAIPPSSKPYISAVGYNSITISAPPK VLDDLIDSRLSKSHKPVRAQIHGPYHAAHLYYGRDVDRIIESCHNEVVSNYTPRIPVL SSTTGQPIEAKHMKDLLKAALEEILLRQLCWEKVTDACYSILKTARHQPCKLFPISST ATQSLFTALTKAGITDIEVENGLGDVPTNPKDNLNISGRADCSKIAIIGMSGRFPEAD GTESFWDLLYNGLDVHRKVPAERWDVDAHVDPTGTKRNTSKVPYGCWINEPGLFDPRF FNMSPREALQADPAQRLALLTAYEALEMAGFIPDSTPSTQRDRVGLFYGMTSDDYREI NSGQDIDTYFIPGGNRAFTPGRINYYFKFSGPSVSVDTACSSSLAAIHMACNSIWRND CDAAIAGGVNILTNPDNHAGLDRGHFLSRTGNCNTFDDGADGYCRADGVGTIILKRLE DAQADNDPILGVINGAYTNHSAEAVSITRPHVGAQAFIFNKLLNDANIDPKDVSYVEM HGTGTQAGDAVEMQSVLDTFAPDYRRGPGQSLHLGSAKANVGHGESASGVTALVKVLL MMKKNTIPPHCGIKTKINHNFPTDLAQRNVHIAFQPTPWNRPASGKRQCFINNFSAAG GNTALLMEDAPIAEVKGQDTRPVHVVSVSARSQSALKNNINSLVKYIDEQGRSFNVNE ADFIPSLAYTTTARRIHHPFRVTAIGSSLQELRDSLNNSSRLESFTPVPATAPGVGFV FAGQGAQHTGMGRQLYEKCSQFRATMQHFDCISQNQGFPSILPLVDGSVPVEELGPIV TQLGTTCLQMALVNYWGSLGIKPAFVLGHSLGEFAALNTAGVLSTSDTIYLCGRRATL LTEYCQVGTHAMLAVKASYPQVKQLLKEGVDEVACVNSPSETVVSGLTADIDDLAQRC STEGWKSTKLRVPFAFHSAQVTPILERFQEEAQGVTFRKPSLPFVSSLLGEVITESNY DVLGAQYMVKQCRKSVNFLGALEATRYAKLMTDKTVWLEVGAHTICSGMIKATFGPQV TTVASLRREENAWKVLSNSLSALHLAGIDINWKEYHQDFSSSHQVLPLPSYKWDLKNY WIPYTNNFCLTKGAPQTAIQAAPQTTFLTTAAQKVVESRDDGTTATVVVQNDIADPEL NRVIQGHKVNGAALCPSSLYADIAQTLGEYLIEKYKPEFKDLGLDVCDMVVPKPLIAK GGEQLFRVSAIANWAEKKASVQVYAVNADGKKTVDHAYCTVKFFDTNASELEWKRISY LVKRSIDSLHQNAETGEAHRIQRGMVYKLFSALVDYDENFKSIREVILDSDNNEATAR VKFQAPPGNFHRNPFWIDSFGHLSGFIMNASDATDSKNQVFVNHGWDSMRCLKKFSPD VTYRTYVRMQPWQNNIWAGDVYIFEGDDIIAVFGGVKFQALARKILDTVLPPVGGSKA PITAKSPPPARTQKANTGAKTRPKAPVPSKSFTKSSGPSVVVRALSILASEVGLAESE ISDDMVFADYGVDSLLSLTVTGRYREELNLDLDSSVFTDHPTVNDFKRLIAQVSPSES HDGSSSEQESNFSFNGGESSSASTPDITSPPNEKVAQVEQNGTMKEIRNIMAEEIGVP AEEIDPDENLGEMGMDSLLSLTVLGRIRETLDMDLPGEFFIENQTLNDIEVALDLKPK TTSAPIPMPEPVKFPEAIHDLQPKLAQHPKATSILLQGNPRTATKTLFLFPDGSGSAT SYATIPGLSPDVCVYGLNCPYMKTPEKLKCSLDELTAPYVAEIRRRQPKGPYSFGGWS AGGICAYDAARHLMFEEGEQVDRLLLLDTPFPIGLEKLPQRLYGFFNSIGLFGEGKTA PPSWLLPHFLAFIDALDAYKAAPLPFKDEKWAKKLPKTYIIWAKDGVCGKPGDPRPDP PTDGSKDPKEMVWLLNDRTDLGPNKWDTLVGPENIGGITVMEDANHFTMTKGEKAKEL STFMANAMA AOR_1_900134 MAPLKTLVALLSANVLTSVLAELVKFEVDLTWAKGSPDGNLRDM IFVNDQFPAPQLTLNQYDDVEFTVNNHMPFNATVHFHGIVQLNTPWSDGVPGLTQKPI LPGGTFTYRWTATEYGTYWYHAHARSLMADGLYGAIWINPAPEIPQPFHLISDDEKDI EAMQKAESDPKLVILSDWSHYTSEEYQKIMEESGVDLFCVDSILINGRGRVHCPGADF INSLQTDYLKASIDNLPLTDKGCYPKIYKTQGSFPRNDSKVPPGLESGCVATAGTHEI IEVDPEDGWVSMKFISAAWLKAVIASIDEHPMWIYEVDGHYIEPQLAHTMVMYNGERY SAMIKLDKTPKDYTIRIPDTNADQIIAGFATMRYKGSDQTGESEPYVDYGGLNTTADV IALNESILVPYPSIQLPTAADQLINLTFGRRESAYQWTLEGKQLYDVMANYDDPILYN LTAKENLGDKVTVQTQNGTWVDVLLQVGIMPNTPPIQAPHIIHKHSNKAFIVGEGDGF FNWTSVAEAATERPELFEFERPRLRDTFMVLGLLGPRWMVIRYQVVNPGPFLIHCHIE THLANGMGVALLDGVDEWPEVPPEYAL AOR_1_902134 MTPSAPQLPSRFPCWCRAVYSWGGETKRDLGFVEGDLIECLNAG DGQWWMGRLRRDRRMVGLFPSNFVEVLGEDFVPVSRTTTGPMVQAAQSPITNPTSAPK KQKTVFRKPFQAHKEALAPSGELSRNGTSTSLVKSSIPQTPPRDGSHPRKVKPLRTPA SSVRQSTPTARPSRSRPPSRAISPRPPQEPDLPSISTPTTSNQTVSRPRPPSRAVSPR PPEADPSLDPTIPTGQDLVVYRPPSRVASPRPLDQSDIAPPAVPRHSVSLSRPTSREI SPLQLQEREESPPPPPPPPHRVAVNRQPSIDVPARYDMNDRYVTMPRTPSPAAHSDVN GHTPSPLRDAMEDVMTSLEDMGMSRETHSPSPRPMFDDPWSPDAYDSLRDSRSLQVMN RPLTSLGFDGEKEYQYHGGQPHRGSVYAQDHFLDGPPQLNNYVQRMESRLRQMQEQSR RGSEDVEMPEDDEEPPPPPPRNVPYHGRHNSIPAQYPYLKNRRSGQDMRAEMLNRSYT NKSSTTNSSSGVQSNGTNITTSTERTSQSLMSGPSAGGFSATSAGSYARRGIGANERP NTALDTFRTRGFSDVSRVSRPETPLTGVSYHSSHNTSRQGASSAVPWSPSGTGPEESA GVFGGLSTPKAKKQGFFKKIFESAKTGAANARSSIAVGQNGGSYSPTKGRATSPIRSS HSHRDTAREMGLGSNGIDWVQVRRDVNRASSPSRNERMERVERCHMMDYPVIYAVEEL YETAEGDESIDGLPISEPTNFGSVNLSLVDKSARFVNSLPPMTNPMSLAQGYVCRPYK SDVQRLRAIFTWVSEKIAWDEPVEEVGMDLRRVLQTKRGSPQEVAFLVKEMCAAVGMH ADAIKGYLKSPGELFDLDSLSRANHWWNAVLVDGEWRIMDCSLASPTNPRRSQFVTNN PSSAESWYFLTRPLEICYTHVPLSHEEQHICPPISPDVLLALPTTCPTYFKMGLQFPD YDTSVVRIEGLEVLQIRLFVPPDVECAAEIEAPAFARDADGDFFESGEVIRKRALVQP DWVNGQKRITVKAILPGDEGQGTLKVYAGKKGLMHSSRDIPHPLALTLPIIHAGENPP YDFVLRHPTPHAQRHDLYIMQPQCARLAVNNTFVFAVRQHPSSPSSSSMSSEAGVSGR ISPSVFSRPASALSMVSSSAGGSSVSTVSNEFSASTSAISSGRSASGREKPAKLAIQS PSGKILRLTRKADHMISSNSNAESGTDATADGSVWETVIKIGERGTWRGLVLADRVAR WCVFCEWECF AOR_1_904134 MANNSEHTLFRFLKAALQTHHRFLSGALTRAEAPVYVIGNPSAD LDSIISAITYSYFANNTDRHHVPLINLPNVPSGSELHRLRPEFVKALWLSTHPPARGE QPWEETPESAGAILHDHILTVADFNAHMEEKGKVNEKYQISADAVLVDWNALPNDTPD GQKGKGSLDGLPTVEFNVIGCVDHHQDDGFLRPGIQPKVIEKSGSCTSLVIHTLNKRG IWSEGRAEDSHTRRMAAEEQVASLAITPVLIDTANLTAKDKVTQFDIQAVDFLTPKFN KGATDKDKLYAQVLEAKQNSLDLLTVDEILDRDYKQWTETSPREPGTPLNIGFCSMVR SIPWVVRKAGSPQEFLDAVYEFASKRELGIVVVMAAFSSSDDKFHRELFVCALDEGLA VDALENFVKQSNSQLGLKEWSSLDGDGMAIKDLLNSDGAHKWRHIWTATDITKSRKQV APMMREAVTSLK AOR_1_906134 MSFSLPETFAKLPRYPLLYPYPSPIHPLPALTRHLNHNPRSAPA VSLFAKREDHSSPLACAGNKYRKLEYIVPDILSNSSLHGPGERSEAKATTTLVTEGAI QSNHTVQVASVARRLGLEAIVILHKATGGGLTASSDKDVFLRTGNVQIARLLGAEVRM LEDSSTVDNSDPITPILEGLRAQGKVPYWIPSGASLHPLGGLGYARCAFEIAAQEKEQ LGENGRFDYVFVACGSGSTVGGLIAGFKLLQKIEAQRQDENAERVIGRKVIGILNSPT KPREYHEGRVLNFARRAAYLIGLDPERDVTPEDVQLDDRFAGTAYGELDQTSKKTLAF LAQEEGVIVDPVYTVKVMRGVMHWVQVGELIRDWSRRLESSPSEGRVNALFIHTGGQS ALSAYADIE AOR_1_908134 MVAPASTGGNSTGGQPPASRQPTRSSSTHPSHSHNVPLSARRST PLDLSTVERRGQPNAPREPSKRIRPHGLQEAPTFRPTEEEFKDPEKYIRKIAPEGKKY GICRIIPPEGWQPPFAIDTERFHFKTRRQELNSVEGGTRANLNYLDQLAKFHKQHGTN LNRFPSVDKRPLDLYKLKKAVEVRGGFDQVCKMKKWAEIGRDLGYSGKIMSSLSTSLK NSYQRWLQPYEEYLRVAKPGVQQQLELEHGGPYTPSPHQSPMAKKPMPLDNGTSHMLP KGTMSVPPSAPQSTPREVEATPDKPTPPIEPTPSRPIASGFTPVNASSGFTAVNRSPS FVAVNNNPTIKREIENGSLTPKSVAEHPSISTPVSNGHGHHTKRAISHESGSQTENGD DPNGRRSKRLRKDAPLPTIAGSHMSLLRPAPPRARKSDGRKTGDKCENCGKSEDISSI LVCDSCEQGYHKYCLDPPLTTIPEYDWHCPKCLVGTGEFGFEEGGVYSLKQFQEKANN FKKSYFASKMPFDPVLNSHRRESEDDVEREFWRLVESLTETVEVEYGADIHSTTHGSG FPTIERNPLDPYSVDPWNLNVLPFHGDSLFRHIKSDISGMTVPWVYVGMCFSTFCWHN EDHYAYSANYQHFGATKTWYGIPGADAEAFEEAMRQAVPELFEGQPDLLFQLVTLMPP DQLRKAGVNVYALDQRAGQFVITFPQAYHAGFNHGFNFNEAVNFAPADWEPWGAMGVE RLQDFRRHPCFSHDELLLTAAARDASITTAKWLSPALQRTCTRELSERASFFSRHREV APHHCTLGSEDAMDIGGCQLKFVVEDEDLPEEDYQCQWCKAYAYLTQFRCHKTGKTVC LSHIDMNVCCGEPLKQKLLGPDHTLRYRFSDEALKALVQKVQDRARIPEAWGEKLDKT LEDEPRPQLKVLHNLLSEGEKIPYHLPGLQDLAAFVQRCDKWVEEATNYITRKQQNRR KNEKAWRRSSSKAAQLEERDREVRRVENIYALLAEADKLSFDCPQMASLEEKTREIEK FRQDVNVALMNPHIRSVQEVEDLVESARNFNVDIPEVEGLEHILRQMKWNEEARRKRD QYLTLKDCQELILAGEQLGLSDTNDHLVYFKDLCRHGEAWEAKAKELMSVEAVHYQQL EALSAQASRFPVSPETLSAVDAILTKQREAQKKIQSLYERSKDPEFRNRPKYKEVREL MESLEELNSRPTGAIDLEREQKRHEDWMRKGKKLFGKANAPLHILKSHMEYVEKRNSY CFDLEDRCRPPVEPSSRDNTPDGLLDNNNITPSMWGGGKSRKRDVFCICRHSEAGMMI ECEVCHEWYHGKCLKIARGKVKEFDKYTCPICDWRQKIPRDAARPKLEDLLDWQAEVA GLPFQPDEEQTLDNIINQAVGFRDFLHGFTNAACTTTEEVPTLIFYLRKIEGAEVLLA YETNFFRQEIHKWAPVAPEPPPILEQSLSTRKPRPTKQQKIMAQLGVDRPEDLPPHLR TKHPSRKSIDLQSGKSSLLPESQTSGDGSNSDSNRGEPTLAPMTDAQNPPYPFSANYS LPASDSTPAFAPSSSAFLPHVAAHSPSFPARSPSPSHEGLDASLFSSPRFNRDPDDGP PGVDVDNENPFDSSPRQNLDDVFADLTNQDAEPEPEPGQEPELMENTHANEALEVLDA SNGDRSETPQDEEPQDDKSSAEVNGAVEADRSTEDL AOR_1_910134 MANVDDVHTKPIPAFYCCYLLRSTVRQTSLYIGSTPHPSRRLAQ HNGVSRGGARKTANDKRPWEMVLIVEGFMSRTAALQFEWAWQKPGKSRHLGDDDNTES AREKGKTRPRGPARSLKGHLENLHALLRSTYFSGLPLRVRFFADDVHQLWRVWSDRID GFIPEHIKVIPDGSCFENRQPGIEYLRVGSVEHIQVDYSKIHSYLEKTTFQLDDPEDL QCKVCQAPVVPKEELVLVCPQTRCYCVSHLLCLSARFLDSTKDRGRLIPISGKCPGCH KTIQWSLMMQELSFRTRGAKELQAILRKKKRGDCRVKDVPEKDTDDVGTVTAECCDFP ESVGGSIEGPGDASDESTHDDVRLDDDWYESLGIESDHEIADWSESHPAMPTRVEIVI EDSDCDNTG AOR_1_912134 MSENTISGGTRGALIVVEGLDRAGKSSQCENLRDYLQESGHSVK YVRFPDRTTAIGKLIDSYLRGQSQLDDHSIHLLFSANRWEIAKVIEEDIAKGITVIVD RYSYSGAVYSAAKGIPTLSLGWAWQPEVGLPRPDMCLFLRISPTEAAKRGGFGVERYE NETMQSRVRELFQTLFDLQPNGDIHVVNAGRSFQEVSEEIRGKATDCIARVEIIGPLR KFDMVAA AOR_1_916134 MGSDASQRPPNDMVNFGLLNSATASTLAPRLGKLAIAGRNAILT PHYIPLTSRGTVPHIAHDVMREQTAISGLYFGLEDFIEKLQKKGCPPVYKTPAAPNES PLRNFVCLPEDPVLVLGPRRVPPLACPASNTPNSIAVLTTVGFAQLETGQYVEAVQKM RPDIAVGLADLVLTHRPGTKRQGKMVDRTHAFTTYSTEQLYGDAVSEENRSKTAYFAP ILPLDNAQQTLYLEDLEDELRRYISGLALYESASLSVVPESLGDLPRLLFSEPATPHD ILREVSLGADLLTIPFLGDTSDAGMALDFVFPPPSDAPATEPKPLAIDLWAPTFTTDT APLQEACQCYTCRNHHRAYIHHLLSAKEMLAWSLLHLHNLHTMDSFFAKIRESIEQGT FESDVQRFQRTYAPQLPEKTGEGPRVRGYQAPSRGPNEPRRNPRAYGRLDIIEKFAES QSSVATPDTGAEGLEEHGFAKKLSS AOR_1_914134 MGMFPFPLIGGPVKLRPKPFPPRWISPQSSLFNYHLSRNFAGTD SGIKMEKITDKIAALPPDANYFSLEFFPPKTQMGFANLQARLERMAQALRPLFVTVTW GAGGSTAQRSLELAEICQRQLQLTTCLHLTCTNMSRALVDEALEEAKVLGIRNILALR GDPPRSEEYNMHGEDDSNRDFTFAVDLVRYIRQKHGDYFCLGVAAYPEGHPVDSFQDV QDPKTDLPYLVEKTQAGADFIMTQLTYDIEAYTRFENMLRNHESGAFKTIPIIPGLMP IHSYKILTRVTKLSHVQIPPQILSKLEEVKHDDDSVKRIGVDIISSLVDGMRDIPCPG LRGFHFYTLNLEKTVSFILERCDLIPPYSEDFDAIEEGGDISAMDGAHLRSLTRRRAS SINSLPHNRVIVDKLHASEVSSKASVSHEAPATSAGMPAMPPDRSTTLQISEGLGALG REATWDDFPNGRWGDARSPAFGEIDGYGPSLHVPPAVAHRIWGFPVSHEDISALFHRH VSGELHMVPWSEGGAEEGSSGLNAETEVIRPELLQLIDGNGWWTLASQPAVNGVRSDD PIYGWGPPREGFVFQKPFVEFFCPSKDYHSILKPLLQKHGHEKLAWFAANVKGDFESS LPAQTSDADPIEMNPNNVNCVTWGVFRGKEIVTPTIIEEVSFRAWGDEAFRIWDEWRR IYPRNSATERFLNDIKDDVWLVCVVGQDFGAGTEMGSEEEEDEKKFMWRVLLNAKK AOR_1_918134 MSLFRRQSALFHQNRLSSYLKYLSRRQFYSSPASSTPKDSTSRL RKLNDRLPSFLRAYTTPLLGAPGTHITSFLILHEITAIVPLFGLVTAFHYGNWLPDLT SSSAFEEGTRRFGRWLRKKGWVEDVDMDAIEVVGSVNSYDPVRNDQMAESDRKGVRLV LEFATAYAVTKALLPLRIAASVWATPWFARVILVPTGKGLKKLLGRK AOR_1_920134 MSDEQTFIAIKPDGVQRGLVGPIISRFENRGFKLAALKLCSPSK EHLEQHYADLSSKPFFPGLVSYMLSGPIVAMVWEGREVVKTGRTILGATNPLASAPGT IRGDFAIDVGRNVCHGSDSVENAKKEIALWFKPEELQKYKHSQFDWIYEKA AOR_1_922134 MAGRRLLSTRWTLLLSLVILLGCLVIPGVAVKHENFKKCSQSGF CKRNRAYADDVAAKGSAWSSPYELDSSSIQFKDGQLHGTILKSVSAEEKVKLPLTVSF LESGVARVVIDEEKRLNKEIELRHDSQARKERYNEAEKWVLVGGLELSKSATLDSESE TGVTRVLYGPENNFQAIIRHSPFDIEFQRDGQTHVHLNNKGYLNMEHWRRKVDTSEGD GEQNSESQEDESTWWEETFGGNTDTKPKGPESVGLDITFPGYGHVYGIPEHADSLSLR ETRGGSGNHEEPYRMYNSDVFEYELNSPMTLYGAIPLMQAHRPGSTVGVFWLNAAETW VDIVKSTSSANPLSLGVNSKTDTQSHWFSESGQIDVFVFLGPTPQDISKKYGELTGYT QLPQHFAIAYHQCRWNYVTDEDVKDVDRNFDKYQIPYDVIWLDIEYLDDRKYFTWDPL TFPNPIGMEEQLDESERKLVVIIDPHIKNKEKYTISEELKSKNLATRNKDGEIYEGWC WPGSSHWVDCFNPEAIKWWIGLFKYDKFKGTLPNVFIWNDMNEPSVFNGPETTMPKDN LHYGNWEHRDIHNVNGITFVNATYNAMLERKKGELRRPFILTRSYYAGAQRMSAMWTG DNQATWEHLAISLPMVLNNGISGFPFAGADVGGFFHNPSKDLLTRWYQTGIWYPFFRA HAHIDTRRREPYLISEPHRSIIAQAIRLRYQLLPAWYTAFHEASVNGTPIVRPQFYVH PSDEAGFVIDDQLYLGSTGILTKPVVTEGTTTVDIYLADDEKYYDYFDYTVYQGAGKR HEVPAPLEKVPVLMQGGHVIPRKDRPRRSSGLMKWDPYTLVVVLDKNGEADGTLYVDD GETFDYERGAYIHRRFHFHDSTLSSEDIGTKGPKTAEYLKAMASVRVERVVVIDPPKG WQEKSTVTVIEDGAKTASTAAMEYHAQPNGKAPYAVVKNPTVSIGKTWRIEF AOR_1_924134 MYLVSLALEYGAPFFLITSPVTSYADQILSIHRSRSSAGFSLDI PLIMLVASILKVFFWFGDNYSFTLLVQAVIMIGVQMVTLKVALDNRPAPGVKNSLEHV PFSSVSNGGRLTRPYDFWQWKSAKPYWMFLAYFIAALSFIQICLPPIAQSEFYINCLG YIGLAVEATLPLPQIIANHQSRSCKGFRLSVVAAWILGDLMKLSYFFCSQEVIPWAFR LCALFQCVCDLYLGVQFWMYSKASFSAAGSPREPSGNWTVEEKDIRMT AOR_1_926134 MASDTETIRIGYVPEHYLTPLHLALRSPAVASLPFKISIVPFPS GTGHMITSLREKEIDIAIGLTEGWVAGLAGKQQAQKDAVLGGYKVVGEWVATPLRWAI VTGRERADLQGVADLKDKRVGVSRLGSGSHIMSFVLAQNHGWKSDSLTSVPLGPFQAL RNGVTGYDPSHPEQQPEPTAEFFMWEHFTTKPYFHPTAEKPHPPLKKIGEIYTPWPSW MIVASTAVFPDPEIDGKLQQLFKLLNQGIKDFEADTAQAVKLLGTGELGCSYVEEDAT EWLKDVKFVQGTRGVNRKTIEGVVDVLKVAGVIDSAMSNDEAVTRVVGVQR AOR_1_928134 MYFTKSLLALAMAAASLGGAYAKLDLSSTSNVVVYWGQNSFKGK GELAQQSLGYYCNDENIDVIVLAFLMTINGPGGAPEIDFSNANDNCTTFDGTNLLKCP QIGADINTCQKKGKTILLSIGGATYSEGGFQSESAAKAGADLLWKTFGPPTTQANSTT VRRPFGDAIIDGFDFDFEAPVKNMAQFANRLRELSDADKSKQYFLTAAPQCPYPDAAD KDILNGPVSIDAVFVQFYNNWCGVNSFSAGQQKQSSFNFEQWDNWAKTVSQNKKAKVL LGVPANTSAASTGYIPASELEPVIAYSKSFESFGGVMMWDVSQAYGNKGFLDSVKGAL RNSTAHLLRHGFRLPSIYPVIGR AOR_1_930134 MAPKSLFYTLFSSLTVALAASVPQTDYEVIVVGGGPAGLTALSG LSRVRRKTALFDSHEYRNAATRNMHDVIGNDGTVPSEFRGLAREQISRYDTATFIDKR VNTIETVSDEATNTSYFRAQDADGKAYTARKVVLGTGLVDILPDVPGLQEAWGKGVYW CPWCDGYEHRDQPFGILGALPDVVGSVLEVYTLNTDIIAFVNGTQTPDQEAELAKKYP NWEAQLEAYNVRLENETIASFERIQDGSWVKDRNGTRQIDIFRVHFTNGSSVDRNAFI TNYPSEQRSDLPKQLGLAMLGNKIDATTNPGMRTSLPGVWAIGDCNSDNSTNVPHAMF SGKKAAVFAHVEMAKEESNTAIDKRDDFVKEVEKRMGNDMEKIYNRSRGL AOR_1_932134 MAEQGGASNDVERVAQAPGSQGRLSTSEGHASRRISRVSYKEDW ANLDEYGKLVKYASTYREGGRGDETQGEEEVRRVWYAPWKKRKVLVRHVNQDEGQFPE DWLLTDIHQGLSSNEASNRRRRAGWNELVSEKENPIAKILSYFRGPILYVMELAVLLA AGLDDWIDFGVIIGILCLNAAVGWYQEKQAADVVASLKGDIAMRTTVVRDGQEQEILA RELVPGDVIIIGDGQVVPADARIICDVKDPNGWEEFKTMQNQGDLSSTSESDIEEAEG EKEKNKEGDDEKSQKPKKRGYPILACDHSAITGESLAVDRYMGEMIYYTTGCKRGKAY AVVQTSAKLSFVGRTATMVQAAQGAGHFEKVMDNIGTSLLILVMAWILAAWIGGFFRH IPIASPRQQTLLHYTLALLIVGVPVGLPVVTTTTMAVGAAYLAKKKAIVQKLTAIESL AGVNVLCSDKTGTLTANKLSIRNPYVAEGVDVDWMFAVAVLASSHNIDSLDPIDKVTI LTLRQYPKAREILRRGWKTEKFQPFDPVSKRIVTIASCDGIRYTCTKGAPKAVLQLTN CSKQTADLYKAKAQEFAHRGFRSLGVAVQKEGEEWTLLGMLPMFDPPREDTAQTIHEA QNLGISVKMLTGDALAIAKETCKMLALGTKVYNSDKLIHGGLSGAMAGDLVEKADGFA EVFPEHKYQVVQMLQDRGHLTAMTGDGVNDAPSLKKSDCGIAVEGATEAAQSASDIVF LEPGLSTIIDSIKVARQIFHRMKAYIQYRIALCLHLEIYLVTSMIIINESIRVELVVF LALFADLATVAVAYDNASFELRPVQWQLPKIWFISCLLGLLLAMGTWVVRGSMFLPSG GIIQNWGSIQEVIFLEVALTENWLIFITRGADTWPSIHLVTAILGVDVLATIFCLFGW FTNQDMPTKPADKFVETTNGWTDIVTVVRIWGYSLGVTIVIALVYFMLNKFKWLDDLG REKRDKGDLKIENLLGHLARLTVEYERPGQPPARFYLAAPKEEEEVE AOR_1_934134 MSNMQLTLATKASQASLLPVLLVATSINEARPSPVINITYEDKA VLEQGDKAVVQFTGVSGTPVFGTVNAIQELLKDFPFLNSKDQKLENEWLAQLDTFTTV DFKALDPLLQRLNTHLLLRSFIVGYSLSTADIALWGALRGNRVGISAIRKGALVNLTR WFTFLEDLCPWATSALEALNAAAKDKKTTKGKQGGANYDVALLNTDKGVVTRFPPEPS GYLHIGHAKAALLNDYFAHEKYNGTLLVRFDDTNPTKENSEFQDAIVEDLALMGIKPD KMSYTSDYFDQLYEYGVQIIKDGKAYADDTDKETMAAQRMDGLPSKRRDATVEENLAR FEEMKKGSPEGLGWCIRAKISVDDKNKALRDPVIYRSNPDPHHRTGTKWKIYPTYDFA CPIVDSIEGVTHALRTIEYRDRNPQYQWMLDALKLRSVQIWDFARMNFIRTLLSKRKL TKLVNEGVVWGWDDPRFPTIRGIRRRGMTIPALREFILRQGPSKNITNLDWTLIWATN KKYIDPVAPRHTALLKKDVVKATIKGAPAPYTEEKPKHVKNPSVGTKKVVYSGSILFD QEDAKSFKQDEEITLMNWGNAIVRQIVTDPSSGVVKELELDLHLEGDFKKTEKKVTWL STDQNLVPVELVDFDYLLNKDTLQEDDALEDVLNRNTEFREDATADCNVAELKEGDII QFERKGFYRVDKAYAPGQPAVLFYIPTGKTGGK AOR_1_936134 MASYSSLMPTAARSLLSSCRSPLRQGSMAPLLSSFQQVRGAKNN PQAQGKKNKEKSKKTKKGPREFKQRDLKDMDQFALCDAMRYLRAFEVGREPATSKYEV HIRLKTMKDGPVIRNMLRFPHSVQTESRICVVCPAGSRHEKEARAAGAVLVGEQEVFD NVKKGIIEFDRLICHPDSLEALNRAGLGRVLGPRGLMPSAKTGTVVEDVASRVEMLRG GTVYRERDAVIRLPIGQLAFTPEQLRDNLRATLEQIKKDAAGLNDRINKEIYEVVLSS TNGPGFSLNGEFKSDNSPDTASLSGL AOR_1_938134 MNKFRFGDSDESASDLDDDTSGLPFPEPLSRSSFLAPDFDPAKY LSSLTNRHQSLEDLRQELRDLDQLLSRELLDLVNENYQDFLSLGIALQGGEEKVEQVR VGLLAFQRDVQSVRDKVDARYREVEQLVEEKKRLRKNANVGRALLDYADRVDELERKL MIGDTTPARQGDAVKDLDTDSDLLDSESEGSEDEEIPNGVSATPLVSLKRLEHHIQKY VYLTRLSSRIGDDHPFLVSQQSRLSKIRSAVLLDLKTALEQAKIAGEKRDTKTLAVLR LYKLMGEDTSAVSALKNLKI AOR_1_940134 MDYKSGRRLFPHPDEIHSSLSRQRWPRFPLAHSHPVVETAGPSQ QANSYRYESAVDDSARAYRTTALRHLSENSRPLAWNHGQVTQTGGGHPTSPSQPVLVR AYSGGPGDSAKTSKMSLRRSFPFTSRAETPRRGPELPSDEDFSIDGILRAIEPNIRHT LDSIGEICGRSKLSLANEYGSHIAPLGEIRAPPSGLMPVDEASSEHERQANDNVVIYD DDHSVMDGRDHRSPMQFGFMENARQSTVSRNAGFQSMPPYYGADGSSVQVHPDTPRSM GFNTTVDSASALGPLPATREIASRSKSCGRVLLATNAESSTDDRRRLIQTPALVSEVL LDAHANGHPLGTEPTIMQSQALFGSNSDSDVVRRSWGQPAVGLSVLADVFGWLKYAVR DGSDSGQTLPTAEMRLRAMLERQRDLDSSRVFANDD AOR_1_1260134 MPLDGVKNIVLVLSGKGGVGKSSVTLQLALALTLQGKSVGILDI DLTGPSIPRLVGLEDAKITQAPGGWVPVTVHPASTNDGAQRGSLRCMSLGFLLRDRGD AVIWRGPKKTAMIRQFLSDVYWGETDYLLVDTPPGTSDEHIALAEQLLRPATTNPAAG SSTMPRLAGAVLVTTPQAVATSDVRKEVNFCVKTQIPMLGVIENMSGYTCPCCGEVTN LFSSGGGQVMAQETGVKFLGAVPVDIQFGELVEGKVVDESDDESEDGAQPEQKREQKQ DEVVDERPLVERYKDCWSYSRFEGFADTLLSEIEGGVAY AOR_1_944134 MERSPTIQDEDVSQFCAMTGVRPEHAQEYLAANGGDLEAAVTEF FAEQDEALQEGNTGAGQTLGGSETAPSAGRSLGGSSSQSPSFTPQPSSTSRKSAPKKK FATLGDFSSGGGDDSEEEDDAVNQDLFAGGEKSGLAVQNPDDIKKKIIEKAKRSQVPA SDSSEPRRSFFTGPARTLGGDDTPSRVIDVPNEPAARLPQRVQRTLHFWADGFSVDDG DLYHSDDPRNAEILDGIRQGRAPLSIMNVQPGQEVDVEIKQHEEKYVKPKPKYKPFAG PGQRLGSPTPGVRTPTPPTAPAAGQINSEPAKPNVDESQPIITLQVRLGDGTRLTSRF NTTHTIGDVYQFVSAASSDSQSRPWVLMTTFPSKELTDKAAALGDLAEFKRGGVVVQK WQ AOR_1_946134 MASGDHTSSSVELYESGLTVRSDSENYSANNELSESTSSSPLIL YKPPTIWSILRGAAINLVLPFVNGLMLGFGELFAHEAAFRLGWSGTKIFPTYRRSVGP GVEVREVPSRRNNSLRDTASLE AOR_1_948134 MLGGAGLKGRGAMPVIARQRLTAFSRSSRSMSSFRPHASGFPVR YGRLNQSLAGNLSWRPASSIHHASAARFNSTASAASASTATAATAVETAAPEQASDLS DFDITAIPEKIGYLKDLGLDYGWGPSSMIEYFIEHFHIWAGLPWWASIVGTGLLVRLA LLKPMLSAADVSTKIHNLKDVVAPLRAKMAQAANEKRHADMMQTRAELQQLHSDHGIK FYKTMIPFIQLPLGFGCYRVVKGMTSLPVPGLAAESVGWIKDLTVADPYFLLPAATAF AMYMSFKKGGENGMNQMANSPVGRAVLYGMPAFSFAFMSFFPSALQLYFLSTGLFALG QAYMLSSNSFRQFANIAIPKKPVEATGMSPEEHGRAIRMILDTQKADKPMEVPAVEEG QKLSFVDRTLNSVKKNYDNLTTDVKGKLDSAMGNEPKKNADGSLAEPARLSEKDRKLA ADYEQRRKEEEDWKREERNHARREAHLRALELEREKARSAFKHSKQR AOR_1_1262134 MANTLLCCLLRSSIAMFPQLLFNLFNYPVNTKSVARRFWSALPF SGLWEACSRTYDKSACHQDRATIPLKGNPVSGPENNSKVATVIFIIGGPGSGKSTIAT RLAADLGLIHLNPDEIVSRLEIIGSGDEWLAVKSTVDENGAVPDDLLSLLLKIEISKH LNAHQRVFLIEAFPRSYAQFMDLTSICGYGLTISLDVSSATLMRRFMFETKSFSERVA QMEDFLKREDAFAGAELALYPHANFTDGLVKVCAELKVEEFYPHLKDLVARKLASPTT ALWVKELGMEMVSSVDHFES AOR_1_950134 MRTLRYYLLVNSCSDIVLFGIKTSAITSDLMDFEGRYNGYLVQW LELLVSIIIIIILVVEVIRRGLIRLDDQRPRRHRLQDAREPITFRIIHLE AOR_1_952134 MNLPPPLYLLFFLLIQSVYSVQTCYWPDGTPATEDVPCSDEKYA SCCRSGNLCLSNNLCLNVAIQPYVLSRGACTDPNWDSDNCPQYCTNVSRSSGSSLFPL GLNSNGLAEYCCNDPVSNGSEVTCNSASGSPFFVPDATLVAGYAALANVSSLSASTSA SNSTSSSSSSSSNSRDVAIGAGVGVPLGVIALGAIAWALWERRARTKGLAAAAAAAAS GGGSVRAGSWAGGYGAVATSVAGSNSQYGIQQYETGQWKNAARPAELTTSARTHELAS AOR_1_954134 MSSPPPTNPLKRPSISSSASQPLGTNPKRPRMHPLRQTSFPTTI DADSRAYGAASDAGSVTGSFTGSLGGTSADGVFRNKKRGRKSKAEKEREREDVARGEM RSSVDAEGSVRAGATGGGGGDDGDDDEDFEDEGELLGREEGATDTEAEKKNLALLVDA FNPLQSERYDLFKRAKLRKETLRRIVNHALSQSVPASVVTTINGFTKVFAGEIIEKAR TVQAEWAEAHDQAAIAAFEAEEAATMARAANASAPGTPGPGTPGPGGQPQVKQESSNP SSFPVTRTPGTPVPSGVASSQQSVNGTVQPTRERVFKMPPNPHRGQLLPSHLREALRR WKRDGEGGGVGFSGLSMGNLGVRGSVTWGAGSVGGRRIFR AOR_1_956134 MVYIGIPKNYTQSPSSFMATPSLTINHEVTQDLDSTNAFEGPEK LLEVWFAPSANELGSSQPTGLKAVPEEVWKDMLDLVNCQVLSIVSSEDVDAYLLSESS MFVWPHKLILKTCGTTTLLSGLPRILEIAALFAGFPKSAAPSGGVGIAAAPYRVFYSR KNFLFPDRQRGPHRSWRDEVRTMDRLFLNGSAYMIGKMNGEHWYLYLTEPNTLLTPPA SPKGDEEVTETKFLQIPEGGLPQGDDANDETLEVLMTDLDEENAKQFYLENATAVAEK RYRNFDKDNSDHVDVFSNNSDMDLEDTDGTRILPPELTTEGHALGTVVSESCGLSDVY SKEKFPDSRIDAYLFTPCGFSANGVIPTPDPKANTHYFTVHVTPEPHCSYASFETNVP HSQNGQTTAGIVKQVVNIFKPGRFTVTLFENKPSDAELSGIGEAKYIERQAARRKSQV EHIEGYRRVDRIVHDLDGYDLVFRYYERLDWKGGAPRLGEERI AOR_1_1264134 MSVWNPDNIRDVAESVGIVNLNNDVTENLARDVEYRIAQVLEEA LKFMRHSRRTLLTTQDIAQALRVLDVEPLYGYESTRPLRFGEASLGPGQPLFYVEDEE VDFEKLINAPLPKVPREISFTAHWLAVEGVQPSIPQNPTSADSRNLELMSKGPNANST LAAMSGSGNVAVKPLVKHVLSKELQLYFEKVCNAFLDESSEEYRTSAYSSLREDPGLH QLVPYFVQFISEKVTHGLKDIFVLTQVMRMAEALVQNKSLYVDPYVASLVPPILTSLI GRQLGGNADLSEQFALRELAASLLGLIAKKYSHSSHTLKPRLARSCLKTFLDPSKPFG AHYGAIIGLQAVGGAEAVRVLILPNLPTYGALLKDGMAEENPRRPEAEKVLTVLFGVL GTLREGRTALANGHNGVVTEDLRGRLNGKVGEFLAAKISEAGEVDMVHAILEV AOR_1_960134 MADQQEKTASSAVPETQPPSQTAETTTQTTATPAPEVQTEQTQP TESGPSAANTTTEQPTNPPAAEASKENAAPAPAPAAEDAPSEPAPAQEQQKEEKPADN KPEYLAKNPALSQLFDRLPTVLSNSGHDEMWGVPLRDSSDVPTVNVLIKFLRANEGNV KLAEDQLTKALQWRKQTRPTALVEGRYSAKKFGGLGYLSTYKDADGKETVITWNIYGG VKDLGTTFGNVDEFINWRVALMELAVKDLKMDQATSVIDYEGEDPYQMIQVHDYLNVS FLRMNPSVKAATKKTIDVFATAYPELLREKFFVNVPSIMGWMFAAIKVFLSKNTTRKF HPISNGANLAREFPPAVKEQFPKVYGGSAPDLHEGARTVALEEDNEPAPAPAAPAEPT EEAKPEQEAPKQEPAPEAPKEEAIKEALVEAPKEEPKQPAVEEPAKTDTAVTTQETVA PAEAK AOR_1_962134 MVHNMDETHKRPKGILKNPSSQSIQTTHETLAPRAPSTEPMDTK ELTLQNTLQNAGRRRSSSTTHPGTASRRQSVASVQHDENSPRLKWDEANLYLTEQEKT AKMKIDEPKTPYAPRYDPSQDEEEMELAEAEDSLIDAQGVVVDELDKTTKDPRKAVAE DEIPDLELGEPEESIPDGVGAQGSDRITRARSLSNESHRSDKHVVMGANEPNGEASAD ADHLLSPEEAQEKHRQFEQQRKKHYEMRNIKELLAHSVDLEEMDEDEDEGASKNTTHA IPPPMPQISQKFLREGK AOR_1_964134 MSHVLIAGGGAKAGDEAPVQNTKEATLGRSVPSPGSTHLSTDAG AGAPAAKKRKLSPASKEAKQQEKEAKQQEKEAKQQEKEAKERQRLEEKAKKEEEKRVK EEEKKKRDAEREEERKRKEEKKKAKEEERAAKEEEKRKKEAAKEEEKRKKEEEKLKKE RAQPKLNAFFAKPKPPVQPSNAALTASPKKSGGDGCTNEPSHEAGTMSDYQRAFPKFF LQSHTKVAPPHMFQRDSEGLRLIREKLDASMKSPNSSEEALIFRLPASVKDILLEMQN LNDQSGTSEAVQRQQGLLKKVRMKSLKFGEDVRPPYQGTYSKPLPESKAYKMMRNPFH RGLPDTNYDYDSEAEWEEPEEGEELDSEEEEEMSEDGEDDMDGFLDDEDDQLVDGKRR LIVGDLEPVCSGIQWHDQGVDPEFKAYRVETISDAVSLPIDPFSTMYWQKPKTSEPAQ TSGAGRSSLHSFLGNPSSGSASTQDGSALPLLGPGKSKRPFPPELLAEFKQVVDGSDL SKLGLIEILKKRFPKVSKDALKDTLNSVATRVGQKEAEKKWVCESGDSDLASSRFITT PVYSDDGCPSEMPPRTISSVPMEDGPKVSFENLAKCYRQNQQKQTRRKNLEQRLHATK VSMGVSARLVRVGATVQRGLVDRLRHDDKANFISLYQTLIDLQESCDSAFRRHFHQQD PLEDWPSSPEPTVDHAPDFFVQLSPQSRTDLIEILQLVRTDPQFLFERLCSLTPAQLG ALVSSSAPSWDAGDLTSPSTPRSRNYPSFSKRSISTSIPVKDHVLAFERADAISTMLF NAFAAPLDSDAPEAKLRLDVWSSVCAKLIADGSSRFHPLLSHILAAWSMGSDWKAKPK FELYLMDILQTGAFLLEHVDTPPGLNFDAELPDPLKTDVAEEFFASAVDDLFRLLDDP DGGLPAGVMELSSAILQKLDRQDCRDRFLEFLLTHWYFPKFLYGALTFPEAHGLLLDF HIRKDAREKLLGQVGLQAYYQVYAVLRSMNHFSMARPTVRQHVENMLSRFQNVASERQ AHIPRSSYISSTGGRESPAVFLMLSATDILSLLNTLFPRTCSPVCNSPTPSSGISGSF RSLRSLQSVSERQGTSVAEPGFFKPMVDNYSRRPSIRGLFSTDMDFLSLPQNSLARNA DRIRFELSDLGEPYGRSNLEPPSAEEWTIFSVTQNGRRLLWSLFSDNQPTYPKNASDD DVQSTTLGLEDNFEALQTAIVKLIQENPADDHVEIASQTHDALQTGSLSLKQRFDRAM AYCHHTSDFIGAHSWWNAGRQLVRSAANFPTRLADDSWILQPMHTSSVRSLQTSRSVI ERCESDFVALDRHMQRVQRTVKDMMATVAKLRDKMWYMTDVKNSKRYEEAKHVALALK TMIYSARLYKQTPNDSRSRGTGRSFGGSLLQKPELQVMDLMKAPRSYGSPNKLSDEQV DLLRKWLSHNNIENFCKGEERIHRFCYEVKSSVSRLVGETMAEAPVLWASELYHRERA KYEGSSNRSFLGLSSSLRPFSIASDEPNNLLHSYSNNVRPSDSQSRFSHELPPLDTKT SFQSLISDKWRVPREPSIADTSSVVGSPGRTPSTCTGDSCSTFWSAPHQATQYAPSAS SLYSRPPSLLSETASQQPRRNDRKILGKAAFLDGLRQTLTSLLLSDLGSPVWSCGSET DAWFGNALDQKRIQAQMKKRSRIQRFYAEYDERLIRQAIRRTSPSSRRSRSLGPLEGE EPHTIGTLPFSTSDAKASFDDQSPFSYKAVFRRLIEVFSRHGSPFAKLKALRDLRALV IASLNSANDDKTQFPLVDEPSCQRRSFGIQGRRAARHSFLGTQANRVSARSTHTPMSP TDELVIYDSRPSDYSAPTETQIVEALRGIILEVKPKTLFRDLQFISSFVPGETLNKTD SGTAFLQFGLAALSLKDEICNSMVEIADNIVSQELSRRHPPHGHDVHARPGHAIEDAA GMWIITAKEGNPVAQRELAILYLTHPELLPRVTLPLTFLRDTFKEETLLRRDKDPKSD PQSMCLALHWMQLSANGGDELARNRLRELEEFDSIA AOR_1_966134 MAGVQLQQPTMTDYRLPLHQPPPARKPVPGMHAGYPFQSYDGPH KQQLSHPSLAHNRGRMPSANASPYMAQQQPYSNTPSPHHTMTSTPSYPPSRRMSSATT STSSTGNAAGHSAVSDIRRSTSSRSANSQLGYVALMRRQKATVWCDRAQPEDPRLRAQ KLADKKRAYLEVHGAGAGGRASTLGSGKIKHSKGGTDFSPSNLVSATVPVRLSANEVG DADEDAHSDHGFPHRRTGSGRSSLGSNHRYPSGYQRTPQGTMGSNSTPPSEKTDLPNV TEHPPAESTEEKKVNDDAATTYSFEAADEDNFGSVGEMAAPSAATTAAEKARRADELR RRGSVDDRTSTMTNVRLFVANPDLSD AOR_1_968134 MSTTPLAGIRVVELAGLAPGPFAGLLLADYGASVLRIDRPNAVS SDQLTRRKSSITLDLRNAASHSVLLSVLAKADILIDPYRPGVLERLGLSPSEVLLKYN PRLIVARMTGFRRDGKYKDMAGHDINYIAVSGVLSMLGRAGEPPYAPGNIIGDFAGGG AMCFMGILLALLSRTRTGRGQVVEANMVDGSAYLAAMPRLNRQTPLWSGPRGQNMLDG GSPFYDTYETKDKGKYFAVGALEPQFYAALLKGLGFGSEELPSRDDRENWPVLRAAFA KRFKEKTRAEWESIFDGTDACATPVLEQDELEQGGFEQRPAVHLVDTPALPIATDDGG WTGGGLTPGEGGQETLEAWLGWKEGREYDVRSDGALIKTGEEGKAKL AOR_1_970134 MEFVSKLAEKFLDKDKSSGSQEGYGNQGGYGGGDQGYGGGYPQQ QQHSGPQVPPPWIARWDGESQRWFYVNEQTGERTWNHPGQGGGYGQPQPSYGGGAPYG GEQSYGQQPSYGYGESRQGDFYQQQEEPKKDHTAAKIAGAAVLGVAGGALGAYGLHEA HEKWDENKEEWKQDVQDFPENAAEWTGEKVGEAEAGWDRAEDRVEQGWDNAVDKVEDF PENAAEWTGEKVGAVERFGDDMHDAYERGEDEGRGDDDW AOR_1_972134 MVFSKSVAVAAAVSAVFPLVHAFNAQSKSNVAVYYGQGYNQPRL SHFCQETALDIINIGFVNGFPDQSPANWPGSNFGNQCDGLTYDVGGVKTDLLSGCHQI MEDIPICQAAGKKVLLSIGGSTPDNQELLSTESAIGFAEFLWASFGPVDDTWVAWGGP RPFGNVSVDGFDFDIEHNGGFGYGAMVTRFRELFAEIPDQKFYLSGSPQCHIPDKQLS LAIATSAFDFVWVQFYNNDDCSARNFVAGEGFNFDAWVDIIKFGGNPAAKLFVGLPGS EAAALDGYYLTPDEVKPLVKKYMKLYPDTFGGIMVWEATQSDRNQINGTSYAGNMKRI LTELDPTPPAPTTSPSSSTIASSTPILSNSSSTSTTNPNQTGQSSPILSHTSHSATAS SSAQAANPTTSTGAEPDSGDGTVTSTVTSGIATPSVIPTGTPSEPLTVTTVIVTSYID ICPTGFTTITTTYTTTYCPGTVSATATATNIPSGPGSQTAIPTPPEGWTSTVTVLTTT TEPVSVPAQQTTNVPPAEDWTTTVTVCTECASTPTTLTLTVPATGAGSNPVNPISTIA VGQISSSSGRESSVIPVVTNTVTSHSQSLSKTSVIAGTGSVHPSSSTLAVRPSTSGSR VPVAPSETQDNVSPIFTGVASQPVRLGHGAATLLALVLAVILLM AOR_1_974134 MSISTLQPPYPLHPSVKDLLDPEYISFYNEYVINQQQVHLQPVE ASRTSGVLIPGGGPLLEVGKTEDITIKRRATEGPEILLRAFTPAGETPDGGWPVMLYF HGGGWVLGNINTENPVCTNLCVRGNCVVVTVDYRLAPENPFPAAVHDCWESLLWLISD GPSRLSINTSKMATGGSSAGGNLASIITHKALTLSPPVHFLAQLLSVPVTDNTATVQN NESYRLYEHAPALPAAKMIWYRDHYLPNHGDRTNPEASPLFYEGDWSKLPRALVMVGE LDVLRVEGEQYAERLKKAGVEVDLQVMKGMPHPFLAMDKVLKEGKRSIMLMCDLLKEV FWS AOR_1_1266134 MELGLFCAKTLNYEWNITWVLANPDGLQERPVIGINGQWPLPVL NLTLGDRVIAKVYNALGNESTSIHWHGFFQNGTTHMDGAPSVTQCDIAPGSTFVYNFT QVNQTGTYWYHSHARGQYPDGLRQALVIRGPDEPYSGQYDEERVITLSEWYHDPMPTL LKQFISVTNPTGAEPVPKSALMNDTQNLTIAVEPGKTYLFRLVNVAAFASQYFWIEDH TMKIVEVDGVWTEPAEASMIYITAAQRYSFLVTMKNDTNKNYAIVGSMDTDLFDTLPP TLNYNVTGWLVYDNKAERPAPTDISSFDPYDDFKLVPVDGERLLGDPDYTVTLDLTMD NLGDGANYAFFNGITYVMPKVPTLYTALSTGSAATNSTVYGYNTNAFILDKGDVIDLV LNNDDTGKHPFHLHGHNFQVVARSDEDAGHYDANNHSAFPSVPMRRDTIYVKPTGYFV IRFRADNPGVWIFHCHIEWHMDAGLAVVLIEAPLDLQQTLAIPEDHWQACDASDTLKR GNAAGNTKDFYDLTGANTSVSPLPAGFTARGIVALVFSCIAAILGLISIVWYGMAPIT VKQSSTDERREVDSN AOR_1_978134 MATDVFAVPIFFICFRECVETSIIVSVLLAFIKQTLGSDTDAFT RKRLIKQVWWGVAVGLFICLCIGGGMIGAFYGYGKDHFASTEDLWEGIFALVAAVIIT VMGAALLRVNKLQEKWRVKLAQALAAKPQPQGRMTDKIKQWSQKYFMFILPFITVLRE GLEAVVFIGGVSLSFPASAFPLPVFTGLLAGVVVGYIIYRGGNQTSLQIFMVISTCLL YLVAAGLLSRGVWFLENNTWSNLIGGDASETGAGPGSYDIRQSVWHVNCCSPLVNGGG GWGIFNAILGWQNSATYGSVISYNLYWLVVIVWFVMMRYREQQGRWLLIDPLVRRFKT RKTDGCLENPESTAGIGVLSDNKASGNGVMRLQATEV AOR_1_980134 MTNRQITSTRGGSWTKVVIFISLYVLLLESVIEWALVLYLYGNG RVDRKMTPSLILALVASFLTVPLVILHSLLAWQYNKVPGFGGQKTMLRAACTYLLRVT VIIWLAASVAGLVVVSQQVSCLPEITRGSFWNTGVSCALHRTAVIVSIISFITACLYF CSRELCERPYDVSILGVYKKQQSVCDESIFSSSSLESDTLKDGILYLCPGPGTAYGTR DPYWPSSTDHAFENPNVHNFQYPLPIHQKQPLRPDMSPESENAETLSGSTLTRNGTLI NTNLHSISRTPTFGTSGTTSEQHMQSPVAELPSGQEDSSTINHKRQKSSLSSLRKYLP KVFPLSLPLSADPQIRALADSNTPRDVEKQTGNVILNRNSDSEQHLTSSAEEKEEVAS APVDSETRRPPSSQVPHTSTQARPTSSNSSDAPEVVLPTPLKVQRSNTTHTAPIPRTI HRHRPSYTIVPPNPLAWHPVNRVVSHRASVIEPDTQLHHNQRPKERRQSRHYQFEQSH VPRYTRSYHQPHSRYNYRGRYEPRRMSSQSRRSDIEIHYPSTRRPRSTTCGGLGSTRA LDSIRESGASVDETRDIVPNANTYRGAHRTSMAAL AOR_1_982134 MDTTSHDTVGASTLATPLFMPSSPPQVNHSPEGSPRRARSPISH RAGDDIDDAHSPTGSPPSSRASSLDRAAKELDARLADYTLDFDQFPSGQLSLEERNDE LFGESKLPHEDQLSDVGGPEDFTANLEKYLMGDDDTFDHKDLEEIEEEEEPAREEQEP PRPQSPEQKQQEQQSSQLQQPAVEDEAELGEYSEFAPVDMSTPSHLLRRGNAFSKEVT QLENIEEDPDDEPDTARTPSIAELKHVVQERDEQLERNHRRVLEAASAGEQIKHLQAE LQKKTALLDEAYANRNDDALLREQIQLLQKQNEEKESLLQRSSINETGISALQEQIAE MRKEFRDRQVPTDVDSERLETIANLRQQLNLAQEQLKKRDAMLDETLAKLNEATASRE LQLREKNTEIDALKAQIDDHLLEIQKLEAEVDRANREYRTLEDRIATLEIRNRPLEEK NSTLEADLSRAQSQVTAQENALKAMAADLPWETSRNTYEDILELINSMPIRSEAVPKD SDSGEPELEQLCEELTKLRTEQEQASSTQNALETQLKRSQEQAAEAQSLLNSIEGENT RLSKRAEELKSSLDKAGHELNELREEYSEAQDTIQRLQEEKNTQQPSPPPSPSTTRQK ETALEETHQAQLRSLQTAHATAISNLRTSHADSTRKLRNLLATAEKRETKLKSELQFL RSSRSTQENEIDSLRAEIKELETIIKVKDETAAALDKKIARSVENREKVWADRVDSAL RQRDQFGKAFLYTVGQKELGENKVNFDDKGRPIQAYQYAYVKKNGRKKA AOR_1_984134 MVSLPRVAAATSSVILRLAAFIFLRWIPGHHFPPLIFTSLVVYL SSLFSLSRPADESTTNRPHDLKRSRNTSRSAESLSNDSVLKTLLTGLPSPTSPLVTRL TVLVNIVLTLFTSDLLLRGLVFYPANDVAFSRIGYVSPTTANLLVREPDSAQLPLVVF YQEAEQDDPLKWVEEGIIYALDESTDFTTSVTLQNLKPSSHYRYSLSNNRTGSFVTAP LPGSASADRLSFLTSSCIKPNFPYNPLSHPLRIEGIEKMTETVSKLPSLLRPAFMLFL GDFIYIDVPQRFGSSVSHYRSEYRRVYSSPSWYSHGDNPAIDLPWIHTLDDHEIENDW SKGNNTAPYLAAADPYIHYHVSVNPPIPATPFAKPENTTYFSFINGPASFFMLDTRTY RSEPAQPDSTILGSAQLQSLLAYLSRPEPAEVRWKIVASSVPFTKNWRVGTTDTWGGF LNERRTVFEAMWRAERELGIRIILLSGDRHEFGATRFPDPTFDYTPDELLPDTAGEGL HEFSVGPLSMFYLPIRTYHQTDNEDVTVKYIPTGNTKYGLIDIDIRDETVLISGRTAT VPSSVLTYSLYVDNDVAWKYSLSVPLPGYEDVASAASVRHPRLLPGKVLEDNRELVGW SAQLRAVLSQVEEVRGQLVNQFRAQVSKLLDRTGTAERLD AOR_1_986134 MVLQDLGRRINAAVNDLTRSNNLDEKAFDDMLKEICAALLSADV NVRLVQSLRKSIKSSVNFASLPPAVNKKRLIQKAVFDELVALVNPHADPFRPKKGRSN VIMFVGLQGAGKTTTCTKLARHYQMRGFKTALVCADTFRAGAFDQLKQNATKAKIPYY GSLTQTDPAVVAAEGVAKFKKERFEIIIVDTSGRHKQEEELFTEMTQIQTAVTPDQTI LVLDSTIGQAAEAQSAAFKATADFGAIIITKTDGHAAGGGAISAVAATHTPIIFLGTG EHMMDLERFEPKAFIQKLLGMGDMAGLVEHVQAVTKDSVAAKETYKHISEGIYTVRDF RENISSIMKMGPLSKLSGMIPGLSNLTAGLDDEDGSLKLRRMIYIFDSMTTAELDSDG KVFVEQPSRMVRIACGSGTTVREVEDLLSQHRMMAGMAKRVGGQKKQMQRAQNMLKGG NKEQQLAAMQKRMASMGGAGGAGFPGMPGMGDMAKMMQMLQGQGGGGGLPGLGGMDLQ GMMSQMSGLMGGGGRGRGR AOR_1_988134 MRLSTTAKKRKVLEGLQGKTGRPQKKFRKQREYHSSSDEAEDGA TDFKAVSLADSNEDEVKVKKPKEQTKSSIASKKRKDKESSDDDGDESSDSDDKKDEKH EASGSDVSSDAEDEDDDYDSDVSMPTSTTDHRAVPKRNDPSAFSTSISKILATKLPSS ARADPVLSRSKIATQASTDFADEKLDKQARAKLRAEKQEELDRGRIRDVLGIERGEAG AVAEEEKRLRKIAQRGVVKLFNAVRAAQVRGEEAAKGERKKGTIGIGEREKAVNEVSK QGFLELISGKKGKPLNIEEA AOR_1_990134 MSFWRETFLNLRHAVPKTVPGDRACRAQSKRLLTYSSQSPRVTA PNQYSLYAKRPGYSVSQRRVWNGLNPQCRSFSASAGVQHGHITPPKPGEELNISFIDK DGEKYDFQVSEGDNLLDIAQANDLEMEGACGGSCACSTCHVIVEDPDMFDKMEEPSDD ENDMLDLAFGLTETSRLGCQVIMSKELDGLVVRLPSMTRNLQASDFEPKK AOR_1_992134 MASSREGLNPLRPYYSPPSMGLEASNAASSPPDASSAHVFGSSA RDLLSDLDYSDYLENSPSVSSWIKDALDRALWKYTSLLTAQPFDVAKTILQAYVVPDS QDGQWLLDGHRRQSSGARSDPYGEEGDEEEEDGVDALSSDDESSYFTSTAPAASSPSN SRSRKARRHITDRSGYIQASTPSSRNALKIKNPGSLMDVLSQLWTTSGPTSPWKATNA TFIYSLLLPTLNTFIRSLLSAIVGLPEDDISSSMTADILTSTSPIATLVLSFISTSLS ALILSPIDTARTLLILTPVTHGPRSLIRAIRQIPTPNCTVPPHLVPITILHSSLPNFI MTTTPLFLKSYLSLDPVLNPSMWNLFTFMGSGLELAVRFPLETVLRRAQIATFTSPSL RQQSTSGLRSAKPSEATTEAPEVETIVPTPSTYRGIVGTMWGIVYEEGVQPNPEAEKA QALFNKPIALRKRQGQGIHGLYRGWRIGMWGIAGIWGASFLGSAGAIAEDGAMPSGGR F AOR_1_994134 MATPAPSIAPAPTPAPLAPAIAAKPTISPSPGPGTPGSVTSKEW VIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVNELEDQIKKIEEEHDIHV AAFKEQISNLSHEVEQCRNEMTWWRDRCHALEKEVSVERSAKEALVKEFRSSLSDKNA PAGRAPLTRVSARNSGSGRATNERSSPSNANSGSNDDEQEEVPLGCPSCSSTHCQCIE DAFAMPGVESLHSKRLSTTGQGRAEPEIKPDPEEMEIDFTTRFAAPQPQEDNATAVSS PAVDPCGFCQDGTPCICAEMAAQEEQRRQSFENNRLAPIQNLSQFTPPPSDGDVRSEV TLPSINQATNPCANGPGTCAQCLADPKSTLFCKTLAASRSASVASSGCCGGKGADGGC CQSRSSNPPRAAAAKSTSGRSTTPSLTLSCADAFTTLSRHPNFSRASDEISTWLPKLH TLPNPKDVASPDRCSSRAALEVEAASVMGVLRYFDRRFADK AOR_1_994134 MATPAPSIAPAPTPAPLAPAIAAKPTISPSPGPGTPGSVTSKEW VIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVNELEDQIKKIEEEHDIHV AAFKEQISNLSHEVEQCRNEMTWWRDRCHALEKEVSVERSAKEALVKEFRSSLSDKNA PAGRAPLTRVSARNSGSGRATNERSSPSNANSGSNDDEQEEVPLGCPSCSSTHCQCIE DAFAMPGVESLHSKRLSTTGQGRAEPEIKPDPEEMEIDFTTRFAAPQPQEDNATAVSS PAVDPCGFCQDGTPCICAEMAAQEEQRRQSFENNRLAPIQNLSQFTPPPSDGDVRSEV TLPSINQATNPCANGPGTCAQCLADPKSTLFCKTLAASRSASVASSGCCGGKGADGGC CQSRSSNPPRAAAAKSTSGRSTTPSLTLSCADAFTTLSRHPNFSRASDEISTWLPKLH TLPNPKDVASPDRCSSRAALEVEAASVMGVLRYFDRRFADK AOR_1_996134 MAASQAANIVEKVVGHNDNATVTTDVSNYNKGAYGQETGEKIKA TTWQGKNSVQIVEMPKPRVVDEGDVIVKVTGSTICGSDLHLYHGVIPQLEKGDVLGHE FCGVVESVGPTVKKVKAGDRVVAAFPIACGECRNCKEQLTSACERTNENSITNAMYGK RTAGMFGYSHFTGGFAGGQAEYVRVPYGDVNLLQLPADVPDEKGLYLSDVLATAYHCV VDTGVKKGDVVAIWGGGPIGQMAAEYSFSQGATRVILIDGGEGAWRLDFVTSKIPKLE TIDFSNLPRGESITSQLKKIVPGGPDVALECAAGEYAKGWAHYFEMLLGMETDTSEIL NEMITAVRPFGRIGVTGVYAGYTNHFNIGALMQTGIRFIGNGQAPVQKYWEHLLELIR RQEINPLDMVTHRVSLENMPELYAAFEGRDKGMQKVYVQTRFSSPPAEGSPQLTEL AOR_1_998134 MSIPRRNSVTIITTTTSKSINPQYKGVPTPPGSHNVFAHFIIGN AYYMTPDQWELDIIEAQKAHIDGFALNIAPQDHHTDRALQAAYDAAEKIGNFSLFISF DYLSGGPWPADRVITIVNSYKNRKAQFHYEEKPLVSTFEGVGNAGDWPNIKAATGCLF IPCWTSMGPAGIRNVLNDIDGAFSWDAWPVGAEDKKVTNDLEWMKALSGKPYMMPVAP WFYTNLPQWGKNWLWRGDDLWHYRWKQVIELQPPLVQILSWNDYGETHYIGPVYEAGV PEGASRYIANHPHDAWRTFLPHYIDGYRRNIANHHSNPARTSLHQKHPISYAEKIVYW YRLNPGQSGSADGTTGNNPGIGQPEMKPHELSQDKVFVSAFVTEPSEVHVQIGPGPHS VLDAAAPGLNHGSFSFKGQTGPVRISIVRGNREIVATTGPAITEQCAGGVVDWNAYVG SSS AOR_1_1000134 MTKRSVLDLRDSAMAYRLSAQLPEPSPATIATPVARSGPFAPED YTKPYCEFMTANPTIFHAVDGFTRQLESQGYKRLPERETWNSKLEKGGKYYVTRNGSA FISFSIGRDYKSGNGMAIVAGHIDALTAKLKPVSKLPNKAGFSQLGVAPYAGALSDTW WDRDLSIGGRVLVQDSNTGKVESKLVKLDWPIARIPTLAPHFGAPSQGPFNKETQMVP IIGVDNSDLFQQQAPSKIDQDNGIKPGTFAATQPEKLVKVISKELGITDYSSIISWEL ELYDSQPAQVGGLDKDLIFAGRIDDKLCCYAAQEALLASSDSTSTSSIKMVGMFDDEE IGSLLRQGARSNFMSSVIERITEAFSPNYGPNVLSQTVANSFFVSSDVIHAVNPNFLG VYLENHAPRLNVGVAVSADSNGHMTTDSVSYGFIKRVADRCGSTLQVFQIRNDSRSGG TIGPMTSSRIGMRAIDVGIPQLSMHSIRATTGSLDPGLGVKLFKGFFDYFEEVDKEFA DF AOR_1_1268134 MHPRGDDRSYLSSGGKSGMAISIAFTSLATIFVFARVYTRAGIM KRMESNDYMVMLALTFSYVFMAFYIIEALNGMGMHGADIPPPILLKQMKMSIGRAFWI TIPFYNAALLCAKASILLQYFRVFPTRRMRLITWVMLGILGIYGSWAVLSGFLNCIPV AKFWDKTLEGYCLDDKGLWFSNASMHITTDLVILIIPIPALAKLDLPKRQKIALITVF ALGGFVCITSICRLVALKKISDSTDPTFDNVGAASWSAIECNVGIICACLPTLRPLVS RIVPHLLSTLSSRNRSYNRSYGNRRFSHGRPPTYWGGGAGTGTVSTTITAMDDLEFGS HCADSDRVLTLVPEADIHGKEKLVLKETKSMEDASERVRTAVGSSISR AOR_1_1004134 MLKKALMGAQVARVAIRYVLYPGNSDYALFSEGHDLPMRTISCR KTERCFRCSVHSPIPASCRGLFTYLDRQNLGLLLNWILSPLGHPASGLQFFAGLI AOR_1_1004134 MYCTLATRIMLYSLKDTIFQCVPSVAERLRDVSAVRFIVPSRHR AVDFLHDYRSGSSKLGIAFELDFVAFRPSGIRIAVLCWSDMM AOR_1_1006134 MADTTSPPKPVHTIVLDAGPILKNTPPLSTLLAQCEELLITPSV VSEIRDPDARLRVETMYLPFLKQRTPTPKSVSVLSEFAKKTGDRAVLSRTDIEVLALA YEVECERNGGDWRLRSVPGQKQVNGKPPVKEEEKKPETADESGQIEEKVENPEVDAVA EDLKNTALEKPDEKSQDGVTAAAETKPVEQSTPQEPQEEDDEAADSDGGEWITPSNLK KKQARDEGISASATPEPKVMQVATMTTDFACQNVLLQMNLNLLSTTTLQRIRHLKSFI KRCHACFFTTKDMNKQFCPRCGKDTLTRVSCTTDANGQFKMHLKKNMQWNNRGNVFSI PKPTHGSSSGKWKGGGGKGGWGTELVLAEDQKEYVRATAEESRRLRKERDLMDEDYLP GILTGERSKHGGRIKVGAGRNVNSRKR AOR_1_1008134 MHLMYTLDAEGKRVYTLKKVTPEGKVTKSAHPARFSPDDKYSRH RVTLKKRFGLLLTQQKDLQTSEL AOR_1_1010134 MASQSTAPEAAAAAVKSESTNNNASQGRPGRFSRPNYRQIHRFP LPLSVHPLPPLIPHNPLSIVSVLLSYLTYLIAPPHDEVYSAYFDSETSSVHVTDAKAI RALWEMGFFGKGSLSRSEPSWMEREKKRRGLLGGVTSEEVTRQRRTERRELKLERARM EKLAIEQRLMAEAAAREAGNTSIDQSALPPSADGVTSSIPPATEKFSLRKAREAKLSE SQRPVAQTEGADTPNAPDISPSNGSKTVRFSSVVQAKELVSDSSVVRAPDPAVDEQGT EDEPSLKNEEHLQLSNEEAFFLVYGLGALQIVDGKRNAVLSPPSLLSRFCQHSYCPPR GLSSNLEPDDPFMISYIVYHHFRSLGWVVRSGVKFGVDYLLYNRGPVFSHAEFAVVII PSFEHPYWSETEERKAHCARKQARSWWWLHCVNRVQAQVKKSLVVCYVDVPPPLFGEA NAPSEDIGALLARYTVREMLIRRWVPNRTRD AOR_1_1012134 METQDDSIDIPLLQRVALGREPAQSQSLIPGSELLKIIPHHNVV EEHYSQISNGCQLSTGQEVSQKSLSNHPESPIQEQNARSLTNAARLPFKQRRQDPAAG LHPSKKMSSADTVPSDTQVISQSVYDDLIRQNQEAADNGSDNNLADNATLRTLHEGDI GHVDLLAGFDGSNTDATNGEDNEDQSSFKLGESSPMHYQPNLFPESQRFVSKTPATTV KTGRVDGFATVTPTASRNPLATELESSGGIMALSQVFKATQAPSSPIVRGQQSDPMSD RPSPNLPIQNRSLATTLSSPLNNIAATFPRDSSEPHLNYITMQESQAQRDIILRERMT RSADYIYSGDQSDGEFDKEPSFVERIRRQRNIDEETREQLAGLSAPPRAAGHKNSDET SKSPSRIEHQGNAAAGATSEEETEQEDELCQRMCRSPDPNPSNEEDKENHNDPSTTPL AAATSAHDRLSQALALDGAPSPSCRSSLQGAAEFHLPHGAADEQPDGASSSSQVYIVK DSQRSPGPQDEVNQGRNTAEIWNVNQTQPSGSHICTKHSPEKLVSPAKQLQLGDKAPT SDIKEKSSSMPSRVAETPVQRPKTFADVVPTTAIPETSPNRFFTQSWANDVNNESMDQ EDDDLPPLYSSTHERGYHSQPVNSNSSSPVKSIYNSKILSSPSGRQRRALTEIAADAS PQIGTGNFDVDINILSADDREFRSAVALSPVPPRKRRRGNDGQNVFASDPVLPITPRA ATYFAPLKENETMPARDPKPEQSVTKPKEAFEKRSRASRRAETVWDVDDSPHYHFSRK ERARLFGLPRILEQKAKEAHHSEESTDAQLVADQNCSEATSLPTEQHVEASRDEPSED LIENSTARGDSVPDGDVAIALNQVLAAWSGTKRAFYPATCFGRPLGTSQSRYLVKFED SAPVEVPIGAVKRLELRVGDAVKVDMPNVPKLTHIIRGFEDKLSMEDLENEASNGIIR MTDVYGHSTVVLGQKQRKSLTREGLAVPENSVKVPISRIYLDTILWNQLKDRTYSYSS VHAQSENRLQTPSDRHTTPASPSTRLSRSIRYSNGIFAGMVFAVSYGENDEAKTRITR MILDNDGRILHDGFNELFDLPSSRPVVTPSKSPTPAANNNNQFRLTGGAEDVGFACLI ADKHSRRPKYMQALALNLPCLSDRWIEDCVAQRQVIDWEMYLLPAGESSYLHGATKSR LLHPYPATQARLPETIAARPNLLHGQSVLVVMGRGKADEERRKAYLFLTYALGASKVE RVPDVKSARGVLDQGATGTGCEWDWIYVDNDEKASAIATILGASVPGSQKAYPAQRSR KRKRNGLTESISGSDLGLSTNVRIVGNEFVCQSLILGRLID AOR_1_1014134 MLQQRLLAPLRVLERAIVPSLRSSQPISRPPPPSILSRTNTSAP TPFLNRLLPFSQVRHASHATQGTANRHSRDPAGKRLGAKRTTGEYVVPGCIIFRQRGT KWFPGENCALGRDHTIYATEAGYVRYYLDPERHPDRKYIGVCFEKDGKLPTPRNAPTK RKLNRVAVPRIDDAPTPIAGQSDLVATIDNGTMVSSVEAVNAESGSQLRPGYMYREAN WQIGRAAEKAGITAKAYNPKNRWLAWRKRQARAERAAQMKSLKNKKKASKKGKGGR AOR_1_1016134 MLHTWIRTLGALLLLASVAHAQFQFFEHMFGGGRQEHQQQAAQN EPSDSSRYQNMWESAQCDKYLCPGTLACVHFPHHCPCAHPDNEDKIELSEGSAVCISK GGFQPGEAARKIELARKGVL AOR_1_1018134 MWSARLQLQPVRGLKAPGRALSSSFTRGRISSRAANDASSPNPN YDPAHNTLLSPVHIPEDPRGVLKENHPAMGILANSGLVVQRQLELMNVMIGFEQANKY VIMDANGHHIGYMAEQERGMTNMMARQWFRTHRSFVTHVFDRHENEVLRFHRPFSWIN SCIRVYDPLDVARNASSSSTSLQNVQPGSLIQATGDSNARVSSLELDDMRVIGEAQQQ WAPLRRKYNLFTYHHSPSRATDMGTVSRPLLQSGLSDAQQMQLTQTKNGGQAMGEFNQ FAYVDEPFLSWDFSLRSANDQLIGSVNRNFAGFARELFTDTGVYALRMDSAAFSPEQV PAQNNAVTGMTLDQRAVMLATAVSIDFDYFSRHSGTGGFGFMPIWIPGVGGEAAAGGA AAGEAGAVGEAAAGTLDRAGAAGGIAEGAAAGAAGAGAIAGYDAMSRGMTGEHQSQSA PLDQQALPRDQQSPTSSQTGPYGDVWADEPQDPWAQSQEDPWAADDTDAGDGDDYDWF AOR_1_1020134 MSTVAQKRLFHEYKNLSTNPPDGITAGPITEDDMFHWEALIEGP QGTPFEGGVFAAELKFPKDYPLSPPTMKFVGGGVWHPNVYPNGTVCISILHPPGDDPN HYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRERRSDYERKVRDEV RKGLGL AOR_1_1022134 MEDHLEYMGLVFYHPTTQDSYMSTPLRQVKRYINETLSSAFDEL SKPDGRPAITLKRSSRNASLFINPTSRALESSGTDTYITYSWPGANTFEAWKFTVVFR VLAVVADAIGTGVVVSKRDIYYSDPACFGTQRIVDTIVDDLAYTIGVDRSALNVEAAA KGLVAGYCSLLTKSGETMDVQLPAKDCLVPSSQDDRELNISDASWVLIIEKEAIYRRL TRSNYHTRAAAGKGILITGKGYPDLSTRAFIRKLYENSRRSDKALRFYGLVDNDPDGM AIMSIYKYGSMAHTNQNGRLNIPCLWWLGLRTSDVVSGAPSNDDRALNRLTVRDRTKI VTMLSNNPVWAAEGPELEWRAELQQMLMLNLKAEIEILYDWDGGLEGWIDQKMAGFSL PED AOR_1_1024134 MADPIAPWRTAAQGHLTADVNGDPKTDYSRWRLLDDDGRQTWHY LESDEENAKWPQTVADKYFLGLPTGLPKLPPAKTPLQCAENGLEFFSKLQLPPGNWAC EYGGPMFLLPGLLITYYVTNTPIPPEYATEIKRYLFARQHPEDGGWGLHIEAHSSVFG TCMNYVALRLIGVSEDDHRMIKARGLLHRFGGAIYGPHWAKFWLSILGVMDWDCVNPV PPEIWILPDWVPFAPWRWWIHIRQVFLPMSYLWSKKFTHPLDPLTKQLRSELYTEPYD SIDFAKHRNSIHKADNYYPKTWLLNTINSVLVNVWNPYLRLPALVRRAEEWTWELIRM EDENTDYAGLGPVSNPMNMVACYLHDGPDSYSVRRHRERLNDYMWVKNEGMLMNGTNG VQVWDTSFITQAIVVAGFADDPKWRPMLTKALEFLDNHQLRENVPDQEKCYRQHRKGA WPFSNKTQGYTVSDCTAEGLRSSIQLQEMHNYPKLISTQRLKDSVDCLLLMQNPSGGF TEYETTRASPKVEWLNAAEVFGGIMIGYDYPECTTASVTALSLFSKFYPDYRSDEIRA AKEKAVKYIKRVQRSDGSWYGSWGICFTYAAMFALESLASIGETYENSDYSRRGCEFL ISKQKEDGGWGESYLSSERHVYTQHEQSQVCQTAWACLALMEAGYPDKEPLRKAMKLM MSRQQPNGEWLQEAIEGVFNQSCMISYPNYKFYWPIRALGLYSRKFGNEELL AOR_1_1026134 MNSATQGDKALANSDFPGAIRYFTQALVELPRAPPYYLKRSTAY SRVKPADGGPHSQAALRDAEIALTLARERGKRELILAAQMRRGVALYQLERYGDAGFV FEIIRGKTGAGNADKSERMKDAMGMAGGAQLTSKNGYEQELPIWILKVKGKLNKLPGG DNKAAVTIAEYPSGVQVPTEKELKNQLDTLKSGKFGDRSVQREASTSKASNGQSGAAG STPPAAPSTVPPSDKVRHEWYQSNESVVVTLYVKGVLKDKVGVELKDESVSIQFPLPS GAEFDFTLDPLFASVDPSSSKVSVMSTKIELVLKKRAPGQKWNALEASVVDIKISGRQ AVPDPTPAGRSAPAYPSSSRNGPKDWDKLASSLTAKKSKPKDKGKAKDGKPKDPKADD AGDESDGTDSVDSDYGGGDAVDAFFKKLYANADENTRRAMNKSYLESQGTSLSTNWSE VSKGKVEPRPPSD AOR_1_1028134 MFHPPVGNIDLEALSGICGSISIACWVVVFSPQIIENFRRGSAD GLSLLFLVVWLAGDVFNILGAVLQGVLPTMIILAVYYTLADIVLLGQCFYYRGFTLRD EPSPPSSRAQESDAEDQPSFPGKVSERTALLSAKANGHSYQAHSQEHTGNGYPTPGPS GQQSFPYHQNHRRHSATSFRDILHPNVDGTHLSPVTPFVEPSAKETRPVRRLSALQNA LFNLSAVVLVCAAGVLGWYVSPGSSKAEDKQDDSGSLSFDTLGQVFGYLCAALYLGSR LPQILLNYRRKSTDGVSLLFFLFACIGNLTYVLSILAYSPVCKGVYPQGRVSQCRPGE AAALYGRYILVNLSWLIGSLGTLFLDMVIFVQFFLYQDNGYGETEGTSEETLRG AOR_1_1030134 MTTPSIRIPFTGPLPPPVIVPPSARNVSGAIDALLSFLTAPPSP YLRGVDVGRYSQTVLLTGAGISVASGLSDYRGENGTYVTNKTYRPIYFHEFLKRHEFR KRYWARSFVGWPGLVKAKPNSTHWAIRDLGAKGYLSSVVTQNVDSFHPIAHSKLSTIE LHGYLRSVVCISCQNQFPRDEFQKSLEKLNPAWAEFLAKMVDTGALNTDNPEEQRQKG LKLNPDGDVDLAEAPYSTFRYPSCPTCLEKPPRLQDGTPARVEVESDGAWLPSSTAGV LKPAVIMFGENIQPAVKTAAEEAIDDAGRLLILGSSLATFSAWRLVERAHKRGMPIGI INIGGVRNESVLFGKMEPDAPHIRCSLNSDLILPPVAAQLPSLAPA AOR_1_1032134 MDNHSSLRIQRNPHPQQQQHHHPTSTYLDIVSTLVSPQSFLFNQ QRSRPPPPKSPTSHPAASPTMDKAQQPSSFQQLEKLGEGTYATVFKGRNRQTGELVAL KEIHLDSEEGTPSTAIREISLMKELKHESIVSLYDVIHTENKLMLVFEYMDKDLKKYM DTRGERGQLDQATIKSFMHQLLKGIAFCHENRVLHRDLKPQNLLINKKGQLKLGDFGL ARAFGIPVNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAELYTGRPLFPGT TNEDQLQKIFRLMGTPSERSWPGISQLPEYKPNFHVYATQDLGLILPQIDPLGLDLLN RMLQLRPEMRVSAHDALQHPWFHDLPQMQAQLQQQQQQQQQQQQQMSGYGGMVTSQQA YQ AOR_1_1034134 MSLSEQQLYAISATERVCSAISLTGTAIIVISFLGSSAFRKPIN RLVFYASWGNLMTNIATVISQSGIHMGLNSPLCQFQAFLIQWFMPADALWTFAMACNV YLTFFYKYNSEQLRRLEWKYVLFCYGLPFIPSLAYFFIKTKARGKVYGYAVLWCWVSQ TWDWLRIAVFYGPVWFFIALTLAIYIRTGSVIWERRRQLRQLGNLDSMRDTQRPLEPT HTRPTTEIRVTSEITRSQQGSCPTAEELCNPVSPFYNPYSVTIEGGFMSRQSSGLPLK AIKHSHSESWSRRRAMSDTSTAAWAYTKYAMLFFVALIVTWVPSTVNRAYSLAYPNSH NFALNYTMAEDNSNNLNPSRQDASPSPPPPAPVPLTPGPRATVLQKTFNQALLRTLRA NSYANFSGCFPTPAKHVPASLESVWRQLNAKLEESARAEFEDIIHERDAIRQLNELDR LMGEARHRRDRGQGQGDVAPHTLTPEELYRARLAPHLQEAQTALNENIESTQNQNVAL SQRVQSQRSEIEDLLSSLESVVADLEGAATAATQFSAENNLRKEALQMEEEVKARSDI AOR_1_1036134 MPKPKSLLKDSKAKNKRKTTQQAPETADEFLAVGVEQEEAGEKW RAGDAAKSMRFFMRAIATYDNGLKKYPHAFDLAYNKEALNLDQDNADALFNTAQVLTS LAEVVTDTKHPSDTQLCRAVKYLQEAIELFQRCLIIQEMRYTEMQEQIKLMESGNVGP REEEMQEQTMQESTENAGESRPSDQQEQWAAVIEPVTKDTLVDTAVAQLETLTTLCNL LTFNPGVGLGWVEESSADLLQEKISAYVEGSSRHYEASLARAKFTCALNEVLYRSGRI DVETYQTEIAHVFGPDLDLSADPEGLCSKADALTSFNTAVTDLPPSHDHEVFKNSLIL RWQSLSAALDALTKASKLPDADNLPRIHVARGDVEMNRWRLGMSPWEYTMAQQNATVL LRNAQTYYRGAAALARRDGNADEERDGTCKEALAAALEGQKDKLQQLKATAFKELMIV AKDVVDDGWVSNAALSRMVTFCTP AOR_1_1038134 MARHHSLDSERPIMAPSTRASKRFSTVSGNPSIASSGTIGSLPS GDPRLAEFHHLRDGLERLENKPLQKQRFVPTPEKSDNLSKLALSAKVERALDRRMTGQ DAIMRKPVLNEKAAAESTSS AOR_1_1040134 MADTQAPVNGNYPVPHAYHESYNHAHAVANNASNFQPAQSTTPT NVPSSEQKNAISKDEVGWYFVEQYYTNMSRSPDKLHLFYSRRSQLVFGTEAESVPVAV GQKAINEKIKQLDFQDCKVRVLNVDSQASFDNILISVIGEISNKSEPSRKFIQTFVLA EQPNGYYVLNDIFRYLVDEEDIVNEEPTPAATAASAAPAEPAEPAAEPAADEPTQPET ESAPTVESQVADEVTVAKVDEKLDQAEEKAEEEQPEEPAPQANGTEAQTSEDVQEPVA LAESEASKTEKPAAPEPTPAPTTQKENVAPAKEPAVPARAVPKTWANIASKSGATAPV VPAIPVAPAKPAPATSSAQSVPAPAAAAATPTPATDSTPSQPPSNDGSGWQTAGHDHK KTQPRASDDQNVMAYIKNVTDKVDATLLKQTLSRFGKLKHFDVSRQKNCAFVEFADPA GYAAAVAANPHQIGTEQISVEERRSRTSAYGGNTNYGAGRGGSGRGRGDRAGSQGRGG FQRDGRGGFAPRGRGGSGNVNAKARNQAQAA AOR_1_1042134 MSETFEFQAEISQLLSLIINTVYSNKEIFLRELISNASDALDKI RYESLSDPSKLDSGKDLRIDIIPNKEAKTLTIRDTGIGMTKADLINNLGTIARSGTKQ FMEALSAGADISMIGQFGVGFYSAYLVADRVTVISKHNDDEQYVWESAAGGTFTLTQD TEGEPLGRGTKMILHLKDEQTDYLNESRIKEVVRKHSEFISYPIYLHVLKETEKEVPD EEEETKEEEGDEKKPKIEEVDEEEEKKEKKTKTVKESKIEEEELNKTKPIWTRNPADI TQEEYAAFYKSLSNDWEDHLAVKHFSVEGQLEFRAILYIPKRAPFDLFETKKTKNNIK LYVRRVFITDDATDLIPEWLSFIKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIVKKT LELFTEIAEDREQFDKFYSAFSKNIKLGVHEDAQNRQTLAKLLRYQSTKSGDEVTSLS DYVTRMPEHQKQIYYITGESIKAVAKSPFLDSLKQKNFEVLFLVDPIDEYAFTQLKEF DGKKLVDITKDFELEESEEEKAEREKEEKEFEGLAKSLKNILGDKVEKVVVSHKLVGS PCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSAIIKELKKKVEAD GESDRTVKSITQLLFETSLLVSGFTIDEPASFAERIHKLVSLGLNVDEEAETSEEKAA EEAPAAATGESSMEEVD AOR_1_1270134 MRPPVPDIALRRARKSSIEQQWIAPRDTAPATFFLARSRDHDAE EELSPDESVPPRESMYGVQSLEEAIHDANLLTSECEPYLSGGNPDSTTPIEPQQQPPP RDHLDNGENGATSWPRRKSTLKPSDLLNASKLEISLPSSDRTSPRPLTPSNLSNPEDP SFSLPSSPKSFSNQSLRHLDDISITDDVSSEVVASGEEDNDFPTPSNICLDSASQLVM PSIRMPSRRPFTERGKSLGRLKVLIAGAPGTGKTSLIKSIVQACEDIVHVDPFPSLPP SKSSRSPGSSSRPFQTRSISSVTEIYASTKPYPVWWSDLEDSRVLRRRRSMGDVVLER NLCFVDPQPNELDCAAQTDIVVQYIRQQLLRAMTSLNSANHDFQSMLAGNGGAQVDAV LYLISENTLSTDIESIRKLCDWTNVIPVVSKSDLMSSSRISALKSTFHKQAQEANIKP FLFGDASLGEVDRLNVKCPFAVSSAKSDDDDVMEASTLMSPDYIQPLMASELMYLVQM LFDRENIAWMRHLSAKKLALRQQEQSYWQQDDLPNGILPGVHTLRGGSSSYTMARISD YTRHEERLARVQLAKWASDLQQSLRNERERYATIARGERAVWLTERLGECIVDGSLVP ISQTPGFCGLRVPVEKAGGGLLVRTQNGKRVECRIARISPHDPLGLVWWSEDLKRRGW AIIQIVGSFGVVGGLALCLAKAWGLSPRSFSEWRFDWHCTGH AOR_1_1044134 MEQDNSLSWPDITAPGDDEFSNFLEFGMHFPDMEGHGPSDQHPP RSIAHSVSMPTSTAPSGQEQLMRMETDAVAPQSSSYDRMMGDFPIELSSQVHQSQSHG SIPAPFSDAPVTPAFYAQKPPHPQIFNHQQLQQPQEQSHHHHVHHSQPTSQPYVPNGQ PVIPPTPNSIEMQGSAATYPQRVDENHDMYDRYARINEEQALYTPLVSPAMTPLETQF RLPEYTIPGQYFTPLTSPALEAQNANSTGYPFHSGHVSDMGFVNSPIDSSIPVSSSPN SPGLIRKHHRRRPSNTKTFSARAKKQQSPSVRPQTRKKSLLQINSDEVLNGFSQHQGG PRSQPSTGSGLRYGSNESSGQDSVSPEPLSEPLMPPPALPTARRSPAIAPQAAQSQTN EPATPAMLMRIQRPQHSPAAIGQASGPVSSESQDDIMEDVILPEAATPTTHFSQSQVA RIDTSLRNGRTDAASVITPALEPKPTPLAERTPGSVAPSPRTVAMPSPSGPVGKKSDT PKLGPLGRKRQSLSSSQPSPNLRPKISPSIQPLVRGECISSETSALYLASKSNYQHIL DGTLLPGVSYPEALAENLSSKRTNHKLAEQGRRNRINNALKEIEALIPAEFVNMKNAK DATPCSLKGSEKEKEKPSNQQISKASTVEMAIDYIKALKKELEETKGKLEAAEARLGE KETSQAHDGDSVSPENEQLEKPGEVVGVLTSPATNGPA AOR_1_1046134 MTSQYAGELCTLLVEDNFGELFARIFSTLNRYDRLSFSRLKFYS RLSNAQLRHGLAAMIQQHLVYHYTSYDDGITYYEPNMQSAYYLVRSGKILELIEHRLG KYAATVMSTIMFLGHAQVGYLETLPALRPSNSDVNRASEERGGIHETEEYHGEEARQE TEEHRETEGRPNGLNSDYTSSERPALLHPTLKALAGHGYILRVRDAQFQSYADNALDA ERTIKSRPDIKALKGKKLDEAVTEGTLELLKERLDGDLTRGLMFNGVPRGAKRRHTTG ATEASNKKARVDYAAVDEDEDGGEENEWSDDDFGEDTIPMESGITVRINYEKLDVALR NRRFLELAERDSSPVTAEVYESLLRRIEYQTAKCRDTTEIPREGEEGEHYSVPVPLRA VVEDVDLFLDLAGSVGPMEVSQPINRRGKRPLEETTNGAAHDGTNGGQSDGNRTYEVD QHLCLLAQPPYSLTSKRMVSGLITWTVEFRHLARKLRHLELERIIEARYGDVALRVVR VLHDKGKLDEKRLQEISLLPFKDLRQVLASMQTGGFVDLQEVPRDALRQPSKTIFLWF YDPDRVGNSVLEDTYKAMSRCLQRLRFERSRLKEFLEKTERSDVKGNEERYLSEAELT LLGQWRAKEALLLGEVARLDEMVAVIRDY AOR_1_1048134 MLSRSTFSRNAPRAVQKQCSAAGINSRRSMASAATPGLQYDVTE AAGVKLANREVAGPTATLALVAKAGPRYQPFPGFSDALEQFAFKSTLKRSALRINREV ELLGGEVSSTHSRENVVLKAKFLSNDLPYFAELLAEVASQSKFAAHELNEVVIKHLKL RQQALAANPEQQAVDAAHSLAFHRGLGESITPSTTTPIEKYLSAEALAEFAQQAYAKS NIALVGSGSNSAELSKWVGQFFKELPSSGSSSQYQLRPGATSKYHGGEQRVSSKAGNA VVIAFPGSAAFGTSGHKPEASVLAALLGGESTIKWTPGFSLLAQATQGFSQVRASTKS HTYSDAGLFTISLSGKADHVASASKNAVDALKKVAAGEVASEDIKKAIALAKFRALES AQSLETGLEATGSALLSGGKPYQIGEIAQSIDAVTEAQVTDAAKNFLSDKASVASVGD LFQLPYGEDLGLTV AOR_1_1050134 MSTTTAEMAPAGRKLEKKPVKFSNLLLGAGLNMFEVTTLGQPLE VIKTTMAANRGDSFASAMGRIWGRGGILGYYQGLIPWAWIEASTKGAVLLFVASEAEF RAKVLGAPDFLAGISGGMAGGIAQAYATMGFCTCMKTVEITKHKMAAQGVKPPSTFAT FMDIYRKEGIRGINRGVNAVAIRQTTNWGSRFGLSRLAESAIRKVTNKDEGQKLSAME KVLASGLGGGLSAWNQPIEVIRVEMQSKKDDPNRPKNLTVGKTFKYIYETNGLKGLYR GVTPRIGLGIWQTVCMVALGDMAKEAVEKLTGDQVTAKH AOR_1_1052134 MASSLRIGTSVLRSTSLAGKPVVQSVAFNGLRCYSTGKAKSLKE TFADNLPGEIEKVKKLRKDYGNKVIGEVTLDQAYGGARGVKCLVWEGSVLDSEEGIRF RGYTIPECQKLLPKAPGGEEPLPEGLFWLLLTGEIPSEQQVRDLSAEWAARSDLPKFI EELIDRCPSTLHPMAQFSLAVTALEHESAFAKAYAKGINKKDYWNYTFEDSMDLIAKL PTIAAKIYRNVFKDGKVAPIQKDKDYSYNLANQLGFGNNNDFVELMRLYLTIHSDHEG GNVSAHTTHLVGSALSSPMLSLSAGLNGLAGPLHGLANQEVLNWLTKMKAAIGNDLSD QAIKDYLWSTLNAGQVVPGYGHAVLRKTDPRYVSQREFALRKLPDDQMFNLVSQVYKI APGVLTEHGKTKNPFPNVDAHSGVLLQYYGLTEANYYTVLFGVSRALGVLPQLIIDRA LGAPIERPKSFSTEAYAKLVGAKL AOR_1_1054134 MSSAVAELDNYLQSMLALKPPGVSGSKINSITSLCTANVQNESV LIQKIYTHFKKAPGTHKLGVLYVVDSVTRQWVEAARKAGQPSGSAAPDGTFAAGVNRV TELLPVLMTDIINNAPEDQKEKIRKLVDIWERGYTFPAPMLASFKEKLNAPAAHNVES TTPEGSPAPNYIPLGGPQQSQLPLSSNGATSTTPQSAPDTSSILKALADMAKQNTAAP SASAAPAVAPQANPLGTLTQQSAAPQPVSSSVDQASQPQNGQASVNPFTAGAMATPFA ALSNVAQNTALVQPQSQSQTPNPLTAAQNPLAALLPQATAGPAQPTPAVTTDALQQQL QLLQLLAAQGIPQDQWATALQILSLSNAANMGNMNPTQAAGFNLPGQNVNTWGRPDSQ SREFDRDRERDRDYMRSPPGQYRRRSRSPGWDRRRDVSPPRRRDSPVYGEYHGDSPGR RGGDPRGRRGNDYRQRSPPGRRRRTPSPRKDPTLPPPGPKFIEWDYSIGQGNIKVLSR TLFVGGVTSSEAHLRSLFGKFGIVQTCIVNIDKRHAFIKMISRQDAVLAREGMESYKS GDMQLRTRWGVGFGPRDCSDYQTGISIIPVERLTEADRKWMLTAEYGGTGGRPIESGM VVEEPDIEIGAGVSSKAISRRIATDTGGKRGPISSRTQQDRFPRPDRDGPSARMGPGG HGGQPDRDISNANNVGVPPAVPGFGFSFPGMPMFPPGFMMGGAQAGTSSGSAQPPPPG QGGN AOR_1_1056134 MAESRQPHFETLQLHAGQEPDPATNARAVPIYATTSYTFNDSAH GARLFGLKEFGNIYSRIMNPTVDVFEKRIAALEGGVAAVAASSGQAAQFMAISALAHS GDNIVSTSNLYGGTYNQFKVFFSRLGITTKFVQGDKPEDIGAAIDDRTKAVYVETIGN PRYNVPDIEAIAKAAHEKGVPLVVDNTFGAGGYYCRPIEHGADIVVHSATKWIGGHGT TIGGVVIDSGKFDWGKHGARFPQFVEPSEGYHGLKFWETFGNLAFAIRVRVEILRDLG SALNPFAAQQLILGLETLSLRAERHASNALALANWLRTNDNVSWVSYPGLEDHPNHVT AKRYLKRGYGGVLSFGVKGGAAAGSQVVDGFKLISNLANVGDSKTLAIHPWSTTHEQL TEQERLESGVTEDAIRISVGTEHIDDIIADFEQSFKTSSAART AOR_1_1058134 MALDFPWIYPVRVVQVIFAIIILGLTAYIVSVYNNDTVNFMLFN SIWTAFFATPYLALAPVHFPQIAHRFVIPAVEAITMIFWFAGFIALGVLLPAPRFCHW SACNCAQAATVFGAFEWALFAVTTVVAVIGALRTRSSTGTKPAPQTTAHVGV AOR_1_1060134 MAFEDGFNVTPKTVGKEAMANDEAFQVNAPTPSSDLKDVDCEKH GATRESNPVPDLKRQLKSRHLQMIAIGGTIGTGLFISSGTAIGTAGPVGALIAYLFVG SIVYSVMQALGEIASYLPIQGAFTSYAARLIDPSLGFAMGWIYWFSWASTFALELTAT GLIIQFWDKDINIAIFIAVFWVVITLFNFLPVSFYGELEFWFASIKVITVVGFMIFAI CIDAGAGDKGYLGFTYWTNPGPFAAYAGVSPDSTAKFVGFWAVLIQAGFSYQGTELVG IAAGETENPRKTIPSAIRKTFYRILFFFVLTIFFIGLLVPYTNEDLVKDGNDANSSPF VIAARLAGVKVLPHIINAVLLTVVLSAANSNVYSGSRILIGLAQEGLAPRWFKKTSKK GVPYYGVMFTAAFGLLGFMNVSNAGSTVFNWLLNIAGVAGFITWCSLNACHLAFMRAL KARNMSRDLLPFKAMWQPWYSWYGLFFNILIILTQGFTAWIPTFSVTDFFIAYISLIL FVVLYVGHKIFYRTSFVPPLEADIDTGRVSLENESWETTTTKWYQRIFRSFRG AOR_1_1062134 MFFSQQPVHLARADELRQEPPKGSPYSVALPGTEEPGRSRIYRA YLAQKELVRTLDPQVLTAHDIFESTANRVPKNHCLGWRPYNQTTKTFGPYQWLDYQTV QKRRADFGAGLVELHHKHNCHRSGQYGIGLWCQNRPEWQITDLACMSQGLYSVSIYDV LASDATEYIINHAELHCVVTSLPHIPTLLKLKPLLPNLKIIISLDPLDGGEQVGHSKR ALLESVAAGQDVSIYTIDQVEELGATSNRPYNAPQPSDIVTINYTSGTTGPPKGVVLT HENAVAATAGALVTTQQAAGDTLASYLPLAHIYARLSEHAAFWAGARIGYFHGNIVEL VDDLKLLKPTGFMSVPRLYSRFGNAIRASTVDQPGFKGALSRHVVSTKTANLKNPDTS KATVKHALYDRIWSKKVAAALGLERTRMMVSGSAPLDPSLHNFLRVATGADLVQGYGL TETYAMACAQSSKDLTAGNCGRLAPCTEACLASLPDMDYSVEDKPYPRGELLLRGTNV FKEYFKNPEETDKAITEDGWFRTGDVCTIDEMGRIIIIDRRKNVLKLAQGEYISPERL EGVYLSELGYFAQGYIHGDSVQTFLVGIFGIQPDAFAVFASKVLGRPISETDIEGIRS VLNDDKIRKAVLKDLERVAKKHKLAGYERVKNCSLMLDPFTVENNLLTPTLKLKRPPV VKQYRQLLDELYAQATAEESAPKAKL AOR_1_1064134 MRLFHASTSSLLFLLSTVVVTSALTVVIPPSNLLPNPNALPSGT HATLTSIPSSTQSKSGHVSPHSLTAPLTRSATFIFQNLDSTGKPESYLLDVRSAEYVF TPYRVDVAADGTVLGIWETFRGNPWENRGAERYVLDAASVNAAKLPEVAVDAKVLARR GFYEERPKFSPLSLFKNPMILLAIVALGFTFGMPKLMENMDPEMRAEFEKHSRASPIS GATRSAMAGGGAPGNFDFAGWMAGAHPRPGGPEPAALQGVATGREGGNVRRRG AOR_1_1066134 MSFAPPPGPPPPAVPEGWKAQFDDRYKQWFFVNLRTGKSQWESP QGPAQEELHAPPSEAPPSYEESGPANPSAVHGANEKKNLGSNNPYNQAGARSSSLDSD ARLAAQLQAEEDARARDSRSPRQQAQPGAAADYYTEASRPQSVGYQSSSTPPQHITGP EQKRSKGFLSKLMGKSSSGSAANHGRPSSQPSQPYGYPPGGYGGYPQQPTGYGYPSYP AQPGYYPTAAQPVRRHGGGMGTAGAAALGVGGGLIGGALLAEALDDHHDYDDYGSGGY DDFDGGDFGDF AOR_1_1068134 MYPPHLLSDRKSYAINNDKAPRTRRSARSKTGPINYYKKLNLFN YESEEECSGDEDVDVLANSDQPQQRHGRSRSCDLSMPPCYRDSQLVGHTQYSVIYSSS RVQILKAPLKTLDDFRSVGHARYRPERNPANYAKGLRGEDLALDNILHFDFDPKEMTA VLNLLSFSGCHWRFAPDTALTDQLIQVASTYNMHPKSFKKMSSILKLSRLLSTEEASD SNALLLEILTSTPCTKELRRARRLTMRLLGSQEKRENEGPSTSGDQHCHKVEEVRRLS GHLSFAFALGRRQCADIEAFIADAQRGHLPTVPSVIKAVKLEDGSSATRKNAQASGNL NKLLQDRELGCAVSRQVSSRFLSNLGVSRTWKGASNDIIVLAWSPDGTRFAAGATAQC DEHNMEYNRGNNLILGDLTTDSLEELPDHWSPRPPGFSRGTMNDHRLFMSVTACQWFD DTLYTASYDKTVKLWRFPNHRASCYKTLEHDSKVQVMAPSNFSKNVVATGTQSVGFWQ LDESTYNVLDLPRQRSKREIDLIPTSLAWGTIPITRELLIAGMSEKGDGVTHNGLLAA WHIAEASSTPIQLSPNSQNIFDVKWHPSLPLFATASSARGGGTTLLSSKNTRSVVRLY SPLISKMCTMELECPALDINDVTFCPGNSNYVTASCTDGITYVWDTRNPDTVLHKLQH AEPLNQIDETIPREQADVGVRLALWGNSVTQFYTGASDGILKTWDVLRSPDDALIQDV ASFGEEIMSGAFSPDRSNLLIGDAAGGLHLLSPNTYADETLSFKFKRASQVPFKGQDP DSESGIMAARKAILNGHLLRHPIYGVGQGPHYNGPYAAWARPDNTPVHQLGQTKLKEE WQLRQLDGIPPVLRSGLNDQLRREIECQRQLAQIRNGQHVNKRKRLEPGYYAKSRDVL VDLCSEDGFWAAPVKPKRLAAESKYVITENANVEVIDLTGDSDTECATPPKGNLAFPT TQGTESYSGLGHPLEGFEGILEDDHWWPSSDQIDPNFNDADV AOR_1_1070134 MSQSKIEEQKKAVAAAATQQSDNIAHALAGAGGGILSMVLTYPL ITLSTRAQVESKRAHSTTADAIRRIVQREGISGLYSGLESALFGISVTNFVYYYWYEW TRSAFEKAAAKAGRASTKLTTAESMIAGAIAGSATVLITNPIWVVNTRMTARKSESDE AVLPGAPKKTKASTISTLLDLLRQEGPKALFAGVLPALVLVINPILQYTIFEQLKNVV ERRRRMTPKDAFYLGALGKILATSITYPYITVKSRMHVASKDGPKETLNGSLKRIIKE EGYVGLYKGIGPKVTQSAITAAFLFAFKDVLYDTMVAIRKRDRVSK AOR_1_1072134 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQW LQEIDRYATEGVNKLLVGNKSDMEDKKVVEYTVAKEFADSLGIPFLETSAKNASNVEQ AFLTMARQIKERMGTATVNNKPTVQVGQGQGVQSGSAGGCC AOR_1_1074134 MATKDREVLPDVAKPVHYEVSLFDLQLGDSWGYKGIVKIDSKIT RSTKEIMLNSKEIEVQKAEIFGEDGTKITQASEITYDQKSERVTLKFPQEITPSEVVL SLAFAGVMNNSMAGFYRSKYKPVAKPSPDTPREGDFHYMLSTQFESCDARRAFPCFDE PNLKATFDFEIEVPKGQTALSNMPVKSERDGSSPGLKVVSFERTPVMSTYLLAWAVGD FEYVEAMTHRRYNGKSIPVRVYTTKGLKEQARFALECAHRTVDYFSEVFEIEYPLPKA DLLAVHEFAMGAMENWGLVTYRTTAVLFDEGKSDTRYKNRIAYVVAHELAHQWFGNLV TMDWWNELWLNEGFATWVGWLAVDHFYPEWNIWSQFVAEGVQQAFQLDSLRASHPIEV PVKNALEVDQIFDHISYLKGSSVIRMLSDHLGRDTFLRGVANYLKTHAYGNATTNDLW SALSEASGQDVNSFMDPWIRKIGFPVITVAEEPSQISIRQNRFLSTGDAKPEEDETTW WIPLGIKSGSKMEEVNSRALVAKTDTIHGVGQNSFYKINKDLSGFYRTNYPTDRLAKL GKSLELLSTEDKIGLIGDAAALAVSGEGSTAALLALLEGFSEEQNYLVWSQISSSLAN LRSVFSQNESVAAGLKEFALRLASPAAHRLGWEFKPGEEYLIIQLRKLLIGMAGLAGD EKVITEAKRRFELWAAGQDKNAINTNLRSVIFGINVSEGGSKEFDSVKEEYLKTDSVD GKEICLAALGRTKDARLVQDYLDFVFSDKVAIQDVHNGAVSLAANSKVRHLLWEYMKG NWGTVEARLSSNNVVFERFVRMGLSKFADQSIGEDIASFFQNKDTSAYDRALVIVSDS IRTNAHYKERDEKSVLEWLQAHGYA AOR_1_1076134 MGDSDEEYIGEVSEDEDDNNVFRGSRSEGSASRAKRRKQRGGAE WEVSRTWETLVEGADGTISSTVEGLLEAGKRKRLLKDTTPLQRGIIRHLILIIDLSQS MTEKDLRPTRYLLTLRYAQELVREFFEQNPISQLGVLGLRDGLAIRISDLSGNPTEHI SAIQTLRDQDPKGLPSLQNGIEMARGALFHTPSHGTREIFIIFGSLLSSDPGDIHQTI ANLINDKVRVGIVGLAAQVAICRELCAKTNGGDDTRYGVALNEQHFRELLMDVTTPPA TYSQKQSASSLLMMGFPSRTVETSPSLCACHSKSSCGGYLCSRCNSKVCGLPAECPSC GLTLILSTHLARSYHHLFPLVNWVEVPWQRASRSSVCFACGIAFPPVPPKDQWQTTEN QAKGMSVSSRYECTVCENHFCIDCDLFAHEVVHNCPGCQSKPTFPKKADLLGCQAGLG LEIMDTSA AOR_1_1078134 MDTPSAVNNNLSTQLPVGTTHSAADPGNSISATQVEIEVYEKLR YYPFHTDREFAKGLAIILGHPDTPATETEIGRIDDLVLQAKCFYFSRKEKLAHPVNFA TYKAWLHSDILSGNEKTGTTPPHFNAPVADTHISTDHINQTSTPVQEPTYPSSFAHIV ELITTGQPVPGIQQIPDTILTGHDTPSTKPRRRKPWEKDEVSETSQT AOR_1_1080134 MSLLSTGSPTTGRRLSETKLLSNDGQASSFGHEASNNKHLNASN STPDPLISSKLGSFQPSVGEFNDIPSNKKQKLEHPKSISPIDNSEMGKLLARLSPKPQ PPGIKLSMNQGILTRLSEQQSLLGRQRRTFYSNNEGLEAHDGTNKSAKIISGPVQSLA QDLALPDATNTDTSEVLRLKQELLAANSRIALQEQELAQTRVIKHTLDQALGPPSEVD FNVREVTEQTISDLQDVFNASNPTFSQLQDGWSGPDDSQSDISDALSTGAYSRSRGFW IPPIQQRLNMNMPNPITDKAYGEPISMPNNFYSQNLSRPWGNPLPDTGVSANTSSQSH RVFSGPLTGACSFDTRLSSEQSRYASGSGVGPRRSITQANRGGSCFPTQHSPWGTFAA SSPSIQAPRSPVNRQNSTYQQIGLYPIPPYYHQQPVGTPLSPTASEFTSSGATAPQWT SSAVGVGSTHTYISPLEPLNYRRLLDKNVSCDWRYIVDKIVCNNDQQASIFLQQKLKV GTAEQKYEIIEAIAHQAYPLMINRFGNFLVQRCFEHGTPEQIVAIANAIKGNTLSLSM DPFGCHVIQKAFDCVPEEHKAVMVHELLRRIPETVIHRYACHVWQKLFELRWSGEPPQ IMAKVNEALRGMWHEVALGETGSLVVQNIFENCVEDEKRPAIEEVLTKIDLLAHGQFG NWCIQHICEHGAPHDKNRAIEHILLWSVDYSMDQFASKIVEKCLKIGGSEFLDRYLTR VCTGRTDRPRMPLIDIAGDQYGNYLIQWILMNAALHQRELVASHIRKHMVSLRGSKFG SRVAMLCCNPSHITRPGPGAGMQVGRFTNFNDDRYQISGQNGGRFNRASQWNPNYPPF R AOR_1_1082134 MTTAIVTGTGRRSSIRQSDLQPPATDFISQSMTTSPIDKFPQFK DDLYEIAAGPRESHSNFATKYTPNDRWEPRKENNFASEYTNGTIRHSKHKPRKSISEA ISTIRTRNASVSANAQELAQALRAPVSYKLISLCLIWYMTSALTNTSSKSILNALPKP ITLTIVQFAFVSIWCLLLSYLSKILPWLRNSIPALKNGIRYPSRDVIMTALPLAVFQL AGHILSSMATSQIPVSLVHTIKGLSPLFTVLAYRVFFRIRYASATYLSLVPLTLGVML ACSTGFSTNFFGIICALVAALVFVSQNIFSKKLFNETARGESETQVSAQRKLDKLNLL CYCSGLAFILTLPIWVLCEGYPLLSNVLRDGSISLSGKENSLDHGALLLEFVFNGVSH FAQNILAFVLLSMISPVSYSVASLVKRVFVIVVAIVWFGNSTTGMQAIGIALTFIGLY LYDRNSHDDLADQRANADHFHTKENVLPLNIRSTTKTWDSNGYVFPPGKTSERQFLDE THSFPNDLKKDDDRPGRVRPRGSSNTRAWLPPGTKQETTWQPSDS AOR_1_1084134 MRPQLFRAAGRAVRVPKVNYLRSFATTTPRLAEVELTIDGKKVS VEAGSALIQACEKAGATIPRYCYHEKLMIAGNCRMCLVEVERAPKPVASCAWPVQPGM NVKTNSPLVHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGADRGRFHEVGG KRAVEDKNIGPLVKTSMNRCIHCTRCVRFMNDVAGAPELGTAGRGNDMQIGTYLEKNL DSELSGNVIDLCPVGALTSKPYAFRARPWELKHTESIDIHDALGSNIRIDSRGMEVMR IIPRLNDDINEEWINDKSRFACDGLKTQRLTTPLIRREGKFVPATWEQALTEISSARQ KLQLKENEFKAVAGHLVDAESLVAMKDLANKLGSDNLALDQPGGSSPIAHGVDVRSSY LFNSKIYGIEEADAILLVATNPRHEASVLNARIRKQYLRSDLEIGLVGEEFESTFDFE HFGSDVSALKSTLAGKFGEKLASAKRPMIIVGSAAAEHADAKAIFETVGSFVEKHSST FNTPEWQGYNVLQRAASRAAAYEVGFTTPSPEVAQTKPKMVWLLGADEVGQTEIPNDA FVIYQGHHGDRGAQLADVVLPGAAFTEKSGTYINTEGRVQVTRAATSLPGAARDDWKI IRATSEFLDVPLPYDDIEALRDRMEEISPVMRRYDVVEPTSLGSLSKIQLVEQNKGTQ PTLAPFKKVIDDFYFTDSISRSSPTMARCSAAKATGNPETNFMAAGEMSPQALYG AOR_1_1086134 MSSEFGLSPRVLRITRSDVPESYVLVHITRAGSSLVDLNVTATE GENPYSGTVRKSHLHDFRSKNYEGNDEEWSQIVSHVFGQLDESAAKVKLCGIESSASV IGASDAEDKELVITIRKRIQTITQRLGSVTLRQDDQQAIQLFDWAGIATLRAYTIEER FASLLARYRSAENTIRQLNKQLEEFVSAKTQHEEQLIVNFVQLLNEKKLKIRNQQRLP ASAKVEQEKVSWIQNTRSDQYLRPHKNIRAAKRTAAEMSDDEAESEEEFETMEFNQTM HSSDLGEANETTDERSSTPQPLEEVDENATDEGSPAPSDLTGSEDERHMFEHRGPTGR PIMKEPPAPPPRRELPFARKAHTPGKKSNAQEYNTEDTAGETDDDEL AOR_1_1088134 MESLTLQVRRGEEETIECWDDDDDLQCYEDIQLRTASSATSVTN SSIRRSGHRDSISSRRSGRSDLDSNVGGDEDWQVQLLDNEEGVNEEAIASAKNAGIPL PANLPRSALVGGTIKRLGRRKPKQDFVDDWSEDVEFPGPDGVLELKNTQESMFPESLR QISSAATSPVKTSASPFWSDDISSHLQSALANLDSYQVDDIVDDADDVPTIRAPVSRS PQRNGFLNDSKPDKQNNDTEDFEQDFELPPDDLPLQLSHRQVISRGSSPTPDELDVDW SEGSIGVRFGGTTRDYRSNPSSSVSVVSPSASSCLTAESEDEGLDGLIIPDGPLDLES SLRKRQEPKYVNLSHSKLAKPSREAASADNFFSGLEVEDDDVFDSRRLSINPNVKCKT ERPGSPARRSATTLTFTHTTVSPKTRIPRLSGHDRPHSTHLETVSESGAPLSKFRTPP SRGGGHSSHSSLSSLTPSGTTSMSPINSAPNRRLVGSRVPKGSIGNERITAGNQLLKK KRSMPSMRNTQQPVSPSFQRSPSRQDGSNFSTIRPKTPVDRANDVRTLSRKSHAPFIP AGASENQSHHASVKSYRQSRRTNSDSSNDAFNSQASSRLSRSSRHDAFGNTRNESSPE ALVTSTKRTLTRPTRRRNFGDGTELASFDDLPTSTSAESRFVKLPSGRGAPRSLRSRL NRSHTIPSRTHTLTPQQPVLGIASKSNDFTPRFARDTNASRNAREQRIASMTFSGKAR ENASFTSLNAIWKAQTVSRVPLNSTIRSRKSRPAISAGCKPHLIKPLGAGVREPKSVN GMQYNPTAFRWEGNEGLIHDFEIGSPQSPKPAPALITNVGAMQNVQVVGGMVFDPQRM CWLKLAPLQPGTKGLVAIQDDDDVFAGLGDLEDKASSSRLRNSSTYDDFGFGGSGDDR SCGDSSDEWPMTEEFDVGPEFIRRQRAEEEKWRRKVDKWVSFDRMRFGDGWRWAIRDL VQSNSTWDHNSG AOR_1_1090134 MSGSGRRSNEGHGSYIMNQQVALPVPYSQGEGHEFSVNDSGSYH FPSQSFSAYTSQFSAPYSHSGISPHNQHLSSHHLPVHSVPSTPDHTALQHHHHHPQQS VQYIAPSRYFQSQRYLPYRDALGETDIESQDSRNENTMLSEPVIPPLDGFPNVREFDQ LMRSYVDDLSVKKQDKALIHAKRARNIRTVLLDPKDTTVESAQFRFWVKKMFKLQVVG TGTSDCMKMICHEGKPVAIREKLFKILTRAHQQCQHGGRDKTSAQVRQIYSWVPKELI SRFVKICPTCQVRRGGSRLTPPNSRRSSPRLEIVSRSPKLPSPPISRCESSLNVQLPL DRPQANFLTQFNGHNSWSESSKGIHQRPSFSPGHGFAKATPPLPSSVSVLDSLHGDLP DPSSQVNYNSGFTSPLGPSSHRDY AOR_1_1092134 MNIFRLLADFSHLASIFILLHKMKSSSSCSGLSFKSQALYLMVF VTRYLDLFWAFSESLYNTTFKILFIGSSAYIIYLMLNDYKPTHDPNIDTFKVQYLLGI GALLALLFPHDYSVSEVLWTFSIWLESVAILPQLFMLQRTGEADTITTHYLFALGLYR ALYIPNWIYRYFAESYFQPVPVVAGIVQTLLYSDFFYIYYTKVMKGKKFSLPV AOR_1_1094134 MRPSKNQLRRARKKALKSQATEATLDESHTKSQTEVTLSTDVSR GSSQQGHDAASLDLEDPLWQAYKHIINRFDEVGDTSTPAKESEKPEIYFDDDDEIPDE EEKEPKLSKRKRKELNKLSVAELKAMVRRPEIVEWTDTSAPDPRLLVHIKSHRNVVPV PSHWSLKREYLSSKRGIEKPPFALPKFIQETGISEMRDAALEKQEQATLKQKQRERVQ PKMGRLDIDYQKLYEAFFRFQTKPELTRYGEVYYEGKEYETNLRHLRPGELSAELKEA LNMPPGAPPPWLINQQRYGPPPSYPALKIPGLNAPPPPGAMWGYHPGGYGKPPVDEHN RPLYGGDIFGVLQPQQTMQQGEPVEKDLWGELQEPEPSDEESEDEDDEEDEEDMETGV QTPSGLETPSGLASAVPSELAGEENVSGEFDVRKHYRGTQTEESVSHKSAFQVIPERQ ANVRGFFGGERVYDLAAHPENLAVLGADEQNRKRKKPGDVDVSMDPDSLQSNEGFSKE SIRKLYESQRQQENNPSWGFQEDLSDMIAQESRKRLKKEEEKRNRH AOR_1_1096134 MAAPYSRILDLTKVQCRIFSLNFNPQRLRLGNKVLRQRLRGPTL AEWYPKKAVSFRDLQDSYKPLGLTTFDEAEDDREEAIQIAKLRGKGRPKKKRTAAESR SAKKKK AOR_1_1098134 MDRKRKSIFSASANTYTKKARVKVDSPDLNNNALDRDGIRSRFS VVSDLLSDALGDYRYLQACDVEVNPDILKATFELEEALRRAKSHLSTGSARNSHTSAD SSAGAVGTQGILPVLPPIMDKVLERAVFTHPGVSNDTEKTYDRLEILGDAYIELIATK LIWKRFREIPSGRISQIRELLVKNETLAEYAAGYGLDRKAAVPQDYLRQSKRWTKTRA DIFEAYVAAAIISHPVDGYRVVENWLTQLWLPKLSELGIQKPVLNAKELLARKIMGKG IKLRYIDEHPPAQQGPGMQTFFVGVYLTGWGWNNKHLGSGQGPNKTIAGNEAAHQALS NEPMVEEITCAKRAYEAAKD AOR_1_188124 MSSISDTSTESEYLPEDEEEDEKEIVKTNTNNWLQLENELNENE LHRRQYQQKTINIKNRVWSLWCQFCTATNRDTLTLIKEEPPNIKTLCTFFDFILTTRC EYVKSSSTLQTYWNYWVLLRREKVGLSIPAITKDKMVGIRQRLVEKHNLRTEKKTENS ILRWEDVFELLKVLWSTGGEAWDPVQLSLILLLAGMSGHRPDALLSLKNANVQVALLP TGRERPHMVLEFKPHKTKRYRGMKKKNPTLGIPEVRSEPCLLLCPQSFLLSLLIRKSA FSHLRITSAAQLYALQVPPEAGSLELRPANPECFLFDITPRTLNTWLKRLGELTGFNL SITSYCLRRGAGEAVNSSCEISEAQQNLLLQHAANSSVYQERYAPDYFPKDFSAVWRG SQPQNKVIRMASGQSRSIDLRRPVDLNEAQAAEADAQPKVQRCKARWEQSRLKVQMAY GAMYKGKGKPLYQKAMKRRNAYHTARQAARREMKAVIRARFNEEQPTDDIIRQVHGLP MKSRKAYKTELVPLEQQRAFSLLFRFAPETERDETEYRAAVIDAVSKVGPSRKRRLQE IHSEGPTPGWVTAALQGKPQVQLARRQCLLCMIYGVRGEPFTRDSSFERHIRRIHNKQ IQCPDPACQDMLIGHIDIANHMRYIHGA AOR_1_190124 MASDKLHQPLLSITQLQRARPQAVSTASSFTDNWSRPDRPTSVE FQIVQHGGHSSAVELLPCRLQWSKQELHTEKDRLMRAVYEASYLRAENAYYRKVRRAS ILLQAEIETVLLAYTAVQELRTCLHRLDTLTANNKRQSVLLRQSVLGTIWSRNASLLR TAIDDYRVMIDRIDTSFIKLQKSQQEFTAALRRIDQEYADFFAIQLGEEAVKF AOR_1_192124 MSGKPSAGLETKKVWLGKYYIHLALRHVVQLRTAYQKEFRQSEG IHTCSKGHLRNARPSETAKLSIIIESPPLIYHGDPTNSMGALFSGSLRFTIGEHTIPI KIIKLKLTLAIHLTTVKPVVKGCSRCATRIEELSNWNFITRPMHLTLGHHEFPFSYLF PGQLPAFCNTPLGGIAYVLSAQAEDIVGTSYSSNMPLHIKRALPPGNEISLLYTFPRT NLTSHVIVPSVVHPMGSFPVRVALIGVMDNKKPNRNHLYIQNVKWHIKEHVKIVSHPC SKHASKSQAEGVIHKKTRVIGRNKESNGSKYKLDLGSDEIRLQFEVSIDYTRESVCDV EDSKGLEVKHSLIVELIAAERNTWITPTSGPAIVLRMCSGLRISERSGLGISWDKEVP PIYKDVPPGPPGYTAMRTPVRQLGASRRLTPPECDC AOR_1_194124 MSSIIMGALYSFNIKEQGSSALFWAAQYDKLQTAQILLQTPGAT ADVVFEGNTPLIVAARFGSQLVMELLLQNPSTQLNATNPLGQTALYCAAANSHSITVS RLLRENGLLVNCPDIMSGWTPLAVAVLHGHSDVIQCFLSDGRADINTVDKHTRTPLFH AVQHDRSRIVEILLTDTRTNVTHRDSKGCTPLLDAARRGFYSSMNILLRHPDIEVNVY DSFSLSPLWYAVGFRSVDTAQQLLNRGATAHMMNGSHSSPLQRAISLQHMAMIRLLLE HPGVRPLPGTSDVGSRLGPNPLFTAVLVGRSDILELLLSSGLDTNVQDEEGRTPLALA ASIGHYEDVKQLLHHQNTNPCITDYDGWTALHEAAIQGRLSVVQLLLSNPRVDINAKD EHGQTPLWWATFRMYPCVAQWLLHKDNINVNTIGLDQTTSLHHAVQAGNIAVARALLR REDLDPNSLDRFGYSPLRIAVSNGDISMVQLLLTRKDLLLYNDSPAQRPPSYGSGRWK RWKLIQTPLMIAIKEGYCEIAILLLQRTGSRINFQNWRGETALLLAVRGGHTEVVEHI LRNPAVNVHLTDNSGHSALWWATHKESSSGIQRLLLIAHLTAQVRAYEKCVGTEISLH QWNDGIFNVGIDYRTSQQLCSGQTNNSLFLFIVLFLMVEIWNSALA AOR_1_196124 MATKREKDQERRRTKNSRERLKRRKERTVQGLHDYGLLSGAKVY MFIQDRDGRVTEYRNTLDKRFPPSFTQIRRLFPSAKLLTPESFGASQPGVEVSSATDS QTMSYGLLNFPTGPFPFDQTNDPLGIPSQLEEIPTLPVDIQFCSNTTEPCLSQDVHDG ATEART AOR_1_200124 MSLLVLPTELLLSILDSLDKASDILSLCRTHPSLYTQLLRALYI FNVKWQDSSALHWAASHGNLRLARILGSYGANINSLIKGVPPLHHAVSCQQTNLIKWF LSWDHININVQDPFYKTALHHAVLRNNEEAVALLLQKENINVNKQDIHGMTPLHRAIL LGNLSIIQQLLNYSSIDLELRGMDGHWGIWNESPPLCVAVMRGRLDIVRLLLLFPINV NNCNKDGKSALHLAVDNNDHNLVKLLLDQPGIDVNIQDNVENSTPLHNAVELARESIV KLLLGQQGINPNVRDSYGDTALHIAAKFGDPSIAKLLLNKPGLEINMRDHHGQTPLWW ATKNNHLSLVKQLLAESHVDVNTVGQDASTSLHHAVENRSSYLVMLLLCTNGIDVNIS DESEKTPLEWAVHQGDIQMVEILLLSPTIKIESALGTAAKLGHIWIVKLLLWRQPNAD LNFRDGSGMTALAQAAFAGHDNIVKYLLQRRHIDVNLHDFNRCTPLWWAAKRGHLDIV VQLVNDSRTVFNSRLHRCPRDEAKYWGHSDIANLLTYVIDKKNPLTLLN AOR_1_204124 MKTYPPSLVGALHFLFPISFVTLPVASIGDMESLTAEQREIQIT AERHLKFLGTAKVNLDEISFDLHSHRQLDQRNIDRLCDIFYKEQCQNLTISHRIPAIV SRNHLTAALENALPGVTAQSLRTSAESELPHLKFLPGQLQGLHGRHRVVAGSKVLTRG HRWWAVDLYLDDIGDDLRTTLIEEHSNEQRPNDGEIYHKIRQYVADGNRIGELRWRAR LSPSCQSRLDALAKNARLQQAFDSVLQIPGNRTAMSISMLHRVLAVKCDEEIAHYLNY VKEFWFSLVDNDPLSIAKIDQRTVETLQLMAPCAEAESVQGLVLSGQIFSDLGAHERE AILTRLQLFTGIVPSLHTFFDDFKCFESWAHCLTRLSPCTRSTVRLTMKGLHASSSNN ICMIQTSEYTFSERAISADEQFEVAYRQLWLYAMRHYPQMPRDPKRKERLARPRSATA NERVVSGMGHLAHQLGFDSSEIQELIGQAPDRLIARNALLQARDPESFEVDDATVDSI IGHIITCFDMIRPKGVVTVPPTRVSRSVPRKSRSGHPTLKALVQDRALLFLDHIHTAA VPDLVTTAFVRHCVYFAFFGPHPAVSQAANSVYCPTPPLFVPESRPSQIHPDQDSLQH MSNNSPGFGQPIETWNYISPSMDVDHNRSQNHTTAGPVAERRPSRVDTECTREEAEFQ ESTSHAVQGFHHQMTTDNMTHQQASSTMVMYATELGNLPNRYTKGAINAIREPCTQKG GDLYCEPMEELSNDNIAAGAINNTHQLNNNQRAQTHRKNILRNSYTGKINIVFYVYDE HSQWNVTTIVQVNPADPSSTSEFRQTVQRYKQQGITLCDIKMRSVSISSSLSAAMADG QNVLLLFPPGTRRLCQSPAIPKGLPVPGID AOR_1_206124 MQRLHHVGPNGAPIVQAEDVLYLAQPHPPQKKPVLSGPDIYKKR ICGQKRDLVLPETVKLGKATHLAFIVRKESPWETYRKVYDCHLDGPLVVAIPRVHPSR LVAIRSIRRKDINEIPRFFERLQHPCIHSCQQCFLHQDLAFLLYEYIPVSLDHIVACE AYLNETELAAVLAQVLEGLLYISSQRLEHPQLTTINILATERGEVKIGALDVCVPLTA HQAPHYSHALKAITVELMQKYNDRHTEIKIDSTQRRSDN AOR_1_208124 MGKSAKRKREREKALLEQGRLSELNVDSDGDELMDRESAVQHGD STESESRFYTLEHRAEATRIQQLEDKDYYGILGLENDCTAKDIRKAYLKLGRLTHPDQ NKYGDAQVAFKKLAEAYDVLHHPKSRAEYDLIGLQYKRNRARNAEKVFGEAFANSAAG DESEDASDDSGLEDKHKLPEPDVEVVRVYSEATELVNQYLAPHDTLPPKSVEKAILEL NKKIKKINQESGRPEDEGLIKVHLLFGFACSYREAGKKGGNNPEDLIRIQKQFDHVKK VFYYPSSWQLPNIPGVTANPPETGKGNLADQEAAKRIIGYIPSSGFCGPSFIVENPSL PTGMLIEQYGDINGDMARAYLALPEAEKKDVRGSQERYSKADRTKYDRILGWCNVLSS KWNPDTRGCLPKSYGLIRFKDGRTDILSRTALRKVLGARTADAEVNRFYRELGITPPW AAMPRFQALPGPQRALIEGAYYSNILRSQGPDKANRSGITRREFYGKTSEDTIRTLVE QNQQQQRQIEELTKSITEILKRMKV AOR_1_210124 MSSGISESHGRRHTRHLEGLHPWPIDSVIRLDFIARSAESPLAN VQSIVDSFLRPLWHQAYNQVDLIHIGELHGDVQLLRQILMGACCAPNGTIEICGTSVR FDDPNGDTALHRLYRDMSSAYEKSGRCLDLPSKYASEVKRRGFVHVAERAYVLPLTTR GNIDLLNDIIANWADGFEAYSLELLITELGKRYLEIFSGYASARQSLRKGVDGYLEM AOR_1_212124 MDITLTDSESVIEDVFSPDSLSTTDSLSSIDEESDTDTVEIIQR NGREYYGEQLDRYPWPTDLEARGRQCDMHDIALAHFDGHLFHAPIVEEPEKVLDIGTG IGVWAIDFADVFRHSTVTGIDWIYLQADLGTPNVHFSVDDFEYYPDWYDVFQNIDYVH IGQIGHRVQNLGNILDGINRCSKSGAWVEFGDWVVEVQDLNGPVHQWYTDLQRALGQY GYNMELPAMYEDAMQEKGFGHITHLNHQIPMCLNDPTHTITSTALLQCWAQSLEDLSM EPMTLMLGYSAEYVRELCSSAREAILTGGTNGYLNWRVVYGQVQLA AOR_1_218124 MSGRAETRTPDPPDRDEQENSPPRLVRPKRTTRPPAHYAQEQEI ETEQRNTRSQRKKKNQGKPVAQDKAATSDDSSTEREDSDTSKLVKEIVKLRREIRRRD ELYKEELQRVKEEFGAALTEFRHELLANRPPTPQAHPESCAQSGHEEILREIQSLRVA VNPSGSPSYADVARTPPTSQPSNIRTLSSWNTTPTTFTDTLYCTIDTSKMADTESERP SAGPIRTAVETEIRTMENYTNWRCRAVTVDPKNTNRIRIACRDEAEHQLVKKVAETKV GAGARVLRDELYPIKVDSVNRTAVLDENGDIRVGAAAAFGEENETTVAKIAWLSRKEN AKAYGSMVVYLTKGSDARRLLADGFFHAGGESGVTSTFEHRPRPIQCYNCQEIGHKAF QFAATNRFQSAFHAEALTNRIARTVGSSIHHIMNKTLRVIQLNVRKQGAVHESLMNDE ETQNTVALAIQEPQARRIQGRLLTTPMGHHKWTKMVPSTWREGRWAVRSMLWINKEVE AEQVPIESPDLTAAVIRLPERLIFMASVYVEGGNASALDDACNHLLDAITKVRRDTGV VVEILIMGDFNRHDQLWGGDDVSLGRQGEADPIIDLMNECALSSLLRRGTKTWHGGGH SGDCESTIDLVLASENLADSVIKCAILGTEHGSDHCAIETVFDAPWSLPKHQGRLLLK NAPWKEINTRIANTLAATPSEGTVQQKTDRLMSAVSEAVHALTPKSKPSSHAKRWWTA DLTQLRQIHTYWRNHARSERRAGRKVPYLETMAQGAAKQYHDAIRQQKKKHWNQFLAD NDNIWKAERYLKSGEDAAFGKIPQLLRADGTTTTDHKEQAEELLAKFFPPLPDNIDDE GTRPQRAPVEMPAITMEEIERQLMAAKSWKAPGEDGMPAIVWKMTWPTVKYRVLDLFQ ASLEGGTLPRQWRHAKIIPLKKPNKENYTIAKSWRPISLLATLGKVLESVVAERISHA VETHGLLPTSHFGARKQRSAEQALVLLQEQIYAAWRGRRVLSLISFDVKGAYNGVCKE RLLQRMKALPQAGLPQGSPLSPILFLFFNADLVQRQIDSQGGAIVFVDDFTAWVTGPT AQSNREGIEGIIKEALHWERRSGATFEAEKTAIIHFTPKTSKLDREPFTIKGQAVEPK DHVKILGVLMDTSLKYKEHIARAASKGLEAVMELRRLRGLSPSTARQLFTSTVTPVVD YASNVWMHAFKNKATGPINRVQRVGAQAIVGTFLTVATSVAEAEAHIATAQHRFWRRA VKMWTDLHTLPDTNPLRRNTARIKKFRRFHRSPLYQVADALKNIEMETLETINPFTLA PWEARMQTDGEAMPDPQAIPGGSIQIAISSSARNGFVGFGVAIEKQPPQYRKLKLKTF SVTLGARSEQNPFSAELAAIAHTLNRLVGLKGFRFRLLTSNKATALTIQNPRQQSGQE FVCQMYKLINRLRRKGNHIKILWVPASEDNKLLGLAKEQARAATHEDAIPQAQVSRMK STTLNLARSQAATTKALPEDVGRHIKRVDAALPGKHTRQLYDGLSWKEATVLAQLRTG MARLNGYLYRINVAQTDQCACGQARETVEHFLFRCRKWTTQRIALLQCTRTHRGNLSL CLGGKSPSNDQQWVPNLEAVRASIRFAMTTGRLDAV AOR_1_220124 MSICDIQATRSKGSPTLSRGKLNVQCYGSAVDTSAEQIGCSLDN VSVLEQKDYWQIAKPLVAELCSQWDQDYERAGCTVGALLTYLRSMYKAFQPHRAVYHG STNPLDYVYAINSHLCDDHRSDQVNTSSELQTPAWWQLNAATTSGEVSFETLLDVTNE GSPTAATASKDLQDGVEELYPTSRPRFKEDGVTENSDTGPSEDDGAQSDAFLECHPNS ELNTSPHPAADFSRMVRDGVARKLGSLPPLVDITTDEGEMSPSLGTPGTTGSAATCRD PLFVPPADQDLDILAAIPWNGNQMLPGAVSHAKTIGNWSSGAFQIPTLSDSDMLVDDC GAPDNLDQAMAHMSPLSATSGVIPGADTRLTPDKESPTSCTRTYESLDGRVNDATDLR DSLAQQWPDTDRIILHGSILAYADIEQILDELQNPQQLSIPTVDFLGDLFSYYRPSSQ VYIADTAWPDRLHRGVLRPTPIPQILLLPTYLHGRWSLIEIQACSGAVIHYSFTAIGP GLHEMCDPCDPVYPACTSCRGAIEALSQHLRDMGKLSPEWKFYNRPITSTNGDDGTCL LWTIKQRVNNQSVQEEPSEDFRGSLNREIVARALHAAGESRLSLPSALSSSRRHPGVL PEKVQQRWSAVTGEWDIPIDLTRIRERIARMPLNSTVRIGSKQADRLLQLAFTIASPP VLVELRRQLLHLRQKQTAANCCFQRTPAGVFKAGIWHKDNKDTSRIGLALTCWYVHNH RQQRHQEGYPDPTAQTVVNICRQLPESTRDYHQVEERVKGWYKRAWPWNQLVRIASSP NVLCFLPQGVSFAGKGTISMTDYRSLKKAHYEAFEVIFKDYRPRLLQFIPSNFFEIFL YNRLPVGQYRIEQWTEKEILAEPLDSEKFDRAFDLLIE AOR_1_222124 MRPKRQQSWFQFVTEEVGASLIYDPNCLPQLIHLTDAPHRRHPA LVSFLGRGKKDRALRSLFADNPSNSISTFGFRLRVDHRTLYSGRPILFTDGDPNQSPQ PPDIGGLAGVEKIPIAWASSSGAQIVDTIVTRFLLPASHVVCIFAEDIGGLVGVQALL RRWIAIGPQATQPALRPRLLVVVETKEVTSGDESLGDAHLAGVLAPPSDAPEVFAGIH MLHVSSASVLSDEARYRPLKEELLKALDVMERDRSECGLHFSAAHLPGLLEKAIRHTA QAHDRTFNLIEKARPPPRPLEWTFHIGHFLRQGNKVAVEAQDIIISSSLMLDAFPPNM HEFHPIDLFRQRYWQPCLDALKPVVGGAAAVHRVQSLESRVINSHIDMINRGASALDL HQGQQEQHRLVFQSLFSNQTCLGCLLSSPQHSLACGHALCDACVERYGQPPSRAESTY ILEACPLCRQPCLTSIVLLPRTAAVRALTVDGGGIRGIVSLQILLTLQNLLGPHCPLP DLIDVAFGTSAGGYIVLDIFAMRKSVFHCFEAFQRLLFGFFSSQQHGCRFLSWPRQII RGVTNRGLYDTNQVESLLRTHYSCTRRLFGPDVPTSTKIAVTTTTQHGPVILTNYKPA VHRPETAGYREFVAHTPNEEPLLWECARATSAVPGLFRPFALAALGDCWDGGLRHNMP AELFQVELQHLWPWCPPLGCLLSIGTGVRDRARLERSTTTPLSDTAPHEHFLRPVLSS FMDSMDGAAAWLRFWNQADRSVRDASTRLDVLLTGPEPLLNAAHRMDDLIQQTIKQGV GDHGRQSLIRLLAQSLFFELTSAPHSENDGASYTCTGSIRCRVPGQTFLGALRRLDTS RKEYVLGSRPLGISVTEGSICPGCSRYCVPVRFSVSNMDDKIALSIRLADGQRYSIGG FPHPVRWFMHRQGLTPMYGFAGDGVNTRDDCQTCTKRILRRHGLRITQLQARRKLRIA HAIQHAT AOR_1_224124 MISLSFDTAADRPTDLDGLVLEAWGQGLLVGALVVMVVLTASNM KKSKMLHKLIILELLLAAGHGTFIFFHRPTYGWYLSITAIGLNISYTLHNLIAWIKIK PFLPPWGSRVFLGTLLLAQPYWVLETYANFAFFNQGKLLFLKTRVLEPFFRDPWWLYA SYALCHAINKCYALSLGTLIQVSPRFGIMLFLMCLSVGFIIIDVCAIFGVISTTLPVG IEPFWKLSFVFKCLCDTVILDDFKVALDQIREHWMHRQAEQAGLPLHIARDPPRSLPN RANGDSSRRFNIHATDESILLEPSYPTASYQGS AOR_1_226124 MGSELGVNPNSKPRVSYTPVSIWWVCWGCTWTTVIALGMAYLIA HRNTPALRVRGLALSLSAIVVLHIYWLSVQFGTMIGALMPGDVEYWIMGTYLPCGIAL FHLSNSQFLYVAKLQRKYVNYDSRCIRPATSLRPKANVLCQFHRLGHTPKLFIIICIS LLCQLTLTVLMWVISRKWHSSWGIPGTEVYGTEMEQKSEMGRGWEWWPSIFWQSFWSW IFAPIVLWKSRRIYDTQGWRVQTLGCAIANLHATPMWLVALYVPAMESVNQYWLPPQW ICLSILVIEIFTIIIPCWEVRRRGASAERMSSLITQKKLQHKKAISRFNSLSPTSTIA NTVTLDLETDNNSVDMISDARNNTLTLNSLDYILEQDPAPLQTFAALHDFSGENIAFL VSVSHWKSSLQQAIRNSTTPGGDCFTGLIREHFNRALRIYVDFISVYHAEFPVNISSK DLKRLEAVFEGPTRALYGDMRDVDPATPFEASDNSSKARLSPASLEGAEQVSQVTNIF YTGDVPETFSTTIFDDAQDSIKYLVLTNTWPKFVRTLQSLADSSDIVGIDMKTV AOR_1_230124 MAKFSTHPTGPRALLNDWLHRLSIPPPVTNQAVHSRYVEMLLET ERIPLLHNLIASVSTWLLLAGYLVFPGTFVTLRESHLVAQMASKSQAATLMTHAINNP PLLALATISCTLGTVGCGWLWWRWRTNYIWPPQRIFLPALLHSTMGFVNTILNVYTAR HGTWSIMAIVSTAVSGSFTVTTAVIYTILQCYILRRIKREHQSVVEGISPVPPRPESS SGTSICEPPRSGVITEHEER AOR_1_236124 MLPFLVPLVTLLIWRVSPVLSCALPTSDVRPQGSAASAENNPTS TLDAGNNILEPTVKVSVTKTDDQHVPPPGQTYSLSERPSTLDVPALPLSSTLTLLPSP SMPPPSQTYNNFVTNATADAIFTDSTATIRLFIVDVGAEKGGIDTEQSLFRPQFINAA PGDMVLFRFYGAYALYSSDFQDPCHAPRLSQNAVPTRDKIPSHQVSVQSTKPLWFSAS LIDKPHLCNNETVFAVNPGNQKEDFLANASMI AOR_1_238124 MDKQQYECEDRIPLPELGQHAHSHHVNDNRDLARVGKLPSLQRR FGFLSILGFSCTVLATWEGVLSTFVLPLKNGGSAGAVYTYLFVWFSTLCTLATLSELV SMAPTSGGQYHWVAILAPRWCHKFLSFITAWLVILGWLGAFASGVYMASSQIMGFVTI THTAFQPQPYQVMLLYWAYVAFAMFINVGTGSLLPKFEGFVLILHIAGFFVILIPLSY LGDHVSANEVFGSFNNEGGWPSLGLSLFVGMLGSNFAFTGCDAAIHMTEETRNATVII PRSIMMSIVLNGSLGFGILLVTLFNLQDVDHVLNSPTGYPYIQIFLNATGSIPGSIAM ASIVPISGVATASGNLAAASRMVWSFSRDRGTPWWILLSKVNSRRIPFYSITAIVVAA LLLSLIILWSEAVLNAVVSLTVSSLFSSYLIAAGLLLYHRLTGGIRHCGDTMLVVNTT GAPLSWGPFHIPRKWGIATNAFTVCYLTIAVIFSFWPSDVHVNASSMNWAIAPTGGTI LFGILYYITHAKGTFTGPIVEVC AOR_1_240124 MAVYNIQTTNVRGSFSQDPPSLGKLRFQCRLWTAEHINCISEME SIFEQNRYWQIAKPLVAELCSQWTRDCENTSCTIEVLVNYLRDMYRAFQPDFALFPEP INPLEWVHVIDNHIRESFPGEVLFRGVKRLRDQFDDGAELRSTRQKCLQESSQRQFRS LLDMRNEACPNTVNNHSGGVEQSRQISATEAGENGAAQEGNMHTSTGNTTQGEPPQQS GAAEEDNMHSSTDNTTPREPSPGNGQTEEDTEDDSVYSSTGNTTPGELSPEIEGNRAT EDDSIHTSTDNTAPREPSPGNGQTEEDTPTEDDSVYSSTGNTAPREPSPGNGQTEEDT EDDSVYSSTGNNTPLELFPEIHPIDGLTTAAGADFDRLFQELDAGTSDQGPDFGPQPN LGGMMSTMTDAEASTRSSVSNTGNVASFRDLMDMLQDQYNPGFPQWPAAPMRSDLGLN RNQTLSTQSSLHIADSDMIANSRPIENLDQVIADFLVTESIPRPEDPTSNINMTEEET NNMNNCISLQDTLVQQWPDKNYILFYGTLLTRTNVEQVLYELQNSPSLSVFTVDVLGD RLSYHHRPLELHIADATWFDCLHRGILRLTPIPQTLLLPTRINNYWSLIEIHVYSGAV IHYLFLENRSEVQDSSYFPHHPACHSCQAAIKALSHYLEDIGQPCPEWQVESTLLSSI PGDDGIGLIWTMTQRADGQDVQNGPPETFRAHLAHDIVMEALQTATDAPSPPPPQPPP GLSPNSSSSLSLSSPVPASGQQTNSLEKAHCRWSNVVIRSGDPINLTGLWDRVSQMSL DSNTSIGPRLADRLLQLALTIASPPILVEVKRQLEHLRREQSNATRRFQRSAAGVFAA GIWHKNNEQTSRIGLVLTYWYVHNYRQEQGNSSDPIAQLATDIYNHLPDTARDYSEVE EKVRDWCKRAWPWNQLVRIAGSPNVLCFLPQGITYFPGEDAPSMTEYRCIKKHCFKAI EMILRECRPQLLKFIPQNFFEIFLYNQLPETQYAIEQWTEEEILAEPLDSDKFDHAFD PVLDTNIDNN AOR_1_34124 MMSQITSNRPHLFEDDLPESANTERKIFAEWASSVPFEKKADDF QIHNSKELDTKIVPFLRSLNLLDKGYSLVQIPGPKYAPFHHSKGDAFIIPIEILGNSP SASGKPLQEGKRLYMKVNQEVKIEPKLRLLFILL AOR_1_244124 MACLGDMFPYVIIPPWFKQFCSNRCGSEDLTDWDDGGQGVNSHF AGGAVVYDEPRSGSSEYQPPRPLAYENGQPNLPVSTKGQQYGFVPKEYNYSLTPKIQP IKPASPVSPAEEARAVITPTLRRDRPPSFMSSGEIIALPSPPPLLVLPPLPSRIYDVV EAEINFGISYFTAYHDFSVSERRILFSARIQQNPGEDHMISCPLVIGASHLAETSRIS LQRKLDTAREWVPNSVWELFGVEQSASLMIYI AOR_1_38124 MDTSNMGTSNMDTSNMDTSNMDTSKMDAFQFAMGRRDWPKVDSV RVSSLSCDYCNQHYVLTFKYDKRNVSLTVNHFKFLRMENKRNRLVWLENHVLETQNFT TYTMHYTCPTLEDIAYEVFSKDRPYLIHSCCGFIGGLVANYVKDGYLSPSDIASSS AOR_1_246124 MASSTNSTSVPSESRGQFRLHHTAFYIRVSAHIFWWVLRKPRIL LLERALCDTRPGYWEPPGGAAEDQDETPGDALKREVGEETGLQLSQVTHTLPTQTWTR SKGREDYNWVGLPCIIKVSELETFESRGSSQRASQPVLKWEDIIRLNPEEHQNFAWAT EEEVRCDKYKMFGNHKETALKAFAAVTENCSV AOR_1_250124 MPRPAIDLELYKAEITALYQRNEPVAAIQDYLKESFNLQLSKRT LESRLQEWGLLHQDRTVSINTDVQARIKVLFFQVGLEDKDILYVLHQEGVTLSPRTLR RMRKSLGLVRRTDSPFERQCQTEAILQALIEESEEGKIQGFGRGLLHQHMRTKGHIIT RARLFQAYRTIFPDRVFARRYQLQRKRGEYIVPGPNYIWSVDGYMKLEPYGIEIYAGI DAYSRYIIWIYCGITARTALSVLNQFLDIVETVQLQPQKIRSDHGSERGRFQNIYLQL QQAYDPSIQLADCWIEGRSTENQRIEAWWEQLSKSALYKWRTYFRTLKDEGLFSKSCT ADQIALLSIYMPMIRTEIYSYVELWNVHRIRKQRNRPNSVAGKPYMLYHHPKQDVQDY GLPIKQEVLDVQRQSVHGFDIDEYLPAETLQFCRTALLGIGFDPEKPPPLRPEDEAAP FQSIKTGIPARTIESLHDLIHQDLLKDMSESMRQLYNPQRHDIYRLATNIKGKSPMYL SPIVDTRQAVEDLLSKWFESRTTVGEKEYMVHLVIERTITLDEDVIPVEEKIKVKKKR KIKKEIKDEIKDKIKEERIPSPIYQADDGIVQSFLSTQSERSSEAVQDSRKRAASESS SILGTIPYRTRRRHVIDEEDMNRIQQYGPFISRE AOR_1_252124 MPQPVEAPRQRWDPSTICEIIDPSQHCTCIGVAKNGDACRNKVS KESREIASHRLEILSMCPINEYLVSKLQEIAGLLLCKRRHQDQATSLSERWCTRLGLS PEQAPIQNPAPSHSSQRRHTRSDRVPTTRAERRISGRSTSLPTTHQQREEVTVAMVQE NQIPFHVSSTRPAVVTVSAASGQTGSVVFRSFRKGRDISDVECGICRERHSEDTVYLN CEECAGEFHWRCMEGWLMHRSPRSNFSCPNCRQDRLFDGFHAARPRSSLDAASEASQS ATLPAASNSPRRDELSEQAPAEPELSSESYGPSPMEGVRRSMRPTRRPEYFVPS AOR_1_40124 MKKAVKSAVSKAVEGNAWKKFTNPSAGRGQTTFPKGQAEQERLG VRWDYDGEVTKGEVVYHKFQMQPNAGKVPSPIKRWREDHGGTHAVMATALVKKDGSKE DVEKGLDEATGSI AOR_1_42124 MAKRLHGRIFSFLFQLRPTLHKTNLAPGRALSTVQKMPEPCELF EYTTGKWIYNDALRHRERRRAFNVSELKRLAALAVQQKEDDIAGFEKLAEGGFNRSFK ITMRDGFQFVARIPYPVTEPKFLVVASEVATIDFLRSHGIPVPKIFGYSAVADNPAGT EYIFMELVQGQNLGDIWFTLSEQERITLVMKLVQLETRLFGLQFPASGSLYYYDDLPA HDYPAIVPSPSSTRRFCIGPDTSLGLCTVLPLFLQCGIPQSLQNYGDEISESLQTPSL PRNFDELEEIQQFQHTELFRKRQLHYLYVKLTAENNSEHYNALTYHFNTLRRRIFHHA SDPWEGDNIALKADLVTVSRNWEEVTLDRRSPCPIFFSDDESSECLRLAHEQSDADKQ FQACQEAIGVANEGWVPVAYYDEAKERERKLKADALDAAETAEERARIEENWIFDDFC EEEYT AOR_1_254124 MDGLSSAASVIAVIQLTGSLVKLCGGYIQEVRNAREEILILQRA VTGLQDTLQDLQNNLQENKAKDLPTSSRLPSDITACLSDLQALEARLNPGKGKSLMSK MGLRALKWPLKRAEVEGLIKSLERYKSSFLLSLQVDQTSLMISMVNNTERINQHVDLG KLEGAIDAGFESFSDRDEVECLLGTRTELLREIIEWALSPSSKSIFWLRGMAGTGKST VSRTVARSAKNRNHLGASFFFKRGEADRGNAKKFFPTLTRQLILWKPELRPGVQKALD NDPDIASKSLREQFERLLLEPLLGLDQRDQPPQNTVIVIDAMDECEHDQDVRNIIRLL PRLQEVKSLCLRVFLTSRPELPISLGFSEIGNQVYQDLALHEIAKEVTEHDIQLFLRH RFTKIQLDRRVPQDWPGDGIIQELVKVSVPLFISAATVCRYIENPKWEPKSRLAELLK DQAKYVSKMDKTYLPILTRLLDDQESDEREQRQLLQEFQDTVGVIILLAVPLSINTLS SFLGIEVDQISNRLDSFRSVLSIPSDEKQPVRILHLSFPEFLVQTTTKFRVDAPAKHK DIANSCLRTMRRLLRRDICTLADPGARRAEIDPLDIYKCLPSELQYSCRYWTYHLKNS HALSTNIEDVRLFLQKHFLHWMEAMSLLGLISEIIDMLDSLRTLVSDRNDNSTLAAFL HDAKRFVLKNRQIVDAAPLQIYYAGLVFAPRTAIIREQFQSELPDWICRFPQVHENWS AELQTLEGHIDPVNSVAFSPDGRLLASGSDDKTVRLWDPATGALQQTLKGHIDWVETV AFSPDGRLLASSSYDNTVRLWDPATGTLQQTLEGHTCSVVPVAFSPDGRLLASCSSDK TVRLWDPATGTLQQTLEGHTDLVNSVAFSPDGRLLASGSRDKIIRLWDPATGALQQTL KGHTGWVESVAFSPDGRLLASSSDDNTVRLWDPATGTLQQTLEGHTDPVESVAFSPDG RLLASGSSDKTVRLWDPATGALQQTLKGHIDWVETVAFSPDGRLLASSSYDNTVRLWD PATGTLQQTLKGHTGWVETVAFSPDGRLLASSSDDNTVRLWDPATGTLQQTLKGHTDP VNSMVFSPDGRLLASGSDDNTVRLWDPVTGTLQQTLEGHTGWVKTMVFSPDGRLLVSG SDDNTVRLWDPVTGTLQQTLKGHTDPVNSMVFSPDGRLLASGSDDNTVRLWDPVTGTL QQTLEGHTGWVKTVAFSPDGRLLVSGSDDNTVRLWDPVTGTLQQTLKGHTDPVNSMVF SPDGRLLASGSDDDTVRLWDPATGALQQTLEGHTDPVEFVTFSPDGRLLASCSSDKTI RLWDPATGTLQQTLEGHTRSVVSVAFSTNGRLLASGSRDKIIRLWDPATGTLQQTLKG HINWVKTVAFSRDGRLLASGSHDNTVRLWDPATGTLQQTLEGHIDWVETVAFSLDGRL LASGSHDNTVRLWDPATGALQQTLKGHIDWVETVAFSLDGRLLASGSHDNTVRLWDPV TGALKEILSTHGLLTEVEFSQDSSYLATNLGSLKVQFTYGKPIADSPNMDPHVSLQRE WIAVNGQQVLWLPPEARYSCSAAKSNILVLGHLSGRISFFGFRK AOR_1_256124 MSVVNGSAVVSAESDSKSRLVMAPPARDAGDDTDPGALISSSYI DKNPEELPEKDAPAVVDAIRSLADSDDDSRVATEDEVCDLLHVVDKIPVRLWVACIAG ILERFVWYGATAPLQNYLQNAPGGEVPGALGLGQAAASNIVNALIIGSYIMPVPAAVL ADSFLGRYKTMLYSAIIEAIGATILFATSLPVAIHGGAALAGVIAACVLLAVGSGAFK TTVVPFIADQYDATEFRIQTRKKGEKVVTSRELTITYIYNVFYWAINVVGFVADATPL LERYVGFWLAYLIPCCLMWLLFPIHWLCYNQTFNNLISQAGQMVTYGIPNDMMKIAGA ISGIIVAPIIQKGLYPCLTRRKVAFGPIARMTVGFIVLTLSMVYTTVVQKLIYQTGPC YEAPLACPGSHDSTVPNQISVFLQIPIYLGGALAEVFCLTTGTEYAYNHAPKSMKTLV QAIWLAMAGIGTCLALAFTPLTKDPHLITMYAILAGLLGGATVLLWVLFRHLDKSKDV GG AOR_1_48124 MYQIKNVAVAGATGNVGSKVLQALLDVARFKVTVLTRKEGHPFP KGVETRVVNYDSIDSLSEAVKGQDAVIDCTVSIDGDSHIHLMDAAAATGVYRFIPSEF SFDPTNKNRCSIPVFTGKCRAFEHIRQLAERGKITYTTISNGAFLDWNLKTGFMNIDL NKRTIALLNDGKVSIAWTTLDSVGKATAAALLNPRETENKALYIYSIQKSQREVADIA QEALGKDGWKITSVDMEKVFAKALDDFRTGHVSFQVMGDLIRYGNSRPDYGFPWRKDD NELLGVKALDDEEVKQLIQDIASQAV AOR_1_258124 MAVVKNAHKWDIPELDKYGVISSRGFLEFTDWLVRSWVPTESTK GRDIYYILRVFYFALSQEPLGSRLTKIQPLSLNKPLKLLSDWVVQFAKEIGSSMDKPS SIH AOR_1_50124 MALSTRDTEVNSMTSSLEKQEGELPDWTTDPSNAQNWSLAKKLY NTSIPSLLCLLISFGLAIYSPSHAHVQEDFHISNTLSLLPFTLYVYGLAFGPMISAPL SETFGRRFVYIIMTPLALLFILGAGFAQNFATLAICRLLGGILCSAPLAVGAGTMMDV WSGPNTNRGVVVLMGVAFLGPALGSLVGGWIAEYKDFRWSQWTTLFLGAALWVYSMGA QETYAIPIRRRLAKKAGLTPPPKPIPDGLAGIKILMTVTLARPLYMLLTEPIVTLCSL YSSLNFSVLFCFLASVPLIYSTNYDFSPGQSGLAFIGIAVGCIIGAMALVIVDAYTLS KHRARHPGEPAPPERMLMAAMMGSPLMPAALFWFAWTSQPGIHWISSIIAMGLFGCSN IMIFVSTMLYLTNVYGAKAGASALAANGLLRYGVGGSFPLFTIPMYNNLGFTWASSLL GFLAIAFAPLPWIFYRFGSKIRQSSAYTA AOR_1_52124 MSSATSNLTWTIFMVMIFGSLSSAGFGFDQAWWSAIMSLDQFLR RFGSYDPAQEKWILSSRNQSIGTGMGYVGVILGVICGTPLNERFGRKMTLYIQSFAVA VGVIIESTCTTSYAQFVVGKAIVYFGGGIATNAIPTYQGECAPPSLRGLMAGTYNAFL MIGGFAAALIVYLCRHITTDWAWRVVVVAQIGIPFMGWISLPFLPESPHWLIRRGRLD EATKALRRLRGSGFPAEEEVVILQQILEEERALREATSWKDCFSSAVNLRRTIICVGA QVFQQAQGISFVANYQAVFLSQIGFREVLLMSVVVYVIGVVANLLSMFTADRSGRRSV MLGGAALLCACMMVIGGLTTRGTSHMSYSMQVATVVMLMLWFFCFQTTWGPLAWVITS EVPPTSVREKMVTLSGFSAYGVGLIIVFVNPYTQDAIGGSVAFIYGSLSVVAFLFTFF FVPELRQRSLEQIDEMFNEQLPTRSFASYVCNIPDEGRVEKQTETVEYVENLP AOR_1_54124 MVHHEKSAVEELLRALTLEEKVSLLAGKNMWETANIDRLGIPSL KMTDGPAGARGSKWTYGSLTTFIPCGISLAATFDPELVQKVGGVLGEETRRKHCQVLL APTMNLSRSPLGGRNFEGYGEDPYLIGKVSTAIIRGIQSQGVAACMKHFIANDTETRR FNVDQTIDERTLREVYMKPFVMALDADPLTAMVSYPKINGYHADLSPSILKPLLREEL QFDRIVMSDWGGLNSTVESLIATTDLEMPGPAVRRGEKLLAAIAQGQIDVAKHVDPSV RRILELLDRVGLLSESSANGAVKNDEAESEKAPDDPNFHRIAREAAQDGLVLLKNEGV LPLQPSSLEKIAILGPNARRPTAGGAGSAAVNPFYITTPEECLTTAIRQVNPGTEIVY EQGIPFSLRPPLLGSKLTTPNGSRKGLEVTFYAGHEFQGPPVATTYWDDSLVYLFSDG DVPESLKGTPYCYQASGIVTPQVSGWYTWSVANTGKAKLFIDDVMIIDNSEWSRITGG FLGCSSEDRTVRMQLQAEKTYRLRVDNIVTLPPIESFDNTLFPNVSGLRVGLAREEDE QAMLNRAVDCARSSDIAVLVVGHNKDSEGEGGDRINMELPGQTDALVQAVCEANPNTI VVVQAASAVTMPWVNQARAIVMAWYQGQENGNALADALLGHCNFSGKTPITFPRQLED HGSHAWFPGQAANDACEFGEQVLVGYRHLDKHGINPLWPFGYGLSYTRFEVTDIRLDG HITTAPASTISIHARVSNVGEQDGSEVVQAYVSPSEQIQKKGLVTYQKTLAGFCKVFV PVGETRDVAIQVSKEELRWYNAEARSWQLDPGRYYCFVGTSAVDIHGELEFHLV AOR_1_56124 MDPSSSPSSTLPPSVPVACLNCREKHLKCDGNLTGCTRCKDLSL FCHFVPSRRGRRGRPWPYSGAMGDYPPLPVEPTGNAMMTPLDSLACAAPQGDATCSSL PPRIDNQLVTLFFLHFHQAHPFLPPRDAFLHSSPPIYLLDVVQFISVHYLPASNVPDH THQLCTAVQEAEASLEKVQALLLLSIIMHARTQPREAKEWLGDAISLSLDLGLHCREF SEALEIQNPVRAESARRTWWEIFIIDTLLAAVQVDGALQLTVETLDIPLPCEMDEYQD GRLGIVPISLRDMDRQALFHNDGDFSSAAYRAEAATILRKCLIASGNHVSHETINILD VTISAWFHRLPSGKQAMLHHNGDVDQMIFQSFMIMHCASIYLHFPKSYLLAFLPVTSH IFCSRPPTFTSSSANPQIHTAKVYGAAVNLSKLASLTTSVASHSPFFVCTLVLSSIVQ LAVFTADPQQSSRTGRSFLALNIGVLKSMGHVWTIAATSMARIRDVAVELESALARES RALLDDHLTQSVLVDSQGLDHKCYVCKGA AOR_1_58124 MKFTKKILTTLGLLGVTEANLGSINTEKHVAQQNAVSKRPPNFL FIMSDDQDLLLDSLSYTPLTMKHMRDKGTTFNNHFVTTALCCPSRVSLWTGRLAHNTN VTDVHPPWGGYPKFVEGGFDKNFLPVWLQQAGYDTYYTGKLMNAHSIENYASPHVSGF NGSDFLLDPYTYDYMNATYQRNHDAPVSYLGRHTTEVLTEKAMGFLEDALSGERPFFM AVSPIAPHSNMNGTYGAGSGPLWMDEPIPEDRHKHLFPEAKVPRKANFNPKEPTGVSW IHDLPFRNETEVDYNDHYYRQRLRALQGVDELVDSLVTRLEQSDKLDNTYIIYTSDNG FHIGQHRLPPGKTCGFEEDIRVPFFIRGPGIPEGAVEDSVSTHIDLAPTFYELAGIPL RDDFDGAPMRILRNNMGTLHEHVTVEYWGQAMLEGGLSNLGKPTVPNNTYKAVRILSE EYNLYYSVWCNNEHELYDLTNDPYQINNLYAKVQTDNSQETRIMGYSLSRVITRLDAL LLVLKSCKGTSCIEPWSVLHPGGSVHNLRDALNEKYDSFYQAQSKVSFDRCEYAYIID AEGPQEALAYRNGYSLDAWV AOR_1_60124 MASNAQSFRQTIGIPRSTASVKDSTLIIIDAQNEYASGQLKVEG VAESRKVIADLLSRYRNGGDGSNIVHVVHEVPAGAPVFTPGTALAEEFEELTPRPGEK IVTKNFPSSFAKTDLHDYLIGLGDLGKKIVLVGYMAHVCISTTARAGSELGYDVVIVK DAVGDRHIPGVEAEQLVAVALNELADAFGTVVSAEEISS AOR_1_62124 MMPASGIGRFYCRYQGCNASYRRQEHRTRHESQHSSPRTADCPF CHRSFSRSDTLRRHIRRDHEEEQLETSRATRACHSCRVGKVRCRGGNPCKQCREKGHR CIFEDPIPSQPNAPDTPPCLDESIDPEPSELHQHDPGYQVDQYIQLYFARFHPRWPFL HRATFSASHEPSLLLYAVVMVGMWVSGKDSSRRAALDLHRRLGTLIRQQQATWQDLSN HQQRPASAWPIATYQGVLLYLIFSLLSAPHYSHSLDLTIQLPVSDRRILTALVQTCLR HNVFFYPAMVGRYQDIDDVTCIWVGVEEIKRLGLALYKVCNRCRGGLQGESEESNSRL LCLSDLRFPAPDSNHLWEAKSNMELSNLLAQTSRDMNPEGGHEIKLISESGGWLDNVD PGFNWI AOR_1_64124 MASSFKSVVSITHVTTATAIINIDGINFLTDPVFCPAGSQYIYD GWAKAPNLKDYGFEGRPPSGVLRSTEGPALQLHDLPPIDAVLLSHEDHVDNLDPLGRQ LLDGRKVFTTPDGAHNLQPRPGVVGLRPWETVSATIGGKEFRITGTPCKHFPGGEVTG FILETESFGFNAAGLPNVVYFSGDTVYIDEFEKIKEKWNISVAVLNLGNALFSHPNGI IQITFDGKQAAHFMRVTGAEIMVPIHFESWEHFTEHRDDLLRVFEKEGVANLVRWLTP GVEARII AOR_1_66124 MSQSNAVDGGYGWIIVLASYGVFLEDFISNSRISGGTSLDFALI GGLSASQALVISPLITMIHRRFGLRATMALGVLFETAALLGASWSTRVWQLYLSQGVC FGWGLGLQYLSTTFIIPQWFSKKRSLAAGITTAGTGTGGLIYSLATHAMLDRFGSGWS YRILAIIQFVVNTICVLLLRDRMEKPRSERKKATFRLSLCKRYETWLFIGWSFFSVMG FMVIWYSLATYSRSIGLSASQGSIVTAVMNVGQILGRPAVGYFSDVVGRINMTTFATF TSGLLCLLLWVFAKTYSAILCFALFAGILFGTFWTAVGPLRAEVVGLEDLQSFLTIMW LICSVPATFGEAIGLELRTSGEDEFLRTQLFTGFMYIGASLCTLLLRGWKISQEKTPE SGDCPGSASTHGECNISSRLSPIVAWEKV AOR_1_68124 MSQPYESNDRYHATAPGAAASYHHASHSSSDLGDGDNVPRPRRS SPPSFLNSLSNPLRALRESGARLAARLGRPTDGGDALDAAGHPEQYGAMEDVRLLDMM PDGRVPRDSYQSKLQNTLVSEARFLVRKLGVGGKLPGDADVPDERDVNRGVFSYLLQH QMDQGHHRNVSQTSISTVASQDEPSPSGSNNKKRRKQKWYEDEEGDRSKGKRPMKQIR LTKHIAAILTRQRYIMQLCRAMMKYGAPTHRLEEYMRMTANVLEIQGQFMYLPGCMIV SFDDPLTRTAEVHMISVVPGLELGRLARTHNIYKNVVHDRISVEEAISELNQLMERKS RYHSAWSLIFLSGLASVAVGPWAFDARPIDMPIIFVLGCLLGFMQNVLAPASPVYSNV FEVSVAILMSFLARAFGSIRVGGEPVFCFSALTQSSIALILPGFSVLCSSLELQSHQI VAGSIRLVYTIIYSLFLGYGITVGITIYGLLDSNATTESSCPSESLSIYGNEYIQHFV FVAIYCAVAAVLNQARWKQLPVTVFLGVSGYVANYFSQALDSRLASTISAFAIGLLAN LYSRLWHGHAAAAIVPGMFTLVSSGLATSGSIMSGLAYAESVKNNTVSKASTSNTAVQ QSLYGLGLSMVQTALGITVGLFFSALVVYPRGKQRSGLFNL AOR_1_70124 MADIPYLFTKREETINTWNWYSYLSKSPQTYKDCVYFQAIIERT DTKERTRLIAERQTGQDQVIISRWGSSKSSLSPSSHGSGSKSSSSSSSSGGGDLPLPL WSLKFNSGSLNVVNLAQILRNTTNEGGDYNVLTGRHCYWFAATAYASVRVFASIEEPW SFRRWKGRLILIKKAAVPDAKNFRERIQIKWEYLSGNPVSKSQFFKMAYTDALRLEVD DETEAKKQIHEHAFELTNNDIGNNISFSALQEWEPGQPIEDLEIDEVYERGFQDDNAE SYKEVYDRYNQATPIQTDSPNDSGKLYIPDDFVVLEPTARQMQKMDEAIEVMAGRVLA EYEKNHPN AOR_1_72124 MSQICIRYLGMDDLEANQEQTESDIQCFLSYSAEYWADHVRGMS SSQQQEMVDLVHGVYSTTTGRYRMWFPIFWKSVGLFDGYHARRSLPKLDAVHLAAFNG HSHVLRLIITSEENTINKKDSTGATALMWASYNGYYEAVEMLLSKGADINAQGRQYET ALQVACCRGHDQVVQILLEKGANIHHFSGKYGNALQAASLGGHDRIVQMILEKGAYVN ISGGYGGTALQYACLGGHDQVVQILLEKGANIHHFSGKYGNALQAASLGGHDRIVQML LERGADVNAGGGHDGSALQAASSEGHVQIVQMLLEKGADVNADGGHFGSALQVASSKG HVQIVQMLLENGANVNDVVLPDVSGKVHSRFPVGCVSS AOR_1_74124 MRIAIAGSGAMARYICDEFPKYGHQVVILSRSEKPIFNGRPNIS QVVTDYSVDSLVAAIDNCEMLISMILSYGTDFIDAHLNLIKACQLSPKCKRFVPSEYG GDVETYPDMPLFYYHTREPIRKALREQSELEWTIVSVGWLADYVVPSRNRYLADIGPA FPIDLATNQIVIPGTGNDHIDLTAARDLAAALAMLANAPSWEPYLYISGEKTTWNDLA KLVQQRYPSMTEVKRIGLGQLLNTIQTSTDEEEILLSHYQISTPLGGGSLDPELVQRH RRKFFAGLHFRKPEDLIREAFTNGNTIV AOR_1_76124 MASAKSELSQTLQDITHAKLRELSRKQEIFLSHKATTLQTVQAL DSKIERLAALSNGLKTCFGIPVVNGRMIRGHTSNHERLEITLANIDRFLKQAQYDPSI SPSIMQRWQQSLLSNLEMQTLKYEFATLFGQLTMEWLSTKKGPPPDDDVSMSEEPEQL PGAEKLESRKQWEDAVFTPLELDTDEIELLLSELFQNPSKDAKDIRVAFKELQRKVQV FEESLTYSRLFSASTLRWTISGLMASDLLTEEKRTVLKDFLNNAIILDELSDVLNMRM TALDAWSWGTEVPIEQRRQLNGTYNIYMHEDLIQAIFLQYLGVKWSVFFKSAFTEFRK ASGVWKSPGSNIPEIDKSRREFFLGYRSPKHSVQSTREKIYQKGYFVSQLVDYEEQDR SLDQGEEEADFAQFSAAQVPMQTAASQSYGRKRTKQTARKSTTVWPKKESCRSRGAAV FFEEEAGVDEGEDSDDDYDTDNGREVFNPKNPMDAKQNLLHLLATDILIKTQLEGELT CFRSKYDSLNPDLSHATIHAVLGFFGVSEKWLGFFQRFLKAPLKFIDDPHAEPRQRQR GTPGAHVLSDVFGESILFCLDFMINQKTEGELLWRVHDDFWFWSSNHQTCVTAWNAIQ RFNKTMGISLDPLKTGTAQVQHKATKSPTSLDPALPPGQVRWGMLYLNPDSGHFEIDQ QMVDSHVEELNRQLKDQVRSVFGWIQAWNSYATTFFTSNFGKPANCFGRKHVDMMLAT HERIQRVVFSLDAEGGKSDVSVIQFLRDIICQRFNITSVPDGYFFLPIELGGLELSSP FIQLVGLRDSLIEDPTTLLDKFLEAERDAYASAKVRYEQRLNSTQHAAIHNQGFYPDD AHTFISFEEYIRYRELLGYGFTGELKEVYEKLLQRPEQQHIECDPNGAVFRELNQLSG HQNLRGIKSNWRNMDAYWKWVAELYGQEIIDRFGGFNIVDPGLLPIGMVSLFRSGRIQ WQE AOR_1_78124 MYDDTIPDLCMFGGPMDDLDEKDLNEAIADSIHINPRNGTLGLP ITGSGMDQRKPKLAMMVGKFWKPGSELRIAFMSGTPWQHDQVKKFAPIWTQYANLKFK FVDYDKSSPPDILINFDPSRGSNSYLGTDSAGVARHGRHSMNLGWINEKTSENARRST ILHEFGHALGAAHEHSSPRAEINWNKEAVYARYSGPPNKWDKAKIDHNVLRKIPDKYT KATEFDPDSIMLYAFPGSLTMDGKGTERKHFLSARDKEFMRFIYPFDTHDVGMFNTIE VATGNKSQQLFVTTVPWNRKYSSPPAMVWGFNHLDIPSNRNLRIQANITDKGLDHFKA RIGTWMNSEIHSAGMTWMEVGPDCGFVHTGSVSLRDIPGWQRKPSQNSKRVTFSTPFK HQPKVVCFLTLLDFFQGEDWHVKTYATNIDHTGFTIHMDTRSSGVMHGVCVSWFAYEA GRPDMISGRFSTNDIRVPSQHRHDATSAVIFDKTFSRTPKVLLALDELDYAQAKDLRL RVSTSMVSKDGFTWHLQSWDDSIMYSAGASYLAWAETEKSKSNLNGSGIVIV AOR_1_80124 MDPGLDDDLFPANKYCPLCGVVLLCEELEDDPETRRPWYAEVRG VAKENFSDIFMTGVGFMSARETLCAPLDEELDYLTADEEALYDVDLLQAESERHGFGL HDSCWMLLQDRLWHTIDSDKIAHSLYNQFYCTPCPYRSSLSFGHDYGGAKRWQRALDD MGMPEDMPPLLQTDPYAIPLLEKLEENAPDVDGRRESPPAYNTTSTDINTGYRNSFGR LSSELLYEVVSYLSVPELLDLRCTSQELSQRLVFSSLPQSFWKSQFARGSSMDFLFPD LEQSRDWFRLYRGTLSILQAKDQSPEQLSLLNRKRIRELLEPIASVVEADSGRSKEPR GRRANCMELRPGQWFVSEGLNQWRAENIYTGYLTLETEYLPHGCHVPRYRIGAFPQSM PRGGEMKISTVQLGARVFISGISLQEGAVGYGGLTGLVTIKVPPRATFNQIEVAFCPE GLRGIRFHFGGNTTSDWVGDTLDKDMSYGVLRIPHSPCGKYHIFAGTDAHKITAIGTI HNESREERSSKPFWLDYPLDLTLPDSYLWQSERPSYDNLRIYQFPTENLGTPYRPLMN IDFGGPNGEWLDSLLSMEVHVSSEFSPILGMTFNYSDKTLRFGECTSDKKLTFSIDGP GGERITDVVGSTISNTDASCIYGFRVTTNRNREMEFQPGDPFDGSGSPTIVCPNSCYL ENLPQGRIITGFVATQRYGERHFKELGIQIQLPSGSNEGQIP AOR_1_82124 MQTIPPSSPFTSNHPHDADKINHNDNDDLCITALPEMATLGEVV DTILGQRVQLRRLQGSGAAGQSGCSIYFARPCDEDDNDTEDKTKRPIAVVKVYPPHRH SDLLDELASYKTLRSLPSPPRAVHPIGVGRTRDEESGALAGVVVYQVATGKAVNTILC ELGWITRLRSLVRDAAMDVTNRHKLQIFMEQNRGDIPVDWEAHKALEKQGVDIYFSEA LPAFFEQRYQHLLRDLRSAMRAVASVLAKLHTGRRGEWCDAAENALENIRKRIRGWIE EIQTDAAPGYDNAGIGVDRREEMQDMVEYAIHQAKHRATTSLTHGDASSGNFFWDSNI GVTMIDYGGVRLSTDESGKPTGLAEMDTAGFYERLRKYAPSFGVSDDDVTSLQETFWT AYHEHNMSLDPDIVRLVRLRIQMSRLWSAVDKFNQSQDGNQAVVEGEFQRLRSIAKLL RDQGAWKRNILVVSNASGCGKGGIPFLNQELVNGLAGLNGVSVALFLVGDNNSVSQTH HENVTVVGLPGSEANGELLYYMAKVHQPEEFGLPTHRDANCRSPFDLIIGHSRYSSAA AALVRDRFYPAAKLALITHTSPLRKADAAWAWYGGSRQQGYEEATRLAMLDERILPKA DLAVGVGPVLTNEAREREWVGQLTRPRWSLTGPRFHELIPGAHIVKDDKDIRARRPDD PFKVLLAGRADDPAKGVDDAIHAVWKLAESGVENITIDILGVPIGEVEKRQEEVDQMT GIPALVRFHPFSNDQHVVRRSYQAADLVVMPSTHEGFGMIFTEVAGLGIPILVTEDSG AGQFALDRSRIPAELGDAVVVMDEKAYGIPASIKSSRVGLWADRINQVRQNPIQAVRN ARELHNVMRGYSWAHAAEALLDAAMEHHEGDTVQTAHGSLLPYRPLLSPEVDASLRCA TTIRNHNGVPEREQAAAVVKSLPEIAPSLNALNEFVSKALGHQVILRPLDGPHVKGFS GAPVFFAHSTFTHSYQTRQTDAAILSQGEELAVIKLFPAGLDNGIAEELSSLEWLLHQ TKGDINTPTPIAVGRTTWDEKETGVVTYRVAQGVSLYQLMARLGLLDGPERDDSVTVL KRGVIEAAKTLAKLHSYAVQGRSSEGYLEWYYDAAPGRVNRLRSHAGILLEHTGIDVD KLDEKVHTLIAQSRQEIYRHPQAAVVHGDAHPGNFFFDPRSGRTTVIDVTTLHCSLDE NGNPAGTPERDVGHFLHMLRRTGEQLNMREKEMDECSRAFLTAYKGTAAGKLGIHTLR LLGILKQQAKILDDMFCLDQSGLLG AOR_1_84124 MDILPNSRQLAVLRISYPIVILVAFFVAFIAHSILIARSTTKNG TTPLGPGGKPLPKRLRSTMNNLRNAQRNVSRRMKLAFAWLAVGVLLTYVADGVIHIMH VLVAKSEKWWCGQAVVIYVVGSFFSHAVILVALLEASPAPTIAHFIPWITALPFELVI LAGTLSVYTTVHHEPVIGNPYGGGPRREGMTKWETMEIVSASIRLLLFSLMVGLYMIK FVHKSNPHETVEFGSASEVAGLLSGSNEGDDSAPEPWIRPTTTPETSWWEYVSSYSLF FPYLWPAKSRRLQIVVVICFLLLIVQRVVNVLVPYQVGVVTDTLSWDNGEIQIPWIQI AVYIFYRWLQGSTGILDSIRSNLWISVSQYSYMELSTAAFEHVHSLGLDFHMNKKMGE VLSALTKGNSINTFLEQVTFQVLPMIFDMAIAVGYFLIAFDAYYALVVAIVTFCYVYV TVRIAQWRAEMRRQMVNASRQEDAVKNDSLMSYETVKYFNAEDYEFDRYRNTVSNFQS AEWHSLYAQNLMNISQNTVFILGLLITCFIAAYQVSTGQRPVGQFVTLLTYMAQLQTP LTFFGTFFRYIQSAMINAERLLELFRQQPSVQDEPFAVPLENCNGSITFQDVSFSYNS KPALQNLSFHCKPATTTALVGESGGGKSTIFRLLFRFYNAGGRILVDGHDVQDITIAS LRKHIGIVPQDTVLFNETLMYNLKYANQAATDEDVYNACKAACIHDKILAFPEGYETK VGDRGLRLSGGEKQRIAIAQTILKNPRIIMLDEATAALDSETEEHIQEALAALSRGRT MLIIAHRLSTIATADNILVLNEGQIVESGTHQELLAKNGKYTSMWNKQIKAQKAAD AOR_1_86124 MAVSIDEKQTVHYPRHSDYAISVFNILKRWFPSDLVLADEMQYA ESDCHGQPPYLTSAPFQGELYPVKKIGVTIWSHDQGWISYPQEHSSFNNSWTWFDLKI TRPAGRDDISKDANLRLETNVHASEDTMCHEIIYRSDQDLRLVQNLEPGDRISIIPRA LFPGWTNFVENACIDIYTTPVLI AOR_1_88124 MGSSSRPWYRIRWFADEDTPEERRLIVKLDLLIVPYAFLAYWVK YIDQANINNAYVSGVKEDLNLQGNDLVQLQTMYTVGAVVGQIPFVYLFTKLPISWLIP ILDIAWGVFTLLQFRASSFGELAAYRFLVGWFEAAFFPGMHYIFGAWYRGDEIARRGG CFYVGLTLGTLTASLIQSGASARLDGVHGLAGWRWMYIVCAIITIPIGILGFFILPGT PDKPNRMVLRRKDVDLAKSRLARAGHGFNPGFQWRAVINIARNWKFWAMLLLDVFFWN GSLNTTAGGYLLWLKSLNRFSTARLNELSAISPALGMFYTLFICFASDLVLGPAWAIT VSHLWNIIGLVILVVWNVPESAKWFAFQTTYAAVAMSSVLYGWINSELRASPVERSLA LVITNTIAQSTTVWTPLLVFKTVEGPRFTKGYSFTLASAICLIATAHLIQYFLKRERK EQNAQTDGESSIETPVQVQTKVSV AOR_1_90124 MHQPPSNVKDTKDDIDAFRRFTSGRWLWREQEQLACRYVKFELQ ELLGIAASVVAAQSCARVLKTSEGQYNKVFLLTMDNGREIVAKLPNPNAGRPHFTTAS EVATMDFLRNVLNLPVPQVYAWSSRATGNPVGAEYILMEKQPGVMLSDVWDSLKGKQR AQLVLQVVDFEKTLAATKFNGFGSLYYKDDLHSSVDTLPLYVDNSGKEVQNTKFAIGP TNHRSFFDFGRGSLDIDRGPWTSVVEFATAVAKREIATVKSELKYPLMPEGLFYGPRQ YQPIAAKKLSTLHNYLKVAPYTLPENSATHASVLWHGDLNLQNIFVDPKESTRILGII DWQSVSLFPLFTQATRPAFLEYNGPLPETLDKVRLPENFESLNPVEQQKAKVLHQAQA LHNLYLARSRQINPVVFEAIQGQKTLRHQFSADEGEKQERDVELWAQGVRLMEEFTSD TGCFKHWDGRVNEQDYELSRKQLDEGVERFLQREARNEEERKEWLEVLPFVD AOR_1_92124 MNHKFASSNKHALRIKNHLNAQEDIEDGKPPFSACTTDREAWRL VVEKDLGRLKWKYLNTQNERDSRPQDLVSRFFLGLPLAIPDSEATKSPSQSISNGLRF HSRLQVAGRGCWADDLKCIVFVTPMLIMSWYITGAEIDEAYAIELANYLFTIQDPTDG GFPTHIGGKTTLMGTMLIYVALRLMGIPSDEKHLIKARACFLEMGGAVYLPSWAKFWL SLLGLYGWEGTDPYPVELWLLPEWTPISPWRWYNIVRQVYLPMCYLSSKRFTMPSNPL LDEIRTEIFTEPYSSIKFASLQGCVLECERHQPQSRVLRTASWALSNVWNPWLRPRVL AVSAERRALEIIKASDNTFNGTGLISLDCFLNMIVFYCEEGPNSKKLKQSQERTLEYL WFSPQGMQVQSIHGAHTWNTSFALQTLVISGVSDHPDLRGCTEDAYKFLLEQQFLDDW PDSPPCHRSSRLGGWPFTTRYHGSTCSDCTGEALKAILLVESQTNIPRLSTEKNIRLA IDHMLMIQNASGGYSSFEPIRSGPFLEHLNGTELFANVMTEYDYTETTSSCITALSLF RERDSSYRAEEVVNAIDRGVRFIHQNQQIDGGWLASWGIAYTYGAFFALEALHCANET YENHAVVKRGCDFILDKQKEDGGWGETIESIMKKTYIQAESSHVVQTAWCCMALIYAD YPDPEPIRRGIRLIMSRQKPSGEWEQEAGVGAGIFTCQLFYYNYIYSFPIRAIALYTQ KYGDDKLL AOR_1_260124 MLNSINIPQNAISWAVACAAAATFCLASSVVYNIFFHPLARYPG PLSHAASRVPYFIRVFKGTLPFDMLDFHTRYGDVVRIAPDELAFSHPDAWKDIMGHSK GGLYLEKASWFYRPLEYDPPHIFNEGRQAHSLLRRQLAHSFSDKGMRDQEPMIRGYVD LLLQRLREMGGREDTVDISAWYNYTTFDIIGDLSFGESFGCLKGSNYDEWIESIFRAF PFITALQALSFTPLVKEVLLALVPPSMRDRRERLRDFTRQKMLRRMAIAEERPDLIEG LLKKKEEQAHRQAETLVVAGSETTATLLSGVTYLLLKNPEAYQRLREEVRSTFSSEDD INLSSAAKLPYMLACVEEALRMYPPGAIGPPRVTPKGGAEILGARIPGRTYVSIHHWA LYRREEYFTDPHTFHPERFLGDPRFSNDRHNVLQPFHIGPRSCLGRNLAYSEMRLILA LLFFNFDMELSDDSQDWIQQKNVIMWQRGPLKVHLTYIHRNSA AOR_1_94124 MQLEPVYPRFPFARPSGDEPPAEFHRLLRECPVSRVELWDGSHP WLVVKHKDVCEVLTDPRLSKVRQRDGFPEMSPGGKAAARNRPTFVDMDAPDHMHQRSM VSAFFNDAYVESRLPFIRDTVQYYLDRLIRAGEDGKEVDLVKHFALPIPSHIIYDILG IPIEDFEYLSGCDATRTNGSSTAAAAQAANKEILEYLERLVDKKTTNPSHDVISTLVI QQLKPGHIEKLDVVQIAFLLLVAGNATVVSMIALGVVTLLEHPDQLSRLLEDPSLSNL FVEELCRFHTASALATRRVATVDIELRGQKIRAGEGIIASNQAANRDPEVFPDPDTFD MFRKRGPEEALGFGYGDHRCIAEMLARAELETVFSTLFQTLPSLKLAVPKSEIQWTPP TRDVGIVGLPVTWDRD AOR_1_96124 MTTRKLLLFLGLAGLSLQQKDILKDFCRLWGHQTAVIDRKLYID GGFVNYNPLSEYPDNATNTALLYADFDVNNQGMPAVYNNLTKPTDAPDVNGGILWPDT VNKMIYLYGGEYSQGTPSNFSLWSYDALYNRWQTVSADSTQAGIKRASYGAGVTIQDR AVAFYYGGWLSNTSVPNWGSQSSRALSTMLQYDMLQNTWTNSSGPDTIGRAEGSMVYI PASDGMLVYIGGVQSANNGTTIGQPMDEILLYDISGGKWYTQKATGDVPDQRRKFCAG ATWAEDYSSYNIYLYGGLGAPEGLGFDDLYILTLPSFQWIKWYPNGTDSGYPKNSMSC TVVDGAQMLSSVMGGTKPNDTDCDIPAIYGMHNVYLGKQNPQDAIWALFRPNLTSYEV PSEIISAVGGSATGGANTTQPADGFDNRDLSVFFTRTYTAATRAPTRTIPTSESSSGS KSKHVGAIVGGVVGGVAGVSLLAALLFFYLRRRKKNSVPTEVEQTQQDVKDPAAAALV GGTGQDDSRRSELAGGYPGQQEAMRSELPAEVAGQRQSARSELGSSALVELEGDIAPY SPTSPTSPPSSPPPSHPLSPTSPHRGS AOR_1_262124 MSRPETSANHTVYTQSLPLERYTVGWICALPCELTAARAMLDHR HVRPKNQSKHDENNYTLGSIAGHNIAIVCLPEYGTNKAAIAAKSMQATFPNIRFGLLV GVGGGVPGPITETGPANDIRLGDVVVSLPAGQGGGVIQYDLIRREVDGFRRVGLLNKP PTLLRTAVASLRAERRLGRQLTGLIEDAFAPDDECCEDKCDDDEESEGERWTYPSTGR DFLFSPGYKHRSSGADDCTKCMEAKSAGDIITRRPRKTTHPKIHYGNIGSGNSLIKNG LERDKLAQRDSIICFEMEAAGLMDDFPCLVIRGISDYADSHKNSSWQPYAAAAAAAYA KQLVCSITPQDIDSLAPIQGSLDMTARHPDLENCHWTMLSVDLDLPFARNPRFMGRQD VLSQIQEYFSKDATAPRTLLLYGIGGIGKTQIAAHYAHTMTKDSLAICWVDARDRSSV ELGLSCIAQCVLRSLIVHYGERVAATMFGFSDVTSLLLHDPIPPRTMQGAIRSLKSWL GKKSNSRWLIVFDNYDNIDEFNIEDYIPNADHSRILITSRRPDLQRSVDKAFDISGLD DAAALQLLLSGNRMYKADDTPTCPSVQAVLRKLCNFPLAIVQANAYINNRRLSMDDFL QQYEQQFIRPMSQKPRGPWNYDQVVNTTWEVSLSAIQAENKLAAETMFTCSLLGNTNI LPEWMQICIPGIVDYSPKSKYGYKLACKLVRLIVSAKLYTEYPELLGQVAEYGQMLLS RGDTEGARIHYSNTIHLMMNSGAPKAMTFLWLGKYCGLLFQLGYYPEVEAYLRWMLNE SLDTTRKCAILQNLSLLLTHQKKYGELELVARQLLDLERDDISNGGDDGQIIFPTVSL CTALLETKRSTEATVIANESFHLLRKNLGAQNHNIVMLEVFLRKLRKVNQKTQLI AOR_1_264124 MDRGKSASGSTSLHPEAGQDQSRDRINVQPIKSWKVYIWDTWEL PPDQRWLLFKVDAFVLTFASIGYFLKNLDLNNINNAFLSGMKEDLHMYGNELVTSTSI YTVGYVIGQIPSNLLLTRISPRWVIPALEVGWGIATICTSSVQSYRSLYAIRFLVGLF EWIRLLTWYPLPPRIVVHSPGFLQSAAYTNLNGVHGHAGWRWLFIIDGIITLPLALAG FLFFPNLPQDGKKTWWTTEDEHILSVKRMEAIGRAGKEPWTVAKAKRIFLSWHTYLLP CLYIVWNNGYPQPGMGYWLKSFNTNPPPVPGTSFSVPQINNYPMVTTGIFVVVALSWG WLSDGCRGIRWPFIYAGAIITTIFCVLLRQMPLYENINGRMAIYWLSNIGSGAGPLIL SWINEICSADTEKRALIVGLANDLAYVVQAVAPNFVWKTTDFPAAKKGYLWDIVLQCL LVVVTAAIQIQLWRDRRKSSTDREDDGFSSGSQNEEASQQESDVEQGKTIHTKVVAVD N AOR_1_102124 MSLGLSEKNDTPDATSTTIKSSDISVEESPDQRRGNLHYVKRTE NGSLPEYEGDVIPGYDANLMRARASLSSAEEKKLLRRIDWHLIPLLAIMYMLKSVDFT NVSYAQTMDKGTSRNILTELKMTSNQYNLVTTMYYIPYIIAEAPSNLLLKGVRPSIWQ ARIMVSWGVVLCCHAAVTNRQGLYAVRFFLGLFEAGLWPGMLVQLCYWYRPDEIAPRI VLVTLLGNFSTVISGVLAFAFNGVTTGGLSGWKWLVLTEGIFTVILGIIVYFLLPDFP STASWLSERERTFVEARLPSNAPRAAEANFNLRELLTTLQNKRIWLFLLCWAFFTVGT TGLTFYQPTVIANLGFTSMGESLLLNIPSAVFAVILTVVFGILADTGRIPQPAIPLAF MIVIEACYGVLYAFPNTGGVYAATILAGGFSTAWYVMMWPWRVQTTEGATGSAFAIAF ANSYGQIGGAVGSQLFNSRYAPRYTTSFGIAMGFVGMAIIMNLITWGFTWRVDVDTRK LKRIRLAAAKQNQAVLDDVDIHAGEKRQ AOR_1_104124 MKATDLFHVTALVAGALALEHQQPLIGDLSQDLNHIIDSSPLLS FHRALVQIPSISEHEKNVGEYVLDFLSSQNLTVEKQIVTPESDTEEERFNIYAYVGKN RQPDVLVTSHIDTVPPFIPYSLHAPTSGTSFIRTDLVIAGRGTVDAKASVAAIVFAAL ETLDENPNASIGLLFDVGEENSGVGMKHFSNSELNPTPPTYHTVIFGEPTELSLVAAH KGTLGFKLVAEGKAAHSGYPWLGESAISSLIPVLAHLDTLQDLPPEKGGLLRSETLGK STLNIGRVHGGIAANVVPAHAEAAISVRLAAGTPEDTRTIIERAVAKVTSGDRSVYPD FGDRKAGAPPQYFDVDVDGFEVITVNYGTDAPALKIHDQRTQRVKRYLYGPGSILVAH ADNEAITVGELEEAVRGYKRLIAASL AOR_1_106124 MSAAAPMTGPDNGAPVSSGSGEANESFVGMTGGQIFHEMMQRHG VKHIFGYPGGTILPVFDAIYNSKHFDFILPKHEQGAGHMAEGYARASGKPGIVLVTSG PGATNVVTPMQDALSDGTPMIVFSGQVATAAIGSDAFQEADILGISRGCTKWNVMVRN VAELPQRINEAFEIATSGRPGPVLVDLPKDVTAGILRKTTPTGPAIPRISTYANTDAN QKLLTKSISHVAKLINTAKKPVIYAGQGVIQSPNGPQLLKELADKASIPVTTTLQGLG AFDELDEKSLHMLGMHGSAYANMSIQEADLIIALGARFDDRVTLNIAKFAPAARAAAA KGLGGIVQFEILPKNINKVIQSTEAVVGDIAENLTHLLPHVNRTSMTERQAWFKQINE WKTKWPWNAYTRDERPHLIKPQYLIEELSNLTAGRKQETIITTGVGQHQMWTAQHFRW RHPRSLITSGGLGTMGFGLPAAIGAKVARPDTLVIDIDGDASFSMTLTELTTASQFNI GVKVIVLNNEEQGMVTQWQNLFYEDRYSHTHQRNPDFMGLAGAMHIQHRRVSKPEEVV DSLKWLIHTEGPALLEVMTDKKVPVLPMVPAGSGLHEFITWDAHKDMERRSLMRDRTC GLHG AOR_1_108124 MPELHTYKGYYLWHYIPSRAAAVIFLLLFLAATIHHTWKIWKLK TYFCICFAIGGFCYCARASAYDKTGPLFAATIYMVLGRVIIAVKGESRSLIPVRWLTK VFVTGDVLSFLIQGGAAGMMISSDLASIGNKLVIFGLIVQVVFLGFFIISTMIFQARM YRNPTQETSSNVLRWKWHLNTLYLVSLLIMVRSLFRVVEYIMGNDGYLLQHEWPVYVF DATLMWIVMAIIAIRFPIDVCTYSHVPERFKLNGTGGTA AOR_1_110124 MPRRTHKKSRNGCIECKRRHIKCDEKRPICSHCTSSERLCEYSD TTFKVMQSSRISRKRTSSPSVSTESSISNLSPDYSASSQLDASANMLHAELFYHLLTE TLPSLCKSGNSRGLLHKEVMNHALATPYLMNELLALAALHLSIIRDTQKEFYRHHSTQ LQNHALRMFYETDPHASMEPPVPAFILSSMLDRFIHYLRIHRGVRTVIGGNWDQIKET SLKPILKDAEASLQNQTGDGEMCNRLLELIKASKLGPSLNETYEQAIKALQSSFNASQ FGAIVDNIQGALAWPIMVTGEYTDMLVHRRPEALVILAHYAVILYSCRDAWLFGDGGK FLIRSIDRYLGPQWADWLYWPNRVLAESTTPCLDGTSSLPQ AOR_1_112124 MVVFSRTLFSLVAILVPIASASRCSPAFIVKPNLPGATILDIQA QERHNFSAVSLGPGTNEGGRYTISFCNITVTHTHAGWNDTIHTQVWLPLEEWNGRFQA LGGGGYATGFGETYLTYAVASGFASASTYGGLPVTNGKDSMPTDLSWALSSENNVNWF LLEDYASKATSDMAVIGQQVTQSYYKKPANYSYFAGCSGGGRQGLQMAQKYPDLFDGI LAVAPALNLQRFIPAGFWSSQVMNEINVYPLPCEVEAFTKAAVEACDRLDGVEDGIIS DPDRCHVRAFDFVGKRYTCDGIQKSLSADSAKIIQAAWSGSHSVSEIDGWYGVNKDAA LGTAYVSTKCSVNNTCYSSGSDLFGNWLRYLVAKDPNLSISNMTQKEFFDALRSSNLE YSGMLGTNDPDLSRFKANGGKVIAWQGMADEVIPPLGTIAYYEEVLKHDPKAHDFYRF FEAPGVGHCYGGLGPVPNEAMSQLLEWVENGHAPAVLHATKGSNNTARHLCPYPLRQK YIGGDPRNATSFTCAK AOR_1_114124 MNPQSKGLAVEDSLATDYLSEHHLELTLDGHYIRWRRDNPIHPR NWPVLRKCFDTGLICLLDFVLTASSTAGASAAAEAKHEYGIGSELSTFCFITVFLLGQ VIGSIVLPPWSETFGRKRLYLISSALSSVCCVVVGVPHSLAAVIIGRVGTGMLAAIPY TVGGGSVEDMWSSRPRIWVMFLWTIASNLGLCIGPIMGTYVSTLLNWRWLFYIYAIII GLMSVLFTFIRESRPSLLLTREVDKLCRYTGKRFQALNHDHHPDLRTFLKVALFRPAI LFLREPLVFIISIMIAFAFSLLYIFTEALQPIYQTIGFSHTQSSLAFLAIACGIWFST LTRLLDNRVFDTRRRKNLPFKPEDKLLGLAIGAPVLAVSLWWFAWTIPPKASNTHWIV PSVPLAMMGYALNEFDTVLYCYLGDCYLSYSASATAAVAFLRALLSGVFPLFTKQMFE GLTANVAVSVLAALATVFCIVPPLFIFYGERIRDRSPFAKHSVFIAGELGNKEEDY AOR_1_116124 MHSSLTFLTLALCLFAWANGSNHHVRTTGNTVRFNLTLTWEEWA PAGIPRKMILGNGQLPSPLLELRQGDDVEFLVINNLPTNTSVHFHGIEQHGTPWSDGT PGFSQEPIAPGDHFLYKWRATQYGSYFYHAHNRGQIDDGLYGAIYIHPDESVEKPFGL ITNKSSERQAMRAAEEKTQPIMISDWRQLTSEEIWQAEEKSGLDAYCVNAILINGKGS ISCPGQEKVNQLASAEQRQILGNLSLTDIGCTSPLVVAMQGTFRHNYSAVPPSMFSGC VPGNGGTARVLVDPSHRYVSYDLISAAGVSMTTFSIDEHQMYVYAIDGRYIHPTLVDA ITIANGNRYSVLVRLDKPAGDYTIRVANAGVNQVLYTAGVLSYNTLEKTQQHASVASI NIHGAVVSSNYTLLDETKIIPFPVEVPSQEVAQTHILRISHYDASYTWTLGNTTLPLV LEEVSPLLFYPSTAKPDLTLKTLNGTWIDLIFDIHGQIQPPHPIHKHANKFYVIGQGT GAWNYSSVAEAMQHIPESFNLEAPQIRDTYITPPSATEDTWSILVAGWR AOR_1_118124 MTSSTVTNDAIYKIGTLIVPQFLGYPQLYGIQVKFRSSSTFGTP AIIFSDALGTLQLQSGQLERTQRSCLHTTPHTLAITTIMDNIHVPSPDTQCDLRIYVS GLAKRLATQSSETDAFIIGDIVTSPGCVPVNLANDAVRNYLSLADMKSIALDTNPTII DVRDAKNMTYKLD AOR_1_120124 MESKHNTRKDSDRVRLRDDDTGSTEPEQVDQQSSFADLDPTKEY TASSQTAGTGFQPEPAAPRSSSSYHFQHDQLAHPDEEFHRPQE AOR_1_122124 MRSSFLLAGVFGSLAAPALAIVHEKVAAVPNGWTLVKQAPESDP ITLSIALARQNLDQLESKLTALSTPGNAEYGQWLDQSGIETLFPTASDEAVLRWLRNA GVTHISRQGGLVNFATSVGTVNKLFDTKFSYYKNGQVQKLRTTQYSIPDDLADGIDLV APTVFFGKQQESVALPTHHKFAAGTKTAAGNVSCADIITPDCLADMYNFRGYKPSASS GSKVGFGSFLNESANYVDLALYEKRFNIPSQNFSVELINGATNDQNWTTASLGEANLD VELIVGVSHPLPVKEYITAGTPPFIPNALQPTPADNQNEPYLEYYEYLLAKPNSALPQ VLSNSYGDEEQTVPEYYAKRVCNLIGLMGLRGITVLESSGDTGIGASCMSNDGTETPE FTPIFPATCPYLTSVGGTQAYAPEVAWDASSGGFSNYFSRAWYQESAVSKYLDQQITA ETKDYYSQYTNFSGRGFPDVAAHSLTPRYEVVVKGKRATSGGTSAAAPVFAGLVGMLN DARLRAGKPTLGFLNPLLYSGALKDFTDITAGSSIGCDGVNPQTGKNVTGGGVIPYAH WNATAGWDPVTGLGVPDFMKLKDLVLSL AOR_1_124124 MIFNLWILGLGLGLFLAADARGPQPIGIDLGPELLTAAYAHTSD NVSVIAAIAPGPEDIYWTTELSSFLDKPALQNSQMLSFASTGFRTVLQAVSYTRRLVN PGYKEELRLVDVKAGLVDMLRQLKQKAATDSDVDLNYAVIAVPEFFNQTLREMVVDAS RKAGIRSALTPVSRTTVATFVSTSTIANPPGLRYAVIDHGMFYLDVSAGADTGKSERE RIHQYFPALHMGSTMIDSYITDRLVNRVEDLSIEIAIGTSKTALYGPVRQARTLIRDD LDSQLLGSENDQDHHFDEYPLDLTKWGSGQSQAVLSWEDVHAAERRFVEVVATNLRTY LAVLRFRRLPDASPDDIIPEVVDRVVILTTGPDGHLLAQAVREGLGDHIEIIGAPKVS LYYGSLDSEPSTKAASGSVNMNLELNHDTVVLEYIDAIGSVKCTDESVTVAFKNAAGF EIALQSWSLEENLILITNHLGNCDAEFERGFFKVDQIASDKSNLSITCNASKHPIDKI AETCELSFSSVPAGKLAKRLTLNPSYSIPFSKSLAHNTVLLNEPPYLTVTADEAAFSS EITFSGYLYYDFWAFKLRQLYFDIDAGFSADVALSAHLAASYNKSFTYNPSDLTYTLV NIPGIVQLGPGVAFGLEMDFSASADVDIAAGLSISMPNGNVHVDILNSVNTVATGWTP TYHPYANISAQANVQADASATVTVEMALSFLGGLIDLSSGLTAKPGIANTFVLDAKLD TEHATNATRVTNSTATGVPTDVHGGGNPCGDGVSLKSDFIFSLDAFVTRSWSTTLVNT GIPLWDFCYQF AOR_1_126124 MVQVVFVFFLPESPRYLISKDRHEEAFGILAKYHAEGDRNSVIV RAEIAQIERTIKLELEEAKQSWWDMFRTAGMRRRLLISAFLGLFTQWSGNTLISYYLS DLLDMVGITDSVTKSKINIGIACWGLVSGTALALTAPLFKRRTMYLTCATSLLCVYIG WTISMERFMTTEVRAAAILTIFFIFAYSPAYNLGYNALTYTYLIEIFPYFGRSRGLSW FQFYGRGSAFFATYVNPVGWYLSTSFSRKRLEGHWRSCRLCSRAKKKPMKWLLQCTSR SKWMGRRKARLRCFSILIPYEIVFTVQCYLM AOR_1_128124 MIAVGKQREEDVSDPVLANLLAEDRTPWYKKPNLRRLYLILFPA CMGIEITSGFDSQIINTVQIVYTWNKYFGRLTGDTVDGMPEYEVEPNLKGFLGAAYSL GAILSLPFVPWVNQRFGRRWTVMFGSCISLVGALLQGFSNGGNYLLPIEGTAC AOR_1_130124 MALEIDYVLSHISQEDKIALLAGIDFWHTHPIPELNVPSIRSTD GPNGIRGTKFFAGVPAACLPCGTALASTWDQNLLREVGVLIGKECLAKGAHCWLGPTI NMPRSPLGGRGFESFAEDPHLAGAMAASMITGCESTGVISAVKHFVGNDQEHERRAVD VLVTQRALREIYLRPFQIVARDAGPGALMTSYNKINGKHVVESKEMLDMVRQEWKWNP LIMSDWLGTYTTIDSMNAGLDLEMPGPSRYRGRYVESALQARLIKESTIDSRARKVLE FVQQASRAPVSAVETGRDYPEDRALNRNLCANSIVLLKNQNDILPLPKTIKKIALVGS HVRTPAISGGGSASLEPYYTVSLYDAVSEALPHTEILYEVGAYAHKMLPVIDRLLTNA VMHFYNEPVGTERILRATQPMSKTAFQLMDFNAPELNRGLFYATLTGDFTPDVSGVWD FGLTVFGTGLLYVDDELVVDNTTHQTRGTAFFGKGTVQELGSKTLNAGQTYKIRIEYG SANTSPMKAIGVVHFGGGAAHLGACLHVDSAEMVRSAVKAAAEADYTILCTGLNHEWE SEGFDRSHMDLPPGIDALITSVLDVAANKTVIVNQSGTPVTMPWADRARGIVQAWYGG NETGHGIADVIFGDVNPSGKLPLSWPVDVKHNPAYLNYASVGGRVLYGEDVYVGYRYY EKVGREVLFPFGHGLSYTTFTVSPDVVFSQEVFRPEEPPTAAVKIKNTGKVAGAQVLQ LYISAPHSPTPRPTKELHGFTKVLLQPGEERVAHIRMDKYATNFWDEIEGMWKSEEGI YEALIGTSSQNILAKGTFRVDRTRYWLGL AOR_1_266124 MSVDRAARYVALSHCWGKCIPFATTTENLEDRKREVRIEDMSQV FQEAVLITRRLGIRYLWIDTLCIVQNDQHDWEVEASRMAKVYMDAFVVIGASNSNADD QGFLGPRDHSGSIDWVRSLPNGRTSCLALSLLPPAGERWTFGRDPVSSEPLQSRAWCL QERYLAQRILLYGARQLFWECRAISRAEDGDLRLGNLHNLDRLRETASIKRTIFDPRP DGDSEVNYRGWYEMIEEYTQRSITHQSDRFPALSGVADAIAQTSRDAYLAGIWRKGLI EGLLWCALNRDEPLKKPTSYRAPSWSWASAEGPVQFIVYHFIERCRWKRGIADYEQLA TFEDCDVEKDGPDVYGTVSSGHLRLHAPLLPVKSLYPADDRPPFSDELLPPLKNSIVD KVVEVEVGNETFYLQAGVDTAPEPVLLQQQLFVLFLARLPDGNHGFSPFMDHRFGLLV RRTDKDSETYERIGIIDSPILTKDTSGGVSMTLVRLLEKSLRFLERLSPSADKSPVFN LTPRLFVPERVLTEEPEEEMPPDPLPELKQHSILVTLTYATDFTGGFVMWQDSDPMSG IEDA AOR_1_134124 MHPLCARCKTIPLHDILQQGHSLWKKSNEPQLSWEIPWYSSLSE LTASSSSSDCAFCKLVRQGLERSFEYEAAQQEARGEIPPASSTEKDAKDPLRKMEGYL DFPMDMSLQLRSSLTDEDDEGDWDISRHSGTANAILTVRISSGVSWGLTLQAEFRVAT VHDDPLAELILGRTIAQSADSEQACNVRTLRNHHYSQLG AOR_1_136124 MSTGQVSALLAPVVALNIWTFVMEAWMYKTRIPIYSKMNMKNTI TKRELDAMTPASVRWKADNYNHLMEQPTQFYAIALVLALAGQDDKTNILLAWSYVAIR VAHSLVHSTSNHIMSRFSIFVVSSVILAVMTGRAALLVF AOR_1_138124 MELISTRPKKRRCVKERVRVTRACDACKRKKLRCSGTLPCSLCQ RSSQECEYTTSYTRGRLPPIPTLQKPTGNDNVAEHHIQQKSAPNIDRMPASPDTEVIE GHSSSRVDGDASNLPSRDSPEPHQTDMEGHYVGPSSGVSFLLRIQKRLHESISFPLNT PIFSFGDAPLPKSDPSFLLLPSKNEAKELVHRYFDFAFPTHRFLHQQQVESWLEEFYN CLRVPHSLGPGDREIRALLLMVFAQASQYQPESSSNLEDSHMSAVYFGASEHHLAAET GPVRVTSVQARLAQCFYLLSQSRINHCWSLFGTTARLAIAIGLHRKRRREHPNTVNLI EQECSKRVFWCAYSLDNYLSAALGRPRIFHDDDIDQEYPEVAEDRQITLTSILPPTSS CQSIMLAPVYHAKLSKIISGVLHDLYGIQRSSLTVQASAAAKYGAEMARWRKELTGFL DLPNVNIMKVTYQRQYTVLNLAFYHAQILLYRPFLLKGFTLLTKEPSRRNDKLQGTID QNIKSCLEAAMKVVSIVRDLSTAGRMYRAFWFTHYYAFSAVVVLYVHYIRSRSRQSTT DADLAYYMAGKQGQDDLASCGSQSSFSQRYVMVLEELRKEAHKATIRGNQESIDQPPT NRPGPGGTENATSRLEELDQLRNIAGSQDAEAYPAASERLDDILGSAQVSYRGVQPVG SFQFPAGPQESEVGSLQGISPESYIADLASWGEFDSLAATGLGDFGNLFPFDIPPRLE G AOR_1_140124 MATTSPHDSSMKNDTDHVEYLGDPEKSSSAPQYDRFGSFAKVNP KEIALVRKIDLYMMPILWLMYFLNFLDRNAMVNGKLSSLSEDLKLKGTEYNTCISILF VGYLLGQVPSNMLLNRIRPSWFMAGFMMAWAIVSTLTCLVKDYHSMLVCRLLLGFVEA PFYPGALFMISLFYTRKEATTRMAILYTGNMLASAFSGLIAAGVFAGLDKKHGLAGWQ WLFLIQGVITVGVALVAFFLLPNAPRSTAWLTPEERQLADERIKRDTTQREEGTSTWV GLREACMDYRTWIFALMCNLHLSANGFKNFMPTVVKTLGFNSTITLVLTCPPYLVATF TSVAVSWSSGHFNERTWHVTVSKALAIIGFAVACGTLNIGARYFAMILFVGATYGVNN INIAWTAATLGQTDEKKAVAIAITNTLGNLASVYTPYLWPDSDAPRFGLAMYCSIGFS VGVVILAWVMRIILTRENRKLREVDSGVINLYAY AOR_1_142124 MFPLYLDGMLTLQTCLAAIERWLATDQDNAPAAVERFRVIDGDD TPDSLVFACYWDTESKYENGIKGLNLTQLYQKLDKSIQPTVGLWCERFVSHVSRLETN YSGTDYLPGLARLPGTKTVAHSYSAYWGAARDRIPDSAFELFEQDENPTATAIPDAMS TSLGKHLTGTNFHNVVHIRTGQFWNNCDEDEKRSYEDKLEPTLREGLSYLWQNPSETG SMGLRYLSNIPTSSPEWSGQSNESCVTGFFRSLADLEIWAKKHPSHLAIYTGAIRHAK TFGDRRKFRTWHEVSVLRRGDAHFEYLNCLPGTGMIKCVSLTEVSDLRPRN AOR_1_144124 MATQVRLAAAHVAPIFLSAHETTHKAIHLIEQAAKNKANLIAFP ESFISAFPIWSALRPPTENHDLFQRMVRESIHADGQEIQAVRATARKCNIIVSLGFPE KARTSSATLFNSNMIIGNRGDVLVHHRKLVPTFFEKLTWSPGDGYGLRVADTECGKIG ALICGENTNPLARYALIAQGEQIHISTWPAIWPTRLPSQPVAEKHNPQSDAMGKSNYD NVAANRIRAAAHCFEAKCFGVLCAGMLGPDAIDIVSSSSSSVKQSMEQSQRAATMFLN PTGAPMQGFVIDEVTSAQHSADFLQAREGILYADVDLTDCVEGKQYHDTAGGYQRMDV FDLQVNRTRQQPVRFTDTVDLQPDQVPHKGSKGRRD AOR_1_146124 MSSRSVKNRGCFQCTKRRIVCDGAHPTCYKCQKKGIECSGLGRF RFSTGIATRGKLKGCAIPATVPPQSHCNNQSPTGAPTPQKIRWKDDGPNRNKRKPRTA ATKASTYPTVQEVKDRQAWLDSESQSKSAVPRASETLIAPDALGEKPRVGSVRYFEGT TTQQDQVEWQECSGSYNNDGGKGCDYWSSRAPDLCSTIIPWIPSLGPEDRMYLSHFAS QVAPVMVIFDNVSNGYRDIFLPLACEDEVLRGAIRAVAAQHLALRHPGFQGIAEIGRA AIISRLRRDSLQASSDSIVNLRTWATLIVLLVGETITGSPEYSHLLRTLLSLMPSLCQ MEATPAYRFLVQQTHMFQFLGQPLLNETQGMNALGFHPSHYLDWTDYELPPESRHNRV LWVVRQAFIEASQIYILRATTNHDLWDRLESLKQLVSRVDPDEQGAHALVWVCFIAAA DSTDPEHRRFFTERMNQVFAKTKFQNIVAAVRTLPEIWAQQGSGRWTSNLIQLTPTLI M AOR_1_148124 MKKLLNILAAGFFGFAVQATVPSNGTAEARLAQIKELLTHQLSE NATIVFPDSEKWYDVTHPTEDDVVNTIKVANEIGLPFLAVTGTHGWTDDISKIQDGIQ IRMRGLNHVGLGPNNDTAYAGGGVLQYEVVQALYPYGKQAVHGLCECVSILGPLLGGG HSVLQGDHGFAADSLVSAKVALHNGTVVTASATENEDLFWGLRGAGHNLGIVLEFEVK VYDIHPDPWTFMTLVYEADRIEEYFEAWNELEDTIADPGLVVLNGYYRNLPEINAEKP VLVMELIYQGYDTAAPQYIQAYRAIGPIHEETITDIYWNELFDITNFGRDDRVCVPSQ NWAGYSNSIVRWDPASMRESYNIFADLVAIETYNTSTFIFESYGRKGVRDFPDGFNAV PPEERNRHNMLAAFLFWSGDDPTELAVARDFGERLQVASRNGDIAHSYVNYAMGGEQL PQVYGRDVDRLEKLQAIKTKFDPYNKFGFYASLADA AOR_1_150124 MASTTATALVTKGGKLSKETIPVPTPGEHQVLVKISYVAQNPTD VQSLDANAFGDDAVLGCDFVGTVEKTGDKVSRIKTGTVIAGLIWGGEIKGLGGYSEYT LADERICFPLPEGVTPEQASTVPLAACTALIALFSKDCLNIPQNSGETVLIWGGSSSV GLYAIQIAKYYGLNVVTTCSPRHHDLVKSLGASHAFDYRDAKVVEIIKAATNFNLKYV FDTIGNDSSSVTASHAVSEQGGGLCTVRPGKAFTENVTKQTKVTDVLVWTAFLKEHKY GEFYWPPHKEDHELSAHFFEELPKLLSSGVITPNTPKLLEGLDSVPKGFQEYRDGVIS NYKIVYKV AOR_1_152124 MRGMRRTELACVECRRRKLKAPGQAAPDVKADVWSAHIDRFIKA VVRLSWDEKLEEQLRAVTESRTAAVGMALTDQERQQEVVHCNDRTTVEDGAPVDVLST NALTEETDNVVGYFGPSSNYGMFRLLSSVFAETTMLYLPVSGVVKNLSSCYHCAQRHN QASQTGTCTRAEAGVSQALHETSLLPKEEALVLFERYFSTTNIVLPYIDKAILLEGYY NAVQQRPPKFRRVLLALCNIVWALAAASLGSLQRETFYKKAVALLDSRTLERPSYELV QSLLLVVEYKQNHQRSISSYTSHALCVKAAFHVGLHHQATDASSDSDETRLRLRLWDG VVKNDRKVLSHPLNPRQTNIRSRIMGLTQGRPYMIPECLTISSQALPGVNSPISDQYM GHLARSHTIIEHATGSLYNGNIEVSTNDKTHALVTRWTDLCWKNEEWSQNLSTIGGLV LASELAGFPVPMDCHTAVRILLSIHYYRLRMIVNFPLIAHFLVLLRDSSSEPQIVDRL KERLPQVLQNDWDAVRKIFRIISSLSTAHETSVNTFAAWYTCNYTMLTVTLHYFAMLI VKKHEPEVFDTASVAEIRQEIEDALRVMKHIGKNSLITQKAGCCIERLLTVFDTLGDH VRVRSSVSLDIWNTDCIMRNIGQASDDLLMHFSQDDFIDQDLSFLDLYSRLPLCE AOR_1_154124 MTLLQNIVSETPLAAGSALLTAIIVAYVIYQRYLHPLAKYPGPF LASITDLWQVHQFLSLQQPYNLTALHERYGPIVRYGPDKLSITHESAVPTIYQKSAKS MPKTEFYDAYGAAHPNVFGMRDEVMHSVRRRHMSHSFSLSYIKEMEEYLDLNIRILKD KIRYHSEIGEVFALKKALHYYMIDVLGELAFSRSFGVQEADDESRIPPVIEHSLLAAV TGAWPTMTMTLKRWLPYMPHAGLRRLFAGRKACADLASSSVQRRLRDLNDGESSVGVQ NRKDILTNLIKAKHPETGERLTQTDLETEAFGFIIAGTHTTSATSTLLFYHLLHNPDF MRKCTEEIDSNLPSLGPSETAYSITAAEASLPFLRNCIRENFRITPVFTMPLARRITD PAGVTIEGEHLPQGTSVAVCNHAFHHNPVVWGEDHNIFNPNRWNDPNVGAKARLLMHF GLGGRQCIGKAVATTNIYKLLSTLLKEFTFELADEQERVDVDKGLYKGRIPKLFSVGI SDLQGPLLVRARVR AOR_1_268124 MCFRQEESLSDMETSDSEAMPLDEIWTFWNKHLEEQQDPIPRSP SINEPIIGNADADEEQSEETSMPELFAYKDFLFNVASYEWLLASMRRELLLAPAKPNL METIRKRILTSLPSSHKISRKSSAEAYTVTFVMEWNPLSFLDEQGYTEEPGEAVEGAI TLTGSAEDAQALPCAQYLCQTWPSTGGHIIQLVKDIVRAGPGSRQICDFPDNAKVTAW LHESKFNVEAFGTRDSVAEIGEQFAWLGAALRSSPYDFGVACCMPVISIHHISSTPQP VSGRLSWPDILCSIDFTFEEREKQFTPSNAQCWHNLFRNPVVVRGYPIPWRTETGTGL EIPLNMMAGLAQTKRVNSFNRKLFIKGFSTMLIPTRQSGHTILWHLIYNMDRSRTSYI QHTVPHIENISIFDLERARHVLGWCSEARYNAGASDANYLIKPSRLPRPPEGCIYHDV RVYKGQVITGRAFSIGHKDTAIHIIRNGYIEKLKSIRRRFFVLWDEEDKRGWLVNGTS VLLHLFRASLKHDSMDEISTEFLFNVEDMQEAPEACRASSAIKVLVNSNNRKLPIYLE KDGYLRLEDRIEELYDTLEKIMDYEVGMATQSSASSSRARKYLEGWDFNDLATSRDIF YPRVAKLKAIGKGWVDFARAIHAVTLFGRRFGEVIQPISTGSCTKWAQLPKDMYYLAA SIHDLKEIMDMDGDSEANPIVLAHNIIWHNPDIFGSCQCEVEGQEKHSDLVQVLLPSA LKKGLLSNHQVPLEARGAVIFGHNEYSRWFWNDTGYPVVGGPWSPSEAPENEFHDSGI GSDTASSAPKESRSSATLCSRHPSGECRETLTCESYTVGIICALQKELLAVRILFDDR HEDLERAVRDTNHYALGRIGRHYVVAARLPSGEYGTNAAADVVSHLTRSFPEIKFCLL VGIGGGVPSRDNDIRLGDVVVSHPTDIHPGVIQYDLGKTSEENTFERTGSLQRPPRFL MTAISTLMSDPDLPSTRFQGYIKDVTACNPAYKHPGAQHDKLFAQCSGRHVRRARRSV THPLIHYGLIASGNKVIKDAETRDHLGAKYNVLCFEMEAAGIMNAVPSLVIRGICDYA DSHKNKLWQEYACATAAAYAKMLLSVA AOR_1_270124 MATERQPECIMSQTQHCLESLGAQSCQEPPNASGDTQQYSNNPA HNDTAGPDDHLQQITCKDRHSPVPNHSQLSIHRYQVKKLRRLQSNGSRLAGPQRGRSY LKLQSFLDYRVQQRRGTCKDGEPVWSDELEDAFHQALEANPPMGRRNWSARGESYGRN ELIADYIYRLTGKRRTRTQVSSHLQVLDSFLKGDPDWERLVREQPADHSNSQTQLVGP TRRSPINCLSSSHYSKDSLVCAVQCLGFGMWVSAPNMPDRIDDAFHVYTRLRRGQPQA PMPLEELTNWRTFFPHLNSLLLEVNDPLSCEIILLEARLQLMDVHPPTGSRLEIGLEL DITNPTLGAAPMSNQRENWTCYNYIYEEGQKTMETYHNIPKPHTTRIRLPFESSWWAE RFTMLTEDKQVAEASGHQHAAKERNQQFFRTLTAVQEIRASEPASLRRLHNQYAGSPS DGSKRMAIILWQFRQTLPIEVGTTICRKLIAAPGRTNEIYLPTPDLDSVRLTPLSSDP IPPSRSTPSMYQSPRTHELLQQHWPLYQPSHHHVTSVFNSAGAFDFTNSITKPEESLS DKTNSASMLDPFPNLQQQTTSQPTSLDVSSGAPRMLQIPDLPLPHTGLGAYGLGHESH LIGPDEPVGSTVGMSGDAGMNGARLETLLEDDLRVTATSQGTVLQDWQQGGSKNSGKK NPRAGDDAHTLEFTQTRAFTDSGYASTKLDNYGHIQSTRDIPTEPPAGPGYASGEQPE VPQTQNFVHVDAARTVYSDTSSVTSLQREGYISELAGDLFTKVSSWQPDSMTMERISE VLPELLRAFALKVGHNAPSQMHRDVMVFIHKNRE AOR_1_160124 MDASETKPKHEQCLDTERPYTESIQHGDLDCLEGLNFQPEGTRK LTPLQTISASWIICDSWAGVAATVALAIVQGGPVTLIYGLILIFFLVGACTLTLAELA STYPTAGGQYHWTSILAPKHLSRALSYCCGVSNMLAWIAICTGIAIIPAQLILGIVLF YDSEYQSQPWHYFLIHQSINGLVLLYNTTLLKKSLWFHDVSFFMTLTSFVIIMVTCLA RSASRYEASSSVWATFLNGSGWNSGGVAFLTGLVSPNYIYAGIDGALHLAEECRNATT AVPRALMSTLVIGFVTSFAFMITMLYCTSDLDTVVASSTGVPIYEMWHQATRSTSAAT VFICLLLLAAVFALTGAQQTASRLTWSLARDRALIGSQWTGQLHDTLEVPVWALVFNY AVMFLIGRVYLGSSSAFNAFIGTGLVLQHISYAFPAALLLYRCRSATWLPDPRPFRLP SPVGWGANLITVCFAVLVLIFYDFPTVMPVTGSNMNYTPAVLGAMAIVAGINWLVYAR KWYQGPRLRRSSGEIQK AOR_1_272124 MTSRDGYQWTATTGLRQGVPSIGVISPPTNVLSTTEDWDVVVVG AGYSGLTASRDACLAGLKVLLIEARDRIGGRSWSSNIGGYPFEMGGTWLSWGQPHIWR EVSRYQMRSELEPCFDFSRGVNHFELRTGSQGSSIFSHLEEDALLASALEKFVDVDGA MGRQIIPYPHDAFHNPAARQYDDMSALDRLNALAQSLTPNERAVLESFILLCSCGTLE TTSFFEFLHWWALCDYSYKGCLQHLISYKFKGGQSSFAIKFFRESLRTGRLSYAFNSP VQSINDHGDRVVVKTRDGRQYSGARLISTIPLNVLSSVHFSPPLSPQRMAAANIGHVN QCVKVHAEVSCPDMRSWSGISYPFNKLAYAIGDGTTPAGNTHIVCFGGAHNHIQPEED VEATKMAVENMSPGNMDIKRLVFHNWCKDEFAKGAWFFAPPQLLSKSLDELRCRHGNV LFANSDWAVGWRSFIDGAIEEGTRAAVTVIEELRPAPAVRSHL AOR_1_274124 MVMAQVILARSPLRWSVDPPVERIPVEEDPNSAPQVIFSPDSKS VPPAFWIPDSEHSAPQVFVPDPNEKYLNPTVQSYGAHPSIPNHAANPPLATGQASNKK KWTWIIATTVAVVIIVVAAVVGGVVGSRNAHKDSSSSDTAATAISSSSANNTTSSSST STTLTSSSTTSTDSSATPTQTDFGVTVHMYANDTCGGTDDSFSVLNSSSQKCVVVPSN KRSIRVSQNDGCNVTTWSGSNCAGSSYDVPDTDCHAVLYAAVSVDFESDLKGWKCQDK VILHDLHGNLRTFREH AOR_1_166124 MDPSSIQTKEKPIEDPNALYLEKGEIVDDVTYDAVFGEITDEGP NYRNVGFFGTVVLMMKTQIGLGVLSIPTAFDTLGMVPGVIVLIAISCITTWSDYMVGS FKLRHREVYGIDDAGALMFGLTDMALDWIFVAGSGILGISIGLNAVSTHGACTAIFVA VAAILGFACSSIRTLGKVTWLAWIGLPCILIASKFPYTCVSPVDRYYRPEVLIQAVSH LSLVLIVTIAVGVQDRPPTAPQTDGPWVADFKIIGNPTFAQAITAVSSLVFAFSGTPG FFSIVSEMRDPRKFTPALLICQAVVTAVYITIGCVVYYYCGSYVASPALGSAGGLIKK IAYGLSLPGLIVTTTIVTHIPAKYIFVHLLRGSKHLISNTVTHWTVWLACTLSITVIA YIIASAIPVFDGLVSLIGALLGTMMCFQPMGCMWLYDNWHKRREGLATKQWWFMVFFS VFVVISGTFLTVAGTYGSVVGIMDSYKTEGGSAAFTCADNSGSV AOR_1_168124 MDTTSNEAAIRLEPLGGRGTFTIKTTSVVFLAIALYNAVELLIL ILANFHHHHGIYFWSMLLSTAVGVIPFSIGAIIDLYNLSPLWVILVMIDLGWIFMVGG QSVVLYSRLHLVSRNDRVLRYLRYIIIIDTILLATPMSIIYFATAYSKPTVWARAFYI MEVIQVIWFSVQECVISTFWIVETAKLIRLRPGYDRHRNRTMYEILAMNLAVISMDIA LLVLQFSGYYYVQVPVKATVYSIKLKLEFAVLGKLVYLATHRVDSMGLEISPVMAPVD VAPSTSPSSGASKLHQSMKDSFGLWKPTQTHNAASEGLM AOR_1_170124 MADTPSYLTTKAGKYALGAYRGPLEQQHGDGSQVAHVELTESST LKGNGKIRRHWIRFWCCYLIGAIIFLAIFLPLFFTVIIQAISQRVIDDASLVLVEAKV MQPRPESVLLSIQTALRLGVNVPVRLDPNVLHLFNNDQPGNSTYLKVYNDAIVIHGNA SIGVQNQPSPINPDPWKHYIRSVVFEPHAPLSAFGTTNIYLGKLKSHISLKKDLPQNT LNSFAGFSIEDPKMLFPPRDDGVNLVANATLPNPSVMTIEIGTITMDLKSKDLTIGNA TINNLTLRPGNHSTPLEGVVDMHTVTENLLPLLQAQRDSLRSGYLSLDAVTREVEYDG VMIPYYTEVMRDLVLSAKVPVNDLLINSVQGILHDNSSGLQSVLDDIRERSAAKGDIT SSVGIKHRR AOR_1_172124 MGLVELVLETRWLIVGSLFILYFLKKFQAYYRLRKFKGPLSCGF SHFLHTKAVLSLRCERWYKEMTDQYGSIVRIGPNALITSSPELWAHINAVRSPYKRSD WYYHAARFKPGEDHVFSETNNERHDRRRKQMLMGYSGKENLSLESDIDLRVLDFLDLI RNRYLSTEDCLKPMDLAKKVQYLTLDVISTVGLGNSFGMLKADKDVNDYIKSGEEGLW VSNFLMGTGLHWIMQIEWVGRLLGPSTDDLKGFGKMMATTGQMVAKRLQSPTNARSDM LASFIRHGLIGNELWMEAFEQVLAGSDTTASGIRGILLCLLSNPRVYKKLQAEIDGAV QDGRAPSTGIISDAQLRRLEYLQAVIREGLRIFVPVVNIFARDVPPEGDEVIVDGESV QIPGGTWIGYSALGMHLNKATYGEDAEVFRPERWLIDDKDHLANMTRVNDLIFGYGRW KCLGQTVALIEIGKTIFEVHDELPLLTIVGLDILTVLEGAA AOR_1_174124 MGSNEECSWQQRVEQKRQRCANKIPAEWRMPDEVIASLHTPLAD HRNDLIRNDIIRKSGIMTKHELQITEDYTVRELLAALANGSLSSVEVTVAYCKRAAIA QQLVSCLTETMFAEALERAQYLDQLRSQGQVVGPLHGLPVSIKDSFHYKGTEATIGMV SFLDEVSTGNSPLVDILLKLGAVIYVKTNVPQTMMALDSHNNVFGRTLNPWNTTLTPG GSSGGEGALIALRGSPLGVGTDVGGSIRVPALCCGTYGFRPSASRVPNAGTRACSTSG MRFILSCAGPLSTDLDGLEVFFSSVFDIQPSLYDSTVIDVPWRNVTVKPKLRIGLVPE HPVFPLHPPIKRILAEAVQRLEEQGHEIIRLTNEECRIRELNEVALGIFGLDQGALSH VISAGEPVVPALQHIGAQIAKVKQFHKPSLPDMSSMDRLAKLAALNTCRAELKEEYRG MWKQHNLDLCLAPPAQNTAVAHDAFGPAPYTIFLNCLDYPACVIPFGQVNELDATDTF QVGEDQIAPEYDYKSVEGAPCGIQLFTTTMRDEECLQMSKLIDLCLRG AOR_1_278124 MRELLCLVFLDITESLFRARIPIIEYRVRDPYLINHHAGLCGSL LPILYRVCLLGAAIRKGSQHPFSHNCFDILREEIVAWAPSVSAAMAGRFSEEEMLLLL TQANLHRNAALLILHRLRFPFGDHDDDAEFLSQTIIREMQYCLAMTKHYPQIYHWSCP LLELRSRTLWAGKTSSRRCRGSNGSSFYPFVANLRLFLTRVWTSRDEGTTRYLFDLFD EDDNLSIPL AOR_1_280124 MSNSDPNDVFPPGTIKLEERQGVESEFKLSPTPSDDPDDPLNWS PLRKAVNFGLTCSYVLFTLVLVDINSLAYRGYMSELGLTYATFNQASGSNFAGLAIGW LLFIPCVPKFWPSPYLLAQCDCAVGMCYLVGKLSQGWRTHWCQSAGSVSEAVVMITIV DLFFVHQHARMNGIFLFMQSLGSTGGPIAAGYIVVSMGWRWMWWMIAIFPGVNLILVL FFFVEPKYIPLKVATPSTLRVATRSTVDARHSRKTVRQRLAFVTKTDMPIVQHFYQPL LILFSFPAVAYTAITYGTILAWFSANTSSSSYFVLDDPYEFSASAIGLLHLGGFLGTF IATLTVPAQNDSFIVRAAKKNGRVFEPDMRLWMSIPGALLNCAGLFIYGIGLGRGMHW AMLAVGQGIYGFGFITTADVALTYLPDCYPDILGDALNSIVFVRNGFAMVVRFAFTPW VTGMGIQNSFILISMLALLPVTLPILLMIYGKRARSSTADKYWKYAARQPVHRKA AOR_1_176124 MSSLSSLSNSSKSIVFYDIAMRPPREKTCCAPNPWKARLALNFK GVPYSTSWVPLPDIAKVRRSLQVPACRKFADGTDFFTLPIIEDPATDASVGDSFDIAV YLQKTYPTSGAGDLFPPQTLDYTFTHPTILIPLSECRDNEFPEYAKFNMNVDAAFTAH TQLALQGFPFDPATAETSKAEFVRRAGMTSWEDFALVGEARQKVMDSFREMLGGLAKL FVRDPSGPFLLGTRPSYADLIVGGWLRMMRATLPQSEWEEVSSWHDGLFGRLHDALEV YAEVK AOR_1_282124 MAVVKVYEYTGTVHAPIGEVWALLSAFGSPKLWMPDCILTTVEG FGIGSTRTIAFGANPNIMIRETLDSVDVSKYSVRLHVDRDDLPGVDSYATFFLKQISR HETEMKWIGESSIPDEEGRANLRVWLERTYSGFESCLNKLLAQ AOR_1_284124 MLVLIAGVTGNLGSRMIDSFISRGHQVRGLGRNPSKLPSELRQK LENFVEVSSSVDVTGLEKACHGVDAVVCAYQGHPELVVEGQLLLLRAAERMGVKRFVA NSWNCDWRDMTLGMQETYDPMLTFQQLVITLLPN AOR_1_182124 MTPLTGVRASNKQLTAATVPQVAVFVGATAGIGKAALTELISTG FPVKAYIIGRDEAAFEPALSELRASYSSAILVFLQGEISLLAEAKRLTNIILRREGYI DLLFLSAGFLPFLGRQESTEGVELSTAVAYYSRQIFIRRLLPLLRAKAKTTQSQHDAS FRPRIVNVLAAGAETSTENLFLDDLQLKQDGHFSVPSYAGHVATMTSVSLRRLSEEAE NKNIVVIHHHPGLVYTEIFKKSWGDQWDSSREHARPPAPDDIERSTPAEAGERALYLM TSAKYGGVGVPMGEGEAAGLTVRGTRDGSLLCVGDKLETLSSVSRILDSLEDSGAAET IWSYTDKVIGGYL AOR_1_286124 MLIILPCRVRFLWVKLQVDFLCRKKTEDDILGPLASLSANLDQI YGRTLAAILTLDVTAKRVAEQVLSWLLFARRPLSVEVLHGILQLDRYVQLSGRVDIID ICHNLVLVDPGLDTLDFCHPSAREFMQQQDLFSAARANRLIATICLQQCIAGPASDPD ASQLSSSTLPVQELYHYTAVYWPEHVRDSENEDEKDTLMQDTVLFVLGANDDESTVSP AFLVWMEWIRSAIKDLPRYHQISSKFEPLLSTGMSPLFLACVFGLSDLLDRVFALNWS LDIEARSDTGHTGLYLACSYGHDHVVSKLLSHNADMNTKCGSFGTPFNVACFRGHAEV VQTLLAHKTAPRTTAAFSDAFRAACRGAREKVALLLAKAFLPIWTESEYRTALQEAVE AGFRDLVEWLMSPATAGPFSSVIGPSSTPDQKGSTAERLLHTAIKRGQVSVFRSLLRM KPHWQDLLLGDAMALSSLSDHPDMLLALHDLGVNVDGEGVFGSTLRSASLMGNERAVR LLLSWGADPAASGSKGDALQAAAQNGHLQIVRLLLDEGADVNQTGPPRGTPVQAAAFY GHSDVVDLLLERGADMYKGGKSQDALYAAIEGGHEEVAALLLKKGYRVAAGADLPGIM RGSLDRVQRPWEKKRTAVGEQRGIGTDDSDDGLGGPLLTGPDAVDSYEEDCDVSPSDL KDFREYDHPDPPLFKSEARIGTLELSVVAEDADMIRQLILRPDTTQYDPEVGGASLDE KTWGTAVQAAVSSGDPDTVLVLLRCQAKPEASHLKAVLSAIQYAVAKRNPSCSKVLWS WLLQERASQGSHRTLVDACLDQQSGGSPESILKDLLDAALWSRNEEMVRTAAAKMEEH GCDTSAILPALASACRRGFRAIKPLLTSERFTQCHLCTASYTAAIFGRRWVLSEILEE LARRGFPFSRTPVVDCAFIGAAAHGHVAIIRTLLETSHLREKFAKFSWAITRAMVIAA HRGHEDIVQECLREGADVDVPQEKDTVVSPHWREDGALAIEVAFDKLGPIKLVNPNAE ITHNKIGTMDLKIEETAPSPDRRLYRSRSIYTEEWTALQAALAGFAKHTWTLSAIRDD GEITDGSQGAMQQQERIVFLMLEQGCDPNAENDSGETPIETAVRLATARVVQRLIESG VEVPGDDSLVSLAAKRGSFRIVLHLLQAGASVPRTENGSLTPKMLKVLHPRLKSADFN DNPMSMEEARRFMNRGMRALLRMILGRYSSQRARKSGSGMRAGTSGRGFLRLASNAVF GNLLVVAATAGDNESVKLLIDHGVDVNSNSEFSPATALSGAAHYGHRQVMNTLLEHGA DVDGGNARTKEPLIMAIRGRQLMAVKTLIEHGARPSPASPSADAYQEAVALDDTRILD FFLKHSGPPETTILVSAAADGKANHVRLLLAAGARVDDLVSHPRFDNAPAGRGTALYI ACLNGHTKVVEQLLGEGANPNLDIKSTNGLGLPLTAAAGAGHLDIVRLLLDNGADPNG HSIAPTDGEASSRDGDEEWETDVDELAPTALSEACRNNHPAIVKELLSQGATISMGTT DVVTNPLAVLSTGPWSTARKEMLEQLLETVSEEATDERKQAVRDALTEAALDHNCDAF EFIMEYVASSPYTLGLASICGSERSVLRCLDQGVDVNAVNPSGELPLQHAAYNMHSGV VQLLIDRGADVNQLEHIVEDNGQNSTTALMAALSSFLLMLQGDHTRQRTRDRLAILDM ERIVQCLLDSGANPELGCLSNHIKALHIACCIGDPSLVNLLLDRGARFDSDGSDHSTP LFSALDWKRPRVVRLLLERGADPNAPRVLERDVRQDEGGEDGNRLQTPLEAALGKADN MVLLKTFLQYAKGLKVSGRALVAAAQQFASEDANPTVSELQVILESEAGSLVDGIPSE VLDILDRSWVRNEHAVWDIVALRSGDRARVDQLRKERRTRFPPSWSSRPTGMLLLSEY RTMMEGGRLQDVL AOR_1_288124 MAPEPGWRTVRQTCCSGANTTGMLLPGSKWDKTIRSQEARAGQG YRFFAPNSGYKMEKTSAAERKKEERNPIMARTARRTKTAAASHRHTADHYWQQALASL DADSKAALSSVSTRKLDIMGAVLSAAEDKRRLCVRKQWKFRLPSGRSVVLRDVLEKVV GWIDRFKAVGDIAAQYSPEHVALPWAAFRFLLTVVCGDAQVFGSMVLALEVVARLATR CRVLEELYLRRPCDASGVIEEALVRLYAGSLVLLAQIIRFFKKSTGVRLFSGVLQLAV SSEDIQALEAREAEVLKLASLADTKVLQALDAKVEQLTAGTTTVLQDLNARSARLMDL TAARHKSLETQRLADLLQWLSSTPVALHHRAISAQRLPGSGQWLLKHPAFQQWEASSS SSLLVLHGVPGCGKSTIFSACVDHFRPPTPPSQQIPVPTAPCAYFYCAESPAQPDRAS PENVMRSIVRQLAVSPKDGAIDQGVLSVYERELQEAKAIKTDVRALSFEECIALILDL TMVNPAYIAVDAVDELQAQDRATLLEGLRRVISDSASVVKIFITSRDSVHLDNLLPQD AAKIRVTPDLNGGDVAAYVDHQLQTALQKCCILNGTVSSALVNQMSRALIKGSGEM AOR_1_114 MQKSWLVLLVACLGLQGTTALTLHRRDLPAVVSLDIKRNNAVDP VARDRMRRKRDKTVEQNLDNEETLYFCNITLGTPKQSLRLVLDTGSSDLWCNAANSTL CSSRDQPCNASGSYDPSSSSSYAYTSSDFNISYADGTGAAGDYVTDTIHIGGATVKDF QFGVGYSSSSAEGVLGIGYTTNEVQVGRLGKSAYANLPQAMVKNGLIQSNAYSLWLND LGADTGSILFGGVNTEKYHGELQTLPIQTVNGVYSEFIIALTGVSLSSASSHHNYSSS DALPAAVLLDSGSSLTYLPNSIVQDIYDDLGVTYESSSGVGYVPCSLAQQNINVTYTF SSPIITVGIDELVLDAGDLRFRNGARACIFGIVPAGDSTAVLGDTFLRSAYVVYDLSN NEISLANTKFNSTKDNILEIGTGDDSVPGATQVSNPVTSVVADGSGARIGGPTGEIFT DIPSATSSGGAAAPAGPTDVPKHLVLGAAAIGYVLAF AOR_1_2114 MHVSRYASYCRRAHRATNALLQSRTIKFNMKGHLRRWGSSVTAQ PSPISSSQTIFSGIQPTGVPHLGNYLGALREWVRLQDNATEGTRLFYSIVDLHALTVP QDRCQLKKWRREAFATLLAVGLNPDRSTIFYQSAVPAHTELYWILSTVASMGYLSRMT QWKSKLQLPENTSLDHSAARSKLRLGLFSYPVLQAADILVHRATHVPVGEDQRQHLEF SRYTANSFNHLYGHIFPSPEALISPAKRVMSLKEPTLKMSKSHADSRSRILLTDSPYD IHRKVRAALTDSDASITYDPVRRPGVSNLIEILSHLDGRSCDDLSLEYKSASLRALKE DLAGRISDHLQGIRERYYSLMEDNSGYLDTVTEQGAQAARANADVTMKQIRKAMGL AOR_1_4114 MSLLINPRLLTRTGKQSLFAVPARSIALPSSPDVIDKSNFKVSQ SIAKISPLSTERDQQPASCTKNWAERLDGINAKSRLPRSVQAVYLRPLRRKAEYGLPV CDLQLRSYSVRNVEFFADFAVRAAYYLKLPVSGPVPLPRIVERWTFPRSNFVHKKSQE NFERITLRRLIQIKDGSPQVVQTWLAFLRKHALYGVGMKANVWEHESLDVFKNIDNAV PEVEGSLEPHLSHFGQRGGEGTQSPIPDLLGDMRLPKHRSPLSSVREG AOR_1_6114 MARPYGDLCSSERVHEALSFLRKNLPVSLQNPKVAIVCGSGLGG LANTIRDQPRAEFDYSSIPHFPHLTVPGHAGKLIFGLLDEQVPVVLMVGRAHYYEGHS INQVTFPVRVFKLLGVDTLVLTNAAGGLNSEYAVGDIVLLNDHIFLAGLAGTHPLRGP NAEEFGPRFPPLSDAYDLELRRHVHAAWGEVMHAESQRRLHEGVYAFVGGPSYETRAE CRMLHKLGADVVGMSTVPEIVVARHCGIRVLALSLVTNCAVLSPVPRGDDRLLQGKGV EELDAILQEGKANHEEVLEAGRSAAMDMQRVVVQTILGAFKSD AOR_1_8114 MTQITCGEAGAINSHDEVHITDHDIEQLLFEAEGRLRARDVNST NVPDTREVPDDQPQHSLLRITPYLLQEGDFATIDTTRVLKNVQDASNGLGYKEPKQPK VKKDKPTAGSNWFDLPQTELTPELKRDLQLLRMRSVLDPKRHYKKENGKAQPPKYSQV GTIIEGRTEFFSGRIAKKDRKKTFVEEVLDQERHNKRFESKYREIQTGKQSGKKSFYK HLQAKRRAKGK AOR_1_1590114 MEQSTQSASQQQGRQQPVYDTRNGGHYGASAALSAQGYAPVAEL YTGTWANVNQGLQGTARDILTTYWQHIINHLESDNHDYKIHQLPLARIKKVMKADPEV KMISAEAPILFAKGCDIFITELTMRAWIHAEDNKRRTLQRSDIAAALSKSDMFDFLID IVPREEATSHAKRSSQAAGAPSGAPGPTGAAGQLPPSQHGVQHPSHHMAPPDYSLGQH GLQDQEYRQPAMYAGPVQSDPTAAYGQPQSQMFEGMYAAYPHLPPQQNYP AOR_1_12114 MPSMVSSRNESAYVLNQIIISPSDTDYLDQLIPSIKEYSVGNRT PQLLQSLSRFASDKEAEIETICNTNHQEFVTSVNQLLRIREGTVSLTAEILDLNQSIQ ASTEKLAEQKKALVESRSHRQNIDETSRAIQDCLEVLRLANQIHDLLARKNHYAALRA LDELQNVHLKGVTKYKIADMIQRSVPATQKAIAEAVMSDLNTWLYRIREMSQYLGEIA LYHTDLRKTRQKERADMTPYLGHFKLNSAIELVSDEHEEYDLLQNEELQVDFTPLFEC LHIHQSLGHMDKFRSEYANTRRRQKELLIPPSITLVDEDGASLHNLLEEMAGFAIVER STMKRVPDLRSPVDVDELWDSMCQTAVNLILKALPEVDNAESLLKIKNLIALFMQTMN SWGFPVGVFDNFLLTLFKKYAELLKKRFSDDFQEIVSTDDYMPMPIQTLEEFDKVLNV SWYTPEKPREEQTFPCVLPFSQMYPLCCIDIRNFLNQFYFFANDDFSHSSVIDESLKD ALDELLSSKVCDTLVERLASQYLGQIVQILINLEHFELACHELENLLAAARSHNSTGG PITLKATEKFRNNKKAAEKRIFEVVNSKIDDLIETAEYDWMAPVPPTEPSNYMQTLTR FLSNIMNSTLLGLPTEIKELIYFDALSHAANMILALPLAADVKKINPNGVMALAKDVE YLYHFVDSLGVPILRENLDELQQTVQLMQADNTDEFYDISTRNKKYGRVDAINGPVLL EKVVRSIQSPVKTDKFTTLSSRFGKKS AOR_1_16114 MKANESPRGMSSPCSTSGIASPNGSPDTKLTAFSPEDIRSKGHP GSSVHGPVSDAGLRKLYSMAASDPFLVPTSTSRVQLSPTAAAFTPIGMVDTVANSNLS QPLTRALPSVSYLAVDSIAEVNGTSSGLVSPFDQGSSDYGTIGSAREFRGLSSGAVLE KFDAERRSRALVIENVPTNLTYMALAGFFNRREFGTLKGPVLTELNSMGKVYVSFTDS REAKKAIEKVRLLRPEWRVFPLTAKEYVQHTEPTLLSDVSDYEGQLLVTVYYDSRNPT LNQHTVTRSLETIVTTFGDMKAFTPLPTGQDNISEFHLEYFNTRDAENAMSTLNGSSV EECIFEVSSFRPDIEDKPRHPLPSPSPARDGLFRYEAPCLKNAAWTPSRPHRSPFMEL SPTGRSTVPPGEHAGLMDWMSRAGEGVLLSPRRDIGRFPELRVSNQNAVDIERIRLGL DVRTTIMLRNIPNKIDQTMLKAIVDETSHGKYDFMYLRIDFANNCNVGYAFINFEDPI DIIDFVNVRAGRTWNCFNSDKIAEVSYATIQGKDCLVQKFRNSSVMLEHPSFRPKIFH TGSGPLAGTEDRFPGPDNPSKMRRSIENAEHVGLFAPRVGQQYRDEQRRRRSQFDRGT TAAEREIVYVRTLTPKHSSSVGGGIRSAPYTYPAMKMWYDPTMDRGPRTS AOR_1_14114 MDAEKIYAVYSMLKLVYHRNKNQHKKTKWWKWLSVLKRTTWNLA QSLDRIQSPSGVAESPDLYIQCLANHVLPRCYLAFSTVVADGQFSTLGTVLLGTLACL AKSTGIDKEMKFAAQTETFRVASTHARVDGLEDIGEILPRNNDLPGLGEFLEKSSSEV EECPKFSPKNSNKITDFAVKQSKSKKRKKKKDAIDDLFDSLL AOR_1_18114 MKVARSKWTILIANILVPISILVFSSGFFPYKTLLTGFATHEHT IGGQIPPGVFDKVIFMVVDALRSDFVYSQHSGFLFTQSLIRSGAALPFTAYASAPTVT MPRLKAITTGSVPSFLDVILNIAEADTSSTLMHQDTWLAQLKAKGGKLVMYGDDTWLK LFPGMFHRADGTTSFFVSDFTEVDNNVTRHIPNELLQDDWSAFIMHYLGLDHIGHKAG PNSPYMITKQHEMDSVVSMVYTALEQEKHLKTTLFVLCGDHGMNEAGNHGGSSVGETS PALLFISPKFQRLETRNDSPTEEFSDLQYYHTVEQTDITPTLAGLLGLPIPLNSLGVF IPELLAMWDHGPHRIHMLLENAKQLLGAVKGSFPSYSFEFDLMPVICSSQSLIDIERV QCAWFRVLETLNGSGANHDSEASSEIESALLLFLRNAQKLMSSAASDYDLIRLYVGLS ISGFAISLTFFPAKRLLVNFAPAGMFLGFSILSYSTMMFASSYVEEEQQFWYWISMGW VVYLHVKYAAALAVSYRVLRRWNQTGQKFAAQPDITGSFFPSHQHTLWALVILTYADT CLHLLFDLPASVLWRFISCMVTLAAFLFKLSLAASDSPELLGNSFLQPVAMLTDGMHL LYHARMVLLTNIPAFLVFRVQITILASMRLSTVEQTITSLLMQYVTFYAFGGSNAISS VDISNAYNGIGTYSVFIVGALTFISNWAAPIWWVSASRLLRSSQNREEKEAHVTILTL HMATILMSVMAACTTLRTHLFIWTVFSPKYLYTIAWAMINHIVVNVLGEIDWRLFMKR AOR_1_20114 MAKSVRASVQKRNRAKLRATVFGPVVDARTERLSAKLQELASQP KPSNEEKPDMELNMKDRQEGTKISQTSEDMDIDNGTIKTTQGRSHKGGRIHKRHSNRK NRSSIVFRPHQSKNKKGLKRR AOR_1_22114 MASVGQSSELQSVVALVKTMTNAQLKEILRSEGLAVSGVKASLQ FRIIEFIERLNQGGQIERYDNLKRAVYATTHRSMPQPSTPQSLPSHQYHSSANQPLST QQRPSPLSAPMTSHGLTSGRLNFKESPFYTVFQQLTPVVECKVREQTRDSVELRVVLN QDMASRLQADPNLRVMVYCAADTGLNQYTKSDIAFPHQVELKANLDEVKANLRGLKNK PGTTRPADVTDYIRKKPGYPNHIVMTYALTTKRFFVLVSLVQRHPVEELVAELKMRKT ISKDQVLREMKSRADDTDIVATSSVMSLKCPLSTLRIAVPCRSVICTHNQCFDAYSFL QLQEQAPTWSCPVCSKATSFESLQIDQYVDDILRSTSTDVEQVVVEPDGRWSNPRVVD ASEAGGVTPESDDDDLIEIKELGNTPVKQESLPAASLSLQRTPAQSREPSSTSSVARL STNKRPATQVIDLTGSDDDNDDGSPVRPPKRPALNLLNRSLPRQEFQSSYNTALANGK AVPRAGQTSSESASQTTGYNA AOR_1_24114 MSFDTPAPDEPQARALSASCLDFLLIELVPMAERLAKELSADDK TPDDDEIRETTFFRLESLGYRVGQGLAERFSRDRPRFSDNLDVIKFLCKDLWTILFKK QVDNLKTNHRGVYVLTDNSFRPFARMSMSVRSEAVSMAQAYLWFPCGVIRGALSNLGI NTTVQAETGELPGATFQIKTVQPKS AOR_1_26114 MVKAAVLGASGGIGQPLSLLLKTCPLVEELALYDVVNTPGVAAD LSHISSIAKISGFLPKDDGLKQALTGANIVVIPAGIPRKPGMTRDDLFKINAGIVRDL VKGIAEFCPKAFVLVISNPVNSTVPIAAEVLKAAGVFDPKRLFGVTTLDVVRAETFTQ EFSGQKDPSAVQIPVVGGHSGETIVPLFSKTTPAIQIPEEKYDALIHRVQFGGDEVVQ AKDGAGSATLSMAYAGYRFAESVIKASKGQTGIVEPTFVYLPGIPGGDEIVKATGVEF FSTLVTLGTNGAEKASNVLEGVTEKEKKLLEACTKGLKGNIEKGIDFVKNPPPK AOR_1_26114 MVKAAVLGASGGIGQPLSLLLKTCPLVEELALYDVVNTPGVAAD LSHISSIAKISGFLPKDDGLKQALTGANIVVIPAGIPRKPGMTRDDLFKINAGIVRDL VKGIAEFCPKAFVLVISNPVNSTVPIAAEVLKAAGVFDPKRLFGVTTLDVVRAETFTQ EFSGQKDPSAVQIPVVGGHSGETIVPLFSKTTPAIQIPEEKYDALIHRVQFGGDEVVQ AKDGAGSATLSMAYAGYRFAESVIKASKGQTGIVEPTFVYLPGIPGGDEIVKASNVLE GVTEKEKKLLEACTKGLKGNIEKGIDFVKNPPPK AOR_1_26114 MVKAAVLGASGGIGQPLSLLLKTCPLVEELALYDVVNTPGVAAD LSHISSIAKISGFLPKDDGLKQALTGANIVVIPAGIPRKPGMTRDDLFKINAGIVRDL VKGIAEFCPKAFVLVISNPVNSTVPIAAEVLKAAGVFDPKRLFGVTTLDVVRAETFTQ EFSGQKDPSAVQIPVVGGHSGETIVPLFSKTTPAIQIPEEKYDALIHRVQFGGDEVVQ AKDGAGSATLSMAYAGYRFAESVIKASKGQTGIVEPTFVYLPGIPGGDEIVKATGVEF FSTLVTLGTNGAEKASNVLEGVTEKEKKLLEACTKGLKGNIEKGIDFVKNPPPK AOR_1_28114 MAAQRQNVSSDLVWQLTRNQNAFLVKRNSGGGSQFSRDPLNLQN KHSFKYAGYANTKAIGVQPTENGGVVVLTKKSGNAQQPGKNAVRVTFGPKASTRKIYK GVADKTAKNGYRADLREDAVARVSAIRRSQKPKKDTPPQKPRGAQARKAAEQESA AOR_1_30114 MAYYLLYFLAISVVVCGTVLYLTRARWLSLVPVPDYIYDRLPSS FADDLEAGLTSTQFDLSANIADGDTRAGLDNQAKRQIMKIMKRQRINFDEARRIYTEQ RFAKNNIGPDGRPRDPKFVSFS AOR_1_32114 MSGARHWEQDKEATVYIGNLDERVTDSLVWELMLQAGRIVNVHL PKDRVTQSHQGYGFVEFISEEDAEYASRIMNGIRLYGKPIRVNKASADKQKSVEIGAE LFVGNLDPMVTEQVLYNTFSRFGNLINLPKIARDDNNLSKGYGFVSFGDFESSDAAIA NMNGQYLMNKQVSVQYAYKKDGKGERHGDQAERMLAAQARKHNVRPPTQPLPSPFSGS GTPMVPPAMANGDSSRQISTGPPDLGMGRGVATPNVGFSNVPPPQHHRSVPPATPLAN PPPGLPARPPPSQAGYGGPPQAFLPPAFNNAGQQSSFPPQPAPPPGFAPPGFGPPTGN AAPPPPLPPGFQQPAYSRGR AOR_1_34114 MVQFSEETKERISKVIDVSRVAIHYGYLPLIVYLGYTYSEPKPS LFKLFSPLA AOR_1_1592114 MNGQDGLSSSFVESVAGFTAGIVSTLCLHPLDLIKTRLQVDRSS SSRVGGSLHVVRSIYQNEGGVAAFYRGLTPNIIGNSTSWALYFLCYGNIKTATRTWRS SREEDLTSSDYFLASGAAGMLTSILTNPIWVIKTRMLSTSSRTPGAYASFTTGASQIY HSEGIPGFYRGLLPALFGVSHGALQFMAYEKLKLHRIKMSSATVFNDGYAGSAQVRWR RLGNLDLFIISSLSKIFAGFVTYPYQVLRSRLQTYDAHLIYRGVQDAALQIWAREGAA GFYKGLGPNILRVLPSTWVTFLVYENTRAYLPELISRA AOR_1_38114 METAEPVSYEFPGHTIGAVAPRRMMTSNLGHNFPFYATPAASFP LPFHQSSSTAYGFGHALNHHHHNHHQPSYPQFFVASHESINSQPMRLSSEPPPVQSIP DIRPAKNAVNRVSRDPLVKNDPSSNTQQTPMARSSTHGAAAQSKSPSVSEIEFTTEVD ILMKAIQSRNSVQPPNTQSLPPLQQLTHRGCHGYPQTFSLHPSGNTRCNMMAEEVQSR SGKKRKYVCTLPHCGKSFAQKTHLDIHTRAHTGDKPFICKEPSCGQRFSQLGNLKTHQ RRHTGEKPFSCDICQKRFAQRGNVRAHKITHQHAKPFTCLLDDCGKQFTQLGNLKSHQ NKFHATTLRDLTLKFSQVTIGDPMSPQDRKLWEYFATLYKNSNKGIKGRGKDRRISPT SRSGPGKRHQTLGNNDDKLQRPIYEESSVYTGGSSSDEEDAEAYYIDRQNH AOR_1_40114 MYNTHRGMVPAPNSRLTELLDQLRQEFENQSRSTGEFEHQLTGQ LQEMEMIRQKVYQLEQAQIKMKQDYEAEIRVLRHELESRGVQPVSSHIAGPAQHAGPS QAPPPALGHGPSNLFGGIMANQGGSGPGLAPPPPQDQQPPQHTLQQPAPAAQQGAPQP PQSSFGGYQPGAAVNGYAPPPPPTASPGPGKRPRAPPGPATPQQTHQLAYPDPRVSPQ LARPTPPSQALVRDRPGNMLANWNPDDLPASQKREGADWYAVFNPEVQRVLDVELVHH LVHDSVVCCVRFSRDGKYLATGCNRSAQIFDVTTGQNVATLQDENVDKNGDLYIRSVC FSPDGKFLATGAEDKQIRVWDIAARTIKHIFTGHEQDIYSLDFAGNGRYIASGSGDKT VRLWDILDGKLVYTLSIEDGVTTVAMSPDGHYVAAGSLDKSVRVWDTTTGYLVERLES PDGHKDSVYSVAFAPNGRDLVSGSLDKTIKLWELNVPRGAFPGTGVKGGKCIRTFEGH KDFVLSVCLTPDGHWVMSGSKDRGVQFWDPITGNAQMMLQGHKNSVISVAPSPTNNLF ATGSGDMRARIWRYSTYTGR AOR_1_42114 MDIALEVWDTFIGDRLYAALLPVSLSSSVSFPGFNHAANNTLSF FGASQPFIYEPATHLIYLEPSKYAYMSAWPRNNIYRQFLSFFLIVWIFGLITYFISAT LSYIFIWDKTTVKHPKFLKNQIPMEIAQTMGSMPIMSLLTAPFLVAEVRGYAKLYDGF SDEPFPYYSIIQFPLFIAFTDFCIYWIHRGLHHPLIYKSLHKPHHKWIMPSPFASHAF HPLDGWSQSVPYHVFPFIFPLQKVAYVFLFGFINLWTVLIHDGEYVANSPVINGAACH TMHHLYFNYNYGQFTTLWDRLGGSYRKPNEELFRRETKMDKEEWKKQTEEMESILKDV EGDDDRKYLVEDESKKDL AOR_1_44114 MVDYERRHHGPKGGRKRRYREDDDYDRRQRRKYEEPLVAKVRRQ LLTIAESAARRAEDDVVNIAKSVADNYEDEELRQTFVAISVDLALEQPLKIPFIAATV LSANLYRSELVSDVLTKASEYLQHYINNGAWREVKLLLRLLGCLQILYEGDGIFPILE ELFERAVVLQTASSEDLLGLELVKIILFTIPYIMASPITGFEAQANALLEKTDIIAST PHALVELVNPFSPEHDTSSAAQSVISLLQSQLQQEASRNWELACLPRPWKGGRDNEEE QKPLDSGAKHAFPQITVPDPVQNGSRAIFPEVYMSVYSNQEVETVPPTSDIASSLLRD ALVDTINILDFNRIATAKYLIDIDCYFTPHTFVKRATPFDRLRDISGDRPTWKPEDVA VDAVFSQLFQLPSPQHKLVYYHSVLTECCKIAPAAIAPSLGRAIRFLYRSLETIDLDL SHRFLDWFAHHLSNFGFTWKWSEWIDDLELSSVHPKMAFIIGALDKEIRLSFAQRIRG TLPDPYQDLITEGKEKDTPDFKYSLDTTPYANEGTEIMQLIRKKATDDDILPIINAIE EQARAMGVEDPMLPSTDAFVTAICFVGSKSLSHVLSCIERNKERLLAIGPKSTRARRQ IITSVMEYWTDQPGIGINIIDKLLNYTILTPLSVIEWALVDKLEAGTILARTHVFEMI SATVGKVTNRLRQIVAARTQPGLYEPQLSVLDETLNREKADMQALFKVIEDSIMSVAE GHNDELMERGDGSGELPEDEIIRQWGCRWLRAFRRKAGVEESFIAEAMATATPIGTTA PPPQEGTTAIDGPADGDLDVADADGAVDVS AOR_1_46114 MSQNRPGVFSSLRMGEVVREKVQDGLTGETKEISYSQCKIVGNG SFGVVFQTKMMPSGEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVELKAFYYSNGERK DEVYLNLVLEYVPETVYRASRYFNKLKTTMPMLEVKLYIYQLFRSLAYIHSQGICHRD IKPQNLLLDPSTGILKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKTD VWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIRTMNPNYMEHKFPQIK PHPFNKVFRRAPHEAIDLISALLEYTPTQRLSAIEAMVHPFFDELRDPSTRLPDSRHQ NGPSRELPNLFDFSRHELSIAPAMNSRLIPPHARPALEARGIDIDNFTPLTKDEMMAR LD AOR_1_48114 MAEYLASPSFLTDNSVAAVIKDAYTSFSERRAALGLPNPGTVDN IAREVQKEVLLSNFMFSGLRADLTKVFGMSPLFRVSHAFSMGSSGNLPPYAFSAMYGS PKVFMQGNFGSDGALAAVGNYRWSPKLVTKTNTQIMAGASQGLMQIDNDYTGDDFSAS IKAFNPSFLDGGLTGIFVGSYLQSVTPSLALGFEAIWQRQAMNTRPETAISYSARYKA NDWIASAQLQAQGVFTASYWKKLSERVEAGVDMNLQFAPNAAAALMGGPSRDGTTAIG AKYDFRASTFRAQVDSAGKVSCLLEKRIAMPISLTFAGEIDQAKQSAKLGLAVSLEIA GEELMEQQEKIEAQGMVPPPF AOR_1_50114 MDTLLTADIVANSPRFRRKSSTFVDAIHDLPEKADLAPAQLYST ESGRLFHSGRIVIITVGLPARGKTHISVALARYLRWLGVKTRIFHLGDYRRATIPVGQ DIPDDYFFVNASASSVLLRQKIVKRCREDIYQFLNDENGQIAIYDAVNPLASGRRSLA KEFAKHDIETLFIESWCDDERIIEENVLRVKISSPDYVGWTSEEAVKHYLTRINARIP QFQTMEEKDLNYIKMINAGERLIVNNRSFGYLSHRIVFYLLNLHIKSRHTYFARAGVS IEADSYKADASLSEQGEDYAKKMTECLLRHRESEKQATIDQGETDYELKPLTVWTSTR RRTIETAKYLYEKGYKVRQRSQLSQLNPGVCEKMSEKKIREEYPDEVAKHELDPYHHR YPRAESYHDLAVRLEPIILELEREQNDLLIIAHESVLRVLYGYIMACNAADIPFLEFP RDEIIEIIPESYQNEARRIHIPDLPKEIIPGSPQDIKIPVPPSGVTTPLVGGLSSPQE GFSTPQSGLRTPREPERISQQHVEDVV AOR_1_52114 MTTDTEINPESARPEAHGSLSVTVEFTGGLEMLFSNERKHSVTL PARLSDGGRPSISFLLEYLVKNVMKDERKELFMLEDNVRPGILVLINDADWELEGEEK YELQPADNIVFVSTLHGG AOR_1_56114 MVSITSEYISVGGNRHPAAADWDIQSGVLAFGADNNVALWYPKD KSQRGVYSLLVGHTDKVNAVRFYTCPTTGTKLLITASADHTIRIWRAVTGTYLQFTLA QILEGHTSSINTIAVSDGADLVASGAADGSVKIWRIMLQGEGTKSELLTTIVMKPRFF PLALALKPLQADGPMILAVAGTTNIIHAYILEDPLGDTSFRLAAVLSGHEAWVRSLSF TRDKQSKTGDILLASASQDKYIRLWRIQRGEVTLAAPAGEEDPVLGELEPTLSNKAHQ FNAAGSKYSVTFEALLFGNEDWIYTTAWNPSSERQQLLSASADNTLTIWEQDTVSGVW VSAERMGEISVQKGSTTATGSTGGFWIGLWSPDGDQVVSLGRTGSWRAWSYDADADVW VQTLGISGHVRSVNGVRWEPTGGYLLSTSGDQTTRLHAQWLRDGKQSWHEFSRPQIHG YDLNCVDTLGPARFVSGADEKLLRVFNEPKPIAKLLEKLSAFKQSTEGELPDTAQIPV LGLSNQSMGEAPMGEGEGEEANAAHIGQAQANQTILSDTNQPPLEDQLARYTLWPEHE KLYGHGYEISAVAVSYDCTLIATACKASSIDHAVIRLYDTSDWHEIRPSLAAHSLTIT SLSFSSDDRYLLSVGRDRQWAIYCRSEQDRSAFSLMESHPKGHSRMILDAAWAPVPDF HTFATAGRDKLVKIWQISKGSFVCKTTITLKSSVTAISFLPRVQVNSVFLATGEDSGE LSLYKIAIDSLEAACLGNIDKLISPSKAITQLAWRPSAKYDTSQDEFSLKLAVASEDT STRIYAISNMVS AOR_1_54114 MANTPSIQVNNLSYQFQDGSSGLADVSLYLPAGSRTLLIGANGA GKTTLLRLLAGKRLAPDDTITVGGKDPFKEGLEGVTYLGVEWVLNNIVRTDIDVPTLL ASVGGNAYPERRDELVDILDIDLRWRMHAVSDGERRRVQLAMGLLRPWQVLLLDEITV DLDLLSRHNFLSFLKRETETRPCTIVYATHILDNLSQWPTHLVHMNLGNVKQWGPITK FQKEVPETSENSQLGELVLRWLKEDLKARGPRNGRHGQAKTYESFDGRGGYGFEKRN AOR_1_58114 MRPFLRYPLFARRSPIMEPLIAIVGATGTGKSKLAVDLATRFNG EIINGDAMQMYRGLPIITNQIPMDERNGIPHHLISCIDLEEEPWRIGLFKSECLRIIK DIHSRGKLPILVGGTHYYTQAVLFKGQLVGEGSDEFQGSGPRSDRETEESSSKWPILD APTDVVLQKLREVDPVMADRWHPNDSRKIRRSLEIYFQTGRPASEVYAEQKRLKQTTL ANGDFTAGEGQLRFSTMVFWIHSEKEALIARLEKRVDAMIEQGLMSEAQRMSDYIRER RTQGSSIDPTRGVWVAIGFKELAPYFEALHKSSLSVDELESLKKSCIESIKIATRQYS ASQIKWIRNKLWNSLAETGMTHRLYLLDSTNVGDWRTCITEPSELLTQALLKDESTPD PKSFSELARTILGAKEARSQKGPGSAAKCFTCHICRKTMVNEEQWHIHLNGHSHKRVL KAMAKRAEREESLQARKESTRRISCDNESLEEQNSLSDLFQ AOR_1_60114 MNRGAFDIQPIGRFYGSNTTIRRPREITCFSYDDQHSFHLGDSS LRYYYPPRLPADLNRGYDTFQKLDDSADEHLDALLETIMALEKETGKKCEADIITWRG MMTKILTAPFDNLNGFEMNATRFQDTIFIEENNLYKNQQKQLQKNQRMPPGMPSQDMM AYWGYKFETLSLLNQPWDPTTREEIESRDELVVNNNAQYCSIVRTGIGKTRLIIGGEV DAVWDCKPARKEDVIHWVELKTSAEIRNDRDMVKYERKLLKFWAQSFLLGVPKIIVGF RDERGIVHRLEELETASIPNKVKNGGRVTWDGNICINFTSAFLEWLKSTINEDGMWRI RKLEKSSIIEVFRLEESGTGDIISPSFSAWRSRS AOR_1_62114 MTSRIDKTIARQREKIASGAYYEAHQQLRVIAARYIKQANYDAA AELLAGGATALLRAGSQQGASASGGDLAIMLVIEVYTKAGWEITGNDDDTEGRARKKR LIELLHEFPSEEPTRKRFIQEMIGWSGRFGPLERGDPELHHAAGSVYAEDHEPYDAEK HLILGTSESAETLAKLEHEWYTNDEPHTAAIYASRAVFPYLLNGNLRSANKAFLIFTS RLSSSNPSIPLQDVSSSSIDARVFPALPLLNFISMLLLTIQRGSADLFKQLTSHYASQ IQEVGIWDDFLAQIGEQYFSIKIPRQGNPLLDMMGSMLFGGGQGGTGGRIPQSRGSSK KVEAPPANPELD AOR_1_64114 MGTTSVRRNLFHHHLSKRSVSAAPPNASMQSGTHSGLPSLSSHA MSSASSESTQSLGSGMGDGGEIVVKDKNGDYKLDIPLLPPAVGGEDGDEMEGIEAGAT RGSGATGTESTAQTEISGREKEKIEASLVEMMYRSRNRQMSTEPAEILNLIHQSLRNK VASLDEDNWIYEPEPDSLF AOR_1_66114 MVQAESSSSAARVGLKPVTAGAPSDYELPWVEKYRPVFLDDVVG NTETIERLKIIAKDGNMPHVIISGMPGIGKTTSILCLARQLLGDAYKEAVLELNASDE RGIDVVRNRIKGFAQKKVTLPPGRHKIVILDEADSMTPGAQQALRRTMEIYSSTTRFA FACNQSNKIIEPIQSRCAILRYARLTDGQVVKRLKQVCDAEKVEHTEDGIAALVFSAE GDMRQAINNLQSTWSGFGFVSGDNVFRVVDSPHPIKVQAMIKACWEGKVDAALETLNE LWDLGYSSHDIISTMFRVTKTIPTLSEHSKLEFIREIGFTHMRILDGVQSLLQLSGCI AKLCKINMKPQLFETPRT AOR_1_68114 MDKPQTWKQTASRKHSLRNERLKPYMVSDLDQRLPQVHDVQERS RIHSDPEIQEITDIDNISVLVDQFRTGKFTVEAVTLAYVRRAVIAQQLTNCITEVVFE DALTQARALDRAFQETGHLKGPLHGVPVTLKDQFNIKGVDTTLGYVGRSFAPATEDAV LVQMLRNMGAIILAKTNLPQSIMWAETDNPLWGLTVNPRDPRLTPGGSTGGEAALLAL HGTLLGFGTDIGGSTRIPQSIMGLYGFKPTSSRLPYLGVPVSTEGQEHVPSSIGPMAR DLASIVYLDPKCTPLPWNEDTFQEIQIRPIVVGLILDDGVVRIHPPIERALRELSAKL QAKGHEVVIWDASDHFEYIQLMDQYYTVDGGEDIRRDIAVAGEPFIPHVEALVNRSKA ISVYEYWQLNKQKVALQKRYLDKWNAIRSPSGRPVDILLAPTTPHPAVPHRRLRWVGY TKIWNLLDYPAVTFPVDEVRVAVDGVLKTYQPRNELDAWNWDLYDVKAMEGHPINVQV IGKKLNEEKVLGAATVIERIWRGL AOR_1_70114 MNPTSDATTTPPMTGAIRTESRRKTSGAIAKRACDQCKFRKIKC SLSQPCKACVSMGFECTFFQPQKKRGPTGHRVSQIRQQQTHVLSKNPLTPQSQVNSSA FPTTFPYQAEPPPREEGRHDATPWPISGGDVSVPVDLAQSHATAAVAPGWGVDASPMA SHSHSAISWNERSDVEYWLPDNLDAQMPIFDFPGTNIYLRTSLPSIIQNDADSSSLQA QSLETHNIPAPVPDVDVTKQEPSHTRALWPSSIVEANMIPWIDVYFDRLHPTLPVLNR SSLFIRMLSHEHRKNPQFGSMLLSLCAFSLTQPIEIDERPTSQSRAGQARLMMTEATR MRSCSDFGENPTIEAVLTSFFLFGCLFGSNQHNAAWLRLREALDLAATLGLNDPNSYR DLPGDEKGQRLRTYLVLSITERAYALQRRHPITFWGKPGFSMRSVHDFIHNATHSLVS GIIVHNEKDAEGMMGLARLMELFDAVDEDVVDCWNRRCSIDSGYCEKLTEAKALAIHQ NLSRVSESERYKGYDWFERTKSASGETRNTQPAMGLRETQCADVFITKKWLQNRVWVL CSTHGLLKPSSDHHELCFNYCVSVAKDTLKICQSLRLSSMEAHGIGLVEKLYDIAVSA ISVSSNVQLSLGNGFTLIPPVTESIPKSSVSPPSFPGSMHSGATTTLPQSLAEDFLLL LNSLRGGNHPYLERYKAFLSASNIRSNTYSNWSSQVQTTHTPSGA AOR_1_1594114 MTCPTSKSGVDTDIHSTVGSDTSDVQHLDLTTTNSHHLSKERTV NVFLLVACVVFGAASFLFGFDDKIISPVAALHPFNIVFSVPLVGSILGGLAASPMNFR FGRKWPVLMAYVISISGGLLQVFAPSLAAFVAGRFINGIAMGIANGTAPLYLSEVVPA SMRGRSVTSINILNVAAGVIGTVVVSETKKRGGRESYLIPLAVQCALPVLLFICTLPL PESPQWLVAKGRLAQARSNLRKLRGLSEEQVDVELEIMKLCEQKEREMKANVKFWEIF SRKYLHRTLTAGSFFSLNQVSGVILSTTYVTVFLTEIGMEDSFTLDAFSLTVIASCCT LAGTIAAPFVIDRAGRRPTALVGMSMLLVIDALAGGLAFSKDKRSGVAIVALSLTFNF FWASSFSSLSTLMPSEMATPKLRHHTMAYTIACAQTTTVITTLVVPRLTAPDAANLGA KAYLIFAGCMCCIVVFTFCFLPETKGRTFAEIDELYDAGVPAWKWRTYESSFQLRTDP NLSKPSPNVA AOR_1_72114 MPVLAGCEGAAIPANLVSQTQSYNSNLIENSLSCEQQVTSSRHV LYSRPLFHLTAPRGWLNDPCGLGYDPATGLYHLSFQWNPKGNDWGNISWGHSVSQDLI SWKTSPEPCLTPSAEYDSCGIFTGCFRPSDINGVAGALTYIYTSVRRLPLHYTLPYEV GSESLSIAVSRDNGVTWQRLDSNPILPAPPPDLNVTAWRDPYIGVWTAKQGEHDNDIL PPLSLSGFLSGGIAGRTPTVFVYSVNPDDLREWTYVGPLVNVGLNFRPSRWSGDLGVN WETTNWVVLTDHEGTTKNFIIMGVEGCLVSEREQKRVSRSQLWMAVDPRPEQPKSEPI DALTDYAFSGIFDHGCAYAANSFWDPVTSQYIVYCWITEEDLPDGIRHRQGWSGVISL PRSLKLTTLRNVTKTRHSNLSDVTSIEKEANGEGSFTIRTLGVEPDQRLKKLRGEAQS ITNVALWDRQHCGNYLSLTTSRWELEAEFAVGKLCKLVGIEFQHDLDPRNSTVLAWAP CSETFSIHRPPPYDPGINHNPEIAPHTLFTFADESGMEKEETLRIHAFLDRNVLEVFV NERTVISTRIYTPCVESVSRLRFFAELDPSAPNLKHAPAVLLKADLWDGLEAS AOR_1_74114 MVFLNSESRSANSHAPRDSLELASLASSSPELDARSSSSSPSGI SSSRKLSLEDEDPLSNSHVHSNLNSGGPRSARSYSVSSAFDFGRTLFPLSQTAGGYAP LGAPSALDRESGAADGSLERNKTLTYMNGLSLVVGLIIGSGIFSSPSQVNANAGSPGA SLIAWVVAGLLAWTGAASYAELGGAIPLNGGSQVYLAKIFGELAGFLFTWCAVLVLKP GSAAIIAIIFGEYAVRAVVGAEVEQVNPWINKAVAFGGVLMVTLLNCISTRLAARIGD VFMFFKFIALLGVTIIGVVVAITGLSSNGSANEEWKSGWFKDTSVDISAWAVALYAGL WAFDGWDNTNYVTGEFKNPNRDLPRVLHTAMPLVILCYILANISYFLVLPHSTIEASN TIAVQFGDKVFGKAGALVFALIVSASCFGALNATIFTSGRLVYAAGKEGYLPSIFGHL WTRGSSASNRLQRRSWARQSISRLFGEHFRIGYTPINAMALNSALTLVYVIVGEFKTL VTFYGVAGYTFYFLTVLGLIVLRIREPYLERPYQTWISTPIIFCCVSIFLLSRAVIAE PLQTLIVVAFIVAGVPVYYWRIYQRDGQITLPGWKFWQAR AOR_1_76114 MKPRTSARTLSLFSSPFPLYRSVGRIRRRHAFSSSSPASSPSEQ CPWLRVAIVTIAAAGVGAYIKSREESKSTTLNPITFTPYYLVSKEPVSSTGSIFTLKA PKPASDNCQVYDEAWKTGVWSVMFKQPQLQIGRDYTPLPPTSVEEDESLRFFIRRDPF GEMSRYLHGLDMGTRIEMRGPQIECEIPVDTQQILFIAGGTGIAPALQAGHTLLRRTD GTHKPRIHILWANRRRDDCVGGTNDNIMTSRSRASWFSGFLKSPPADPSPADPVKSTA PFPSLIVRELEALKSQYPGQITVDYFVDEENTFIGKKAILDVADSATPVEGSQKRKMI LVSGPEGFISYMAGPKLWAQGMELQGPLQGIIKELDLKDWAVWKL AOR_1_1596114 MASRLMPSGGPGRRWAFLRVPSTPQRRAFASTRFYFQDIFQSQL EDPSSAAVYSSLQASRAVPQTLTEKIVQKYSVGLAKDKFVKSGDYVTISPHRCMTHDN SWPVALKFMSIGATKLHDPKQIVMTLDHDVQNKSEKNLQKYRQIEDFAKHQGVEFYPA GRGIGHQVMVEEGYAWPGTLVVASDSHSNMYGGVGCLGTPIVRTDGASIWATGKTWWQ IPPVAKVTLTGVLPPGVTGKDVIVALCGLFDKDDVLNHAIEFTGPEETMRSLSVDARL TIANMTTEWGALSGLFPIDNVLKGWLKGKATTAAMGLAEGPFKTLAPQHFTHPLLEQL FANPLTADKGAKYAKELFLDLSTLSPYVSGPNSVKVATPLKDLEAQNIKVNKAYLVSC TNSRASDIAAAARVFKEAAEKNGGKVPKIADGVEFYVAAASIPEQLAAEEAGDWQALL DAGATPLLPGCAQCIGLGTGLLEAGEVGISASNRNFKGRMGSTDAKAYLGSPEVVAAS ALTGKLSGPGWYQAPEGLTEVVRGEGDGIREEDRMLTAEQALEKLIGQIDNLVADGEK QFAPEESEESSGDSLTEVYPGFPERVSGEIVFCDADNINTDGIYPGKYTYQDDVSQET MAQVCMSNYDAQFSSIAKEGDILVTGFNFGCGSSREQAATAILAKKIPLVVSGSFGNI FSRNSINNALMGLEVPRLINRLRESFSGEGSDKSLTRRTGWTLTWDVRRSRIEVQEGE NGPKWTHQVGELPPNVQEIIAKGGLEKWVKNEIGA AOR_1_80114 MDPGSIFGVISGAVQLVQVITQTAAGLATLREKFSHADLTIRSL IGELVTIKSAITQLDEWARYNTRDTAEENEYDEGLFVALDGCRAVMDVLSDQVAALTR GNDTQFGIGTRVKILWNEDIMRAHQDRLHAQVLALQLLVQACQCRSTSEQVELLRKAE NRQIIQKVASDTATLRSSSSYAASRADSSSLSYRQSSSGDTIFDFDRRLLSSPPYRRV LHRSCRSTDEGYGSGSVNRPNMPRASSLVLPVLPYDTIRPGHGHSKSVSFRPSNVQPN STQFQRWQSDSTSSSPISRSSSSKREKIRAAFRQLGRSKSVAQAPIRALTGGSTAARR INGRDMHTSIDLTTPEGAAAPLIVKTAQSGSRSDVERLIESCHDIEACHVNTRRNALL VASHCGNEEIVELLLQRNARLNVTDKSGSTALHLAASRGHCEVLKLLLLEGSDTEALN TRGRTALWLAAERGQLEAANILIAGLAKVNTRAENQMTPLHTAAKGGHEAVVEFLVLN GADLEARDGTMMTALHHACEEGHLGVVELLLNHKANIDAVGSDNRTPLICAAAMGRLQ VTQALLKRKASTRQVDDASMTALHWASYNGHTEIVDLLSQKKDILAMTNIAGRSALHL AVLNSKFAVVELLLRKRAPMETQCHSGFAPLHYACITKTDYTGIVKLLLISGANIEVQ TKDQQRPIHLAAARGSIALLNLLCDKGASLIARDAIGDRALCAACRYGHTAAVQCLLD RDSPLSLPYDDKLQEDSPLCLAAIGGHLPIVTLLLQRGASVLKRDEQGWQPYHHAAHY GHVDVLRLLLSCSPTGWVMEGAGEGFTTERIGFSPSANISEEKKNEIQDLLSESRRRP VATTQSSPPRIPPFMGDVTLSTLPIRGQNRPSGLAGDQYGPSIGQAPVIQELPGTLEQ GLPASRSATPEQMRRDMRPGRDSDTLLQALPEQHIATPHTRYLQDIAERPQNVVSTYH LQNGVNSAAVGGSALSDLPPGTVYHLLEEWSQPRSTASPVSMINDPVLEASPAVKLVR GVSNERPGRESDAESISSVYTAPEGVV AOR_1_82114 MPTITSSPDKSRQTSAGKSFFGRKLHKEKPVEDRYDGSGSFENL APPGSSAGSRSSRHSKRSSVQSVDYPHDIDPSGLSMTAGVITSIPFESLPADTKTPIP IEYLSKPEPSRKEQTSSHPSPNHLAKGASDFHQYPAWNPSSVRDNNTYSHPTGPRPPP HASNLTMTGSNTGDKGARYQQWGRPGSSAANTGFSHNSSSTVDSSSNSRISFDQASVH SSLSSNTRGSSYFSSDGSSRTLTTHSADRSTYFPGGNPNRLSTQSNWQPPPAAQPRPP PINTEQYLARPRDDRVVDQLFLELMQKRGWQNLPEQAKRQMLAYPASKKWTLVHQDRL TELQGEQKRRQNARQTHGHDGPAGILERADEEGSPEWYVKKVMDDSITSKQLASLSVS LRTQPISWVKAFVEAQGQIALTNVLLKINRRKASGPVPAPPTGDKDLDHEYNIVKCLK ALMNNKYGADDALAHQQVIIALVSSLLSPRLNTRKLVSEVLTFLCHWAEGHGHQKVLQ AMDHVKNHQGETGRFDAWMRIVEVTIDGRGKMGSLVGASEEYRSGGIGMENLLMEYAV STMLLINMLVDAGENDLQLRCHIRAQFISCGIKRLLTKMEGFQYEVIDKQIERFRENE AIDYEDLLQRESSSMKDSIEGEVKDMSDPLQITDAIATKIQGTRAHDYFLSAMQHLLL IRENSGEDGLRMYQLVDAMLSYVAMDRRLPDLDLRQGLTFTVQSLLDRLHTDAEARRA YDESLEARQIAEAAIAERDEMKAQIELGADGLVKKLQKQIDEQTGIIELQSRQNEMIK AEVADLQRLRAQELQRNELETRELYLMLRDAQDIAASNAKKNNMAETDPSHMRGILDR EKLLERLEMQLERTKTQFKLEGKVWGQHGPSDRLRELREQMDGEPEPNDDFQEHARRN LDSNALGSVYRKRSLVSGGDDTAGEGLEQTTNEDGEIVYEKARLVDIQRPRMNPAQAT GLLGEITAKVPKIGAEEGETKAAVDESPFPEAETPAIRVDEPKGESTDEKVNSTAVAG PPPPPPPPPPPPPGMGVGVPPPPPPPPPPPPPPPGSATGVPPPPPPPPPPPGMGAGIP PPPPPPPPPGAAGKMPPPPPPPPSGASFGAPPPPPPPGASVGGWRANYMASQAVPSKS TVFLPSIRPKKKLKALHWEKVDAPQVTVWASHALTPQAKEEKYTELAKKGVLDEVERL FMAKETKIFGGSAAAKQRKDKKQLISNELSKNLQIAMAKFSQYPADDVVRMVIHCDAD ILDNQVVMDFLQRDELCTIPENISKQMAPYSRDWTGPNAASSEREQDPAELTREDQIY LYTAFELNHYWKARMRALALTRSYEPDYEHISAKLQQVVKVSESLRDSVALMNVLGLI LDIGNFMNDANKQAQGFKLSSLARLGMVKDDKNETTFADLVERIVRNQYPEWEGFVDD INGVVALQKLNVDQLRTDAKKYIDNIKNVQASLDAGNLSDPKKFHPQDRVSQVVQRSM KDARRKAEQMQLYLDEMIKSYDDIMVFYGEDNTDEGARRDFFAKLAAFLLEWKKSKEK NISLEEARRRTEASLARKRNNAALANNAGSGETSQLPASSGAMDSLLEKLRAAAPQAR DQRDRRRRARLKERHQIRVASGQRMPDLPGAEGAEGGGINDGNEVSDNVANGDSTIYA LSTASGRAAIAVVRVSGPGCVRIYQALCPKAALPRPRFAAVRTLFDPTREPSSSSALD AGALVLYFPAPNTVTGEDVLELHLHGGPAIVKSVLTAISRVSQPDSLVRYAEPGEFTR RAFMNNRLDLPQIEALGDTLSADTEQQRRLAVRGASDALSRRYEQWRQQLLYARGELE ALIDFAEDQHFDESSDELVLSVAAQVQALRVQVGFHIQNASKGELLRHGIKIALLGAP NAGKSSLLNQIVGKEAAIVSTEEGTTRDIVDVGVDLSGWYCKLGDMAGIRSEPVNGKE SVVIGAVEKEGIRRAKARALESDVVIVVLSLERGAFDDIPYQLSIEQEVVEAVNDCLA ADKCIVIAINKCDRLPLDVHNSQFLPEQLLASVSDLFPTVPQKRIFGISCREAQLESA LERKDPGHLQEFLRGLISTFEEIASPSGIEGDANGDYNLSYWEDSLGVTHRQSSNLQR CLQHLDDFLAQTSRKHAPSMLGHEHEQQPIEMEIDIVTAAEHLRFAADALAKITGKGE SGDVEDVLGVVFEKFCVGK AOR_1_84114 MGSASSFAKTIVIPAAISLALYLLLSFLIIPFFRRYHQRYSQYL PLHTISAHTSTLRDRVADAMMRLFLPAAWRQQARFDDQHDNISIFDEEGEIMVGMDMD PARREALERRRSTVAEPESRLSRELEEGFMDDSDEEEDGRQGPRGH AOR_1_86114 MGKILMVLYDGGEHAKQQPGLLGTTENELGLRKWLEEQGHTLVT TSDKEGENSTFDKELVDAEVIITTPFHPGYLTAERLAKAKNLKIAVTAGVGSDHVDLN AANKTNGGITVAEVTGCNVTSVAEHVVMTILTLVRNFVPAHEQITRGEWDVAAVAKNE FDLEGKVVGTVAVGRIGERVLRRLKPFDCKELLYYDYQPLSPEVEKEIGCRRVDTLEE MLAQCDVVTINCPLHEKTRGLFNKDLISKMKKGSWLVNTARGAIVVKEDVAEAVKSGH LRGYGGDVWYPQPAPKDHPLRYVQGPWGGGNAMVPHMSGTSIDAQIRYAQGTKAILES YFSGRHDYKNEDLIVRGGDYVTKAYGQRNKA AOR_1_86114 MVFIRSFSVGKILMVLYDGGEHAKQQPGLLGTTENELGLRKWLE EQGHTLVTTSDKEGENSTFDKELVDAEVIITTPFHPGYLTAERLAKAKNLKIAVTAGV GSDHVDLNAANKTNGGITVAEVTGCNVTSVAEHVVMTILTLVRNFVPAHEQITRGEWD VAAVAKNEFDLEGKVVGTVAVGRIGERVLRRLKPFDCKELLYYDYQPLSPEVEKEIGC RRVDTLEEMLAQCDVVTINCPLHEKTRGLFNKDLISKMKKGSWLVNTARGAIVVKEDV AEAVKSGHLRGYGGDVWYPQPAPKDHPLRYVQGPWGGGNAMVPHMSGTSIDAQIRYAQ GTKAILESYFSGRHDYKNEDLIVRGGDYVTKAYGQRNKA AOR_1_88114 MEARVLFTFSPCLNPFRNDQKWHSKKSNLPSIASETKGNTEKPK SYILSMFPYPSGTLHMGHLRVYTISDVLARFYRMRGHEVLHPMGWDAFGLPAENAAIE RGIDPAEWTKDNIARMKEQLRSISTSFDWDRELATCAPEFYEHTQRIFLMLYKKGLAY QAEALVNYDPVDKTVLANEQVDANGFSWRSGAKVEKLNLKQWFFRITDFKEALLNDLD SLAGGWPERVLSMQRNWLGKSYGAKVKFPIAAEGIGCGSTEIDVFTTRPDTLYGVEYL ALSLNHPIVLAAAETDSKLRKFLDEAASLPPDSKTGYKLSTVTASHPLHIIDRESPHV ARRLPIFAAPYVLSDYGEGAVMGVPGHDSRDLAFFKENVQPESIPVVIEPHRASDAES GASAGHLPAGDMKAYTHEGFLNSRCWKYQGLHSREAGQQIINDLRAVGHGDTVEQWRL RDWLISRQRYWGTPIPIIHCGDCGPVPVPDDQLPVKLPKIEGDWLKGKRGNPLESSDE WVNTECPRCQGPAKRDTDTMDTFVDSSWYFLRFLDSANRRQPFSPSSARPVDVYIGGV EHAILHLLYARFIYKFLAKSGLFPEIAHVGDVSRPLEPFKTLLSQGMVHGKTYSEPST GRFLHPSEMDLSSPDKPVIKGTQITPNVSFEKMSKSKHNGVDPTACALKYGADATRAH VLFSAPVSEVLEWDETKIVGVERWFGRVWKLVQDAQQTLASSSYTFNPDELMLSNHAT ALPYSPQNLSGNDADAVLFTHRTILSVTSCIENNPYGLNTVISDLTKLTNSLTSSTPS SPQVLYLCISSLLRLLAPIAPALASESWETLNEPIIGRYPKNESIDNAYDVPPIFDCP WPTALLTSEQTDVLSARGGQTVAVQINGKLRFTVTVPRQLSPTTDESSEQDFIINRIL ETDEGRTWLREKNDWEKRRRVIVVKGGKLVNIVF AOR_1_1598114 MTLRPVLCRVTNRTPTARFLSATACRASSLRVPLDIPPPFPVTK SCPEPSCSCPTTPPMPYGLPIDYNQPLNGTMVAYAQQILICTGQRDWTSRIEDDGKRH TWGHLVRGLKRLLGRGGRYADPFNNILVSNSSFSPSAASTSTPSTASAFLFPSFKYFP SIPTEILDSTDAGTDLSTFVQAYLLPKKLSAMSESLPEVKKAELTRKPELECEFADVV DLDHSPVILICGHGGRDMRCGIMAPVLENEFRRVLGDKGFTLAGSGDHTIDSPGHAHV GLISHVGGHKYAGNVIVYIPPGMRKKSSSSPHSLAGKGIWYGRIEPRHVQGIVEETIL GGKVVADHFRGGIDRSGDILRL AOR_1_92114 MAPINILARLKTLYAKSYDEPVISAQTAAFFSICLTLVYVVPFY VSSTTRPSPTLSRDAPSVIRARIKAVTLSCVGSTLAVSWLIIAKGNVSLLEALRFLGW WPVGFAEVLRSLLLTAILFAGPLFERGIAEGEWRDWIKGNRIPETLRGWIGWRNYVAG PVTEEVMFRSAIIPLHILAKVSPGRIVFTAPLYFGIAHVHHFYEFRLTHPDTSVLAAL LRSVFQFGYTTIFGWYATFIYLRTGSLLAVILAHAFCNWCGLPRLWGRVEAGVPLGPP LVKGKNDTDRGPVYAYNQLGIGWTIAYYAILVGGATSFYYTLWPLTESSSALVTFTGP AOR_1_94114 MRSTGSSTTSSPGRRALHERTSSQTNEVSPPQSLRAVSDKHNGQ EDECDVYTATPYPTKPEHILLPRPGKGQEFIPDSRFHVEEMPNESSATLSTEISHILD SSLIEQSTGDPWDLSSTFDAANTPPQVWEDDPASSKSSLPESGPTEHREVEFKSDDVS YSDEEPNTLPGAAPTIKTVVSDTSSGQPPHPANAASSNSSPNVVPIGPSSSPNFVALD SSSLNFVPIGASSNPDSGSRSNSLSSLNSLGTVIRYIGAAPWTHGSSSEQSSSRSYSF RSNPPYQGPSARSNSTRARERSHSRSITSSSRSDPSSDIQAIVDSGVFLQYPTIHAPS SASSRVDVSHSADSLDNTQHETTADGASEHFKSHLSTVTSRWSAEYDSRSASPADRAE NTPEPSRPTAAHVRQRPTSSSVWLINSTDGDEYLDDVSSLPARPANPAVPSSHSSGSR QSSVRSTKRPGTSSSLAFNVLPTWAKMYYGQPVGSALSLVEGSRPSSARPTTPNSNPL HRLTTAATRPRTRTNETGRSIRWMSKPDPRDPRSHWVKGPEVAERPGHSRHQLRHSWS PHLYPDRRNVRPRGSAWGAPSMDSRREPFLGRRNIQVWSFCLGFVFPLAWLIAAFLPL PPKPEMILQEDSEPGREKTLQMRVLDLERKRYENARWWRNLNRWMIPLGLVIITIIIT LAVVGTKVGF AOR_1_96114 MLPYVDLLFEYPANLTGASVDELKLIASFLLSYPLAALLKRIPD AQPWKKNAFIIAVSLFYLVGLFDLWDGLRTLAYSAAGIYAIAYYIDGSLMPWIGFIFL MGHMSISHIYRQIIDDAHVTDITGAQMVLVMKLSSFCWNVHDGRLSQEQLSDPQKYAA IKDFPGILDYLGYVLFFPSLFAGPSFEYVDYRRWIDTTLFDVPPGTDPSKVPPTRKKR KIPRSGTPAAKKALAGLGWILAFLQLGSLYNQELVLDETFMQYSFVQRVWILHMLGFT ARLKYYGVWYLTEGACVLSGMGYNGFDPKSGKVFWNRLENVDPWSLETAQNSHGYLGS WNKNTNHWLRNYVYLRVTPKGKKPGFRASLATFVTSAFWHGFYPGYYLTFVLGSFIQT VAKNFRRHVRPFFLTPDGSRPTAYKKYYDIASYVVTQLTLSFAVMPFIFLSFGDSIKV WHSVYFYGIVGNIVSLAFFVSPARGLLLKKLKARNKPHVPRAVSSENIRQPTLGLPND AIQEFDDAVQEIRAEIESRQRRGSLAHMPIGDELKAAVEDKIGRGH AOR_1_98114 MDVVAAVSGYISKMVTAGDPSTSGSSTSAKMKILLLDSETVTIV STAITQSALLNHEVYLIDRLDNAAREKMRHLRCLCFVRPSASSIQLLIDELREPKYGE YYIYLSNIIRKSSLERLAEADSHEVVRAVQEHFADFIVINPDLCSLNLGFPQQRLWSH SPDLWNADALQRATEGVISILLALKKNPLIRYEKNSLLAKKLATEVRYQLTQEEQLFN FRKTDTPPILLVLDRRDDPITPLLTQWTYQAMVHELMGIHNGRVDLRDVPEIRPELRE IVLSQDQDPFFKKNMYQNFGDLGQNIKEYVEQYQVKTKNTMNIESIADMKRFVEDYPE FRKLSGNVSKHVTLVGELSRRVGEDDLLDVSELEQSLACNENHASDLKNLQRIIQLPS VAAENKIRLVALYAIRYEKQPNNALPILLDLLVTAGNVPSYKVNTIPKLLAYHHSLQA PPVAGGFSDLFESTSFFSGARDRFKGLKGVENVYTQHSPRLEATLQNLIKGRLKELQY PFLESGGHIRDKPQDIIIFMVGGATYEEAKMVAQVNASSPGVRVVLAGTSIHNSTSFL EEVDDAVSGWPEPAPSSAAGRLRREITR AOR_1_100114 MELHNSSPKPLNASSPSATGAREPKLHLPTTTDPPGPQNPPKPL VWLIFGATGHMGRSLVKTALSRDDLVAAVGRTFENSPEYMKKLEEEHDNCLGLLCDVR ARETVKRVIDRTIERFGRIDIIANCAGYGVIGACEDQDEYDIRDQFETNFMGTLNMIQ LSLPHFRERKSGRYLIFSSTSGALGVPGLGPYCASKYAVEGLMESMLYEVDNFNIKTT LVEPGHMRRDDIGDLVSDTAMLASQNENDLASPLPLYGHFFVKPPSEPYNTPTAPAAH AKRMLMWLGDKQPASAVKAAYLVWELGHCSYPPLRLILGTYAVESIRDRLKCIIEEIE DWKYLSFPHGDQHPSPAKDKMPTNARENEAGETAT AOR_1_102114 MGADPLSPIAPARLRALLLPVGKIKRSRFLSFTARLQAENVVRL GDISPDARPNRNMFSPLAFPTGMILYDLTFSVPPTSHLELFPFEIHREPLVVIAVADG VELNGGNEQKIEESTFKGKNEASPTPAGLDQLRQELELLRERNPKALVHQLLIFDYEE VGKLLNGPDDILWIPRPEASKATTMKTVLCDITSLLLSELDGFARTMQSIPSIESPKA FSWGPHRGPDIRPRPTDRLFHRMTMPAQLPSNPNGTPETPLSSSQGSPTPSDHETPTT FDEITRSIQLSSRSNSIGKPNSLPSSKEHSRDRMSVSSMSATDRTKNRIKGRAGVVIG TLFLQAGRWPDALKELVEAASNARACSDYVWHAKALESILLCLLMFAWAGMDFQIPPI CYPVADKSSKTSYNFESTSGQSTPGNRIISLQNLSNLLPDLSNNILNLYNRAANIVNA PLPQLIFSETVIRLGRLLVAARIRDGALDDIALKHIVMGDPLQPLHRPERPRGLVILR KSEIANFLLRALPLSPGSDLPATDAIPIMIGVVSVFDTLGLPRKKAFILRELLSILVP TLVQARKIGAAEVGIHPAAGLASLSDTAFDINALDVGPGNMEESMRSLLATIGEIYGV QPSSFYEWQKRCSANDNGAESFPEYDSVAAIVERSFRHAVLDGYGDLNLKIDVLKACI NSCEALPDFGGVLRFTVELLQTIKGDLMLAETLHTPPCLPQDEQVRLLNNIKRTVGAA NKLGASGLEAEYWDDFLVRGVQLLALPDFRSPVRRSKSELYVVTADREKSSKDPFLYN PFHKPSNKTAELLMVAGEHAAFQLTLQNPYEFEIEIEKLRLDCEGVPLDAVAEWIVLR PLSLQGITIFGLAHEEGVVNITGCFVKVRHCRERRFPIFKDLWRPEAERKFKRTGLAA KQPSMERPLSWSSTTSRDGKQLPKKGPDTSSCEIKVIGCQPSLVIESLSLSQSAFMVL EGEVGSFRITLRNTSSCPLDFILFTFQDSTTRQIQNALSNRDLLPVEVYELELKLSKP ALRWRREGKNPGDHAIPPGECATFTVDVTGKPGLQDSTVQIDYSCVGQSHGELPDVFY TRQLFVPLTVTVNASIEIARCEILPFSGDFAWWNCREADVEPEYTAKADTDGSACPLS SDLFSPVLSHLGRGTYGSDHCLLLLDLRNAWPSPLTVSLQANEQPVELSEQSIEEAEL VDSRYIVSGELQPGQTSRFVLVLPRVYLDNPHASIPVLNTGVKRQFVVSAHKLSFDAE AASREAFWYREELLKRVSGFWTESPAGRKGMIDLRNLRFSSRMVDAFRLEDVDITFAL SPPSSDIISPNGDSVVQIGRSKYKVQIDEMLSLNVTIRNRSSRPIHPILRLQPSLRHQ PNNIALDLSKRLAWTGMLQQVLPVIHSGESTTATVGVTILCRGEYEFGASVEELRFLR PSPGTESDTHPQAQAQASFHDDDGPIKDTFGVDVAKKRHIWHAKEACVMNAHG AOR_1_104114 MTQNIDFNALKARTMGSGNDEEAVTVDTRGLISKVLARYSGKWT VLREMIQNAADANATKVTIKFETLPSTVVPLPSHADNTTLIKHTISHHTLRRLLISNN GSPFSEKDWARLKRIADGNPDETKIGAFGVGFYSVFDDCEEPFVSSGKEAMAFYWKGN ALYTRRLQLNDAPNPETTFVLDYRNDTSPVPSLMELCQFLSSSLTFVSLESIELWLDD WNLLRLTKKAAPSIDLSIPRDIETKTSQGLMKIVNVTREVAQVDATWMRAVEWNPHAN LLREGLRDTAGSLRTFFSRLTGQGGSEKPVKSESNPNINDAEDMTKASTASVFLHINT ASIQCSISQSLSSELERATRKPPPKKSTLAVLTPSYDTTLASGTSGLQSDILSSILPS KAGRVFIGFPTHQTTGLNAHISAPSVIPTVERESIDLNTRYISKWNLEMLRAAGIVCR VAWSAEMASIKSKIQPTKSSKIRKDDIVGVLPEAIHTANQFVFRESTPSSVLGQTIED AFWTCNKNASIEVLSTCGIIQSHQARIAPKDLSFMDSIPVLPDDFVSNAKDFVRKLTD FGLVTDITVSDIQRELEASTLQSSQILEFLTWLSRRAVSGQLDSLSVQSLLNVAVAND EDSSGAVCRLLVFADMTSYLNPQRIPVELPLPSSVMPFKYTKSLGKQELESLGWTELQ MVPWIHWIVSNAGNRGVLSIEQDITQSSSFSAQVLPVISKQWDVLSQPSKQSVINLLQ PHTIMPTKLGMKRPVETYFSSVRLFDDLPVVRGLNSIKEKFLTALGVRKTVDLGVIFE RLLSTTESSNGGSVSQRKWSHVDLIRYLASVRDDIPASDIKRLKDTSICTAEATETTN DPTKDRRKRYKIYELFEPHDPLRALGLPVIEWPGKYQSNSNEGKFLSMLGLRSFPSPF ELIKIMAKAAAARDWTLHGKAMSYYIAEYHNNGYAAFNYEEITVPFLPIEGSDSLSIP SQCFTDEAATLFGFKILRHTLHPHAPKFGVKQHPALTDCLNYLLRQPPSTKRDARVTF KYLAGRVSELKPRDIDRVSSAQIVPVSVKDIPDPESSIRHVAPKLCYLGEGEDYRDIF DFVDFGQEANFFLMAVGSKREPSKIELAYMLVKEPARISSAFQSADKYLKLLRTLAEN ISVLKKDRGLLNEMRCSAFLLASRDISSLAQGNSGKRGLPGSEDDDDEQSIKEWTLTA AKDTVVVDDFQSFNLFKEHILAAPQEETLENFYLALGAVPLKTLVEERAHWGAVASDQ LPAAKLQKLIHERSRLFLHDQPAETIQHDVRWLEKNLRVQVVHSISLTRSLKGRRVSH TQKRSAIITRQSTSWVLWISPGKYDLYEISQALVHLILVRPKLHSTLTLEMLLKTDLL ELKARGYNVERILKQKAHEARMAEDRRQKQLEEERQRLQEREAAWAQERAQAQVQTQA QQAEDKRQHLMPGDFPDSPSPSSKDIGPSSQGEALEQSQDRRPRGLFANLTKRFGLDS GRPASNPLGGDSSQPSAESSNNTPPPPYSAEDPQKSRPEQPVTVSSPHKLQSELLSAI QACRPHGSSNVYSRPETNQVTESKSYCDEKPSHDLEFVATLPCGIHVLFVKTLADRSN FLAKNSAGMNLFASMLIDCASVFSLRADILSVFYDPGGKTIAFNRAGSIFCNYFYFQQ LHEKELLQKPAADRSEALIYWWVILCHELAHNLVGDHSSAHSFYSESFVAQYFPKMAT KLATVNPQTPAPNS AOR_1_106114 MAPLLGSWTASSAGGPSKAQLHTSTRKNILYVDAYDSFSYNVVA MLEEVLGVKVTVLMIDSEWPEGNKAEFLQYFEAVVLGPGPGDPNVPQDIGIMRDIWDL SDADLLPVFGICLGFQSLCLHHSIAIERLSYPLHGRVYRISTAEKDIFKDLQDVEVTL YHSLYAKLDDSLEAALAEYSGKQIKANADLDLLAWLPIEQDNVSERTIIPMAVRHREK PFWGVQFHPESCKSDRGVCSELLRKWWEMSLDYNKKHGRGGYGFLPEDITRGSSDMTS LPEIAFTMLNWCASTSRSSAFRSLPTSRLNAEFICERLNAPGSPTVLFQSNGRYSIIS VPGPTSWRLEYYAQAEALLVERLQGHCNGHTNSGIKKSLSVYDLWETLRYLMDMKKVE FGDDAVPFWGGFLGYFSYEMGLACLARPKTHSDDNHGYIPLQNAAATTDPADVSLLWT ERSVVVDNETGRITIQSTRNADDKSTGWLDETLQYLTELSRVDVLENENANSDAGYLD TILRQCVIRFPNEQTYKQQLEACKAELEAGESYELCLTCETSITLPSPSTDSERVAFP WKLYKRLREYNPAAFSAYARLGTVKVVSSSPECFLNWDRSFTLEMKPMKGTVRKSASM NMKKAKEILGSTKEMAENLMIADLIRHDLYGICGSGRVRVEKLLEVEDHGRVYQMITH VKGDVDPGRLGFAVRNLPHLHSSNMSAYGLTALQRCLPPGSMTGAPKERSCMHLSLIE ARKRGVYSGVMGFLDLGGGGSFSVLIRTAFSCSDDKDNEQTWRIGAGGAVTILSTADG EWNEMLTKLTTVCGVFAPSDLQGSGKV AOR_1_108114 MASAQDGSMEEILWRSPAHVQMMGGFLHSNNILFYFAESPFFDA TSNNASLAIQANYNETLRHFVETREAFEGRLKTMQGLEFVVAYDPLQAAAQTETSFAH EPSNIWVIRKQTRRKRAGLEDEVVVLSTYFVVGDCIYMAPSVASVVGNRLLSAVTSLT SLLKTASSLPSFTPSHGHTYLPPAPKPTDSSQPGAQSQQSKENTPMPDADSTKALLVG PQTANAGAILQDTRTFAESFSLLARYGEEFMDENPLVGEPGSFILSKSGDTDRGAASK QPSNVNRPGSIPGKVGTPQVKVDTPGKTPEKGATPSASDDSKIRKKKAKIGN AOR_1_110114 MASVQQDKPAVVCVFCGSVSGNNSVHLETARALAHEFHRNNIQL VYGGGTAGLMGELARTLVSLSGPQAVHGIIPRALVKVEPGYDNAQEERNPSTVVSGKE AERVVKEPMGKIGTLKESEYGYTTIVPDMHTRKRMMAEKVREGGPGSGFVALAGGFGT IEEVMEMTTWNQLGIHKLGMVLLNANGYWDGVLAWVKNSVQEGFVSPENGEILVEAKD VREVWPKLVGYKISNGRMQLNWGEE AOR_1_112114 MFRNNYDNDAVTFSPQGRIFQVEYAQEAVKQGSVVVGLVNKTHA VLVGLKRNAEELSSYQKKIIEIDSHMGIAIAGLASDARVLSNYMKQQSLGSRMTYGRP IPVDRIVTQIADRAQTNTQHYGKRPYGVGLLVAGVDEAGPHLFEFQPSGMIHEMLACA IGARSQMARTYLERNLDKITNSTRDELITHGLRALKETLSQDKELTVDNTSVGVVGLA GEEGKGKIESFKLYEGQQLVPLLEAVESGETKEEETMEVDS AOR_1_114114 MTAGLKTIIALSFVLAIGFLLVILSSALWHNFLPLIVVATYVVA PLPNWICSRCANPDDFMDSSGNAAADFGRFLTGFLVLMGIALPAVLAHSGAIQVPAMI MSILGGLLIYGTIISFSMFFKEQEEF AOR_1_116114 MNIWSGTIVLEGQSQLRGLQLIDRSSNWHSDIARDSTLSLSCFV NPASIPLYARVGPNLELHTNDAETSQWLKTRLLGGAWLEEVDIDKLHTVQCPVGLLVS VNSTIRTKTGSTTTDIIVYGILSNATSFKRPPTPPVSSSASSDETALRAIKQELRIYA APISSSLITRTHALPTPQNTSELLGHETLAEFLPDIRSPSPKRKRVATLFESVTQHHK RVRQKGGEGVSQLMAHAQFQPSHQSQTLRIKRESEEPSLPLLDRIASQRSRSLSVGAN LHPIKPSEARTEHPRPASNRGHPRELIKRNTPNPFIESSLRKEREPSPALPSSDGRVE LSSAPKDAEDVILENKNTISRTILTCMRLYGFNRSTTRSGSSSKNPNNHDTLSSHAEE KGPRIAAAAAPLATPTLSTDEDDFKAMYHATYRASTFALRKYLKETPVSQDNSKYLPP LLEKGKAMTYIDEFLRLFCEEN AOR_1_118114 MDVSNNRLFRFSKPEWLNNNSVRNAGVYTSGALFSLGFFFLVDA AAFSHSSRNGSNVHVKFVDWIPGICSALGMLVINSIEKSRLHADSWSYSGSGVAWKAR FVLFLGFALLAGGLAGSVTVMVLKYLIKQYPLQTLYFGIANVVANGLVMLSTIVLWIS QNIEDDYTYNLAL AOR_1_120114 MDANMNNLLKWSIQNSTTQQSDAPNASNNTADSSARGLTPEMLS ALFGGPSDADLMKAAMEALHSDEVDLENKLIAFDNFEQLIESIDNANNLEPLGLWTPL VELLQHEEAEMRRMAAWCIGTAVQNNEKAQDKLVVFNAVPKLVTMSTTDSNPATRKKA VFALSSAVRNYQPAMDELVKHLPEGYSQGEKVDAGDMDAVDAIMDRLRAHPVPSSA AOR_1_122114 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAISRVDMAGRDLTDYLMKILAERGYTFSTTAEREIVRDIKEKLCY VALDFEQEIQTASQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTFNSIMKCDVDVRKDLYGNIVMSGGTTMYPGISDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF AOR_1_124114 MSQEQLRVLIVGNGGREHALAWKLSQSPRVEIVYVAPGNGGTGS GATSKITNANVKGNNYPGLVAFAQKNGVNLVVPGPEAPLVDGIQGYFQAVGIRCFGPS KAAARMEGSKAFSKDFMKRHNIPTAAYENFREYEPARQYIDSISHNVVIKADGLAGGK GVVIPQTKEEAHQALREMMLDRQFGDAGNEVVIEEYLEGDELSVLTFSDGYTIRSLPP AQDHKRIFDGDQGPNTGGMGCYAPTPISSKEVLEEIDRTIVQPSVDGMRRDGFPFVGI LFTGLMMTKNGPKVLEYNVRGGDPETQTLLPLLSDDTDLAEIMVACTEHWLDGVSINV KPDFSTTVIAVAGGYPGSYAKGKAITLDPVPEDTLIFHAGTTLVGNELQTFGGRVIAS TATASSLEEAVRKSYSGISTIHFEDMFYRKDIAHRAFRQRDAVASQKESLTYASAGVS IDAGNDLVNQIKSSVAQTKRPGTDAVIGGFGGLFSLAAANSAYHPHSPTLIGAIDGVG TKLKIAHTVGVHDTVGIDLVAMNVNDLVVQGAEPLFFLDCYSCGKLDVNTASAFVTGV ANGCVQAGCALIGGETAEMPGLFIDDTYDAVGAAVGAINTTGDNARTILPETSAMQDG DVLLALASSGPHSNGYSLVRKIVERSGLSYNDPAPFSMPFSDEPLSLGRALLTPTRIY VKPLLKALSIPSSNKTTGHFSSAIKGLAHITGGGLVDNVPRMLPSTLTAHINVSTWQL PPVFAWLKKNGNVTATEMARALNCGVGMIIAVEKEAAAAVKDLLQKEGETVYEVGELK AKKEGEEGCILQGLETWDA AOR_1_1600114 MLRASLRSVSDPLREHVCISCLAQRLGGRTRLRLYSNTPYRANS GIEDASARVISESLSALEKSPPPQKDQKSRSPGEHSKHKKRLNSLKSTSSARDDEAAT TKSDDDTTSPAEDGEPSKDQSVHTAGKGSKKATGKARKMSAMKTRRALAKEKQKTKAS KDHSEQKEQLAAATQEDPTSRIKSSLQSIVSHLDSTKATSVREQESPKNLSFVMSSIY AKESGKVESKDLQLNPLDVETAPVPRLSFGLERVLFNPGVYHLRDPRSRVYNFDPYLG QIMPVTEFDFDALKDYITSSKDHTLRDIAVKEKKKYIGSSSSMTSVLSHFHYLLSAWR GVDIRTLSQGFPDKLRSFTRLLRAPSAMFLRYQDGVYAIDADKEFESANILMNLGKSM EKLLTLPKDDFERYRRSSENKISAEEEQAIPESYHYSTLGDFLMRSQLDAYDPRLPGT GMFDLKTRAVVSIRMDARNFERGLGYEIQNRYGAFESYEREFYDMIRAAFLKYSLQVR IGRMDGIFVAFHNIERIFGFQYVSLPEMDQTLHGQYDTALGDTEFRLSLALWNKILDK VTAKFPERSIRLHFETRDAQTPFMYIFAEPVTDDEIHSIQTRNQAEIDAYQRRVLNLA PSEEEDVPSTDGKEELQPSSSSDKQERSVDEETRSSEVGPDDYEAEYDSSAPLDEAFI SEVENEVAEKAPNNGRELLAMTLVTKNFVNGRIVDRPNDLKTYDEWNVEYELSELKGE KAHALYAACQKRREKALTGRGEDEQDVANNVYIRRLREISKKGRDYRRNENRLDKANG IVVLDDSA AOR_1_1602114 MADSSLGKKERRKSLSVFSPSTTSSLSGLGTHDRSPSDDAQVVK KKRRNSGFFGGRNPSPPGPSHGLWRPGTADTNAAAYTAAMPNGAFEASRPRRKSMQKK RASVFGSLRSFHSFEDDDRSLGRSKGSPSMDDDEASDSRQGIGSVILHHGEIQTTGGM WRKKSQYLVLTDTHLVRLKSQSKAADMFPSIPPSFARSGPSNRQSITSISSLGDPQLS TTSDSAAGIPLNSIVAVYMLDDGKPSSTVEVAYLDERAHKAAFIQMQTPDLQELNLWM VGIRSAAELIRQGNPLPFDQRSIEYVVRMLEYERDYDPETFRIFRVIQMASSKSPART SSEDLTKLSPTGCYLALGSHKLHLIPLHKASNRGSAVSLSDLDNTTTFGLMNLTCLSM EWGDDSLHLTFRIPLCKPFSVFLASVHSLEVAFWIRQQTEFLRPLWVRQPYEFIVPRD LGNESNFPPVDLNEDYGCFDRTLVAYSASYDVDTSNIRYTIDVQCDDAPCFKLLPPAS PSRRKYTALELVAVLRALRYNESFRSISFSGVSLDALQGLRDYHGLDKDMLLSRAGAP VHITGQESLSVLSQEIRALTLKSNWLRRLDFSYTLSRTPKSDNESHDPGCGIPEAIFP ICRRELTSVDWVVLNGIKLGDSDLDYLVDAASQGASQMRALEVGNCGLSVHDLDLLLS TTVAQAHTLEAINISGVQGRLSPDILQQYLGYFKRVKKLDLSRISRTSGPDPLITAET LINWQLEELSLSRTKVNRETVDAIATYLASDRSRGLRVLRLDQCGLTGQDVAILLHSS VVPDCARDLHLHVNENRLDLGCSFLFDALAKSKSPPHLSMRMIDFKKEEQFQDLVEAL RKNRSLKYLDISKASLPYDAGPETCRALQLMFEENETLEDLDISGESAHLDVARFGIG LNLALTGLKKNKSLKVLRIEHQKLGLQGASTLASVLEENDCLREVYCENNDINLQSFT VLVNGLQRNKSLLSLSYMDRDRIQSLDKVRREIESVKRDMGVAQGSTTSTIRRSLNAA KHATVGHKSSKHLHAPGHLRAGVSMPNNLAAAEASPFLYHDIEVVLQSLNRKWDAEVS RLRRYLFRNFNVANGLEVETAALDGDDAASDGRPNTAASLATMLDNLKLDVTVSEKEV QPRPQTNTQPSPKASAPSEASHLDIFRSLDTETNIQIEPKVRPQTAPFSFEYAPQILP SDYASSSGPSSWLAVPVPSMPSVLNKSSSVRSARSSSTVSTSAGTAASARSSYGTTSS TLRGFLKGGASKERRRAEKMKPAAVCVSRDRPPTLKWSPPKLDLGDFR AOR_1_130114 MPPPSTHRKDVEPPEEITSEAVRGFLTGAFRFGSVSILAHMIMI LPHPFKFSPSATPPAPSQPQAQSSPRPGPSLLSKDYLRSRLFYRPLEGFSEWLSPASR IYRGLTPQYKVFLQIAAMTLGGCIWAERRVNDYIDLIRKTKRAERLEAQRAARYAE AOR_1_126114 MSLPQRTASLTRITNETKIQISLSLDGGVLPPYEPTDHFPAPED PKEAEAATHGIVPPKDAHHATQFTATQQITVSTGIGFLDHMLHALAKHSGMSLAIRAK GDLYIDDHHTTEDTFLALGAAFTKALGARQSIARFGRGDAPLDEALSWAVIDISSRPW AVINLGFRREKIGDLSTEMITHGLQSFAQEAGVTLHVCCTYGDNDHHRAESAFKALAV AIRTACTRRVAGEVGAGDIVSTKGVL AOR_1_128114 MKPVFFTNTLYLLLPVLASAAPAPIIGRDIHSRGTSITAQQIIA IAPSSAQSCTNRADKNAPTECADAEKVATNIAKSFDKYQVTSPAEQAAVISLMALESV EFLYNRNKSPGVPGQGTRNMQSPAFNSKYAQSLNVAVSSDPAQTLDKLVDNPEWDFGS GAWFLTTQCTADVRSALQAGSETGWERYITQCVQTQVTDKRKEYWQKAVQALGVQSS AOR_1_132114 MRRGVLIFLVVNLLILSFLVRSVFTLLSLLVEDASADAIHRAEL PSPNSSLIEQRPQVIPKIIHQTYKNETIPEVWREAQQSCIDLHPDYEYILWTNEKARE FIAHEYPWFLATFDGYKYPIQRADSIRYFVLAHYGGTYIDLDDGCNRRLDPLLSYPAW VRRTAPTGISNDAMGSVPQHPFFLRVIELLQSYDRSWLLPYITVMYSTGPLFLSVIWK EYMQEAMGESSRVRILMQDEYNRYSWSFFTHHVGNSWHGKDARLIFWMGQHWMFLTVC GFILVGSVGFCLWWVYGRLILLGSKYRYRYTKVPTFISVSGLSPTRRPRRPTPTLLRR VSFKEDEETGPITETSYELYSRRD AOR_1_134114 MAAIWGNGGQAGQFPLEQWFYEMPPVTRWWTVATVATSVLVQCH ILTPFQLFYSFRAVYVKSQYWRLLTTFLYFGPLSLDLLFHVFFLQRYSRLLEESSGRS PARFSWLLFYAMASLLLLSPFLSLPFLGTALSSSLVYIWGRRNPDTRLSFLGILVFTA PYLPWVLMAFSLVVHGIVPKDEICGVVVGHIWYFFNDVYPSLHGGHRPLDPPGWWIRL FESRASAGTDTANLNRDFAAAAAPEVR AOR_1_136114 MPPKTGGGRKVIAASRAKADGAGKESAAAKGSPSTRGRKSAGGA RAGKRPAGASRKSDVQPGDPTPQGRHRRYRPGTVALKEIRKYQRSYDLLIRKLPFARL VREVALDLLPADVGSELRWQSQAIMALQEAAEAFLVHLFEDTNLCALHAKRVTIMQKD IQLARRIRGAWGGLG AOR_1_138114 MGDISSESKIVIAVDFGTTYSGVAWASASNPERHHVINQWPQSP YTSLGGMTSEKVPTEVAYEYGESGPTCLWGFQIYDSMPRTQWIKLGFAADQKLGLASR LSFNYHDCRRIPEPYHSSCESVATDYLRCLYKHIIESLKSKIGSSFDSMSLEFILTVP AMWPDKAKMTTLHCAEKAGFGGNGTIRLISEPEAAAMHALNVSNPHGLEVGDTVVLCD AGGGTVDLITFSIVEREPNLRLKEEASGDGSLCGSTFLNRLFERFLESRLSSVPGWGR DTLDEAMQRFEMVIKRTFCGDVTQDSMIPVPGIADDSAAHIHRGRLRVSGQEMADLFK PILEEIHHFVDNQVKTSKKRVKALFLVGGFGQSPYLRRYLRDALPQDMEVLAPVDGWT AVVRGALMKSLGEISPLATKALVESRVARKHYGMIYQTKYEKDIHDRKKRYWSDFHRH YRIQVMEWFIQKGDEIKEAEPIKTTWHQHRLLSDGNFDSIHVTLYELDTPVEKKPPLY FDRRIKKHAVLNPNLDAIEKARIPVRLGQDDEEHYEVHFQIHATYFSAHCEYALWYEG KDHGSVRVDYA AOR_1_140114 MDENSISTTKGFDGQNHSSADNVPATTSSRDSNQNKCPAQSALK RLERHAAGIYEEVDSVSRSISQQAYRIEQMEQQLENAHQRIQKLEVELQTRIFRSMPD YQVSDASISEDFLVIRDSLCEWMEGFPDIRSFTETLDNAIHRRGIDKNMFTFPRELQL EFDHAQTEILTMISFGIIREHVLESLVFAAPPADQELLERLYNMMSMLEPKKDIENIN LWRSDTVRAYATTQAYKDKIVQHCSGLAGYLRGFFGCFSFEEPFDWDQKLRRLEKQIL PQIAALALKLSCSPERYYWEWYPDLDWTQPIVFHKRNLSNFITMDAHTHHTLNPQTAR FANMQDNAPIGVVLLILYPALFRLVPGSQNDILIQKAVVVIQGYESLPSNLKAKVDSL TTKE AOR_1_142114 MSLCRSLDTYPHTKTSKIREQLKKALVLSTAYGPDSRFPIDMAY GLRRAGKRLKTITKMHLWSRSPERSNPDPTKRCYLLELPTELLLEIVSHLPVLAEASL ALTCKRLFAISGAILDSKSLHFNRDFAPLFHHYRNGHNFVTTRWQFVKVLENNTWRAC SKCLKLHPRGLFSSRELKRKPEERACELGNLAGIVDLCPCKKLTFRDKMDLVELLLMR QKSITALTAQFGTGVEERFCWHTCTENYGSTQLKIGLFPELDENGQLKIKTEYQLSTG SGQLGKEEFITPRFGCAHRSVDLWLSSQTIQPQQLLYNNAHPNVSPYQYGKPVVYPQV MIPAYPAYAHTYNQQPQPQPQPQPQPQSQPQPPPPQPQPPPQQQPPQPQYVNPSDLFN TPPLPSISPPQFSQRSSQYGGQPAVSTAAASNLSPAITTSAATQPTYYTAAGPNQGNQ VYGKLPQATPSATPSTTPKPTPKPTPKSTPKVTPKTMPKTTAAIAPNPPTVRPLPVTA TPPALSPRPVPQVLIPAPPPEIQQKPERPPSKKQAQRQASQMTPQKLAKPPIDYQVLL LAMADEYLNAAHSNGTMVALLKQELEVEEYYKLVATGLGCLEAVLKNWRLQPRVEALV RLRYARILFEETDNDLEAETALSKGIDLCERNRMLDLKYSMQHLLARMLHKTNPKASM KAVDGMIQDVEAYRHSAWEFAFRFLRVSLSLSSSAHQDSVAALQHLHKIANMANRNGD KAVSAMSAVIEALAHLQQGSGFDSIEQAQRALAVARSHQLNDELRHIPQLTTLVQMVD ICCSLLEYDINQSSQKLKNLQDLMDERLNDPNWRADGSFSIPLSGKSAGPSSIDTGDI LQVQNGTLLLSFNWLPQHDLYALCYFLSSITLSCKNSYDGRKAEKFLQEGIRMIQGSF KSPQDITESMVNANRRVEWRRTLYCNLLLQQVFLACGRTDWDLASKTLKDLRQEAQEL GECLPDTVQCLMEYAEGTIAQATGDLKAALDAFQSPLLSLSPSTSKTARNDPQRDIAL LAALNTVLILRDPTHASHFQLPNILATVESFCKGSPNKYIQAAYYLVCATVQTESTIQ TKQFLQQALQSATAISNSQITCMTLTFMSWKYFRGVVGEQAEKSARAGRAMAKKANDR LWVSVTDEMLAETLERQGKNDEAKGVREEGHRVMMGLPSALKRPA AOR_1_144114 MASAETSATTLLTSFPVPILLSGLLVMGMLSWLRPSGRVSFFHS KDNNLILKKVGKGTKQQITLTDLCRTATPKTCTLNPFLFNGHLQTAWTTVKFDDVPVY YKRWMFEADNPMFSGHFAVDFVVDPFEAPKDSQLTDQERKYTQPSGLPERTAFFAADE FDALPSDDTKPMLVVLHGLSGGSHEIYLRHVLAPLIADGAWEACVINSRGCAQTKITS GVLYNARATWDVRQAIKWLRKTFPNRPLFGIGFSLGANILTNYLGEEGEACQLKAAVL CASPWNLEVSSVSLQSSWMGLEVYSKVMGSNMKRLFEHHVDEVSKNPRVDIDAVRSTK YLHEFDRALQCASWGYPTEGAYYRDASSVDSLLAIKIPFFAVQAEDDPIATVKALPFQ EIGQTPYGVMMTTSWGGHLGWFELGGSRWFVKPVTNFLNLMAKDVDLEAPFLVENPDK APGHVANNTSNLDVTPKPDFNPMRRKLDFQSALLN AOR_1_146114 MAAKPGSTKPSGKDSNKSKPLSSASKVSKKAAKRPPPKEVKSKA RTEASQLKKKKKREYTEEELDLPKLNAITPVGVVKPKGKKKGKVFVDDQEGMATILAM VNAEKEGQIESKLQKARQLEEIREAKRKEAEARQAQKKNKLEETKAAIRQKRKRKGDS NEDTKTDTTATQPNGSSSKSKGKRKSVSFA AOR_1_148114 MTINPTYLAQRTRSSVNWTDARARVLKSYREWLRASPEIQTMYS LNMPVSAIRTKVRQEFEKHRYVKQLGAVDVLLFQSHAEFQETLNYWKQLSHVMKYFRP EEDPGARLPPNFISGFLEGRN AOR_1_150114 MPASVHSQDQDQSMMDATAAAPQEQEQQVEQEDVLEEKRIIVLP GATETAASFQFEGEGHTLGNALRYAIMKNPQVEFCGYTIPHPSETKMNLRIQTYDTTN AVEALEKGLDSLMDLCDVVTDKFTASRDAFNASEADKMNS AOR_1_1604114 MPDSYSDIEIRIVQACTIAQGQKKPNISALARQFNVPYQRLRAR IHGRANLSSRPISTKTLDDSQEKALIRWIRQLDNLYSPPTAGMIEQSANQILQRNITD GQSRTVDKNWVYRFIKRLPEEFKLIQQKPKDKKRLDAEDIGVLQHWYDCLEAFIKNIP PKNIYNFDETGFQLGQGKTQKVVTTMPLRAARGNPSKEVGELISAIECIAADGFTLPP YFIFKGTYHLERWYDADIPEEYRISLSPKGYTTDKISFDWIQHFHRHTKHRISTKKEV RLLFFDGHESHLTYEFLQFCGLHYIIPYCFPPHTTHLVQPLDGQPFQVYKHFYRKRNN ELAQRGAEMDDKSDFLKEIHSIRTMTFKQRTIRDAFEKRGLYPLDSEKVMKSLREALE TAPELEIITTPSPPPSSSSPPSTIRGLRRSISKAQSFINNSPELDQSFVRRLDRVFQS SLETTELAAQLKDDLQQHLRYRKPQDRRKSQKRVKYHGPLTVYDAKRRIADRTEVERL QGLRQIRKTGALEYDKPPQPTNTGDLPSTEAGQVDREGPRLPYWIDTQGDVV AOR_1_154114 MSTAVAPATAAPLTSSHMNRDPSPKNCPTLAPTAGSQSTSTPPR PSGPSRDGSSSKSSPAGRKQTSPASQNGSAPPKVIVKKEPPSSPAMQSHSRPRPRKLD LSTSLPTSGGLSARPPGGPMTAREGVNMHQVGIACLSPGFQTHDPIMREQLQRSLSVR DQQRSIIESRLQRSAKDDGPDGIKPSESSFGLPKASASKRRPPPGLSIVPPSAAQFAN ERVIQSAPLNQTFTSRHQPQPLTRQVANQSPTLGSTSHLHHIPVTQTNNRLPPLSDVF GSDALGSREQNANRAPFYQNASNSNSSQSNNLPPLPSPRIPGTATQVPKRPREYRSAE EAVQELSGGREDLLPRIVHYGGHQPPTPPSPRAVNGQPKSTAVHPEAAPVPNTQVPPS QPMYPSERTARRRTRSEYEQDNGSPPLGHGPEPHYRQNPALAPGAGASYGPFGAGRDS PETQRRKKEEFLALCARAWDLFHS AOR_1_156114 MAISDLLNRRVRALPEEDEEIYSEESAFEEKSDDRRSGESDSDS DDLDDEALEETDDNSEHDGPVGLEDDEDSEDGEDNDNGEDDVQASLSSISFGALAKAQ ASLGPKGKRNAKTAKPTEESPQTTSPLDDIRARIREAREQKRQESGKSKDSAKPARTS KHAPMVQSSKRAVSRKRTVVEPPSVPKSRDPRFDPTVLSHGGRHNAESARKAYSFLDD YRSSELKELKAKFAKTKNAEEKEALKREIRSTSDRLRAMENRRREEEVLAEHKKREKQ LIREGKKSNPYFLKKSDLKKQVMLKKYENMNSKERTKALERRRKKIASKERKEMPMER RLGSEGNDDGGRKRRRMA AOR_1_158114 MGYRSCTATFPHIFTPALSPPLITVHDRMFQSGASHKIIPKAVA APFSTSALLSRLCPRKKQPPALVNIERPPDLGMEQRTAGDNWSTVAENGSGYGDTQGD ATNNNLRASWRPYRGRWNAKTVTRNDPGRAAPQAPERVTPGNPRRSRRLRNQVSADRS MNADTQAPQSRPEGPFPVRPAPSTSQSYHSQSNSHTGASHDGFSSHPNFNMPIFPGGP SGMAAFNEQTLQFPFFSPNTPNQQSQSQPSDQPAFAPFNTALPNDISNPLNYFSLMPP PPFMMNFGLQNPAMAGPLLSGFPPLPFDPMAFAAAGSAGGNISDVLGQLPVGASATKD VASPPPKPPSPVKSYIEQSSLPPKLVTPPQPLLVILDLNGTLIYRKHRRFPPVFARRA GLDEFLDNLVRKYKVMIWSSSQPNTVKAVCDRLFPGNKRKALVAEWGRDKFGLTSSQY RAKIQVYKTLETVWSNKAVQASYPSPSQNKRRKATQTGTQLKTRWDQSNTILIDDSKL KALSEPYNILEIPEFTNQHGLDESAIFPKVMQLLDELAKHDDVSKVLYRWNFELPENH GILELDLGVNTKEVDQDHNNSTTGDAHSPPSQQDTPTEPTAVAQARKERRKRRKEQRK ARKQEQKLETKTETKTRKIPQKVSSTGQADKATATPTIPAATTTGISAGSTKAMVQPI LERSPSPATSSAESENFLLDRLEDSLNVRTD AOR_1_160114 MAFGKIYGQPNNGRTIAALVAAKANDVELELVQTEANANAEFNK SAEYTRISPLGKVPAFEGANGYTLSEAIAIAVYVTSQNEKTTLLGKTKQDYASILRWL SFVNAEVLPHFGAWYRPLLGLDGYNKKNVEEASKVALKNISVLEKHLTANTFLVGERI TLADIFAASLLTRAFATVLDKKFRSENPAVSRWFQTIVNQPYFKAVVENPVLVDEIIK YTPPKKEEKPKKEAAPAAAAEPKPEGEQKKPKHPLEALGKPDFILDDLKRTYSNEDTR PVALPWFWQNYKAEEYSLWKVDYKYNDELKLTFMANNLIGGFHARLEASRKYLFGAQS VYGTNYNCVIRGVFLVRGQEFKPAFDVAPDWESYDFVKLDPSKEEDRKYVDDMWAWDV PVTVDGKEYPWADGHVFK AOR_1_162114 MALQSPNCIVHAMQSVRRTVQLRSFLHTPKISLRSNVPPILSQQ RKNARGYATEVQAAPEIEFEKFYTQPARIVPASPAYFSGSPKFIDHLLNLETILAKWA SLPTVAPSEAPRMAWFKLAQFRDFVGEPVPTKKYKNLIKILQRLNRIDPKIMPIEVRD TLKTFLRPGNPYGNKPAPATVDEMGRARGRGKRKTSSAVVHLVEGEGDVMVNGKTLVE AFPRLHDRESATWPLRCTSRLDKYNVWATARGGGVTGQAEAITLALARALLVHEPGLK PVLRKAGVITVDARRVERKKPGHVKARKMPTWVKR AOR_1_164114 MSRRAVAGTDRYRQAGLQSTRGDALSQAAGRPRMPTYLDYGYTD PTFHSGSLQGDELQPYPSLRDQQRQPQPQQQQLQQSFAPYEPEMVYSISPQGPAQAPY EVVTPYSARPSAAIDDLSGQFPVPQYFPPNEPTGAGVPAVGVPYLTSIPTYNQPGPIG RPTGSQPFPTTMTDMPPGGTAGRFDSSSSQQQPQQASSQVISDPTTLTDAYGQFQRAL RGTLDNARTGRLEEASRSLLEISEWLVTNARELGKELIQLCDRVEQFGLVDYQMGIWE EEILGVLGQCLDLYESLPEVLRAHMSTAIAGARS AOR_1_166114 MEHSSAPNNAALYDARRRRGSVGTSQLLDNIVSASNFDRDEVER LRKRFMKLDKDSSGTIDRDEFLSLPQVSSNPLATRMIAIFDEDGGGDVDFQEFVSGLS AFSSKGNKEEKLRFAFKVYDIDRDGYISNGELFIVLKMMVGNNLKDVQLQQIVDKTIM EADKDQDGKISFEEFTDMVENTDVSLSMTLSQI AOR_1_168114 MTRYGGMGRTRPKKLTSKASIPVVREHDIDAIEEEVQNALQQIE TGVEKAEESEFHLQAAINASAQGKVNEAHIPTPETVLSSLRYDELYPPIFSQPATYIR FSSTVEDCCGCPYNMTEEDDVFLKIMNEKRDAADRCTEDQFEEVMHFFEETAQTKQPF AAVDNPPVLSFTEIQDAMDAAVEESVKRFAKDVYEHWKSRRTETGNRSLMPSLKFETG QETDDTDPYVCFRRREVRQIRKTRGRDAQSADKLRRLRKELEDARQLVALVRQRELAR KEMLAIERQVFLQRSEVKEMKRKLNLKDDDEDLINQKPKKKPTEAPAVQRPVAPQLRM PPKAGTQAAEDLQLLEDVQAEKENEILRDINQNIAKHIKWNEGYVDFTRAPLSPSPER TFQAAFRPAITAQLPTPPSSDSSENMMDTTLDNPSTLSLRDKLAPQTMVMSDDTSRMP SFRRRIGRGGRLFIDRRNLVSRCRVELDPWKADRFKYDQEDSDEELDFERDQFDIHIM QHRAIMMAKARDQAAAAAQAQAQAQRRLQADQTANNPGQTMGSNPGPGAIAPTPET AOR_1_170114 MSSTIHFHDPANFQSQSDEFISWLSGKPGVKVNPKIRLADLRSR AAGRGVVAQSDIAEGEELFTIPREHVLSTQNSKLKDLLSQDVEELGPWLSLMLVMIYE YLLGDQSAWASYFKILPRKFDTLMFWSPSELQELQGSAIVDRIGKEGAEESILEMIAP IVRANPSLFPPVDGLASYDGDAGTQALLNLAHVMGSLIMAYAFDIEKPEDEDDEGDDE SGYVTDDEEQLSKGMVPLADLLNADADQNNARLFQEETGLVMKAIKPISAGAEIFNDY GEIPRADLLRRYGYVTDNYSPYDVVELSLELICQAAGLENADTENQPVLQFLEDLEVL DDGYDIPRPLDDDLAGVLPDELVLLAKTLCMSSDELKQQVSKNKPPKPSLGHKEVTLL SKAIQSKQAQYTTTLAQDKELLAQLSQLEATTPLEDSARRQKMAIQVRIGEKEILQTL SDMLRSTTSKRAANGDNDASRRTKAQRT AOR_1_172114 MIAIGLEGSANKLGVGIMLHPDNGNPPQVLANIRHTYVSPPGEG FLPKDTARHHRAWVVKLVKKALKEAHVSVQDVDCICFTKGPGMGAPLQSVAVAARMLS LLWGKELVGVNHCVGHIEMGRLITGSTNPVVLYVSGGNTQVIAYSSQRYRIFGETLDI AVGNCLDRFARTLHISNDPAPGYNIEQLAKKGKQLVDLPYTVKGMDCSFSGILAAVDG LATTYGLGGEGKDDETDTPIPDADGNGKPTRADLCFSLQETIFSMLVETTERAMAHVG SKEVLIVGGVGCNERLQEMMGIMARDRGGSVHATDERFCIDNGIMIAQAGLLAYSTGF RTPLKDSTCTQRFRTDDVFVKWRD AOR_1_174114 MGNNPSKGPAGDVPSTSGHSTHAGSAGDRKVTRRPSLNAPSGTA KATAADPSASKETATGHPVSQNQASVQQRLQSRNAPDSATRHAPDTKKADPHYKEIPS PDPSNPVQVPTSRTSARHDHYTSVAPSGPPHNAYYSASAHLQRPPRLPLPIGDATATP GSPYMGSPPSERLLDEQAGQGDPKLGDAAVEDEEVLEELEPYTSSGVGRPVPTIIEWT APGDKVYVTGTFVNWEKKFRLHRSESNPGVMSTRLNLRPGTHHLKFIVDGEMRAADSL PTAVDFTNHLVNYIEISADDTNRSRSGSDKTSQSNVPPGVHPPQVLPTRVGSEQVGSG SAVEDQPDEWEEIPQGDFRRIIPQFLVDLDREDETQESPAYQQAVNVIGDAPTPPSLP LFLGKSILNGTTPMKDDSSVLNYPNHTVLNHLATSSIKNGVLATSATTRYKRKYVTTI LYKPTGDIAG AOR_1_176114 MSWISAITTVSQWILLYPVTFLIYYGYRLLRLLATPLVKLGQFT FHCTLLPFNLVLKFEAFLTFVLAAILTGASLGLLLYYTSSFVVEILNQSLGLTYPLPR HTQAHTIKEPKSNALERGYSPLNEYLAGSGRQIRKSGLLSSTILEEEESNQDSNGDDI YD AOR_1_178114 MVLPRPFSRVRTLLLAAALAAFLTYSFLRWQRISYAEQAQSAAK EAASSNAQAVVLTRPEGHIGFWRQFQPLLATYQPKCEPPLRLDNAPSIRFEQASPDFR PEVLDMLDDHVDAMKQAHTGFIEDIKAKPPMLHYVPNTRGLVSTAGGEYLPVLVISLR MLRRTGSELPLEVFLANEDEYERYICDVVLPSLNARCVVLAHILDAVPKVMDIQKYQF KLFAMMFSSFEEILFLDADAFPLHQPEILFMNEPFKSKKMVTWPDFWATTISSYYYEI SSQPMPSNTIRQSSESGEVLLSKKTHMQTLLLSVYYNFWGPDYYYPLLSQGASGEGDK ETFVAAARTLGESYYQVSEPICAIGHGTEGGFAGSAMVQFDPVEDYALTQKGEWRVHG SKAPAPRAFFIHANFPKFNPATVFDKQAVNPAFADDGSYTRAWTIPQEVIGKFSTDVE KYFWKEILWTGCELESKFSTWKGRKGICSEVKKYWNAIYVDKKTSKV AOR_1_180114 MSGRRLLDAIQVLNVAKSVATKHLAVRQRQLDLFTRTSSLTKGI KEQADGLVLTAQAAAALASRFNEPNPSESTPRAQTQSATESRRAANASADDARRSQRQ AESQIPSTTAAYSGNERSGDLNVSSQQDVFYQPSQKAGSELSGLPSTTLPKAASVTQS GLVNEINADVFHSSVDAEKVTSPAQEQEIPDEVMNQLFRSPKVAKSISRRGDANMNKG ELKTARSSTRTVEEDDIEKLGNSIADDIVSTNSQTDPVVITDTKQAGSYQMLESRVPS SRLGRLWQYGGLATSMAFGAVGEGLRRVTGSNDADAGSLMFSPGNMERLVAKLSKMRG AALKLGQMLSFQDSKMLPESIGIVLQRVQDRADYMPASQRDKVLADNLGPNWRDLFSS FDEVPMAAASIGQVHGAVLKKTGQPVAVKVQYPGVADSIDSDLNNLSILLTASRLLPK GLYLDKTIANARTELAWECDYIREAECSKHFKELLKDDPVFLVPEIIPEASGRQVLTM ERLNGVAVTKIQNFTQEQRDWIGTQIMRLCLREITEFRYMQTDPNWTNFLYNAETNRL ELLDFGASREYPTEFITKYIRTLVAASRNDRETCHRLSIDLGYLTGHESSAMVNTHVS SITTLAEPFMGSSPDVYDFSNQTITDRVRAFIPVMIRERLSPPPEETYSLHRKLSGAF LLCAKLGSRVRCKELFEEAVKKADKSGMEIKP AOR_1_182114 MITKSSPQALEKEIYDLEYRLQNAKARLALASGQEPDGDSYVPL PQDTIQLLSSHALFLLSDSALPLGSFAYSSGLESYLAHNKPLPPKVTPTASFNCFLKL SITSMASTSIPYVLAAYRHPEDLETLDNDMDASTPCVVAQRASIAQGRALIGVWERAF RGTYVSGPFLEGVTASEAAKAIEDFSDALKSCSDTADDLGPKGHFAPLWGIVCLAMGM DARQTAYVFMLNHAKAVLSAAVRASVMGPYQAQAILASKGLQDMIIERIDREWDTPVE DAGQVVPPLDLWVGRHELLYSRIFNS AOR_1_184114 MPVAEASPVASSEPGFVKMEDRKRAATSDHNDSAPPLKKQATSV NGGSKPHPDADMPWKDDLERFQKDAIWRQMQEYKREKVSLEAKLKDMSKAATRHNEHL RVIDTWYNQLIDEVKLLLGAAEDIKGDRPTFQSSLSFDDVDNFEKHLKSRSNDIRDII SRLVKNTPKSPPEICELQSQLAKKLAEEKATIAELDKALSEKQQLEESLEEASLRYMV AEKKLDRARSLTVAKLEKQYILGPQRPGGDSASGQREEQSVSNGATPSAERGPELDEA HNKLVAISEKQKEQLQKLETENANLLSQITDLNIKRSKLTDDDYAHTDLFKQMRSQYD DVVKRINHLEATNVQLREEAVKLRSERTAYRNQVDEETQNVIAEKEAQLIRAETDLAR IRNARDELLADQQMRKAAQEQEKTAVLKVQELAEARNAQIASLESEVERLRLQVENAK ATQADSSDIPVEELRGKYQVLERQYAMLNTELTSMQTACKKYSTLASQKVTDFSALEE KMARLTAEKSKADQKYFAAMKSKEARDLEVRTLRMQNSKSSDIVSQLKESEAATRSLL ANMEKQVSETKEALNSMMNKHHATQQQLAENGIVIEGLKGQINELKTLSTSKDATLAS TSSACRQAETEIEGLKATLADTKKSLDNWKNKSLGNSSSEYEMLRELQEYRNQNLRPR FLQRLRRRAPYFSFSKVPELQPIVRK AOR_1_186114 MKSFSSSAINKSGKKFAPKAPVRRAAPAPAAAPRRPSVASQVSA SQTPQPPTQTATPEPASIAEPSPSLETPAPDAETPNEKDVVPTPPATATVTATATPKA AATAISIPRPKRKPSFSAFTLSQPPNATPAEPTPLLSIEVAPDESGEDRAPVEEPQLS ETLVNEEPSLVAETPAEARPSKRQKTNAEPATPALESQRLTGPPQLATPPATQTSTNE ETTESRDTTESQPPAEQNRKTTKSRKRKPSKDVNGGDTTTRTRERKPRAARKKREPTP EGSEAVQIAPGIVKMSELCKDLRTGKISKRETELRQMELAELERKQKAQQDADNTEQT PIKENESAATPALETSNSGDQKAQNGPVMRIVNGEIVLDAASLQVDRHADAARNAGEL EDVVENHLTRKVNQASFGKRSKTESWDEEMTDLFYRGLRMFGTDFMMISKLFPGRSRR QIKLKFNNEERRAPGRIKDTLLGPREAVDITTYSEMTNTIYDDPRIIQQELDEEKKRI EDQHAKEKQAQEELTNNPDGAAGANHSPEQGTAPVKSKRNSRKQAAKNTSGGNEEILG TIDDFP AOR_1_188114 MYSINLQTFLSFLLLALPVLSYGRNPPGNNAILLSNVQTLTLRG NRLTTSRRVDPIPQLQCTGPSKRICDLYPIDVMRCSNAGYDYDEEDVQWTCTASLPQE FKLGSTDVVCEGYRNADDKWVLKGSCGVEYRLLLTELGERKFGRVREETRPRMPLNNN ENGMASLGDILFFGLMGAVFLVILVAIYNDCSDSRGNRRGRTPGRGFGGGGGDGGGGG PYPGPPPPYSSCPDSSSFTSAPTGQGWRPGFWTGALGGAAAGYQYGRRNRHEYPSAGR WNDASDPGEGSPRSRSPQFSTPTTSTGFGSTRRR AOR_1_190114 MTFQQNEPSAVPAQSSLSFTQGFLLGQLSVVLLIGAFIKFFIFG EAPPPPSRGLSHRASTHRRSNSIYTINPNEGTSRSLREKPSTSNVLRPVPSSATNTRS ILRKTYYSAIPTNPSGKHGRHRIHHSSHQPESLDWFNVLIAQTIAQYRQTAYLLKDDP TSSILSSLTAALNNPEKKPSFIDKIAVTDISLGEEFPIFSNCRIIAVDDPNSDGGRLQ ALLDVDLSDDNLSIAVETSLLLNYPKPCSAILPVALSISVVRFSGTLCISLVPASTPP LHTPSPSPSPPTADGAVNAGTHPTNGSREPTQEAPNAQEESPPKTSPKSNVAFSFLPD YRLDLSVRSLIGSRSRLQDVPKVAQLVEARVHSWFEERVVEPRVQVVGLPDLWPRMGR TGVRTGEDSETGSNAASRSAMSADMGNSLRADDIGREPDGLRFRGLGARPPFDSVSRT SSFNVETGGFRSHSMTREGSGGGMSDDFHMPGTLPGGAAAN AOR_1_192114 MKAYWYDNKPGDQREPHDSGRPVSKDYLASLGVFYRYCPDIESV NALAKERGYKNRDEVCVSPQTMGDVYESKVKMFFAEHLHEDEEIRYIRDGEGYFDVRG QDDEWVRIQLSKDDLIILPAGIYHRFTTDEKNYVKAMRLFQEEPKWTPLNRSEDVDTN PHRKTYLGTLSTSAVAAK AOR_1_194114 MAFRVRSVTLQRWGHGSRSRLLGSVRGLATVSDGSRPYDVVVIG GGHAGSEACAAAARSGARTALITPSLSNIGVCSCNPSFGGIGKGTMIREVDAMDGVAG RIIDKAGIMFRILNRSKGPAVWGPRAQIDRDLYKKYMQEELLATEGLSVLEGKVADIV VSKEGVEDVPGAQGKIVGVRLESGEVIPTSRVVITTGTFLGGEIHIGMTVYPSGRMGE AATFGLSKSLREAGFQLGRLKTGTPPRLDAKTIDFKSLEVQKGDSPPQPFSYLNNTVQ VGDEGQLNCWMTHTNEASHDIIRANLDKSIHIRETVRGPRYCPSLESKIIRFQDKQRH LIWLEPEGFAPNDVIYPNGISMTVPEDAQFAMLRTVRGLENVRMLQPGYGVEYDYIDP RNLWPTLETKLISGLYLAGQINGTTGYEEATGQGIIAGTNAGLSAQGRSPLTLTRSDG FIGIMIDDLITKGVSEPYRMFTTRSEYRISTRSDNADLRLTRMARNAGVVSDKRWRHF TDTEAQINELQTLLANTRMSSNAWSRRGFKARVDTSIRSALDLLCLDEVEIDALIPHI ESPSGKVYTPSSFAPEIRSRVAIERRYAPYVARQETAVRKFLQDESLLLPPDLDYSKV KGISTEEKQALERVRPVSVGMARRIEGVTPAGAIRLLAHVRRTGTHPASENLEETPDD ILQQAP AOR_1_196114 MAARSFVLAPLRASRQCPRFFNGIAPPSIGNAAAVREGWSAFRM EFRGSSIFTLAGPSNSLRSKSLNNNGCTTLRLTSHWRQSLGPQRRWHATEKPSDQTDA QKSQTGSKKSPILSRIPLPSSHENIYTIPNILTMTRLVAAPLVGYFLVHDYHQAALAL FAYAGITDLVDGYIARRYNLQTVVGTIIDPMADKLLMTIGVACLAVNGSIPVWLAVII LGRDVGLAISAIYYRWISLPPPKTMARYWDFSLPSAEVKPTTISKVNTALQLLLVGTA IALPVVPEATIDAWNLREAMTGFQYLVAATTIWSGMSYVFSRDAVKILTKEEVQKRLA RASVKKSN AOR_1_198114 MGIWDDGEDPEPFPMRNPNLAMVTIDRPAAPAPPGTPSPPASRR PSAPEHPSTEPSTDPNLAHPRLMVNRACIYERQLPGDAYITAHVQRLQHGFYSSPAVS DQDLDHVDFLGINFVFHSPNTLTHRFKAATIRASIHSMRENSKPSTASQKPHAYRSRN PRFLKHAPHLLYGAVSPETLQWNYSLSGSLGVAELPLLASISPSGGINGRYRRYEMMR IQGSVRSLKSSRGRKFDVEAGEIVWSLEENNLQRSGLPREFTFAMLIQKPRADSRIQL VLDIEPVLQCSYFNYPTWWLDLPAYKPIPRRSVDFRVEVGQRFEPLTPNKGFNFATLE SSFDDYVHMPGRKVTTGIPLEGGIAQDDNEIRREVTRDFAMVEPVRGIPRAPYIGSTP IGTTGKVPGNRNGVSNMLPILDPGSLSVRILLDNSHGHSSQLASHISALRSNSNIAKE RDKTQGQAPPQSPATIRDKRSSTQSKKVQITQSVSDNQIMKRSRGTKYN AOR_1_200114 MVNGTTTVLEPTFTGYVATTQDALILFEACLTGVLHHVPRRPHD RERGHLVRSGSVFIYEENSSGIKRWTDGVTWSPSRILGNFLVYRELEKPFPPGEKKRA MKKANRRPVPPSRPGEPYPRHDSNGSQGYSPSSTGTFGERSHQSDVERALVGSLVDSY GFKDSGLVKKTMSVTVSGVTHHLVSYYSVEDVMRGILNPPSMVESLRFIRPRAELTQK QSFRAPIDDLETGGMENLNDPSHALYGYRPPQLVAPSGYGMPNPHPEFYMHANPYAAT HPPQSAPMTAYSMAGSIPAQPAPNPYLPAPSAPTQIPQKPDDYPQFRAPAQYGTSFDA LNHNPLSSSISSAMGAAMPSSLSDRNRSHSDHSPSAYRNSSISSRSVATDATSPMDPA TPATYSRGNSFSLAGQLDGASHHSVEQRGMAAFDPSIPRRESNPIPTPYYTGGDRHPY YVGATAPAAHANYPVSTWTTAAPAQPQV AOR_1_202114 MQLKLPNALAKGQPGILHQYAERLVAFEFTRGNQRKPHSLIFIG GLSDGLWTVDYMTDLVAALQHSEWSVFSLVLSSSYNGWGVGRLGKDIDEIAQCVQYVR DYKKQLFGAGKVVIMGHSTGSQDVMHYLSCPNPRPRHPVLDREIDPLTRTPVDGAIMQ APVSDRESILSVLNDGTERDSPEVMQELYRKAVAHAKENTYEDDDTVETVVPLSVTAR IGYPSSTAVSSRRFLSLASPDSPRKPDEDDLFSSDLSDEQLQQTFGVVGSRGLLKSKF MVLYSGRDQSVPPWVNKETLLKRWSTAAGPSWHPKSMIIPNASHALSDPDQAEPRRIL AERVIAYLDEVAQEV AOR_1_1606114 MADDHRQPEASSNTAANPYNHPVASEHTLGSLNTTSTSETDASA DADARWGERNQGDPVSRRGAMEEFEEMRREVTKLSLHRTRSAKDARRRSRAEGRDEEK ALEDEQASSTDEYRGGFDLNEFLMGGHLERRTTAGEPAKKVGVAFKNVTVKGVETGAS FVRTLPDAVVGTFGPDLYKIICRFVPALHFGKRPPVRDLLHDFSGAVREGEMMLVLGR PGAGCSTFLKTIANDREAFAGVEGEVSYGGLSAEEQHKHFRGEVNYNQEDDQHFPNLT VWQTLKFSLINKTKKHDKASIPIIIDALLKMFGITHTKNTLVGNEYVRGVSGGERKRV SIAETLATKSSVVCWDNSTRGLDASTALDYAKSLRIMTDVSKRTTLVTLYQAGESIYE LMDKVLVIDAGRMLYQGPANEAKQYFVDLGFYCPEQSTTADFLTSLCDPNARQFQPGR EASTPKTAEELEAIFKQSEAYKQIWNEVCAYEKLLQDTNQEDTRRFQKTVAQSKSKTV SKKSPYTVSIVRQVAACVQREFWLLWGDKTSLYTKYFIIVSNGLIVSSLFYGESLDTS GAFSRGGALFFSILFLGWLQLTELMPAVSGRGIVARHKDYAFYRPSAVAIARVVVDFP AIFCMVVPFTIIVYFMTGLDVEASKFFIYFLFVYTTTFCITSLYRMFAALSPTIDDAV RFAGIALNVLILFVGYVIPKQGLIDGSIWFGWLFYVNPLSYSYEAVLTNEFSNRVMSC APSQLVPQGPGVDPRYQGCALTGSELGKADFAGSRYLQESFQFTRHHLWRNFGVVIAF TVLYLLVTVIAAEVLSFVGGGGGALVFKKSKRSTKLKAQNGKGNDEEQVQNTGDNAAL SRGEAKSSSSGEAMQRLSASDRVFTWSNVEYTVPYGNGTRKLLNGVNGYAKPGLMIAL MGASGAGKTTLLNTLAQRQKMGVVTGDMLVDGHPLGTEFQRGTGFCEQMDLHDNTATI REALEFSAILRQDRNTPRQEKLDYVDQIIDLLELEDIQDAIIGSLNVEQKKRVTIGVE LAAKPSLLLFLDEPTSGLDSQAAFSIVRFLKKLSQAGQAILCTIHQPSSMLIQQFDMV LALNPGGNTFYFGPIGPEGRDVIKYFADRGVVCPPSKNVAEFILETAAKATKKDGRAI DWNEEWRNSEQNRRILDEIQQIREERSKIPIADKGVEYEFAAPTWTQTVLLTERLFRQ YWRDPSYYYGKLFVSVIIGIFNGFTFWMLDNSISSMQNRMFSIFLIILIPPIVLNSIV PKFYINRALWEAREYPSRIYGWFAFCTANVVCEIPMAIVSALIYWLLWYYPVGFPTDS SSAGYVFLMSMLFFLFQASWGQWICAFAPSFTVISNVLPFFFVMVNLFNGIVRPYKDY PVFWKYWMYYVNPVTWWLRGVISSVFPSVDIECASKEATHFDPPPGSTCQQYAGNFVS NIAGVGYLVNPDATEDCQYCPFANGTEYMHTLNVHDGDKWRCFGIFLAFVIINWALVY FFIYTVRVRGWSFGMGYLFGGVGVMIEGVKKVFSKKSEKEQN AOR_1_204114 MSGLVSPLIFAFLCASTVGAVPPGTSNPNYSGTGGTMGHTGSTV GTGGTGVSAGGPSISLTKATNRDNPGKTRQQERFVEGVCAMEGDYGMCHGTDANGNDW TAPCNPKNRCKLGQPRLCNIDTMRFVAVCSK AOR_1_206114 MNPDDLDDPDLRAAIAASLRESSNHEENSQNGRQHEVVDLTADS DDDVIPIFPKSNSVIGSETDGDETDSGEEDGDDEDEDLKRAIELSMQSAIADEDGSSR HHLSSIGNNDSKASNTPISSRAETPASIQAPTQAAGLLGLDRRQMEKERLERLNKRKA EEPVSDGQRDAKQARTGTPSRSPGPAISVVDSSSGSNSSRTTPAGNDQIQIPSPTPSV QFPNGVVKKTWAFGCRRQGDDIKIEEVFQKSDLQLAVLSSFMWEMEWLFSKLNTAKTR FYLVMQAKDESTKLQYKSETAAMSNLRLCFPPMDGQVNCMHSKLMLLFHSGYVRIVVP TANLTPYDWGEIGGLMENSVFIIDLPKRTDKDSGFTRTGFYHELTYFLKASTLHENII AKLTDYDFSRTAHIAFVHTIGGSHMGDSWRRTGYCGLGRAVNSLGLRTSKPLNIDFVT SSVGSLTDEFLRSIYLACQGDDGSTEYVLRTAKSFPVRSRSNPTQLINKSTAEEWKDR FRVYFPSETTVNDTKGGPQSAGTICFQSRWYTGPKFPRHVLRDCILYVRPDDPATLPD NSQCRAWAYVGSANLSESAWGRLVQERATKEPKLNCRNWECGVLMPVISKEDAVSEQN KSPNDESGTMLDAFKGIVPVPMRLPAPQYGPNRKPWFNSESGM AOR_1_1608114 MDPGPQETGVSVHKQDTSMQGPANKPNFNRLEPSNPGKSMGWRI TVRFYRRVVEDNVTRIALYDGQPNYHDIIVPEACKMVRGELVVGCHYRSCYLYRLPID VRFRIYAALFAHDGSALEIGRLEHTGIGNLQILRTSHLIYHEASIALYHSLSYRRLFL RAYGPYSADLLRRHPKSLPCCRPKEFDVNLEYPCRNEHLNWSRPLGSVVVLIGAENPR VALHRRWAFSEFITALKAAEPLHIFSLTVVVTENWNLPGFNEKDLVNTLFSGAFKFLG KLSFRGFTEEERNRLCHLVHALRDPPLKIERSKTK AOR_1_208114 MVEPFNLSELQGPLNLPFPASTKQVAGLVNGIQTAVMCMSFNDR ILVTISQKGRLGHWLHVPLENKNPGTEGAHTFPDSDDSLLPISSLTATSLLGGRISGH DTIGQLYARQIASAIVTKTPTEKRLLVVGLGLETADADRNVFFAIIDLVLQCI AOR_1_212114 MPKFVPRQRKQKHRQKEAADAAVDSNAAEVLPVSKEQKEAKRQK LREELRAQHTKISAKKQKRLDKYIENKLKKEENVELLKKLEKTTLDTSNFESSRELGK RKRLDENGIIEASRYTHDSASHVELSGDETDDSLPPSGTSFAIPKAQGPIEKPAEQVV VGSGLKRPLELGADGFPVLKKRKRAPKLKTKATKIQDLPWEGFDSDEDENESDNGSTP DYRKLKDKEVEALDRMNEDDDESSEDAFEDDQSEDENEGEEAEEEEEEESDDDDEGDE DEEEEEEDDSTSNQPRQSAFKAWARQQINETVGFKPTTGPVVSEEQPFGPKLKEKPVR NTVYEEEPLPAELQVTKGNPFRKAFHVQVDRSEDIQNARLGLPVVGEEQKIMEAIYNN SSVVIWGATGSGKTTQLPQFLFEAGFGNQDSPNPGMIAVTQPRRVAAVSMAKRVGDEL GQFSDQVSYQIRFESTVSKKTAIKFMTDGILIREIAEDFSLSKYSIIVIDEAHERSVN TDILIGMVSRIVDLRKAMSEEDPAVKPLKLVVMSATLRISDFTQNPNLFRQGPPPLVQ AEGRQYPVTVHFSRRTRQDYVEEAYRKVSRGHRKLPPGGMLVFLTGQNEIRQLSKRLK QAFKPTQRGGETEVKVQISANDAPLEAEDLDIGDADLSKVGNEDDDSDLEITGLDNDD DDDDGFDLGEEAMGSSTRVHVLPLYSQLPTKEQLRVFEPPPEGSRLIILATNVAETSL TIPGIRYVFDCGRAKEKQYDLDTGVQKFQVNWISKASANQRAGRAGRTGPGHCYRLYS SAVYENEFAQYTEPEILRTPIEGVVLQMKSMGLHNVINFPFPTPPSRQGLAKAEKLLK NLGALTSDGKVTQIGNRLSTYPLSPRFGKMLYIGHQHGCMPYVIALVSALAVGDLFVP ENQIDPNPKGDNREKDAVYTNSDRLEDTVREQRHKDYARAHRLWSQHDDTSDALKFLS AICAYGYASDGESFCEKMFLRGKGFKEATQLRSQLTDIVRANNPGVVGAYQPRLSQPT EKQIKALKQIVTAGFIDNVAIRADLSPVPPEMHRTPKRAIDVPYLTLFKSREGPATEL DEKAVYVHPSSILASLSPKEMPQYIIYSHLQQASPSLVSTEVPKVRMFPLVCPSGLQL SAIAHGTPLIEYGKPIGKTEPIDGIPPRRACWVIPSLVSEAGRGGWPLPAKKVVQKKD PKEGWIIEKFGA AOR_1_214114 MSSNVGLNTPRGSGTSGYVQKNWAFMKPRNAGYGAPYPPVGANS DAGRPFKQRLPDKQILEHDRRRAIEVKVMEERDRLEEENERIEEELAERKKKGDKEDG EEQEGKILSDEEIEERCEALRERLVKEMEEEEERKGKEGERRGGRSARDLPPRDRRQF KAYQVHELAEAKIEESERLRKALGIKEDKETGEISSGRRDWEEKKRERERDRP AOR_1_216114 MGGERNRGSHGGMGQPFGGGKASWKNTIWGDNLDQHAGDKAFEG KAGSSSLLSSSESDGWNGRPNMPWSTVNTSSNVLSRATNNSMTTSPIQTRAGDRGTGS LAEAGDSSYLTLPRSAAIGGTAGSTNHKAYLNTGSEGISPSGDGIAFSGFGNFRNTES RRHANSSAFGGSPVGSGFPMKPGFSTPLESTRPDEMPSMSALPQGLPETVAPTLGRNS YTHASHNSASFAPQRPVHSSYPSFHSESQGFEGRYAGGPADINSGLSKLHFNEGSYAA HPSSTRPGYLSHPSFDGSFQRLKYQGDEPAYQGASYASEGASDVQLGYQAPRNRVGDN PISPTEYARVDSPLYAALDSGSVHVPHYNSASARLSDAQAAALERRLREQELAQQAIN PLQRLPFTPYDLARYQGSGMNALSGFYPVAQLGAAALASRGHRDHDPSQTVRSPVLEE FRANSKGNKRYELKDIYNHVVEFSGDQHGSRFIQQKLETANSDEKEQVFREIQGDSLQ LMTDVFGNYVVQKLFEHGNQTQKKILANQMKGHILALSTQMYGCRVVQKALEHILTDQ QASMVKELEHHVLRCVRDQNGNHVIQKAIERVPSEHVQFVINAFIGQVEKLATHPYGC RVIQRMLEHCKEEDREAILAELHVCTAKLIPDQFGNYVIQHVIENGEDKDRTRMVTIV MSNLLTYSKHKFASNVVEKSIEFGQESQRHQIISMLTSTDDNGENPLLGLIRDQFGNY VIQKVLCQLKGAERDALVEEIKPLLSQLKKYSYGKQIAAIEKLVADSNSPTNGTLPHT TSTTPPNSHKSSPQPSKRAVNGLDGCRAPVVGAAPPTPPPIDPQSNGDGSSDTKTVTK STPLTAAESAGTTPTTSVEINGAN AOR_1_218114 MMHLFKRLVARDDEKSNDDRLTPAMIDLLIALLVLVLVGIALVG ALLVLRRKRQNRKRSELPVHNGQCTTHHRSLTISAPPYAKTESVLVYDEKKRLMENSS SPPPSPVPEIRITFPEEEDESGKRKSGRMVVVRISDAGGVGLEPCHDELPPYQSSDAE RFQSLDIERMGGLKEKEDVKRWS AOR_1_220114 MASGISLGSRFDRPDPNGLQTTASPSRLSERNDTQKAPVPKALT GRHSRPGKGSNGQESVDDGLEREFKLRHLGSLGVLGWILFLHIVGIFFFTKGFLLTRM VLENKSSCDVLPFGDVSSHPAVGKKTEACWHQRSFEKAIVIIVDALRYDFTVPFASTA EGETSQLFHDNIPVLYETAVNTPENAFLLPFIADPPTTTLQRLKGLTTGTLPTFIDAG SNFAGTAIDEDNLVAQLRAAGKTLVHLGDDTWHSLFPDYFDPELTRPFDSFNVWDLHT VDNGVNDHLFPLLHPENATKWDVIFGHYLGVDHAGHRYGPNHPAMGAKLRQMDQVIRD LITNVDENTLLVVMGDHGMDSKGDHGGESNDEVDAALWMYSKRKLFGRTSPDTAVPPK TARERSIPQIDLVPTLSLLLGLPIPFNNLGSPIEEAFAGPGGQDWKNLVAVNRLTSAQ IKRYQHEYAITRGVDDGQEFRSLSFWETAEDAWQRSSKRGKSNTEAMRSVYQSYREYQ RHTLDICRALWAKFDVPSMLQGVGILVAGLVLLVFYARGIRSDRTELTKPLLSIVGVG SGLGAVVGGGLTFSGVADMPITESSALWAAVGSILGASRVIFVKPGHLSLPVPNSLWG WLAVMFTVTQSVGFASNSYTIWEDEILLFFLSTFGVVAGVSSMRQKSTADRVLGVYHS ILFVILGRVASFSRLCREEQMPFCRSTYYASATSSTSAPWQLAIPFLVTLILPAVVKS FYAGSKSYEGVATLWVGYGFRLGLFLTSIFWMLEGADDGEWFSLSKETLKSIRVFLAQ LVLGLAFAAGTTAFIYSKPCVSISVTQGTADSESKNKSTSSPSQPGRTTVTILGFGNI YGTRFFLLVVNFCLAIALMQKPMGLGTIGLLLWQILSLLEILDTNALVLGNSAIGPIV LALLGSFYYFKTGHQAVLSSIQWETAFIPLSSIKYPWSPILVTLNTFGPQILTAVAVP LTVLWKRPLQLHDQSRSTPSKPNNPATKILSDVVQAACTYILYFATINLATTIWAGHL RRHLMLYRIFCPRFMMGAAVLGIVDIVLILFSVAGVRWSMMSVGEIFGW AOR_1_222114 MSSDDASSPSNYKHATSSFADVFRSLGVARSKSLSPILGRENSD TLSQASDGHRNSWIALGLESMHRGSVVSSSSDASGAPDFETSIRNLAQKQNLAHAIDE AEHVAKSLHWFTSEQTLALWEAGSYLIHHATSPEARRSGSLLMEAIAARQDLSPTARR AVFELISCPSEADVVPARVISLISLSDHGRKLEFASSSSIIPIISSCVVPLYDLISTA RLKARKAKIAKANGLAYDDAILDDLLQFAVDLITLQRKPPNSEEVRSLLDQLFIICKK TSVAADIKNSLAVFEAIILYSDVPDESFVPLLEVLCNIYASVKSLSGPTSRTVRNLAR SRRQDEMVNSLYGFLLGSSEEQGRNLNILRGTVHVFTDLIRAYGQDGMPRLQFEQLMD SLLVVLKKDDGRLEADVLDLCLNALEGEFSHVALERDWSIFINIIVSCSLRAVDESGD CSASSSSSQLSYPRASVLDDTRASILANIIQIASALETVWERMNRQQRLEATRFLMNV CQHVEPPQAELILNTMRGERLCFPENPDWVRHCQRLIRCFVRSRTKPSEIRILALDTV NEAFTNYENLSQFRAHGLLNSMLENFSDEDDILFLESLVSFVVDTSILVDDETSFVLL VDTLGSPMSKDLSKDGPHGAESPTLASPHSPLPGSMLESSLANVCSVGLVKVFLRCLN LSASKAILVFEALLKIAQASERPVDSRLTVLKVLFRLRCDSSGSIAVLSVSENDFLMN VSARNADSGPKPHTTVDDHTGEYSADNDNQRTSISGKLSTKDNASISSKSSGRNTGIP LRASKLTPPAWTHTSSQVLPEQPPDESSPFVYAYTTSDTSHHLESDPAQKVALKANMW LETVISLLHRDTNWEIYSYVLTHLAPQLRNKDFFSNAVPQVKLLRSILCDQVKNDSFR EPPASTGVKKTDVAGYIFDTLCVLIGYHEFFAKSEEDELVRSFMMGIIGSWGGTSRGC IHALSVCCHEIPLSVTKSLNGILDKMSKVITLANLAVHILEFLALLARLPDVYVNLRE EEIRTVFGICIRFLQTSREQRFKASESTNRNPQMSAKLGSGVRETAALPAEMSDPSLQ DGMSRYIYTLTHHVMVFWFLSLKLQDRAKHVNWITSRLIFRDEHGKEMVEEQSQVFLD LMQRVAFTDLGETIPYATFPPSPADGPVSKKSWVVGMSIVTVEIAGVSGLTQITKRQA SGTTYAMYQQRTAPVLPHQVPPTPDAHLHGDGMRTAVLPSHVMLQLTTTAFPTPTAMQ PVPLPEDDITRRALSTFDRNDIVDGHKIGVIYIDDRQMTEADILSNTSGSPDYEYFLS RLGTKVPLRGAQFNTQGLHPDIDGESTYAWRDRVTEIVYHVVTMMPTNFDNDPSCINK KRHIGNDFVNIIFNRSNIPFNFDTIPSQFNFVNIVISPVCRIANGVEPANMDPEGYEK LFYHVQVMSKPGFPEISPAAAPKIISGKNLAAFVRFLALNASVFSLVWNSQGGEHISS WRNRLREIKRLRERALGSQTQTSDAAEGAYPGQRRNTKANIFSEELPSRSTPAQSDFA TDWNASADANILQNLDFSRWGR AOR_1_224114 MADNLGAVAQLLEASLDPRQNKQAELALRQEEQKPGYSLQLLQI TASGSYPYNTRLASALCFKNFIKRNYTDEDGNYKLQLDEVTTIKQELISLMISVPAGI QSQLGEAVSVIADSDFWERWDTLVDDLVSRLQPKNPAVNNGVLQVAHSIFKRWRPLFR SDDLYREINHVLDKFGNPFLALFEGLDSYLEENKTNKDNLVQGFTQFNLMIKLLYDLS CHDLPPMFEEQISGIATLLLKYLTYDNQLLHTDDDTEAGQLEFARAGIFEVLTLWVQK YIDEFKPHVEQFVGSSWSFLATIGQETKYDILVSRALQFLTSIAGMPEQAAFFQDENT LSQVIEKVILPNVSLRESDEELFEDEPIEFIRRDLEGSDSETRRRAATDFLRKLAEKF ESSVTKVVLHYTEGHLAQYTSDPASNWKAKDTATYLFSAIAAKGVATTSHGVTATNSL VSITDYLQKHLAADLVAGDGVNPILKVDAIKYLYTFRSIITKEQWQEVLPLVVNHLGS SNYVVYSYAAIAVERALYLTDNQGQPIIAPNTITPLAKDLLEHIFALIQKDPAPEKVQ ENEFLMKCAMRVLIVIKEGVVPHTDSVLQNLINITEVISRNPSNPRFYYFHFEALGAF IRFAAPANPDKLEQALYPPFAGVLQGDVQEFMPYIFQLFAALLEANPSGSLPNYYQNL VAPILMPVMWESKGNIPALVRLLSSIIARGSQYVLENQQLSNVLGIFQKLLSTKANES YGFDLLESVVANFPPNALEQYFISIMQVILTRLQNSKTENLTGRFVRFYHFISAHDEK GYSADFFIQVTDKVQPDLFTPIYLNIILPDTQKLARPLDRKTAVLSFTKTLANSDAFA NRYKKGWGFTCEALLKLLELPPLPASKDDIIVEHDVEDMAFGVGFTALNTVRPQTKDP WPETGADLKAWVGQYLKEADKKYNGRVSGFAQERLSNDAKTVLGSYIA AOR_1_226114 MLLRNLIPRNLLPYTQPIASLRCFTTTFHLTNKPLPPRLKLHDA DLTISYLKGTGPGGQKINKTNSAVQLIHKPTGLVVKSQATRSRSQNEKIARQLLADKV EQLEKGDQSRAAIKADRARKKKASKVKKSRRKYREFGNGHEEVQEQEDRDGEGHQEPV GDESSTTVPASQTHGK AOR_1_228114 MTFFLWIPASFARWIRLKIYQYEVTFAVYMLTPTEKFIFNSLLL TLISMIITAIYVYLPDHIRSIYGHLYYYWAGERPFISSALPAISSVFREAGTQTLEVM YETAKNNAAAATDTIREL AOR_1_230114 MSNILQRLRGGNLEVFKFGMYVLFPIGWMYYFGTNLDDRFSVPG FWPTTEQSHKIPLEKEEIDKELARMRMVDAIRREKRQREAQAQAEAQMQVESQAQNAE AOR_1_232114 MATEDLVKLTYQDRIAIITFNRPEKLNALNQDLYYLLGERLREI DKREDIFITILTGKGRFFSAGADVTSTRPSGDLSSNVRRELTRSFVVNNIDITNTVAH HSKILVAALNGPAVGLSAALVAMADFIYATPHTFLLTPFSSLGLVAEGGSSRALVERL GISKANEALIMSKKITCEELVATGFVNKVISAPSGRKDDSDGFLEKVLEEVEDRLGTH LSQSSLLGIKELIRRPEREILDRQNYLEVFAGLQRFLKGIPQEEFRRLASGEKKHKL AOR_1_234114 MPHSDTEVPASAEASTDLPQRLPFPPVTHSHILHCSYHDWQPRY RALTPKSRLIPLTVPFISYLRADGIVLPPENATPTDDDNLDTYSDDEADEQPDPSTEW EEIHTQIKTTISELGGIITPKLNWSAPKDATWMAATNDMQCRTPNDIYLLLKSSDFIS HDLELPFDDCVPDMPDSTTTPDVPYHLVLRKYVNFNPSLEFRCFVRDRVLLCICQRDQ NHFDFLFPLRETLRSRIQAFFDEKLKDTFPDPSFVFDVYIPPPHQRVWLIDINPWAVR TDPLLFSWLEILNMKDPIGIQEEDGAEEQFVRLSLNGNTVTGVVGAAEGSESSDTEDE SADDVDEDSPFFPEFRLVKRDDPEAYAFTTPQYSAHKLPKEVVDASISGPGGMSEFLG KWQDILAKQAQESDTDSDGGQ AOR_1_236114 MALDGPDPQSLNSWQDAFQYPIPTVRRVEQELRRDIASNKEKLR ALVGTRYRELVGTAETIVSMNREMQEVDATLADIGRRCNPRLMEKKVTHFSQIKGDVH DKGATKRAVGAQLALLHRCETAISRLLRRRDSLLLGAKLVVVSRLLHKALSQQKTVPP FLESLRNQIASLRQTLLRRVNKCLASASSTADDIIEVLASYCLATSSSSEDAIRHFHR VREEVIESQLGLDDPSGGNILKALGLYVSTLQISKILLSRRLSDVLGKLKAHPILTDP EIYNLDDLNLDVLGRWVPPDVSNFTPWIKLSELSKSGVEKTLKNWSKQAFEKFVQGCQ KNLKSWLNFAELLSLREKVIEFWLRSWASTITHSSLQVLEGVRGIFNNRLVEILSDQA GSLAEFGQDVTSKISIWDNTDHVASQSLWDHELIVSDYSKGADAFKSAIADRLLGRDE EVSNMLRGYQSWLTSVDKSKQSIDELRQIRWNDIIEEGEDEDFDLDITATLNEDDPRL LQDALQSAVRKAFDALQSSFGDAFQAFGDSNHSGKAAFILRLIRLVRRGLPNELIADK FTFSKDIVPQLQEMLANEVVTQASPLKLLAKPGSRVPGRSLWEGDPELPVQPSPSTFK LLRRLVGSMDRCGPGLWDVTTVQVLKRTLQGGLSSIITSGIEILSSCDNYESKENTES DGGPSEQEGQNGDDDERVKGEDVSESRNVRDLKLQLYYDTAYLKNALAVKDSGQDLLM DVLEKLRSDLGSADNAARTMEHNAAEYWKRTQLLFGLLAIGGEQ AOR_1_238114 MATPRLPFLYPNLMRAVRSCEPATHRSVRIPSKNGHAPFHTTRR RAQETYHRRYGPAAEANLPPPSRPKDELSQTQVPQPAPKDTNTPLNTAPPEKSPPQDT KSNIPEASSQAKDQETSESSDKKQTDEPTPHEESISESHDVEPFSASPTENVGEERHE ERHEPPNRPDPLDGVLHMPSPSSYLMPSGAATPDGKPHLAPPPYIHHFDTYSLVRDLS KGGFTEDQSVTIMKAVRNILHNNLDMARQNLTSKSDVENESYLFKAACSELQSSLQTA RNSEMQRQRASRTQLQHEADILSQRTNQELAGLKDDIKAMFNDHKMTTREQQRSIDTS VQELNYKITVSLNSDGKSEIEGLRWILTRRAAFAIAASAFMIISFLKFYSSRKAQDAA EKKKKASSKKAIEKQAAKEPIIGSAVPVPEVHLTESLG AOR_1_240114 MSSSETAARTSSDNAPPRAELDITKLHALPSEQQDLYLLTFTSD LVQYISGLEKPQISAQQKFLKKELFKILTLSSPTITRVVRNNLGRCFGAIFSKGDRGT LFETVTDLLGLLNAGKHEELRTKFAAAHCLGEVFAVAGESVFAQAGIVISSLLKLLKN SSNHTGLRGSIFAVLRKVVVGVGIPVDEAAARDIWKQARNAATGDKSTFVQVHACRCL EQLVNTTPFFDNANDFDHVKTVTWKVIDSPAAPVRHAAAACLARALAKLHATDAKVTP MPKSKKAKRQSKKPAPRPGEDEEEAEVSESSLSKKPESRLFFLLPDLLRQLSTQYLRG TTSNRSRAGIAVCYKHVLRILGNKFVEERYGEIANHLLFDLLNHPTVTYNRFRLLMTR KFVKSILEDTVGRESLSENSQLNASKWLINEVLKDYPQVIQERREPSKYTLTSALSAL SSLISSLGSAFVSLAEPCREALLQVLPHPSYTVQIHAAHCLRNFVLACPHQMLSCVTI CLNSLNREVGQLSTPRQAPRRCVGYANGLSAMLSTSRLQPLYGSVEVYSRVFTQATDL LKTSSNSELRAASTQIQVAWILIGGLMPLGPSFVKIHLSQLMLLWKNALPKHLGKDNF AQRGNLEMSFLAHVRECALGSLLAFLEFNSKLITADGARRIATMLQNTVGFLDDLPRQ KSVTDISQRLHPSLQLHDIATMVRRRVLQCFSKLIHVHPLSHGDVISQTSLLSLAISS FAEPESAQSGPLESSIAASTAQFESLWDLNDNFAFGLTGLAREYVRVTLSGKHENDNG PAWSAVESADQAIDDALTFPICQGSEHDSALLYASRHGGCLLADPHSTGVVNAAIELF SVAIPLHAPKVQESSVEQIATFLSSSSLQRNPGRRAAMVVNIAVALLEALKVALKDSN PMSGKLNPTTDKILQELLQKFVTDADPVVRTIGVEALGRLCESSGNTFTTSQINWLVD TIVDNREPNARAGCAAALGCIHSQVGGMAAGLHLKTIVGVLMSLCNDPHPVVHFWALG GLERVANSAGLTFSPFVSSSLGMLAQVYYADTHNEECATLATSNIEMSYLTPIVISRC VDSLINVLGPDLQDIAKTRNLILTLLRQFQLEDNPALVTESSKCLDHLSLYAPNYVDY SGYVKRLQTELAADNPLMRDVAIGGLSNLMKRDSLSVLKAAPALEEEIWLAFDDTPDN ANLKSMIQDWLQQTALEETELWVQRFHNTLTKTRGKVEEPPPTPAAKSAVNDIPDDEV AGFASAIAGAGQSDNVNEAAPGQELLKWQTRNFVLSCLSELLATVEQEILPDQTIPAE LALQQRVGDIVRMAFSASTANVIELRVWGLKILDQVLRMFGKTPDPDFTEASLLEQYQ AQIGSALTPAFAADSSPELASGAINVSATFIATGIVTNVDRMGRILKLLVLGLENFSK NPDTTEIGDLKGLNSNARVMVKMALYSAWARLQIASIEQDYLNEVVQSHLAKLTPLWL SSLQEYARLRFEPDISGSLGTGPLSNDLDEVYAALNRETLLKFYQDTWLSLVDAIAGL VEKDIDFVFDALDGKMKPDEEPVEKSQDEEDVTNEETKGKGNDINYRDEPVAFFFVLF GLAFEALVDQSTTASQRLEILQALKRILRPIISGNAIYQEAIFSETMDSLDRLALTEG TPIQNVIVEIARNLSLDHPSAKGSEGRSDHLSDDIEQLFELTKSIILVLAGLLPNLRE SVPLARFNVGSDDALSLIRLALSSLVNVASIFPSIIRNDLNACILHIFTTILATGLCQ SEVVPQALPIFKHFIQSISHPDDVGPDNSGNFHVVARQLRGCLTRFLTTLTIAQRRES ESSLPCAKNTLLAITILLTTGGHVLPPNDPTIVRILNEFLDCLQDVGLANVAAGCLRS ILLASTGSLTDEVIARYLFPRLIAFVVGCPMENGDVPNDPENSRTVIARTLVSYVSIA SDIPTALSIVMSTLIARGKREGQAVYQETAAHLLELAKTDQSVFRSLVATMAPEQKAL LEDILRSVNIDSGANKSTRDSVQAQQNEPSIALRFDF AOR_1_242114 MTAILNRDLLRKCARPQSIKHDKAKNDKAKSKERQIIGKEISSA TQMWGADTKFNPRLTLALSNAKRAGIPKTVIEAAIARGQGISVTGEALEQVTIEAILP HSVAAVIECQTDQKARILQDLRYAIKDAGGTVTPTTYLFEKKGRIIFEKKDGLNPDDY LDQAIEAGAMDITADEEGRLIVFTEPTETKSVGEALTKSSQLTIEELEIIWDPNRDTL VELTEDEHVREIEDILSTLREESSVRDIYLNTTQQL AOR_1_244114 MSSDTDTGAESRPTGLLDAIKRLEAVAFVPSKQRDTDAGELAKT IATHAYEGGLSQIALERLVKITTTRSQLDQGTITTLIKNLYPVESVPSSLVTQIVGCL GPNKNKPSPATQSLLLRWLIMVHEFLADRSHLSKLYAVLFNHLDMISLRKPICHLLSL VTRRKHVKPFRIQALMELVVTSGGDERELVTLLKVFKNYCPDIIVGDLGVSGRKGLFF KHPDPEWSSHVRLLQDTNMERLQATQPANFQVVHRGISKRSKMEVLVPDVQTSRVSYG RTSLEELRGVDHFVDKLDKIELPNQIISMIGDGIAQKYLFLVQPTSASHRLDDWWGSF FNENLEDAEDRDEKLESLSYIMSLAVGYVQYTKEIPPPVATFLKSYLLSWNGRDLREQ IFYLLEYLDIEDYDSIQHDFLMPLEYAVLTDKLFPRSSLLDFYASIIRQWGVRLRTQP FTLEESKPLARLISHAELLALSILECPAAAQQAPTDNVESPKPAALSVTEFYCVLAEL FSHSNLNGNIRIAIPLAPTVYTLIFTPINSIISIMGSVLASYKSAFEASLTSQVLQTP GSAESLYPTQLVGQFNGYIMDICNLIWRNRGLNGEDPNALGCLIPAPTIAALTQYVRD ATDSARERKREAAFTYNLSSIFSLSHNVALCNMSAACFADIEEESDLSENQPRLKRPV TQKALSALEKEGGIKVAWQEYRVRMLDWLEATGSIGIGSLMRSTMKALRKE AOR_1_246114 MPEQRQRRDEPESSSGFKGALQGLAFFLLTQFIFSQFFGGRQQN DAGSSGKPGGIPTFADRPARSEITEYSAIPDIINPIWPSDSALDMNIYVSPSVVLPTV KSGSASQLVLNEKNFTLGNYSDTREIDTTIKIPKEVQQNGTLFAHFMLGLSGHQLDPS AKDYSTDSAVYFFRPLNQYLPKKKAKKLKNLLAGSEEAEEEEEDNTPDVSIASYYHPN FTVSLIPDSGTQRFRQIHPAVRSHLQLEASGARDISGKNGWYYPIVYLNTFWQLRSHM MELNSTVETVPLRITLNNLQNWKFSMMSSVDDSAKQTARQAAFGASTPGGGDGTEFEM VKEILLDTNIWLLGTTGIVTILHMVFETLAFKNDIAHWRKKKDVVGTSVRTILANVFM QAVVFLYLMDNSDNTSWMILASQGFGILLEAWKITKTVDVRLRQPSRNSFFSFLPYVV VFEDKHKLTETEQKTKEYDEIAFRYLYIVAVPLLAAYAVYSLVYNTHKSWYSYIIETL VGSVYAYGFLMMVPSLYINYRLKSVAHMPGKALMYKFLNTFIDDLFAFTVKMPWLHRL ATLRDDVIFFVWLYQSWKYRVDYTRVNEFGQGGDSDAEEEPPAVEAKDVKKTVETPAS SQASGKETSKSSTRKRK AOR_1_248114 MSDNPPFPESPRPQFPNHNEPRVWVITAGDSPIGISVTRQILAH GDYALVGLAHSSLERDECRRDEFESFLAEIDSHSHEGWRQRFKSIPFDIRMIGECQAL VADAVTTFGKIDILLCCTSQTLVGAVEEFGASQQTLNMVRDQFEINYFGPLSIIKAAL PHMRKQKTGHIMILSGITAHIGTPGLGMYCAAGWALEGFCDSLAYEIAPFNLKLTIFQ CSIEIGILTNLVTSVPPIVPAYSPSSNQAPLFRGMLNRLVPRLPNTHTETNGAQETGQ IASVENGPFSRPEVTSMYPPLSPAHMEILVAETVYAITAIGGHENPPSRHIVGQEGVA SVKEKLKTVSEELEDFIQSSYAVDYAAGGDQKRASKDENIFGMGTGNGGV AOR_1_250114 MVKIKKLLAKPEAWNCLEESEKREILDLLPEDLHSNLDPSPDDP GAKIPPLPEEFLRYSNNWRDGIRHFQLDLQNGRYDPVWLRQAGEAMQQRADGKFDKFK EEEFEQFWGQKQKMDKTLAAGQSSQVKLSTLISNGVVLVGDVWKYSRAFKKGNLLVEK EARIVDIQNGRLTFEMPLGQRVFLPAPQSSPLKDPQALVIGESEKPEVVTMTTAEIEQ DRTTETSAGTHLHTNPTKEAGSSNKRKSEIQMEPRKRGRGRRHQVQTPKDLEVDQVTA STEVTRPTQVTVEVTNPPPTVANMNPSVMIQTTTTEHDGQYLEIVSEQASATGDDALP QLPIVEGTSEEPGMITVSGITGPNAIAMKILEADGRSGKVPSGNAWKDFRAYRNNQDM GSLWEVRQAWFLRNKSLVN AOR_1_252114 MVKSTQIARLDGLMLAASVDDEQAEVELSEIKTQAKMIFRRLSR NSAPQASIESGQYNLHYLIQDDICFLCICDRSYPRKLAFTYLADLATEFTTTYSSAQY QSPTLRPYAFVEFDTFIQRTKKLYQDSRASQNLDRLNDELRDVTKVMTKNIEDLLYRG DSLERMGELSGRLREDSKKYRRAAVRINWELVIKQYGPIAGVGLLFLFLIWLRFF AOR_1_254114 MAPNLSHRQTHNLLLVSKLLSLRDTASPLTLLLDSLEQPATPLV KEYIRRAKISKVHVTLIAFETLKPLDGVDAFVSARRKSPSQIVEDVGAAYQATATKAS SPRRLILIDSINPLLLSKTNDAHSQLSTFLSSFLIPPSPSASKVEVSLVVTFHQDVPS PLAPSPYSPSPLSMLTYLATTIIRLHSFSHILAQKAARDRSLAAPVFGLEEEQDGVLL GRLDKPVGKDSVEGIVLEMEHRRKSGRGVLEWYILPPASRYSPQHLKEVVTLLDDHPL YRPPEEPEAGTGEEEPESTFELRLTDRQRREREGVVLPYFDAQQGDGPGEGGRILYDM GEEDDFDEEEDEI AOR_1_256114 MAEPPAKRARRVDSSTMWDMDDRPTRSPEPDSEYNRSPRRETNL KDDGRRDGPRDDRRYRSRSRDRRDRRRDRSRSRDRRDRDRDRDRRDRERSVSRDRYYD KRGYPSKGDRYRDRSRSPLRNGNRDRSRTPPLRGPRGDRRNDRKDHRTQPNGTADSRT PNRYKDEIDMDVDDAGGDDVEEMMRRSMGFTKFRSTKNTKVPGNDVYGVRKEKKTEYR QYMNRIGGFNRPLSPSR AOR_1_258114 MAAPNERRHIVIVGGGIIGCCSAYYLTRHPSFNPSRHSVTLIEA TEIAGGASGKAGGLLALWAYPSNIVPLSYKLHAELAKEHNGKEKWGYREVNCGQLIAR GRPLSEKRKAGEGEGGSSVSLQKRSAAALSKLKTARVPEDLDWLEPEGVRGYESMSDP GETAQVHPYLFTTSIAKLAEEKGAKITLGSVTNIDYSGDSVKSVTYTSQENGESQTIP ATDVVIAAGPWTRSVLPGAPISATRAHSVVIRPTRPVSGYTLFTNIEIPANFDPSKSS RPTVASPEIYARPDDTVYCCGEGDHTVPLPKTTVDVEVDQERCQDIINQVGSVSDELR DGQVCARQACYLPNVTAARGGPLIGHAGTKGLYLAAGHTCWGIQNAPGTGKLISEFVF DGAAKSAKIGSLDPRNYL AOR_1_260114 MHLSKLFTLSTSLFYVVAASIDNDVSVLAKEAARANNQSLLWGP YKPNLYFGVRPRIPNSLSAGLMWAKVDDYATAQSNFRHTCEQNEGMAGYGWDEYDIRK GGRQTIHDAGNSLDLTIDFIKVHGGQHGGSWAARVKGVPRDDALPDQPTTVVFYSALE GLGNLGLHTKSDDSRGFEGDVKLAGYTSDLGEFTIDVTEGPRTNEYPEHEHPSYEDKP LDRTLVSSLTIPPEHAWQTKMILFSQMKEGVSETIEKYGAENPPPPSQVFTIKNTPGD GNVQLVQKVFKGAFEFDILFSSGSSPEPVTSDLLTQEITSASAAFAERFDKILPPQSP FNSDKYSEFSKSMLSNLIGGIGYFHGTDIVDRSAAPEYDEENEGFWEETAEARARAQP VLEGPKDLFTCVPSRPFFPRGFLWDEGFHLIPVVEWDTDLALEIVKSWLSLMDEDGWI AREQILGAEARSKVPPEFTVQYPHYANPPTLFIILEAFLDKLDANKNISVQQSPEDIA ERLRSAYVQQPELGEAYIRSIYPLLKKHYSWYRNTQRGDIKSYDREAFSTKEAYRWRG RSVQHILTSGLDDYPRAQPPHPGELHVDLISWMGMMTRSLRRIAERLGETEDAEEFKY YETAIERNVDDLHWDEQAQTYCDATIDEYEESVHVCHKGYISIFPFLTGMVSSDSPRL KAILDLISDPEELWSDFGIRSLSKKDEFYGTAENYWRSPVWININYLVFKNLYDIATT PGPHQEQAREMYSKLRKNVVENVFKEWKKTGFAWEQYNPETGKGQRTQHFTGWTSMVV KMMSMPDLPATESKGHDEL AOR_1_262114 MDLVASIRKEGSRGGRGDFKWSDVKDSSHRENYLGHSVMAPVGR WQQGRDLMWYTRTDDSEEDRIRKEREEKQRVKEAEEEAMARALGLPVPEKRASSNANL TPLGDKDVQKAVQDTAAGKDLAVDEAGKGIGYGSFRGGVASLSGTGDDDKLESIGLDS HSSDKRGQGKTGIGNTDTVGIAMSANIVTGVIGTGLGLGREIAAGDDRAHRQEAETER VMTKTGDAETTVIIPGIEMQTTIVGVEFSLDFVYLRC AOR_1_264114 MEFNIDDGPLGRKTRAREGLHLRPLNVEKGAKRFSSAPDSFPPQ GAAWRNNLAALSQRRNLLFVAYTHQIYVWEPAGSFQTLGSKPEMIITPVMKDPYSSGY ISPHMPHAINNIIVDDLGRDEVLLLVTDSGNVCGYRVEAIFSALKRAAERKEHRPFDG SQVDPFFAEYVEASAWGLAIHKFSRLIAVTANTGLVTVFAFALVNSASGKGNDIGQGL GGEEDLTDYGQTWLEIKSDEEFKQLRHLMPAEQRKRNMRLTYTGHFTNIPSVSFLNCD LDPNGTWMVSTDIENQVFVWKTWEGPGPFNVYHFGDASFKHFPETFNHDKERGWSVIA LDPRAFHLLKSTKDACGGQPHRRLENGRPVLDLTKLSSRVPNASRLYNYFPPAVKAEP EQPTLPDIFEPDCCINKESNSRQSTSRAVHDGLSAECQHANEAPEPRDTEDVGNLRPS DSADAFSHRAVDGSVLEDYSSSNISTDGESYTGPQQISSQEGEIRSDNGPFRRVDDTE HRTDNNMFHGPLTTPEFLQVALLEALGGDIPGAEEYFDDYSIEEVSPFEDIEMDEADD DTSEGDDTSTSEAVAYQVFNPPTHANFPILHFSQTDIRLIPNPLAPRATVFCGTPLRQ QFTHIVGSLRDACDRFNMVKYIPEHGIVVAASQKGRAAVITLTESETNGLSFRVDWIV PFESQEKYGDRPLIPLLGMSVSPMQGFEMPPDVPNIPHNANGDGVKFHYKPTTNDEFD TPLQNNSKSANIASDELHSPYQVSSAGVSRESTPTVSSKVDTIPEQEQRIFPTLPECH ARATRAYQPEENWRGWNPSRRYRLLLLFADHTVMSYELWYNWSPTDTAGDESDEDGYL LV AOR_1_266114 MNDTELLDDERSVELSSIAAIYPEIKIDPSFPFKASLDIPVNPS PPLRICFEQYSDTELPTILTPPTSLDASEVGLGFATKTVDGGATASSDEDIHVLSHLP PLCLEIELPGGYPSEQSPIIKLSTDPAWLPSSIISRLLDDGKRLWEECGRDLVVYTYI DHLQQLAEPAFGIDDIPDGEVRLPRELKISLLDFNNKAEREAFEQETFECGVCLEPKK GVNCHRLLLCSHVFCVPCLQDFYNTCITEGDVENIKCLAPDCGKEGKSVRSQEGQPNR RKKHDRTLSPSELLQIPLEQETVQRYVFLKRKKKLEADKSTIYCPRQWCQGAARSKRH PKPIDPMSDDLDPSDDEDIGLVFDPNGDEAQLPPMADRVAVCEDCNYAFCCVCKKGWH GELVRCFPRREAELSAEEKATEEYLRLWLSEDNPYRHFNDGNSSCYNKLWDLEGGDGI DPDGAEALHQIPNELLDFDDSSDDEDQPAWDFDDGDNHFRRQGHPPPPAPAPPRVNQR AGGPGRREGGRNLNGLDAAGRAAAAERQAQARAMAEVRGRPDADVLRRPGLQRFLELV QNDREDEWDSDELDDDF AOR_1_268114 MTDTPLASLSLTHVHYNPNDPLSFLSAWLALVPQALCVTYVTLI WATREMEVLLMFAGQMGCEALNFVLKRIIQEERPKQMLGKGYGMPSSHAQFVAYFAVY LGLFLIFRHNPAHPESSFHILIRIVLAMGLSVGASAVAISRIYLNYHTPKQVLAGCGA GIGCAFGWFLITAFLRTHGWIDWVLDLTVSTQLRLRDLVVSEDLAEAGWQKWEAKRKL KRRGHINSDPRSPKTD AOR_1_270114 MDDASEPADTAVPEHHIVKADEVDQTGTDISPVSDDQLMEEVAE GLRQERVSGSTPSAPGEVPMEAGKPYRRPELRRDAAAPPLPLQPPPPAPVQQSSERPT DSLSLAQLRQFVQEMPRIEQPAYAFVYADSQPFAEEIEEWFQYSEFDRAMLLGMKSSF EKKWASFLEAQNAESSQISWIDTTDDQRRAFMAQMVNGIREREVSVRLEALEVVCYTV TGVWGTTAGRDVDDYPEDPSPMETAEVPKSKSLQISWIENNANLVLESSGLAPLFECL CRIFERNRTSYSSEPASAGAESANPASLAAMEREANLILTAFYMIVEVGRKQEAQDPR HTPLRNALIGLKPNLLVFLVEVIARLRWDDSANIPLTRIILLFWKSLLLFFGGSDELK RAKEELEPKFKEREDDTSRRTPFLTASPLDYHIFRQEITSKYPAYNPPPPVVPLELEN NSILPPLPQHPSRGASSNGLFSGVGPSVAGGNGSILHQSVHIATPAPSPPPSPIGPGG KAGKKQNYQTNQNFPFMYPPLDVSSNDIGGKGTTELQDVLVGKRWEGSDVPASIIEAG KLFSTHVKMTRAMRQLWEERERFMKYDRGWYLDDSGAPSDENIPDELLEDFQDLDLKQ GKKNTPRWSPSKETDDEDIQRRLDAVESFYTQALVHLQSITIVFLKIILTNVSAMVNQ TQGSQGMSDGYGVNGSGPGPASITEDLHSEAAIEELDNVRLREITGKAISGSLLLLVK WFKRSHILKFEYMTQLLLDSNYLPLILKMFAHQDIDQAVAQKFDRKELGFFHFCLLQS DQPPEPSHSDEESSGDEAVPPPIARHRSQVDPGNSSVRVQSPEDAFEEFLNEPDLPRP EVDELGYPTAPPPKEPIKVFSFRNFFSAINYLHIMQKITRDKAHRYPHLRFYTLKLFK SQVPYCGRKWRQSNMRVITAIYLYCRPELRDDWLAGSDIDAEVEEALPLEQALRGLTH WWHLRRYKDVMGGEEGASLMEEERDFFVRELEAMGWGFAGEEMLNGTSEEAEMAAAGG QLPEGTEWDGGPLQMEGW AOR_1_272114 MSSDEIVWQVINQQFCSYKLKTTKGQNFCRNEYNVSGLCNRQSC PLANSRYATVRSDPETGVMYLYMKTVERAHMPSKWWERIRLSSNYAKALEQLDERLIY WPKFLVHKCKQRLTRLTQVAIRMKKLAKEEERLGEKIVPKMAPKIRRREETRERKAES AAKVERAIERELIERLRSGAYGEAPLNVEEGIWKKVLRGLERAGEGERDEDLDDGELE EEEEGVGEVEYVSDLDEEEDLEDIEDWLGAESGDSSDDYDDEDDEDDDSDDESDDEDA SEHSEDEKKKPAPGFKRKRPAPQAKPRKKGPRIEIEYETEGAGKENLFA AOR_1_274114 MSSYFSSLTSSSAISNLGTRLTSLRRAITLGDEADDPDHEDCSH ISNVLRAYYTEKGRPFPGWLPPDPKAPTPAPARTIATTQIQPGAHGSAPATSMYGRGS GGGLGDLWGDSGSAQPPTSQTASLRRGRAAGSMGAPLAATSSAPPGSVATPPSHSPTP PSLHPGGARPLPSQRGGSYQSLSGSQPLERAASAQERLRARLHGGRSPSPGQNPNSRP ISPYYGGSDPSVRKPVGGRMR AOR_1_276114 MGSLKDVEASHDDHKDATAVEMDDILQDSKGTAAFDEAAMDMEL QQLENQLRELKKSRFELALPNPAYFTYILVAFASLGGLLSGLDQSLISGANLYMPDDL HLSDSRASLVNAGMPLGAVGGALILSPANEYLGRRMAIIVSCILYTIGAALEAGAVNF GMMFAGRFILGMGVGLEGGTVPVYVAECVPSRIRGNLVSLYQLNIALGEVLGYAVAAI FLDVKGNWRYILGSSLVFSTILLVGMLFLPESPRFLMHKNKPVEAYGVWKKIRGFEDI DAKSEFLGMRQSVESENEEQQHTKKYAWMDFFTNPRARRAMVYANIMIVLGQLTGVNA VMYYMGTLMENIGFDKRNSVFMSLVGGGSLLIGTIPAVMYMEKFGRRYWANTMLPCFF IGLVLVGVGYQINPERNPVAAQGVYLTGIILYMGFFGSYACLTWVIPSEVFPTYLRSY GMTTADANLFLCSFIVTYNFTAMMKSMTRIGLTLGFYGGIAAIGWVYQVIFMPETKNK SLEEIDELFSKPTSYIVKENMKSTAQIIRDLSHFRFKKVFSP AOR_1_278114 MPSSSDYLNPKARASIADDSGSDDDLDLEELDPTSATIHSPRST DESTRPRDHGPGIALRNLRLGVRDRVWGRNQSGTYRGSEDMDRLLEDRDDDGLRRSHA SSHNYTDDNAPLLEEGRHESGRSFPDNERVLHRNRRFRFPGANIASLFFGSSASTESE TVIAKPPRDVFVGQVQRSKYPPNIVSNAKYTPWSFLPRTLYNEFSFFFNIYFLLVALS QIIPVLRIGYMSSYIAPLAFVVSISLGKEALDDIGRRRRDAEANAEEFSVLAFDKPLE RQTLSARGDVNSEIANAIQVTKKSRDLKVGDVLKIRKNQRLPADVVILKSVSNDTAHQ DTVTELSSDLIQADQSLGPPTAESSSRTAAETTNTSSTSDTFIRTDQLDGETDWKLRL PSVLSQNLPLLDLSRLKVTASAPDKSVNDFVGTIELGPPVGFYDPHVDKTDGDNSGNE GVQSKSAPLTIDNTAWANTVLASNTITYAVIIYTGSQTRAALSTSPSRSKVGLLEYEI NNLTKILCVLTLALSIILVALEGFQPTNDKEWYVAIMIYLILFSTIIPMSLRVNLDMA KSVYGRFIERDKDIPGTVVRTSTIPEDLGRIEYLLSDKTGTLTQNEMELKKIHVGTVS YANDAMEEVASYVRQSFSGSSLTTPSTPFGTQTGLGAAPRTRREIGSRVRDVILALAL CHNVTPTTDDEDGVKVTNYQASSPDEIAIVRYTEEVGLKLAYRDRQTIVLESTHTRSV VVRARILEIFPFTSDSKRMGIIVQFETDGGILESPKQGPEIWFYQKGADTVMTSIVAA NDWLDEETANMAREGLRTLVVGRKRLSLQQYQEFDVKYKQASLALQGRDIGMAKVISE YLERDLELLGVTGVEDRLQRDVKPSLELLRNAGIKIWMLTGDKVETARCVAISAKLVA RGQYIHTVAKVKDKSTAQEALDFLRNKTDCCLLIDGESLALMLGQFRSAFISVAVLLP AVVACRCSPTQKAEVADLIRQHTKKRVCCIGDGGNDVSMIQSADVGIGIVGKEGRQAS LAADFSITQFHHLTKLLVWHGRNSYKRSAKLAQFIMHRGLIISACQTMYSIASHFDPK GLFINWLMVGYATVYTNAPVFSLVFDRDVDEHLANLYPELYKELKSGRSLSYRSFFTW VLISVYQGAIIQGLSQILLDTIAGPRLISVSFTALVINELLMVAIAITTWHPVMIFCL LGTALLYAASVPFLGEYFDLQYVITVDWVWRVVAVVAVAIIPVWAGKLIQRSWHPPSY RKVRG AOR_1_280114 MAHNEVSAAWHPALRSEDGVPSNAPVSDDLTQVSKDSMTESAAE LKPSEAVIQENDLHSSSTSPDTDASVNVQSTAVPAVLDSDTPVYAEQVLNQENAQKNT AENSAQEDAPDHGQELSQTISDEPHVIETSEESAPTLGAAFGSDANGSHDTAAPDYMM DEPSPAEHDTTERREDNDAASWFNEQVDSGDRQTTNEFVNDDNQDFWGSPTNGDAGDD FFNQLKTQTKPIYIPPETESRYEEGVPLLDNTVESPVQPSMKEESQIDKIFEDDGDDE GGAFFNEVQGSVPNEGVPSPPITRKSTTQVIGSLDASPDSPVSPASSTAQEFNNILAA AASENQVKEDLSDDDLAAKWQAELSDDQPEKSTEDDLAARWQAALDDDDDLLLEDEIG KGPNNGQESLPQNPNGSVHETTQATLSSPFGTPQSSARPQAQPTSYTPHQPSTSDLLQ GIPGIAPQSSAAPMQDYFAPPAQPRPTTKRAESFAERSKEGYKSPYDLPDDLTRPRKP VVTHKPVVAQPGSMPPPPRSSSIPVPPTNAPGVPTPPPAPSTVPAVTTPKNFYEELPL PPPRPRSRPASSGRYTPTANIVTSPPSHSQPPPPPPANPYASLSPPPQDSGSVGSQTQ LQQPERLDPYANLLGPGAPGAPAAPSAASRYSPKPPTLQPGTKPPSAPRYSPAPPQSA APAPPRTRYASQPSSVSSQGAVLPFQPRTSSPLAHHEKVSYQPPEGLAIRSAPESASS YAPNGMQPRPNQQDVSNSITAPVGAAGSAAVTAVPENVSAAIQPTSPPRNPYAPPAYI NEFSKRVAPMASPPPAVVPPTGDAQFVPPRRSQTQSPSQQASAPGLSVPSDSLQRPAS VHAPASPTKSANPYAPSQISIHNRVPSQPLEFIPPNDGQELDPLERWKGAPIVKFGFG GSITSCFPKHVPRYAAGQAAPKIKSTPGEVKIFSANDWVPITEGIVQHPGPLKNKSKK KDLVAWLSSKIAAFENEGISEAAQLHPESSKRHDEKILLWKIVRALVEHDGVLEGSAE VEKSLRYIIFPHLQNSEPESTSGVNLPAFNALPPLNAPSQSDATDSQSLESIRNSLLV GNREKAVWDAVDNRLWGHAMVIASTLDRSVWKQVVQEFVRREVKSTTGNSESLAALYE IFAGNVDESVDELVPPSARAGFQMVSKVGGQGPSKNALEGLDSWRDTLGLVLSNRSPE DHKALLALGRLLLSYGRTEAAHICFMFSRAAVFGGADDPQTSIVLLGADHQHLPLNVL QDDDAILLTEAYEYAVSVLAGSPTSTLPHLLAFKLIHACSLAEHGRKSEALQYVDAIT AALNATTKPSGYHNQHLLFGVDELSARLRQTTSDSGSSWISRPSMEKVSGSMWAKFNS FVAGEDSDAASTGSGKAGDGDIGPFAKFSGTPTVSRSPSVSDFGPYSLPAAQSVPGSG PSRYQPGNQYVPNSSPEQYRGRSSLDSQRSSSFGFPFGQRRGSQEPSTPVESSMYQGG PLYGSPSAAGYQSTPPQASYMPLAPVVEDSAPQPYPVEPAPMQGSPVNISPYQPPANE SFGEPLDQSSATVPASSMAGYVPPGAGGGYEPPSVEISAAPALDTTEEPTHQDVLKKK KSFMDDDDDDDLAARAAAIQKAEKARKDREADEAFRKAAEADAKRPPAAKKSWFGGWF GGAKKENDNNNNSGGPIRAKLGEENSFYYDKELKKWVNKKDPNSASVSRGTPPPPKAS APSRSASGSTAPPAASMGLGLDSRPPSSAGAPPSLSSSPAPPSLAAPPPMLGTARSAS TSAAMPTPPIGSSLPPPPRPATSLSNASSIDDLLGAPQARKGTSAKGRKKGRYVDVMA K AOR_1_282114 MRFRTQLADAATFSKLTASLSSLGKVCWMRLEDGIVRFTVIPDQ GTQVWAQLPVDAIFDETSYILESNSGVINLEVPVGALHRALRSAVAATSAQLRLTKKG NVPLLALTIHASSWTTGSNALGITESDHAAVTGQATTASRPPAVSGPRERETVITQEI PVKVLHESAIEGLHEPRCRDPDVHIILPSLFQLKSISERFTKLATDSKGSSGSAVVSA VSPKLELSANMHGSLKLAIATDALRISSVWTDLVNPSLDPGQLSQTEIEQLPSERMRA ISDDDEAGWAKVRIDGKDWGRVLSVGRLSPKVVACFIHETALILYVYLPGSWNGEDSC LTYYINSYVA AOR_1_284114 MATSRSNPIPPPISLPAANFASHAVPPTPSPSRPTIPSYQSLDP LLANLSPESTLEALTSTDAVPKNEPAYDILCKSISQVSEAERALGIRAAVAAQNLSLW YKEVQTWEWPKCTDAQLGQGFISPSTTISNTSEPEYLGSLPAGVVAEHEKRIEEIRDG MESLGVDELKEHVLNAHIPSRSRPSSSNSNLSGPPSFSYVQLSDFTAVITATILRALP LLSRLNSLLSTWDVRLLVLRQVPGLLWSLRLAQSELNSALDLLKPSTPPSEQDALYSR TNYHAKRAALEVTVLSAGRRMDRMLDALEGREDSLPENWIDELEAIESGFGNWVMDAE KQTVENEWRRMMANKLKSKDHPQVPNQPAPVSDENGAPEDLTTQPPEPFPQAARPPLM ETIAEEPGSPTEDQISFETMLDQSVATSQRIEQAPTRGSGTSSSLDGTETANDSSEAS RTIQEADTVIEPIVTPERSTTNTAVLDALPLGEKETANAHPVHAQQISSESVCTVAAK DMPFSDLNREEVTLPEDILPRKTEPNNDLRQETTPGIPPLLKQIVPQLVQVRKQKLGV S AOR_1_1610114 MVAKHRQSQLPPNLSLSVTVEPAPTDVAASIAVNRDSSLSSRTS SPSSPVSSRPPPSLTNDKDTAAPSPRQPLDSPIKLSKTRPGRLDPEETLISRGRRASG ASVDSSDYPSLVSSPDIRGLHTVSSNGTPKLIETPPLFQTDYQRPGPMPTNSDHTLRE DRLLRLDSEKSPPTSLKHNRALSLPLQRFINERLDMDYEGESNTDLTIPTIDKKVTDS VVRSSSHNDRLRPLSHSSKQRHPAPSTGIRRPVGRRSDLSREDHTSSGPDSVTQRQPK VWERNKNTSVRNTAHKAPVSQPPVLSTATRARKQLTAHPSLESIGAYKSTPRRSGETL TGTANEKTGSRPSTPGSQIRRPRDHLDEKISSILTTLPTRIHFEDREADASSVISSLP LNARERFRSISPQGSASRSGTPTPSLTLTPAGSRRRYSHAPEESSVKLYHLHQGGKTV PTKLFVRSVGENGERVMVRVGGGWADLAEYLREYAIHHGRRHVSDTPRVEVQGLSSRE TTPTYTPPGSRLTRSGNGRCTPSRPHSVISNRPSSSLAVRKTRRASNVSDMTDLRAAS TIETLNLSSSPMSTVSSRRRLSTSSNTSFGAASTMSDARYGSIPLGLAGPKPRSRYAP MSAESEAWVEDVLGQARRSSSLRPFTFGLSPPEQDHAAGKVPTLPKSRSISDIGKVGS SKRVVLRGLGSR AOR_1_288114 MARKEVQYTPPPSPPSPTASYYDVSDDEEDDYNTISHATTGRGV KLLFSKSKVYVHPTPSSKDNIPGFIALIQQKPAHGASNAIASADSSRKAELSSYLLAW VPESSLGDAYNTYVKVDLAGDSSPPRQRYLVPPLPTTTTHKDPIGLYAFAVPLSEIYS LLVRPPSIGWWFGSLVINTRAGDSFPALFFHDSECESTILQKRKRTQESFDPFGEDGS LFWGGDEVLRWLRKYVEVQRSAADNSVYLINPSEEDRISFGRPLTADGTVTRAQDQAT GPSAQGSSGQRDAGMDPFMKAIKETRWKVLEQLSKITTFTKRTANEIAENPRIPPQVR RLMKTPEIQTLQDEFDSARLYLARWAMSISEQSERERNQRIWTARDVLEMENSSVGDF EILELETGTMSIHERRKTVTLKEWEGFFDPATGRLQVTVEEVKERIFHGGLDPNDGVR KLAWLFLLGVYPWDSSHDERQALMNSKRDEYIRLKGAWWETMVEGHSTEEQHEYWKEQ RNRIEKDVHRTDRTIPLFAGEDIPHPDPDSPFADTGTNVHLEQMKDMLLTYNEYNPDL GYVQGMSDLLAPIYAVMQDDAVAFWAFVGFMDRMERNFLRDQSGMRAQLLTLDHLVQL MDPQLYLHLQSADSTNFFFFFRMLLVWYKREFEWVDVLRLWETLWTDYLSSSFHLFIA LAILEKHRDVIMDHLKHFDEVLKYINELSNTMELIPILTRAESLFRRFDRAVQAIDKK NNFPVPSAHQRKPIQSPSDADKGKSPQRPPSTGFSSGTSSGPSAPPGDNSEPQVISPE LRELFSKDIPWKRQPSERREHIQNSS AOR_1_290114 MPELARTLPASWYCSLPLYQLERRAVFMKSWYLLGPVTKFQNVG EKNEYEIAQQPILAFRASGSSPLPEPGEFQVICGKTENPLRYHITPTGLIFTALSDEA PSFHEYFPDLEPLLQRVDFTRLPYRHSIKYEGRFNWKTMVDGYQECLHCQYTHPSFSV YYPPTFYTVHNHQNFSQHIADPNKPDDGLFLYFFPNCTLNVYGGGMSSFRVCPTEDPN ITRMEFDYYHMESGEKFEEYFKFVRQVAMEDYELCEKAQDNLGRGVYSEGILNPEKEN GVSFYQDRVFELVCQQHAADQLATESVTPVTEERDKGLEQIPATA AOR_1_294114 MEAFIFPTAPTLLALLAGIAFLYRFWPHNLTSTPKLSNPKTSDT LSSIPDVAKEENCGVSKESDFPAGWWVSKDVFELEKRAIFSKSWLCLSHRSRFAKAGD YQSYEVAGFPIFLILGKDGKVRAFHNVCRHRAYTVTKKECGSSAVLGCRYHGWSYNTY GELTKAPHFDEIPGFDRSQNSLFAIHAVTNGAGFVFVNLDASPRISSVDTGLLDAFAS NNKLDSQPLWVAGQTIKADFNWKMAFRSKQLIDFAKLENAIEQTSYLSQVTSILKHFR AKSEHFSLFPFTSFHTIKRTGWWCALSFISVSEQKTAIRYDLYCSKNDSSCFQIVADK LAELVEERARELETEYQESFISVPPSLHTEEIVNISPFSSSFKNILDCLEAHVKLEKA QGAEVFPAMRKPRENSRFQQAEQLCKELDCKSEFRGKDLAW AOR_1_292114 MMALPPIAKATLQAALINAGSNILAQSIQSYRDEKPFELDLQTL FQFTTCAFVMSPMTFLWLEGLESALPGHTSEEPAATKSTTEKADKFKQKKLNVKNTVA KVVIDQVVGGAWATVLFSLTMGLLRGQEYDVLMDQIRKDFWPLLIAGFKLWPLVSILN FTVVPADKRLLVGSIFGVVWAVYLSLMSG AOR_1_292114 MMALPPIAKATLQAALINAGSNILAQSIQSYRDEKPFELDLQTL FQFTTCAFVMSPMTFLWLEGLESALPGHTSEEPAATKSTTEKADKFKQKKLNVKNTVA KVVIDQVVGGAWATVLFSLTMGLLRGQEYDVLMDQIRKDFWPLLIAGFKLWPLVSILN FTVVPADKRLLVGSIFGVVWAVYLSLMSG AOR_1_296114 MGILSSQGGLSSSAPVSYKPPMVAKREALASDCANNVCWFDAED DLESEDGSISPISDPRQDRKSGNHWARFFPELSSHFSLVSPISTMTPATSLSLSSAET HHSSDPRSHADDVGQRAGSCSSSSPEGSSCYSRRSSATSLDSVSPGPTKKCADTISVV SPADAGVFDDLASIRRSHSRPLLKKLSAAELRNKPLPLEPAIRLTPLSVRHKDPPKIR TSTSAGPQSGRSRVSVAAEDLENTLSGFRADSPTIPLHLLNEPLQISRGRMEMIPSRP APQPPTDMRQKRRVEAREDERVKKNKGAFNFHLSGFSRKCSHLHARSWSSPNMRSEAV SSATRVRGGSDCKERKSKDDMAGLPSFLNPNQILEPLSQSIERELRMQLPRLQVKETK TACSPILEIEPSTEKEAIQRPEEVEASQREKSPDQVIFKEKFFVSSSKITVSLSNNVS HQVDIAELPEMVYELDSGSPKSRRKPTISDYLPTPTLQMPGNLPDKVVVTFLRQVRSL DDLFRLAIISRQFYRVFKDHELELIKGAVFTMSPPAWELREMSPPWSTEWQILVDPDT PVPEYTPSLYLQRYAQDIYTLAQLKLLILTRCETFLRQDTIRGLTGKDDACAKEVDDA LWRIWTFCRIFGSGKSREGDIVGQVDWLNGGAMAMSDLKHGATASVTEPFGIHDILFE PPTGFGHGNKGGLSNDQLYYMTEMWTCLGVLLQPIHGRCKEAREAGIFAGHQVTEHDH ARAAAVLEEWTYYVLTLGPSAVLNMASIGPGGCAATLKRAQSIGLTKWECSESGVSRS SFLKEAVSKAYRSRCDSLCQWSPRTSEGGSPSASDTSSPSSANSRAAQLQLENERRRQ AAYADQLRNQRKRPANEGPHSFSDERPISKYSFIMSRLEGVPYEQRPPMPAPPTMASY AAYCGPPTSQYPVKSQPPFATYQQPQVRDPVDQAIDMMVRELGFQEQDAKWALKVTDS GEGINVNAAVSLLIREHQNYQRNNNVVPMRTYRSNSLLSSVIASPESMNSVWRWA AOR_1_298114 MANIVAGGLHKVQEAVQGAASKDKKLVDLAPDTHNVQSSKEPLT TDHGVRISDTDHWLKEVNDNHTGPMMLEDQIAREKIHRFDHERIPERVVHARGTAAFG NFKLHESAEDVSYAGILTDTSRNTPVFLRFSTVQGSKGSADTVRDVRGFAVKFYTDEG NWDLVGNNIPVFFIQDAIKFPDFVHAVKPEPHNEVPQAQTAHNNFWDFVYLHPEATHM FMWAMSDRAIPRSYRMMQGFGVNTFSLINKEGKRHFVKFHFIPHLGVHSLVWDEALKL AGQDPDFHRKDLMEAIDNGAYPKWDFAIQVIPEEKQDDFEFDIFDATKIWPEELVPLR VIGELELNRNVDEFFPQTEQVAFCTSHIVPGIDFSDDPLLQGRNFSYFDTQISRLGIN WEEIPINRPVCPVLNHNRDGAKRHRIAQGTVNYWPNRFEAGPPAPVEQGGFASYPAKL NGIKKRGLSPKFREHHNQAQLFYNSLSEHEKVHVKKAFGFELDHCDDPIVYERLAGHR LAEIDLTLAQEVAELVGAPIPDKALRPNHGKRSKHLSQTEFPGKQPTIASRRIAIIIG DGYDPVAFNGLKGAITAVGALPFVIGTKRSPIYADGEDKSSSKGVIADHQYDGQRSTM FDATFIPGGPHVESLKANGQIRYWIIETFGHLKALGATGEAAAFIKEALGSALDVKVA TSDNPQPVEWYGVVTAGKIHKPESFKEGIQIVKDAKDFISTFFYQISQHRNYKRELDG LASTVAF AOR_1_300114 MGVLDTQEPSAAVENFQEVLRLYPPFRDDDGAILAAVDLRCPDC DAVFSSSKELKQHYLTKYCPGTAVAVYVMTRDRLITKGYRMEKRFIPLDSRTIRFYCG SCERHFAVDDDAPSYEPILNDPRDDVSFRDIQRDIASPISSQSPSVAQMSCRGLKSPA AANPHDQSITKKAKKIRDSWSLRKFSQSDEDELVAISFAGLYDLERVHAPNGVYQPFE GGTTVTHPGQSVTSVTSSAINEVQAYGSKGSGLALCENEHHRNDMHGSDGELLDDSQN ETTPRRQSFLEKIFGRKSSSEASLRQANCRAAVVEYHDALKILETAPALDVDKLSRAT ILHSMGQAYRNLDMPAQSEACCLEALGLYKRALGRDNPKNFSVLHDLGALYERDGYAT EAAALYERSFAGRLKMLGHNAPETLSSMQDLASLKVLLGDLEAALLLLEKVVPALETV FGLQHATTLNAMNHLSILYQKLRLGEESRAISHRTIPHCRTFFGINSPITRDAVVRYF QDSNNFDFPTDIQDILDHYQRSRDPDSLKVIHQLGRSYMDNGLNHDAAELFEALVEDF LTIKGPEASETFDALSALCVSREHLDSIDKAILAYKQLIHMANRTPADHPSRKRIGYA DRRISDLNRRRDILADERKAWSLHEPAQSCKIFRFCNEICQKQALQTHFPYCIPSVSL RESKSLAVKARCPTSARDQAISKIRRVDKTKSVNVTASYTFYLDPRNFTTFRMKLNSD TNTVILFSLDCDIQYATIDNPLLDHNQGDSTSRSTSPSSTSSTADLKGVRWLSPDRQE AIVYVPSEMPQPQARYVLVTPGREMLKSIIERRVGVRGGGGEKERFQALELPDDELIE FAQGLLLTGFLGEVFMHVVEWVWK AOR_1_302114 MRTSERDALNRRRSSTLHYQTFETPPPKSRGRPNSGESRSSAAD HPHDEPSHNESEGHSPLPKKQMAILAMISLCEQTAFNSISPYLPEMASKFPEVEENLV GVYVGTLATAFAIAQFTTNYFWGWLSDRIGRRPVILLGTILTAVCFVAFGFCKTLAQA IVVQALMGAVNGNQGLVSTCLGEITDRSNQSKAFAYLPVLYGIGGITGPLVGGLLVFH HNPWDSSKPNPYPYLAPNLMAAAVLVLDFVLSIFFLEESLEDPESLPKLQQRVRDFFS WLWQFTSLAKRARYLQPPHPIPYRPFRQDSGDTADHDSDLDSASEASSYRDNHRASLT SSELLNRDTLLLLLTYLVFSLCNVAYNALFPIFSQAAPPTGRGLTPSEIGLAQGFSGF VTIIFQICIFGKLRDKMGNRWSYRAGLFGFVVSFILMPFIGYKGNDSGGLSGKTALLA AELCFVLLVKTIATVGGLTSALLLITNSAPDHAVLGALNGLAQTLSAAGRAVGPFVSG GLFSLSSRIKPKGEALAFGVFAGISFVGFLLSFGIQGRSLEADDWESDSEHYKSDDEE PDS AOR_1_304114 MLEAFEILTTSGVVLWSKSYAPVGAHIINSLINDVFIEEKVQIQ AANAAYPVYKKEKYTLKWKKVKEFNLIFVAVYQSLLHLGWIDKLLDNISTLFIDIYKD QLRSTRVRVVEYPFDKYFEQQVKELEDNSAPINSEGVVTGAENKKDPLVSSEHGGPPP PSVPGLIQAQRQAAPGVATSDEGTPPQTPDTSRSTTPVASQILTARGGPGGRVSRRAR KAANAGANVSSGDESIRKGKTPKGGKKMRKWDADGYADEDDGTVLDYSAPADGDEAAA PAVEAVAQDSWGHRTGKGQFVLKDLGDEVHSILENADSEKAKNRATGIVGSGFNAIGG LFRNIVGGKVLTESDLEKPLKAMEDHLLKKNVAREAAVRLCDGVQRELVGKKTGNFQS VDAALRQAMESSLRKILTPTSSLDLLREIDTVTSPTSKQQSPRPYVISIVGVNGVGKS TNLGKICYFLLQNNYRVLIAACDTFRSGAVEQLRVHARNLKELSARENVGQVELYEKG YGKDAANVAKDAVEYGAANKFDVVLIDTAGRRHNDQRLMSSLEKFGKFAKPDKIFMVG EALVGTDSVMQARNFNQAFGTGRNLDGFIISKCDTVGDMVGTLVSMVHATGIPIVFLG VGQHYGDLRGLSVPWAVNLLMK AOR_1_306114 MYRQSFAPPPAQSPPLHHPVPQHVSTVPMMRSPPPPTSQQSQTS GYGNNPYQPAPAQGGSGTYAPGFGGFINDPTAQMGFQVGKTAMMAGQEYMEQNLNRYV SIPALKHYFNVSNSYVLNKLALVLFPWRHKPWSRQQSRVTAASTGPNGQISHQQYSTM FLPPRDDLNSPDMYIPVMALVTYILLSAMLAGFRGNFHPELLGSITTTAIAVIVFEIL CLKLATYILSINNESQLLDLVAYSGYKFVGIIITLVTSEVLTPGRGTGGWVGWVVFIY TFLANAFFLLRSLKYVLLPDSATDARTGSMHTVARSQRNRRTQFLFIYSYVIQFIFMW VLSREGPTASNVAGSAS AOR_1_308114 MSPAKAKLVAEALLSSIGLELVSCRVLQTLWAGYGHICEITARA SGNQTTRTSNVKKDANGNFHLILKLISPPKSDGDEGHLRKLLSYEVEQYFYQEITPSL DQDVAVASCLASTGHLQQGQSQELQGLTATIMEDLRQKFPVAGEKRALLNQQQVYAAI EWLAKFHANTWKLLPDDLDRYLLPPLKEMQRRRADPSSGGDKLWLNGGYTYLATRQKE LASLIEDTDSEWSAALCEPPQGSALSIADQVANFLTPCGRPYESYIHGDVKSENLFTT GSGDEVAFFDFQYVGLGLGVCDLAKLFTCSVPLGMLTSDYDVPEELPMDDGERALLEC YREALLARRPSGMKEFDYPMNILVHHWETALVDWCRFQASWGFWGNTEWLEARVRSIL RDPAWQEWLRNESG AOR_1_310114 MADIDIKIAQWKLVEIGRVVLIRRGPYTGKLATIVEIVDHRRVL VDGPSTEENKIVPRHVLPLAHATLTHFVIPQLPRAAGTGPVKKLWQKSEIDSKWAQSS FAQKTERAERRKNLNDFERFKVLRLRKQARFEVQKAHAKLRAAAPKS AOR_1_312114 MADPRVEEIVEEETPKQTVEDAGSDSESEAGEANIPAGAAVTIH SRNEKKARKAIGKLGLKHVPGITRVTLRRPKNILFVINQPDVYRSPSSNTWIIFGEAK IEDLNSQAQASAAQQLAAAEAAAGEHAGHDHEHDLGTKVPEAETKKEEEEDDGEPVDE SGLEAKDIELVMAQANVSRKKAVKALRENDNDIVNSIMALSI AOR_1_314114 MAARSAALKIDWVKVTSSLGLRGQTAASLQAFKKRNDDARRKVQ LLSEQPQTIDFAHYRKVLKNQAIVDEIENQFKTFKPATYDVSRQLKAIEAFEAQAVQS AEETKGKVEAELRSLEKTLENIETARPFDELTVDEVASAQPEIDEKTASMVSKGRWMP AGYKERFGDMSVV AOR_1_316114 MNQLSPPGQNRCHLENLPVEIIQEIFFHCLEFNLPRASLYISRV LSDSTVYTWLIRLAFSSANEGSKSDFFTPDFLPPPLCFFALSEHQRRDLQHEILASRW CTLPLMRKCQREYVEHAIRRKCRNLELAPEDHYTLANINSRFSNLESCDKGWGGCRSK GDLILKARDRDTNIDYKVAVWFHFGAFQVRKPNKLVTDLDLFRLPCCLPELPARMPNK LLGPPWTDTKLEFLQLLSLDAYIDADDTFTRSRRILRQVIRDRDFATFQRLVNMHIRC QCYKYPVRWSVLPNHFQVALKYADEYDDPFIKLLVEQRWEDIPANLLHLKDQLMSKVG TSHI AOR_1_318114 MGAVVSCIQSVFHAIGACLMGIVNTIGAVCKAIIDGVVTLFDVI ISCLTCGYCGRRRRGTTRTRRSRI AOR_1_320114 MLTPIRVRGRRTKRPAPTEDAGPQLKRSKGRGGRPLMSLAERYN FSQSQATRRAQRLIAKPPKQPLKKLSRLETLPVELIEKIFLLSLNVNLPRASASLAAT VSSERIYRALILFAFWNDVPSSTGPFDAVSATAIAKILRPLEYIPLDLNERAALQSAI LRCKCIQRYWFSAGIAMTEDQEEKLRRFLAREEEENETRSFEGTDKDNNHYTLSVSPL VSVTVTCHETETAQTHRILGITEFPERFLKGGNGFTSETIAYLETLRLASGFNTSELM ETHVALSRDALQKGIHAALVEHNAEALTSLLKIDEYHFRCRNTNVAVTNSVPYTIPAE HFRTAVRVARNEPALFQLLVRASAESVPADDSDITQWAMDLDDSFGQWLLDLMLQLPQ RIEAANANPAEGAVFYLGRANGQVELARRYLNEVLGIEELGSWMEETSHDFESQWRSL AOR_1_322114 MEALAPYIPPALLPLAQTLHDQVPLVGVALVSLGAIYLGYIYIL GIKEAAVPFNVPIPPEVRANWKGKAWEDVQGEEKKVLEGQLRGSWNNKVILSYCPADG RILGNGIKPATTEDVDRAIQAAKMAQIEWARTSFAERRKVLRTLLKYVLEHQEELVTA CCLDSGKTKVDASFGEILVTAEKLKWTIDHGEKALTPQSRPTNFLMMYKKNMVTYEPL GVVSACVSWNYPLHNFIGPIISGIFAGNGVVVKPSEQTAWSSAFFLEVVRGALSACGH SRDLVQSVVCLPEVADFLTSHPDISQLTFIGSRPVAHKVCESAAKALTPVTVELGGKD PAVILDDARTVSEISSIASILMRGVFQSAGQNCIGVERVIALPGVYDKLLNDVSSRIK SFRLGSVLLESKPEDPQQKPGAPDMGALISPASFDRLETLINDAVRQGASLICGGKRV NHPKYPHGHYFAPTLLADVTPSMRIAQTELFAPVFLLMRADSVPHAIAIANSTEYALG ASVFGYNHVDIAACVSNIKAGMVSVNDFGSYYAVQLPFGGVKGSGYGRFAGEEGLRGV SNIKALCVDRFPKLMATRIPPRVDYPICKGDGSRQNGTGAWEMCKGVVETGYQLTLAG RVTGILRLLKNM AOR_1_324114 MERRITRSAAAKEAASLAAAIKTSEREFVTKPPNLENESPEQQS PSLGNTSKTGSASKRRKTKRLASDIDVANELPHNLGSSVDPKYKASAVSSGVKEEVID TLANDLKSTVQKATTAPRSVSSGKSKKANPYRLTPGITPFPDWPHPTPDACEEVNRLL SSVHGEIIPPSTIPEPSLTVTGCGEVPSVLDALIRTLLSGATSGNNSALAFNGLVQKF GILHEGIGKGSVNWDAVRQAPLKDVFEAIKSGGLADVKSKNLKAILDMVHKENQERRE ILVKGEDAGPPDLMQKSEGSKQYEIACADQHFLSLNHLHTLNTEQVMEELIKYPGIGP KTAACVLLFCLQRPCFAVDTHIFRICKWLGWVPPDKATEITAFGHLEVRIPDHLKYSL HQLFIRHGKTCPRCRAITGQSSAGWDKGCVIDHLVKRTGKRKGELATSPPVKRKSTGR QTRNEKA AOR_1_326114 MCGIIALIQANPSSSAAVDLHEALYLLQHRGQDAAGIATCAAGG RIYQLKSNGMAAKVFHDGSRVADLPGFMGIGHLRYPTAGSSANAEAQPFYVNSPYGIC LAHNGNLINAPELKRYLDFEAHRHINTDSDSELMLNVFADELSETKKARVNKDDVFAS LSRMYERCQGGWACTAMLAGFGLVGFRDSYGIRPLVLGSRPSAEGEGTDYMMASESVA LHQLGFTNIRDIQPGEAVIIEKGGEPVFRQVAPKKAYAPDIFEYVYFARPDSVIDGIS VYRSRQRMGDRLASRILDVLGPEVVKDIDVVIPIPETSTTSAAAVARYLDIPYCQGFV KNRYVFRTFIMPEQKTRQKGVRRKLNAMQAEFKDRNVLLVDDSIVRGTTSREIVTMAR EAGAKKVYFASCAPEITHAHIYGIDLASPNELVAHNRDPEQIAKHIGADSVIFQTLSD LKGACAEIAQENGLAEPQNFEVGVFCGDYVTPVSDGYFDHLEKIRGEGRKIKALDRAK EAVTHGFASEKDFQIAANGVKLDASGNIIPASTPGESEVPQVSICSTRKPEESEEHPK VKDRMDISIHNMGDHP AOR_1_328114 MSSIQAREPSILEIADPRPTTLEVYDSDTDSSVTLDHDSDGPDY ADDVVVISDNRPRDPTPFLLSTNAAANLRELVDLTLPTFQAFDEDDYCTDEEFELLLQ SWQLHTPSPRVTTPVDETRATTVLPVEEVCIDGILYKPGQSLELYDGSYLRICTVLKD SMDAVSFSGRRLLKTRNHAGTYVPKERNELVWIANATEIIPFQMAKKFVSMNFTNICA IRGDPQKLVNPNHLWCRLKETLEDGEVSIEYVSFVEADEGHKIEPAILRQLWRGKSRA FGEEDRPRESSPVIVLDNPDPVIDLTCSESVDEQKRRRQYTFGDGFCGAGGVSCGARR AGLYNKWAFDNSEHATSTYRLNFEHAYCELSDIFSFLTSNDEFLRVDVSHSSPPCQTW SSAHTIEGANDDANSACVFSSADLIRRAKPRVHTMEETNGLLDRHRDTLHRVINDFIE IGYSVRWGILRLLEYGVPQTRKRLLVIASGPGETLPPFPRPTHGPGLGEYPTISQAIQ NIPLGAPDHDVQAALSRGMDKPPYDPHRPAGTITCGGGDNNYHPSGRRNFTNRELACL QTFPRNFRFGRRQVRKQIGNAVPPLLAEAMYREIIRTLKKTDEDEASR AOR_1_330114 MSASCLALRRLTHRSPRTFQSRISSVSRISSAASSFSSLNALRA VNSTAPRVSRFSTMAPLQSGSQDGALQKPYDPEIQDMANYIHNYEVNSDLAYDTARLV FLDTLGCGLEALKFKECTKLLGPVVEGTVVPNGTRVPGTPYQLDPVNGAFNIGAMIRW LDYNDCWLAAEWGHPSDNLGGILAVADWISRTNRAGGNIAGGKIVKVKEVLEAMIKAH EIQGVLALENSYNKVGLDHVVLVKVATTAVVAKMLGLSEKQTADAISQAFVDGQSLRT YRHSPNTMSRKSWAAGDACQRAVNLVLKVQKGEGGLHTVLSAPVWGFYDVLFKGNKFK FQRPYGSYVMENVLFKVSYPAEFHSQTAIEAAEIINKKLAALGKSAKDIKEVTNRTHE ACIRIIDKQFKAMDNFADRDHCVQYMVATMLAFNRLTATDYADGSEAATSPLVEDLRK RIRCVEDTQFTTDYHDPAKRTIPNALTVTLNDGTVLEEVVVEAPLGHRLRREEAKPEI LAKYKRHLQAHFEQARIDELLEVGLNKSALEGYDVDKYVDLYVKDKMVASA AOR_1_332114 MITGLAHINLLVPEGSLPEANEFYVQTLGLTARPVPQAQVETTA WFDIAGGPQQVHIAFGVNESLESSRHPCFKIGSLEDLQKLQQRIWDHHVRGGRAAPRE ADKPGEAISGEMTEEYPTRFFARDFAGNRLEFSL AOR_1_334114 MVTDMKKDIQPHVLSEGFSTSEHHETVAIKPDIELQEIPAYGPD GVRGLISSGYVLGAAFLASLGGFSFGYDQGVISIINVMEQFHAVFPQAETAFGKGLMT GMLLLGAFVGCLFMPYLADRISRKWALTVVVVIFDIGAIIQTCAQSYATLVHLAHLVM EGAPLYISEISPPNLRGTLLVLESISIVSGVVISYWITFGTRLIESEVSFRLPFGLQM VCATILGVGIHFFPYSPRWLALVNRQQDCLASVSKLRGLPDTDERVQAEFQGIITEVK FQELIQEKRHPGTHGIKRQLLAWRDLFGRKGWRRTVVGCGVAFFQQFIGINAFIYYAP TLFQSIGQSDEMSLILSGVFNVLQLVTVLVCFLIIDKIGRRPLAIFGGFATGVAYIII AILSGLYGKDWSAHTAAGWACVAMAFLFILIFGLTYSPLGWALPSEVFPNATRSKGVA LSTSTNWLSNFIVGVATPPMMENLGYRTYIFFAVWCVMAGIWALIFVPETSGKTLEEI DDVFGDTSGHEEQEVMRTAALATMRPPVQTTV AOR_1_336114 MSSLTLPMDTLTTGPLASPPRAQRRSILQSPSIALIALLPKTSV YMLWLLQEDESPQDSVTSKFSSQLLDISDPRFPAHTLSVSGSPEQIGHLDSSEGTNSF SGPVQSGYSPTSTSSDVALEPFESVQEACLLRYFIEELSPWFDVCDDRRHFQVEVPYR ARHCPPLRNAIYAVASRHLSRLPQYRTSKGPKYKGQVLPHLSTSTAVEYMLKCIPALI DFHKICDREYQENIMAAAVILRQYEEIEEEEEIDSSTGARDQQPVNFLAIIQAIIETT ASIPTHHSFANAVFWIAIRQEIYYALAMQRFPRITPDQDKRQGASAANKLILFAGDVT RWWLGDRSPLDWAVLKEELHSITKELMPEFVPILDNKADKSKGEIFSTVWYCSSAQVF GAQHYEIARMILIAENPNIRNDPHCRIAHRKVEAQVRSIVLNICGIGLSHQNVSPALV NAVISIILYGEYFTDPREREALEAVIEKTKAIHAWPMRKLHHAVKAKWEFIDSEYY AOR_1_1612114 MSQHGISFDSVLEGEYDVANLEHDTNGAGTAAEGSAQNSNPQAQ GKHSKWFPYYQEYCTTDDMLRDTSDDENERLTIHHSFDTMFGDTDGFPFNVCGSPAQI TDLHPPAIKMFQLWQVYINNVNPLLKISHVPTLQAQVVEAAADPAKIFKPLEALMFGI YLVAVLSLTDEEVEATFNEGKAVLLSRYHQGTQQALINAGFMRSNELMVLQAYFLYLF CIVQYIDPRSLFCLIGIAVRIATRLGLHRDGIRFGLSPFETEQRKRLWWQIVAFDKRI AEVTGSAITALSSSPTDCRLPLNVNDADLHIHAKEPPTPSTGATEMLFCLTRIELTIA AVPNGMRPNPVDLNNPFAQHKPTPSPADATTQETSSQPPTNGLDRYCAHMESTYLAHC DHGIPTSNCWLYVSGCVRHNSENRERDALFMTAIEMLEYDNIIHTAPSLRNFLWYTQL LVPLPGYVFLVGELRQRTTGELCERAWKAICVNYNDRGLIRKLRSPMHVAFGRMLLKA WNAHEEAELQLGRNVQPPQLVTMLRERVALQTSTSQSKPDLAGNGSTDSVKTTNGGPI AGTRMWVDDNPGLDRMGRPFAIMSNEDSMFPSLHSASQMFDEVSPDQNDVDWSYLMQP GVLGELCGKH AOR_1_1614114 MGSDKVSDVEKQSIVPSQAVQKRGEESESRYQPRTLKFWLIISC NLLSLFVVTLDRTIVSTAIPRITDEFDSLGDIGWYGSAFLLTGATSQLLYGRIYRAYE MKRVFLLSIVIFEIGSAICGMFGGVFGASSVMGPLVGGAFTSSVTWRWCFYINLPIGA VTIILLFLIWDPPKSNYEPASIGTHLKRVDPLGMFFFVPAIVSLLLALQWGGSTYSWS NSRVIALFVLFGVLILLFAAVQILRPETATIPARVITQRSMFCAALYTLFISSSMILM VYFLPIWFQTVKLVSPIQSGIYTLPLMLSMVPATFIAGFMTQKTGYYVPAMYICPCVL SVGLGLMSTFNLDTDLSHWIGYQFLSGFGLGFGMQVSGLVVQRVLPFADVPIGIALVF FLQQLGGSVFATIGQSILTNYLMPQLSDIPGLDAREILNNGATNLASVVPSEYMVQVQ QAYNGACTKSFLAAMGLSLAGLLASLGMEWKSIKKGKKPEVAVKDMVQRKHQELDGNA AOR_1_340114 MVTPQQYPWKPTTPEGEIWQSLPPAISSSAAANLTPEEITSLNL DPSSPNATKLVLLEQALTKKLQCLENAAKPTPLYEKDHPTWQSLKSALFHINRSTGDL EKQESLLLEQVNHPGPKGKDLAALQNLAGLYEEKGEYKKAEKLARETIPALREHPILG SNSPQVLGSLRILIKDLAGQGKIGEAEEVIREAEESIENLAEGQFAEHQQEERDALEK VVAGLKK AOR_1_342114 MVPGSSSALKASRGVTSKVLSSTTQGALSGETLSKSGAHHPVRD PRPSVPPRGSEKDGKVARAKETSPKQPEAPIKPQPNQTVSTTQTCMESKLNTAPKLAS QGISSDSLTGHSQPQQPAQADADSLTTFPPFAVGAKDKVKRFLESQGLGEPGDTPHQS PIDHLKSRPPTPWPGAGADPEALQSVDDARPPVPSHRLPRVPTAEDKPQNGPLLESSV SKKPEELGLKNLRISQPDIPSHPLPPIPTSRFNFLPSEDDFSVIDDPGLLTPITEVPS ELSRANSPVDPLMAGCKPRPIIKQPNPPVKKPPVQKPIEMPTPHHATPAAREVPKSQL PPSSTVLSSTPDRPETPQVTVGLPKPKQKTPLSSASPQARPDLVTAKKLERKPSKLNI TTTSSTIENNSRRQSETISEKQIDEPSVDPPQQRLSSPFNPSKIKQQAWDLETIASED SWFQENEDNDSDGSASGESGPRRTPPGQVRARLTVETVECTFQPPAQTPDLDQAQQTQ PLVQSRKVSADTPNGIQIAICDVPNGYVQEVPPKEYPVTEWRDDTANTSCKFDKDLAE LPRSLDLYEPFRSGTEVEQIPGRQIDAEAIDASKGDPITEAHYFPKPPNVASSHGFEK HISVRTFDEIIKLKNSIRHMTSIVEKRLEHCISARETVEEAYHSKSELLATMSHEIRT PIHGIIGMAQLGLEAGCLPAVAHDAFNLVHSLGKSLLANINNVLDLSRIEASRMVIES IPFNLGSTVLSTLKPLAVEASKKMTDLTYEVGSHVPQHAIGDPNRLCQILFNLVGNAV KFTNNGRIELSVKTAQQQACAKNQCVLEFSVADTGVGIPPNKLELIFDRFQQADDSVM KQFGGTGLGLAISRKLVSLMGGDIWVRSTVGKGSIFSFTCPLKLESPCATTTEQKKSH RDLVIFYITANGQKSDPICKIITGLGIQVRVFNQHDIQIQELRDQNHLPDALIVESLE MACALRAHGHFGSTPLVLFDPTPSDSLKISIRSAFDLGIVSYITSPCSSESVLSSLLS ALQDRPRHLEPSQIMPLSVLIAEDNDICRLVAAKALEKCTNDITVVTNGLQALQAYQN RQFDVIIMDIQMPVMDGLEAVSEIRNYERTHNTKRASIIAITADTIDDDRPGAELDEY VSKPLNPNQLRDVVLTCHSEGAKSPTIGDNMDRGSACEISR AOR_1_344114 MALPLLVNAGLLALPIAGSIGTLLGIDAHRQATGQRPLFTSEIG NDGSISRNGVTNTRYCDLFNPISPKSEGQLYTLNPNQWGVTDKTEGGLCMNITTIANG SYATMSTAPEFSVTWKFDQETKDQTVHAFPNVQVDNILPASLADIHHLNLDMHWTYGI GNKTVNKTDDAELKDVNTNVALDMFFDDDIKTAKNVSLAKYEMMIWFAGYGEAKPFGY EDGIVKTKDLNGTTFQLYTGQNDLKQEVLTWYAANTTEKFDGDILPLITDLYTLDKGV KLSNTDYLGVLSLGTEAFSSHSNVTFWMPRLSIDIQGPTARTTTAKA AOR_1_346114 MVDQHEGQQDQEKIAALNIYETEHNTNSFECQEEYAKGMIPIRP KHLSFESSDTAKDSSPSLCKEAQVDESPSSPTGSSNIAVLHNRDDVDISTGWRRWVFT LAPLFTIINTAVYFFYLGLRIYCIVMAQRSVDIYYGGAWIFVAVEMAVAIPSMIHNLW TVMAWKKRSRPKLRLTGDKVPTVDVFVTCCGEDDVVILDTVRGACDQDYPQDKFRVIV LDDAKSASLAAAVKELATMYPNIFYMAREKIPGKPHHFKAGNLNYGLEQVQYLPGGAN ELMAALDADMIPEREWLRAVLPHLLVDAKVALACPPQLFYNTPASDPLGQSLDFFVHI IEPIKDALGVAWCTGSGYIVRREALADIGNFPLGTLTEDVATSTLMLGKGWKTVYIHE PLQFGSVPEDYGGHLKQRTRWAIGTVDTAAKLNFCLWGKNIQHLTFAQRFSGFIYAIL NLFTLLLTASLFTIPIILLWGKPLVAYANDDQLHWLIWACFASTIINRLCEATLFSPA GYHTGQRNSRFQLWMAPYIAVCMVRSFILPKWLGGQTQAFKPTGSLASALNERDPKLT KNMFVRLRVMLLNYMVFFHLAFVYVTLIAVIVSSYRCFAIENGARDVIVCLITHAFWP PFAFFFICSSMWTPIAYAINPPIMPDREDLLVRDQKTGVAHPTEESKRIAFGGQAVWF EFEYSAATIATVLVFAYSFFF AOR_1_348114 MFGRVGGQTFRCVVRRPIGRRRLCERKFSAYTTSTSPSANASST ASPLGSITSELDRIAPCFEVPASRITILDSPASFYSTLKSKIRKARKRVYLSTLYIGK SEHELIETVNQALHDNPDLKVSILTDALRGTRETPNPSCASLLASLVAEHGSDRVEIR MFHTPNLTGLRKKWIPKRINEGWGLQHMKLYGIDDEIILSGANLSNDYFTNRVDRYHV FKSKELADYYGRIHHAVCSLSFQILPDPHNTAGYLMDWPTSNGTVSPLEDPENFTSYA STVLGPLIQPTQTKPALEQKSSDGTYVYPVAQFTPLLKPDASTEFPAVTTILRMLSTS SAFSGARWLFTAGYFNIHPVLSSLLIASTSTSHTESTTRGTVLTASPWANGFYGSPGI SGMLPAAYTHLSARFLDRVAEAQRTNSIQLKEWRRGTVGEPGGWTYHAKGLWITLPRE EHPSLTFVGSSNYTKRSYSLDLEAGALVVTGDQDLKRKLGAESEWLQKESQAISRDDL RRTERRVSWNVRLAMWIVEKVGGAL AOR_1_350114 MSTILRTLRNLRRIGIKDYGHQMQYIGDTKAGTLIGVDRYGNKF FENIEEELPLRTRWVDYKEKEYDPSQIEPGWHAWISYMVDQPPTADKIMQTGVRTWEL SEHRPMLTLSRAAFKTYNTVKPKYSAWDPVAAPRN AOR_1_1616114 MAARDSDKGRRYIAALDNARCQNKWDEIPELIRKVTKHAPQKTC LLEVASAEYQIAVHSPQRPSSAQSSSASSSSALPELIPHLLSTVERADGPPQDIFQAQ VCLGWVHWTLNEPGLAVARLPDDFDETVHKLTSTGEELSPWTKVCIAKACYLKGAGQH KVSGSADALDILQSLTSWLSSHNELSSDSSQFLHWSEQLASESALVASMEALKTIPVA DEALVKTALRLLRLWSAHPHVKQGALPHVDGFDVSYVPPASGPERQQLAAEIRRVESM YESSLLRETKFPTAYAGSTQIEDWVEQVITNWEILCGPQWTDEDLGEGGQNAVGRNVL DILYRAATKTYHSHLILRRLFHVHSSLADFDLALKALDSYIEIVVGAKERAEKSAQYG ELENDGTLLRTLSEGVTMLCCFGSAKEAEKARELTTLMKKFINKHVQEIEGDDEEQVK LLISSDTSSTRSQAVSPTDIAAAYRGVGIGLAAWANWTPVNEERDNIRSEAIDNLEKS TAPELEDETNYSSLYTLALLLAEDRDLDSAIDCVKTALTAKTNPGTVQGYFTRERDLV PLWHLLALLLSAKHDFDIAERSCEAAFEQFPAAVTSLAHHERRPQKQQQAIQDQANGA GLQRTLIEELRGREKERIIETRMTQLAFVELLEGPEAAVNHSEQLLGLFATLFGNLAL EADDKKNSQTDNLRPPSSSAHTVKSLRGSIFGRHKGPRAPDRRAQSGSDFKTDENNPS LVPNESDQAPTIQVTHEDKHVGHENPQDSRKLRKRSSTLKKGDNAPDPNHKSHINGHG SVTNGVGSVEQPNGGEDQSPDMVAAAMSANKEQSGKQPLRPVAHNMSHTQQPPPIGHA KQPPEQDVRLPTSYGFDSPTKAVTKFPLAQAQKHALCILVKIWLLVAGLYRRAALFDD AFEACEEAAKHVSRVEALCATQDSSARSFRERGWGVPKSADELWADLLAEQAFLSNAQ SHPHKAMDKFEQALMRDPDHPKATIGLANLLLDIWDQKMPLLPPEPEIELDMSMLTLA SPEKQNSAARKADNKATNGQSSAETPDSQHNQVPHAIQNVEPKLLNRIAARDRAYGLL SALTKRGSSWDNSEAWYTLSRAYEAEGNTKKLKEVLWWCVELEDRRPIRHWSNLGSGV YVL AOR_1_354114 MASMSMSLHAVNHRLTNIPVKQLPPIASCLATSLSNCGELLSAP QNQKSKSESDNAVQVHKLMTRLASLLQDRSPEGRWTAVVLVKAVVEAGQWEILRGCEP LVRGLIAILAKPDPNSTKKMSIITLTRIFHLTYQYPTLVREITTPSLPSFVTSALNLI SVKPSSEPTRKLKPHSPFLETVLNALGELIARHPTIFRPFSAQIHSILQAIIGSTSPT FPQTALDSAERLFISLHHCAPKNTSGEEWKNACQMTIASIHGAADYVFRAVVEQWESV DPALRQASRPQDYSREVGDGGLDTLGLAGWQGLDSGVNRLVVLLQMLSTCLTTATASM VAIPIGPILDLTSRLTAVVVPSDGRDIQANPQISRTEREHLFAELPRIHVGCMELLQN LVKTLETGAIPVTQTILEQTLWVYRAENFSREIRISAYHLVQTLLTRMGPSLTKQSLA SLADLIRASCHDLLPPTHDQSTSARASSDAKDKAKSNHATANADSFLNPRLKQSRQSD IPSFSSLTKAASELLAGVLTHAPTEYLSPSLRAEIDRTIILTSDKHTMLASVLNPVPA AKGRGVSASIMPFLARSFPAEIEVEALIRPRMPVLMNAPGIGGYTDIEEEEEEEVPAR VSGTASESTGFLQPSSTPILHHDMMDTDVSHKAAPTLTKRSLAEDNKPQPPALGSTGK VDSSFQSKRPRVEGDVTAVASQPSLDRASPATFTGTAAVSVPSSSATVTSVPPPRVAS VNTSSTTTVGGPLSGSAVAQTNPADAGEDDSDDDLPALNIDPDTEDENEDEDETMEG AOR_1_356114 MAGSPLSYLNIVRDNGDQLDTHIHHGELKPVFLWHLSLFTILPV SALVIPRRQSTRYVRPLVLVLIFSLALEAIRYRRALLGANGYMIGLVVAWWFIWSATL LVFHDVEREFLRVERKGRTTVRATSKHSIQNGYAHMNGVIKDTEPKEQQYTESLIWQP YPRSLSKRLNWSLGLLFNMRGPEWNWRISSMDPLPPSVKSQLKSGPPSRYPRGASKVT ASYPGVGTRIRTVAFDCLKYYLLLDVIKVLMMHDLYFWGVTSPPPPPPFPLNQILPHG AVYMYRVLMTALGVYAAVSFVTFFNPLIFLGLSRLFPNASRRITAAPLDASWLYSDMF GPFLVPVLDHGLAGAWGIWWHQLFRFGFTSTAHWILSLLPNRLANNSRFRRLVMTFVA FALSGFIHACGSYTQWSDTKPLSGTFLFFILQFVGVTIQEFVSHVVVATRMPRWLRRS ANAGFVFGWLWLTAGLIMDDFAKGGLWLTEPLPVSPLRGLGFGYGVEGEGWWCWKTPW FRYWDGGSYWERGIRVL AOR_1_358114 MASLTRCYVLVPAGVSVLGLYSTTSMADTGEPVKPTRIPHWRLI VDQGILTQEVIDHPYAGSGTEDDPYLVTWLPNDPRNPMTFPDSRKWFYTVTVAWATLA VSLVSSAYTGGVDQIMEQFNCGTEVATLGVSLFVVGFAIGPLLWAPMSELYGRQYLFI GSYCGLTVFNAACTGSKNIWSLIIFRFFAGSFGSSPLTNAGGVIADMFPASQRGIAMS VFAAAPFLGPVLGPIIGGFLGMKEGWKWVMGFLAIFSGALWIAGAVCVPETYAPVLLQ RRAAKLSKVTGKVYQSKIEVDQGKKTPKEAFKIALSRPWILLFREPIVLLLSIYMAII YGTLYMMFAAFPIVYQGQRGWNQGVSGLAFLGIMVGMLLAVAYTLWDNKRYINTQARH NGFAPPEARLPPCLIASIVIPIGLFWFAWTNYPSIHFMASIAAGAPFGFGMVLVFLSL MNYLIDAYTIFAASVLAANSVLRSIFGAVFPLFTTYMYNDLGVHWASSIPAFLALACV PFPFLFYKYGPAIRTRCKYAAQSDAFMKKLMEQTRDVPDETDTEKKSENNATEGLKEV DDTVSEPTPASSQLDDLPSASKYDRRKSIASQASRRSEGVNSQTVYDANPYDIDRVNT RESFK AOR_1_360114 MGVRRGKRQVDQIDLTQSDDENPQSTPKTPRVTRGQRLGEDTLF APLSQSSQLAADDEEDDAQAADVIPGSQAADDPAAGSSMLYGNVNTKIVGVRYYRGHA TYGEHVILRREPGNPYDSNAIRVDNVMGAQIGHIPRNMAAKLARYMDTRSLIIDGVLT GEIGPWDCPILLSLFGTSDPARRQELKSQMEQDRLPLSEFKQREREERKQQKEREKAR KEAEKRARALAKGKGQQWEAANNSMFSNLYAGDGSIEGGESLEELIGQSSTFNPRDIG QVAENFGLSEADLAKMPMADRPAALSTELLPYQRQGLAWMIEKENPTLPAAGSEDVVQ LWKRKDNRFTNIATNFSTSIAPPLASGGILADDMGLGKTIQIISLILANSAPKTPGYS KTTLIVAPVGVMSNWKNQIQDHTHSESAPQVHVYHGTGKKEAANLDQYDVVVTSYGAL ALEYNPNAKVPPKKGIFSVHWRRVVLDEGHTIRNPRSKGALAACNLRADSRWTLTGTP IVNSLKDLYSQVRFLKLSGGLEDMTVFTSVLIRPLMSEDPNARLLLQALMSTICLRRR KDMEFVNLRLPPLTSRVLRIKFHTHEQEKYDMFQSEARGMLLDFKSKDKSSTTYSHLL EVILRLRQVCNHWALCKDRIEKLAQLLEDNKVVPLTPENIKALQDMLRIQIESQETCP ICLDTLEQPVITACAHTFCKGCIEQVIERQHKCPMCRAEITDTSTLVEPAVEMGESTE TVVADPDTPSSKIEALIKILTAQGQAPGTKTVVFSQWTSFLNLLEPHLNRYGVGFARV DGKMSSLARDNSTYRFSHDPNCKVLLASLSVCSVGLNLVAANQAILADSWWAPAIEDQ AVDRVYRLGQTRETTVWRLVMEDSIEDRVLAIQETKRKLMLAAFRETAKKKKVDDRAT RVADLEKLLT AOR_1_362114 MPAEGTDPVDWSVDEVVQFLCHNSHTPWSQSVSGAPRPDPTSFE AALRDNLITGEVLLNDVDKSALRDDLGLRALGHRSSMLTAIRISTAVSTNAVNLAGVP NSGEFTAPSSTPQETSRIARLQTNVEDFGPVERVRPHEQVFMDRHGRKRRRLDLTTLT KSRADNEHAPDPVQAKEWYMGPEQITPSQLFYSPDPDQNDETFTLVGSNFPTAQRLFV NRCLHYFQKQKRIILDSTQDQSRSAIVPYNLSMVKSGERRFTLYTAKNGKVSVSTENI EDWPQLTRSQAVSEEGQTDTLDPSDPFSYLLQRYPVQDESQDAFPLYGDSGSEGEFDE ETWQEIEDENPGGWFRKPSKLTPTEIECVIKDCVSQYESKWYDVCLPKEQVKARKLWL RARKGRFTNQEIKALLGKITSLNKRLRKLQDAIRESEYATKSELQTQCQSMEQTVMDI QQHKWRVSTLEQETCPPKISAPLKPTSVQRPKHNSGDEESLHSESGDMSDDSMEDFID KSDIEDQPIHAENNSSTRTPPMSESDDNIITVSFGNPAIYLDPQIGLRVKPHQLNGIQ FMWRELIEDENKQGCLLAHTMGLGKTMQVISLLATISAAASSDDPKIRQQVPAAFYRS QSLILCPSSLIENWYEEFLMWAPVQSGIGPLRKITTSATMPERLQEVCDWNEEGGVLI MSYDIFRTWILNRETNKRGKPLNDNDYGKVRDCLLEGPNIIVADEAHKMKNPATGISQ AAMQFRSKSRIALTGSPLANNLIDYYAMINWIAEGYLGEFVEFKAKFVEPITEGLYVD STYTERRRSLVKLQVLKEILAPKINRADISVLAGSLPTKVEFVITVPLTDLQKQAYDS YVETILQGKGAFGSAQLWSWLAILSLCCNHPSCFRDKLLSRANDAQKINKRLDEMEMI PGDEPIAQAGLPDSEKLVSEQEQIFAKVPDIKALEMSHRARIMNSIIDESIRAGDKIL VFSHSIPTLDYIEHVLRSSNRKYSRLDGRTPVVTRQDATKRFNLGSEKQVYLISTRAG GLGLNIPVANRVIIFDFKFSPVWEEQAVGRAYRLGQQKPVFVYRFIAGGTFEEVMYNK AVFKTQLAFRVVDKKNPVRWAQKSLGEYLFPAKPVPQQDIAEYLGKDPQVLDKIIMGD TGEEKSIRNIALTETFQKEDNDKLTEEERQGVQQQLSDERLKRTDPEAYRQLVLDRQR QSLTAGQVPAWTPSSYTQPAPMLPVPMLPQPPYMQLTVPSSAHNTGPPALAPDMSIYP EPSKTPMPSTSAAAISSTSGIYPWRSAQSVPPNESTAVYSPFTPSPARSLGQGGAQMP TQMDGTNFDTNVQPHDMTADTALTELSQSTSSSEDSSEHPCRQQ AOR_1_364114 MGDIYTNYPPPLNPAQKEYLVTTIKDWATQNGLLVRPAPSFVPK EIDPSGVLATNAPVTLFPSPFPKSCFNEATALQTVYNRLYAAITCNEEWIGKIMEDLI DVDDFISHLWKVHLAVKEEGYVQTLSLGLYRSDYMVHAPSSSTTPSLKQVEFNTISSS FGGLSSLVTSLHTELLDSPPGKPIAYPSHPLFESNAPPENTAVETLSAGLAAAHNAYG PSKSTPALPTCIIFLVQENERNTFDQLALFRQLTKVHKIPVFRLLSSEILDHTSIPSS NPSRPLVYSPPHSPETQFEVTTAYLRCFYAPSDFKSERDWEARTHVERSAAIKCPTVL NQLAGCKIVQQVLAETTGPDNLTSFLPDTDPAVVARLRETFAPQYDLSSGGRGRDLAL NVETAMNHVLKPQREGGGNNIYKEAIPDFLRSIPESEWKRWILMELIRPPAEARNIAL RTDGEVLSGEVIGELGVYGTILWDQANGKISHNEQGGWLMRTKSKDVNEGGVATGFSS LDSILLY AOR_1_366114 MTLLTKSTDTTPPTDRFHTCPHPYHTPYSEINLPPILFRMDAPI GQDLPDLSPLRENGQVARDHEDKEIWDFPFLPRYITSSPPGWLLEYWMRTDPRLTYRD IRVRMAGPLHLRPHENALNMRRERDARRPLRLSCWTYRRGTPGRLNKIDVERVERWSI DQIRYNTTMDVVYADGGPVRLEDRALAAHTPATYPLDYFLNQGRTEIPSERIRVAQSV FFRLSERAKQLGLGSWRQLPDNEWPDTFRYNISR AOR_1_368114 MHQMKTQDRDNSDLPFTAEKPHSASHTIILDSPSPTSTTARLRR EHEDDDMTASHTDQDNWSLENLPDILYILKPEHGKSRQVVRITAQKVFGKHINAFSVL PDQISSKVEGWRLEAWMRLDRRITGQDIIDRVNPRVYLKNEEDNMSQPISKMIDPEED LELSQQSNASVYPESSMTAESSESAKVNAKKGKGKKDKGKPVPRQDSPQAIDPRNFTT SRPDQDNWSWADLPDILYQFEPADKKDRKSDPPRMNYPIHGQYLRDLPILPDNIASTV EEFRVEAWMRLDRRIRLRDITDRMHPLFRIQDNALQQRSVRFRQQFSLIAWDSGNKRS QQLKQDILRKMQEIGLSPALNTTRGITPGLIDPALGEDGGRIPLPNQYNKGKRVSRGR KPSKTPLKEEVATEDPRHEYTVQEEQPTGFSVPIKKSASVGKVDTSKKEGPALVVASP VESVSIDFTVDDLTVESVAELFNYVPSYISFDENNDSLEGSLPVITGLIPDSELPETV SMVDIDLTVSIKDSIPRHNTEKALKPIATGKIQRRRPSPLKLARGGFCGNACHLGKCA TPVYTNLTLVSGPAGAGVFHEGLLPPSQGLYPDVLTPYSASDLPFGVRHRVFDNLFEQ CLSGDRYLFDIPAMAPDDMEMMDIGDINDIIIDDYDDYFQK AOR_1_370114 MSNPSSQLFRLIQNTATKHRHPKQISNKYSLQISCNVKPNASAN REGIIAVGPEKVDVCVAAVPRDGEANAAVSRVFAQILKVPKSTVVVIRGLKSRDKTLC VSDLEIGSEGEEKFIQQVRQKLEEAVIKK AOR_1_372114 MSSTNPETTSSKRKAPDDRVASKAAATQAIHFTARNPPWTYLKL QLIHQPGTSTAVQSQPLDPLTARTHINSALSQFLGLSGTAISIDILKILPEAPQPKPT DKFIWLRVPRQDAPAVVAAVSSWIGGGTGGGSVGSVAWRVCAKGNYLGALTQGSGEDL FAP AOR_1_374114 MEGHSSPSAAADVPAQAGEGPAPVQADIRSHAVHHDQGALPERE SSPARRITPRPTFLENLASTRDSQFMLDRRNSSEIDRYFHGPRDLDKHSKWPTFLRMH GSVLPKMILPLSFVAAWATLITLLSKFVHPLGINNILLTVTGFVVGLALSFRSSTAYE RWADGRKYWSLLIQTSRNLARTIWVNTKEREGELGKEDLLQKLTAMNLILAFAVALKH KLRFEPDIAYDDLAGLVGYLDTFAKDAHDRQRLQPQRKSLWKSTGEYLGVSFAESNPR KLVKRSKKPLGHLPLEILNHLSAYIDRCIANDTLSISLHQAQAINGLATLNEVVTGTE RVLDTPLPTAYSIAIAQIAWIYVMSLPFQLYNTLTWVTIPGSIIAAYIILGLATIGSE IENPFGQDVNDLPLDTYCRQIALELDIITATPAPRVDDFTVRDDNLVLYPLSMDGYND WKDRSVEEIRAALRTKVIANSPSSALGSDESTVVGSMSSKQTV AOR_1_376114 MVYWAINLVLILTNVDLGSLKFVGKRLGWVALANLLLLVFLTLR NTPLAPLSGRSYEKLRPLHKTAGYTTIGLMFLHAIVYLSAWSQSGSLHKMQEIDNAAG AVAGVAMFVLGLPTIGWFVRKSYEVIYILIFIVLWGELGLTSTVFYMVHVLMFILIMI MVGMHRPKISTHSLVIVIFTSFGNHATVTALLGDTVHVRLTRNVSCRPGSHVFLWLPS IRLFETHPFTMVSSSPPEFVIRAYDGFTRDPYYLAHKKQGQLLRCSMDGEYGQVPNFV EFDKVVFVAGGSGASFTFAIALGSLDTLAARNTSKQIEFLWAIRSLESLEWFEPQLAK L AOR_1_1618114 MRTAKASMLCLILDGEIHLAPIKNPQRVLDIGTGTGIWAVDFAD QYQSSEVIGNDLSPIQPRWVPPNCQFEIDDFESEWSYAHPFDYIYGRELAGSIHDFPR LCKQAFAHLHPGGFFEIQSFTVDIFSDDGSIEKAPYIKQVVFIDVVCKVVKAPSSPWA KDPKQKEIGRFFQAHQVHIVLAHTNVLLSKVLGWSKTDIDILNMHVLRESKNLEVHQY GKLYLIYGRKPL AOR_1_378114 MKLPPADVLATWPTPNYIDPTTRGDSVLVVTIVFSAIAFVITCL RLYTRIKITCSPGIDDILIVVALAFTIAMCVVICLATERHGCNRHIWDVPLEWLPTAS KFNLLFQILFSLSSSITKLALLWFCKRLLGAGSKGLYTTYNIVLIGAMVLVALLCIIF LFVCIFQCSPIHAYWDFQPTYPHHCLNDGAVVFAASVVNIFTDFISTVIPMPLIWNLK LPARQRIAVMSIFSLGIVVNVAGTVRTVYVYKSMIASYDMTWFGWPVFLAASIEINLG LICASAPALRPLVAFFLPRLLQSTQRYASGYGQSRPQKLWSSVNPSRHSTKPSRGRSH HPDTDSQLERFEVYRTVEMETWTESRNLSDPTGNTHSMPSNHARVTTPNQDFDLKCDS AVYTSPGSEKSSLSLTRQTSSPFKDKHSI AOR_1_380114 MAQASTPTEDIPLEYFPEPTEEDEHPWYSAFRLALDKPLLRIWD SHSTVKPTSKNRLYSRDQIKRLDTFEARAISLSIHAHNSRAPTPFISFTTSAGAARRL AQKMPQKRGSQMLTVINPRVRRAKGRYLLSTVDEMCYYGVRKPYRTFYRDYQDEFLCL WVVGEEEIVGHWKWDKLVEEKGWYQATILPAFRKHDKRFTAHLSPISLSVSVEVCSDT SSSAEMISRGQTKGWEEGNSLDSEISPDQHQDLSSEGYSGSLEDYSDPGDSYYENEAN SIGFLLNASEED AOR_1_382114 MASIARSSFRLRSVTRVPTARTISTTPHLRAAAKPFFADEPAGP KLATAIPGPKNKAATAELDKVFDVRSLNMLTDYSKSIGNYIADLDGNVLLDVYAQIAS IPVGYNNPHLTKVAQSPEMTTSLINRPALGNFPSADWADILNTGILKVAPKGLNQVFT AMAGSDANETAYKAAFMYYRQLQRGGPEKEFTEEELQTTMNNQSPGSPQLSIMSFKSA FHGRLFGSLSTTRSKPIHKLDIPAFDWPQAPFPSLKYPLEEHAQENAQEEQRCLQETE RLIKEWHNPVAAVVVEPIQSEGGDNHASPAFFRGLREITKRNNVLFIVDEVQTGVGAT GKFWAHDHWNLETPPDMVTFSKKAQTAGYYYGNPALRPNKPYRQFNTWMGDPARALIF RGIIEEIERLNLVEHTAKTGEYLYSGLQRLAEKYPEHLQNLRGKGQGTFIAWDTPKRD EFLGKAKGVGVNIGGSGVSAVRLRPMLIFQQHHADILLESIEKIIKQL AOR_1_384114 MSFYVAPSQQRTLRACMVCSLVQLHSKFMREGCPNCDNVLGLRG NNDAIQECTSQVFEGLVTLRDPNTSWVARWQRLDSYVPGTYAVKVTGSLPDEIISSLE DSGVKYIPRDGSTGEEET AOR_1_386114 MASSDLEAATALKVQGNKAFGQHEWPTAVDFYTQAIAKYDREPS FFSNRAQAHIKLEAYGFAIADATKALELDPAYTKAYWRRALANTAILNYKDALRDFKV VAKREPNNRDAKVKLADCEKLVRRMEFEKAIEVGDPPSAFEDLDIDAIAVDDSYDGVR LEKEMTQEFIDDMIERFKNGKKIHRKYAFQIVKAVKDIVYAEPTMVEIGVDQGTKLTV CGDTHGQFFDLLEIFRLNGYPSEKHAYLFNGDFVDRGSWSTEIALLLYAYKWLRPNGI FLNRGNHETDDMNKVYGFEGECKAKYNERMFKVFSESFSALPLATLIGNKYLVLHGGL FSDDNTSLDDIRKLDRHNQRQPGQQGLMMEMLWTDPQTEPGRGPSKRGVGLQFGPDVT KRFCEKNGLEAIIRSHEVRMEGYEVEHDGRCITVFSAPKYCDTTENKGAFINVGPELK LDFQVFEAVPHPDIKPMAYAQNSIMSMM AOR_1_388114 MEFDPKTPQYLTSDKSSFALTSALERWPVIITGAIDDLHRTVGD VSDEEKRKEGKGIIEKLAALKYELQHNRQLTPLPDDGQPGIEEYNKELEQRGNPKWHD VAWLYSECYLYRRISAYFALSTHWKGYDVFARQKMSTFKSSRPAVLELAARYKEIAQE AEKGQADGKTPEQVEQAERILFSEMCEICLWGNATDLSLLTSLTYEDIQKLQGSQARK AAEKNILVNDLDAAFEVLNKARKEKKTGERRVDIVLDNSGFELFVDLILAGYLLSAGL ATTVVLHPKLIPWFVSDVTPPDFRDLISALADPQSFYTAADESGKEHSPLSDKELSEV NFLFEQWSRLHADGKLVIRPHAFWTSPGSYWRMPNTAKDLFEDLQQSELVLFKGDLNY RKLTSDATWDPTTPFTTAIGPMGPKSGVRVLAFRTCKADVVVGLPAGEDERLRQLPNG GGSEARKWAWSGKWAVVSFSDGKA AOR_1_390114 MLEWITGQNEQFADNSKVLEPPETPAPVFAIRAFKSALFGTPGA DDEDQMEREPNPKNLTANQSSRASLSLKPTIGNTSDAPIATKADVDMAVNAMASPTKS ILVTPGTASNRRKTVSFGDGVVDNERKRGESPNKSSRTPLTSQWSINSSDGKAKPRSK LTQALMDSRDKSPKESDASQTPQSTEARPVAQSASSTEDDTGDDTINLNEPRSQSGKY WKAEFDSYRTKTTQEIRKLIQYRSAAKAYARKKDEEALRLAEKLKEEEVKVSEMERHV TQLASTMVGENSKADKEQLVQELTKQTALALQYKHRVGLLRKLLEQHGVVNNDVEHIA GSSETTNDTPGDTAEELHKAQQALGEANTKLEEMKREQSEFAKLKDLAQSSEQKASNL EKENATLKQTLARVKQEMTKYEGRRKEKEAKLKQREAKLELRVQEYRERLKSTSQQHR EQEEGLRESFNDERRRMQDQIDLLKLKLTTFERLPELRSRTRHSDKGYAGVQVYDFVH DSPQKEQSDETQDIDEPPSPSPRAKDRRSHTTRTVLGELDIKRASKALGLETEDHSEQ LAYLDDTPYKPKENHLLEGDGIPPSSPPDYPPLEPPTRRTSRQKYNSESYRSYVPTHS TITSLAHHLATREDSKQIRTERLRARRSPSKYSLDAITGLPQTYHLPDRTKRRQSLAS VQRDSIPVDRMLAAQARLKRKQDSRKTRQEGKENMIRA AOR_1_392114 MPHKQKKTSSNAHKNGSPRAEKKRQFPPDAPSLALPVVAPTNYE EIHRNEIEALRSIYGDDFEEVEHKRSAWQQSSDVVFKLHLRASSNPEVRLDLLVELPT TYPKTYPNLFLENLDDLRQGARSRIDDIIRTKPKSLVGSEMIYEIAVSIQDVLEDVAE AKAQNKDLPSLEEERMEQEAAANQRAELERQEELQKQEAATAEEERALQQLLEDKIRE RTKARLLRRKSRTSGIDTGSDIDAGENIPGAISFDPPLVMADSDEGPLVFRAVYGKTL LKSAQGKNTYAVRPVVTENRCHAPLLILKEYSIDETQLSPLAFREKMRSSEDKLEALK RLRHPNLLDFVGFKIYRPLEPASPQDNAWRVYLLLEHANKGSLSEFLDIVGSVPVETL RSWTIQLLEALEFYHRSGFVHGDIHCGRIMILRNQTGGTIVKLQASIENALPDSADSR QSLEASKSPLWLPPESTQGNTSPTMKTDVWDLGIVFLQMGFGKDVLQRYTSANALMGT LGLSAPLQDLLNEFFRTDPKKRPTAFQLQPSEFFRVDAPLVSHSSTSNSISLPRRPRF DSFGGLPAFSRYYQDFDEAGRLGKGGFGMVVKARNKLDGRLYAVKKITQRSAAALKDT LSEIMLLSRLNHPYVVRYYTAWIEEDYDFVDEEAVSSTEGDPFASQGSEGSQDSQGYG YSTGGLDFISSSGYPKIEFGSDSEEENDGTLSSRDNGQTPETYGTGSETGKELSRVRS GSQGRPVSTTLYIQMEYCEKHTLRDLIKNGLYDDVDRTWRLFRQILDGLSHIHGHGII HRDLKPDNIFIDVANNPRIGDFGLATSGQFTTAVRSSTAADFEGDFTRSLGTTYYVAP EMKSGFTGNYNDKVDMYSLGVIFFEMCYPLGTGMERDQTLRAIREKEHTLPPIFRYSE KALQGKIIESLLSHNPSERPSASELLHSGQIPLQVEEETFRRAIMHLLSDPSSPDYKK ILSAIFSQSPKKFEDIAWDMDSRGTPAANELLVQGLVKERLTSIFRRHGSVETTRQML FPRSQHYNNGAVRLLDSTGNVLQLPFDLTLPNARAIPRQDPSLEKTFAFGTVYRETSH GGEPRTHKEVDFDIVSHNTLDLALKEAEVIKVLDEIIEEFPPLRSASMCFLVNHSDLL QLVLEFCRITPSQIPLVKEVISKLNVGKWTMQKIRSELRSPAIGVASTSLDELARFDF RDSPKQMQKRLRDIMEGTEFAERLTPIFVRINWLMGYLKSFDVKRKVYVNPLGSLNDK FFRGSILFQCVFDNKRRDVFAAGGRYDSLVQEFRPKVLASRPQTHAVGFNLSWDRLSS AMLEYIKGSSKSHLKHHEVEPAAFWKTRRCDVLVASFDSKILRKKGIEVVQDLWANDI SAELAVDASSLEELLTKYKDHNHSWIVIVKQDSQERGFKVRCLVPKEEFDLRSSELIP WLRNEIRARNQREGAVDFRQSRLPSQPDPGIDGERSSDVRILVPQHRSKKTNRRNIVE NALFRSREVIEDALNGPIAAIDTRDDLLEAIRDTRLSDPESWRTVIQSAPLTERKYLS QVHELLVDLAHENHVNDGADNFSNAFIYNYRTGSCVYYDLGRGK AOR_1_394114 MAHTTVADPPQSVEPTNSLEETNTPDEHVASEENALAVTQPAES AVAEGAPKKKKIIRKKRRPARIQVDPATVKSEPPPQTGTVFNIWYNKWSGGDREDSYL SKQHAPSRCNISKDSGYTRADKVTGSYFCLFFARGVCHLGPECQYLHRLPTIHDLFSP NVDCFGRDKFSDYRDDMGGVGSFMRQNRTLYVGRIHVTDDIEEVVARHFAEWGEIERI RVLTSRGVAFVTYTTLAQAEFAKEAMAHQSLDNNEILNVRWATVDPNPLAQKREARRL EEQAAEAVRRALPADFVAELEGRDPEARKRKKIEGSFGLQGYEPPDEVWYSRTKQLED AGNGDQGQLEAPNQPLMLENASSASAPPQESESSGIFSSSTVAALRGLAGGNVTTQAA PQASGGPLVGYGSDDESD AOR_1_396114 MVNITEKIKEIEDEMRRTQKNKATEYHLGLLKGKLARLRAQLLE PTGGAGGGGAGFDVSKSGDARVALVGFPSVGKSTFLSKITKTKSEAAAYSFTTLTAIP GVLEYGGAEIQILDLPGIIEGAAEGKGRGRQVISAAKTSDLILMVLDATKRAEQRALL EAELDAVGIRLNKEPPNIYLKQKKAGGVKITFQTPPKYLDEKLIFNVLRDYKMLNCEV LIRDEYATIDDFIDVIMKDHRKYIRCLYVYNKIDSISLDFLNQLAREPHTAVMSCELD LGVQEVVERIWKELRLIRIYTKRKGEEPDFSEALIVRSNSTIEDVCDNVHRTLKESFK YALVWGASARHIPQRVGLAHIVADEDVVSIVAK AOR_1_398114 MFAARLFKAMPARASAFPSVNASIQSRFMATVRNGRVAHERATF TIRDGPIFHGKSFGARSNISGEAVFTTSLVGYPESLTDPSYRGQILVFTQPLIGNYGV PSAEKDQHGLLKYFESPHLQAAGVVVADVAEQYSHWTAVQSLGEWCAREGVPAISGVD TRAIVTYLREQGSSLARITVGEEYDADQDEAFVDPEQIHLVRQVSTKAPFHVSAADPQ CHVAVIDCGVKENILRSLVSRGASITVFPYDYPIHKVAHHFDGVFISNGPGDPTHCQE TAYHLRRLMETSQVPIFGICLGHQLLALAIGARTIKLKYGNRAHNIPALDMSTGRCHI TSQNHGYAVDASTLPSDWKPYFVNLNDSSNEGMIHKTRPIFSTQFHPEAKGGPLDSSY LFDIYLDSVRKYKASQSAFHPTRDSLPSPLLVDLLAKERVGVQPTIGMQNVAAAAAAA AOR_1_400114 MIIFKPFLQALVATVLLTLSLGRASLRSPSYQQLIPQSPNHWEE PGCDGSGIVDPDGHCNGDGIIDNPQNPRDKEREGFRFDNPSTNCKYVTQMHIWDSFKD LEKDMNKLFTLIHKNVSFTVVGHHPIAGHYNDLLHFYVNALRRVSVLFMDHADKFQIH PQAIHGGCDSAWSVSEINFKGVMNSGDDFDIVNVWVTRWYQDQMVEIRTYIDAPRIMD ALHKNELWWNGTTFRDNVHYMPGPAGMPDIKELEDLMGYPDGRNYED AOR_1_402114 MSETVRKRSRIACVSCQSRKRKCTGDQPCSTCCQFGIDCHYDLL SRKKKDARSFRPQQSIPPIASTTSRNEPIPKSHREGTTGDSPDMPVNSLEANSGAAFV RRLGLKIDPANAPRLDLFAWNVGARHPTPSSMPPSSMPRAVSVVDIISLEEMKLLAAT FFEKVDPCYGFIDRDHLFRQLGRRWLPPSSETALPYGPYDAVLCGVAAFGYLFSRRQA PQTELQLAEAARLILDQHMLSETPSSVDIVTGWVLRVAYLRTTAAPDAAWMASCSLMH LIEATGLHLEPSSDTVLGQSAEPCDPEIRRRLFAMARHLNVWISFELGRSRVVLYGAT SLPPTPRSTGEIFNLLPVSESLDPNKAQGSLDLESALENVLDVVHPLASHTLAQCNLM LCIYRRLRGLDSVISGALLDRVLELAKKGLKASRDMIALISPWHQTANVPFQIVCTLL AIDSRASLALLGDAMQTLREVALAYDTDVMREAYSTAYLLILLHQRRKEDDTRTLRGV LRANSAASAPPAEITEPAIESTHSLADYPGFSWLSDLVIDMPGLQNFDLESFMATDNS WPLPEPGM AOR_1_404114 MRRRITFVQRPETPFSLDQAVLTPDALALHGIDGAREERATFSV DELPEELSDVLKQCHQLHVRWASERRYDAVAPFSSRVSPGLHVFYTPVDGSSEENKLK SLCALLKRAFDYGLKCKSPEESFITPPILSTRFASTAAFQYHSLLPTLDNLVAYIENK ICSSSDEQCLRYAASIRSADSVDINYDSISHSLTVLGYWSQSPKNGWTDEIRRHAAGT DQVEVGLLGTEAATEPEDIKMGGLLAVVGKDDQLKPTLFSFPSRHQPLPEDATYSISF TSPTGLHPTMTISMPPSSLNSPPAPPDATCALHTYLTLPSTIFGDKYQLSTTDPLFLD SHNLVALHAVAGETDLEAPDWFVSRWGSNWLLELATPSESDQVPEEWNVTIPLHLRYL RPSESGYRSASVPWPVVFWACTAEDGTKMGVNPFDRVNLGWEGLFGTRTMFYQLHPSS DRLVEELEVPVLQLDDKGFFQSKAIELGTMIVIGLGSLWVLWKLGAIAWSSGTRPQRK STKQKKSE AOR_1_406114 MVAETKLYDSLGIKPEASQDEIKKAYRKCALKYHPDKNKDNPTA SEKFKEVSQAYEVLSDPEKRKIYDQFGLDYLMRGGPAPPPPGSGAGGAGGSPFDGGMP GGFSFGGMPGGGGARTFRFSTGPGGGSGFQFSSADDIFRNFAKGGGGGMDHDDLFDIL GGMGGGGGGAGRSFRSSRGPSAFQQSQRAPTPEPTVVEKELPLTLEELMRGTTKQVTV KSKTFDTSGKRTVQDVTLEANIKPGLRTGSKIKYRGVGDQEEGGRQDVHLIVTEKEHP NFKRQGDNLITTVEISLKEALTGWDRIVRTIDGKSIRVAKPGPTQPGYEERFPGQGMT ISKKPSERGDLIVHVNVRFPASLTASQKDILKDVLP AOR_1_408114 MPLLKSVINAAGLVGAVAGQYFPPTPEGLKVINSKHQEGVKISY KEPGICETTPGVKSYSGYVHLPPGTLNDVSLDQNYPINTFFWFFESRNDPRNAPLSIW MNGGPGSSSMIGLMQENGPCRVNNDSNTTEINPWSWNNYVNMLYIDQPNQVGFSYDVP TNGTHDLLTGNWDVSGYPDGVPEQNNTFYVGTFPSQNKSTAANTTENAARALWSFAQT WFSEFPEYKPHDDRVSIWTESYGGRYGPSFTAFFQEQNEKIANGSIDIDDAHYIHLDT LGIINGCVDLLVQSPSYPQIAYNNTYGIEAINKTVYDMAMEAWSKPGGCKDQIIECRR LAAEGDPEMYGNNETVNKVCAKANNYCSNQVEGPYSLYSGRGYYDISHFDPDPFPPPY YFGFLNQHWVQGALGVPVNFTESVDSVYNGFSATGDYPRSDVRGYLEDIAYVLDSGIK VALVYGDRDYACPWNGGEEVSLKVEYSDAAKFRSAGYAPLKTNASYVGGLVRQYGNFS FTRVFEAGHEVPAYQPETAYEIFHRALFNRDIATGKVSIAKNNTYSTHGPSSTWNVTN TVPDSPAPTCYILELGSTCTKEQTASVVNGTAVIKNYIVVDADSS AOR_1_410114 MFRQYSNFISHKRRRSKSTSRVLSVAQSEAASDAPTVNEPIEIW THSQPIDAATQQQNGCFTTLTARIHKYDHVAQRGSDRFLEDLTATLDSEEAKSKSSYL TSPVGNYASFLYPECMPERLELVAYLTELGNVHDGTKNTPAADKPEELVESPRAEARK KLLEKVTGELTDKDGLEIIDCYRSNWLVTPDVPTADNCANLDDYVARRRLNAGIDVYW TLMGFAHGTRLGKEDQAIVRDALDAAERTMFFTNDYYSWPKEKREVKKRRVANVILFL MQHQNMSEDDARAKTKELILENEKEFVKRREALYQAHPDLAPKLRKWMEVLEAALGGI HYWCTNAPRYAVPETAEEESEEESDEESSSDEDESEDDEEEENDEEEVRANGTIDHVG GGDEPEGEPVWTPLVNGEEHPAVHLDATPLLAPTNYIGSISTNEVQLELVSALNAWLQ VPNRPLTFVKQVVNDLHDSSEILANIQDQSSLQRQKTAAHLVFGPAQSINSAAYMFVR VAKTVNGLNCPGMLDGLLEELETHFIGQSWELNWRFSLQCPTEQEYLEMVDKKSGSIF RMLVRLMQSASMEGSTLDFELLTQLFGRWYQIRNEYLGLLTGNNQRGFGEDLDHGKIS YAVVRCCNVDPTAKSIILGIFRQKAEGASLSAESKIQILELLHKSGALQATYDLVRQL GRDIMKTVSELEIAAEETNPGLKALVKTLGDIPAPTQE AOR_1_412114 MSSLLIRTDTRIQLIEQIINYTFTNPATIHEALRTPGSMAILTP HRLDGHKDLAQLGDAALRLVLAKDGYQAHATRGQINDTHSGKASNAFLARTGFQKGLD RYIYVNPSQGGIVSDKVMATTVEAILGAVYIDSGEDIPAVRSVVAKLGLSWPA AOR_1_414114 MAPSFWEKTKGTSKKGFDKAWHTLDKLGDPVNRLSNRVGAEAFW PMTLDRESDKAARILRSFCKDGFYADEESQKQSTDGAKSGKIDRPKGKQRVLKKIPTE VIKRAKGLAIFTTMRTGLWLSGSGGSGVLLARIPETGEWSPPSGIMLHTAGIGFLAGV DIYDCVVVINTYEALEAFKKVRCTLGGEVSASAGPVGMGGVLDSEVHKRQAPIWTYMK SRGLYAGVQVDGTIIIERTDENERFYGERISVTDILAGKAKRPPTSIKTLIQTVKAAQ GDKDVDESLVPAAGAPTPGDVEVVPASGSSSPPSKFGIPAADDPDPFGVKALEAEGLF IREAGTKTRPHVDSFEFRPSPNSPIYSTFRHSVDSSPRNSWRTSVQSYASIDRGTQTD EPPLTARTSISRASSRSNRDFTERAEKSPWDLEERGWDTIIPEHPTDHSHHATHDGDD DLVDDDVEIHEVSNATVTKCDGFPSSPIEDLTSTSPKRQSPTFTRARLVTIPKRAPPA LPPRNPYRGYGSMSTPVSPTAGPPSPSKRSVVSSLGLTELNSFAELPLDVKNDASSVS SAEASHLQHNGNIDKDEFHSISTVGTSDSASDGGFSAKDTSVTGTSPSKTEDTYHTFD DITDNTLSKLQHRHPSDVAKPTATELEHSTTITEHDYKPAMHSSLA AOR_1_416114 MASGLRILVPVKRVIDYAIKPRINKAQTGVETSGVKHSLNPFDE LSIEEAVRLRERKGPLKVENILALSAGGAKCADTLRTAMAMGADRAFHVDVPDSNDGG LEPLTVAKMLKEVVNKENINLVLLGKQAIDGDQGQTGQMLAGLLGWPQATQASKVDIK DEQGTVEVTHEVDGGVETLRAKLPLIITTDLRLNEPRYATLPNIMKAKKKPLEKKTLA DFGIEDKKRLKTLKVTEPPARQGGGKVEDVDGLIGKLKELGAL AOR_1_418114 MSEDQGSGPRKRSALILYGSETGNAQEVAEELGSLAERLHFMTQ VSEMNHVKPEKLSSYTIVVFAISTTGQGDLPANARTFWRSLLLKRLPATFLEGVSFTS FGLGDSSYPKFNWAARKLCKRLVQLGANETYLSGEADQQHPEGLEGTFIPWITDFRKH LLDTYPLPEGQHPIPDDVQLPPKWVLQLQDQTSKSNTDPAVPRTENQSTEDSKSRLEH DLRPIPDTLTATLIQNKRVTPAKHWQDVRHVSLTVPDSVTYVPGDMISVMPKNFTEDV QALIQMMGWEEQADQLVSLVPANSQHSAEDLPLPPIPNLESYPKLTLRAIIMDYLDIR AIPRRRFFSEISHYTNDEMQKERLLEFTNPEFLDELWDYTSRPRRSILEVLHEFDTVK IPWQHATSVFPVLRGRQFSIASGGELKRTPEGGAKFELLIAIVKYKTIIKRIREGVCT KYISALQPGSTLKVHLQRGGLNSSLGQLSGPTVLVGPGTGVAPLRSMIWEKAAFVKAY KEENGGAEPTIGPTILLFGGRNRNADFFFDEEWQQLSKLVKLEVFAAFSRDQKQKVYV QDVIRDNFGLFFRLLHEMGGSVYVCGSSGRMPQAVREALIEAFQNGGETDAERFSREE AEEYLLGMEKSGRYKQETW AOR_1_420114 MQITKSLIATTVALYTSVVLGESVHIAYTSNGDFYQEKIEAEKL TKLEHPGVLTDIQNTANCVLWRHHPNHPPDYQAKRGSNLVIPPQQLDYIYCYEPSGAW VNYVW AOR_1_422114 MSRFGAKKGRKLPGAEFTWDNDPNGEPDTAPTPLYPKYTVPQAR PLSEREQKQVDLYRALREQFHDGPYYSVLDPGLSGRNSKAARQHFDPFHGMPSYSGKY QKKKRAIPKLSGRPYIMKFFPRDDLWEVIQPNFKAGAAVDGFVAQMVRPPLKRGFEDD DEEDEDIGKRRKTGDEDDEGGENDDLLEPDDEQAEEEIMDDDFEDDDDEMGGDYNAEQ YFDGGDDEYGDDGFGDGGGGGDEDTY AOR_1_424114 MAIPSTYNEVKLHLQQVQRDPSVRLDIPIIDKLKLQLTESTDSS VPATLLPLVSQLLPVLQEDPTPITTLAIKATAYTSFADLRSVDPPIDFIAGFKAPSPP INSLALALLSKAGQTPSDAAIVGGDSELVASLVELWLSTSSTAVAQAAFDAIWALLEV DLTSPLENGEGSNEGQEATGGQGLVWRRVFTDRDVYGRLFSLCSLTENGPGSLTKRDK TVAQGRLMGFLAKAGNLRWDIISSSQISDIETRYNSSSLLHFAACEMVDKTDVLMHMT LLNFFHDLLEIQAPGLIARSFVQAASTFSSPALDFLISQNIHSSLLSYYLDESKLDPV DLNYLCGPIMAYVAQYAELYPNHFLQNPKHLLDRILSRISASVTISSAQWAHGPVPSG QLNVLSCIPRVLLVEATKQGLNPVLALPTSPPNKEALDVLSRILHGPSKLYLPDTMEL NTSGQTATDWHKEAAAARILYFMYLNQHSTFWTDVVAAADILAMKDVSLAAISFMRSI ITANWEKLSVEVTSSVPGTSRYQLPSEQGLGSLSPASQGVLPSSGAWAALTPPALTVL LPYLFKAPRSYAEFVAGGAGDSQNAVWKVATAKYEVLVALHNHLKETGGDMAGFEDIM RTLQQRVSEGPWGPVTHGGSQVETVGL AOR_1_426114 MTERLSSLVSQKSSDSGLHIQLHPLILLTISDHITRHAARSQQG PILGALLGQQNGREITLEHAFECIVKEGPNGEPQLPNEWFNERVKQFKDVHKVPALDI VGWWSTAPPSGPDVTHLPIHRQILQDYNESAVFLAFHPSQVKGASANGGKLPLTVYES VYEGENAAESEKTMQVDGEEQSLSIRFRELPYFVETGEAEMIGIDTVARTARNAAVEG PSALSSAKEVLKKKTDNKEQSADTAVLSPEDEELIASLNTRLNAIRTLESRISLIKSY VASISPESEGGNQKNTTPSTATLSHPILRNINSLLSHLSLLSPQEQSAFSAEVLAQSN DVHLVALLGQLSSSINSMRELGKRTAILTNVRRSNTSRKTQMSLQNRFEEELFSRDGT THG AOR_1_1620114 MGWPVCNSTLEEETIEEADLWNGGITFHQLCEIVGGVFALIAVG VSFFLIMCHATHYSKPIEQRHIIRILLMVPVYSLVAWLSIYFYQKSVYFSVIGDCYEA FTISAFFALLCHYIAPDLRSQKEYFRGIDPKPWVVWVSVFQYCLLRVLMTIVAVITQH FDVYCESSLNPAFSHIWVMAVECIAVTIAMYCLIQFYIQIKDDISQYNPFMKILSIKL VIFLSFWQSICISFLFSAGAIKATKKIAEQDLKVGLPNLLISIEMAIFAFLHLWAFSW KPYSIGNTAVEVTDFYGNGKATYQGGRWGMKAFIDCLNPWDLVKAISRSIRWLFVGRK KRMLDPSYRTHNEAIDLDGAGGTNATAYQGAGAMMNSGRTGRYTPDEEGQVLLSNAQP DPTARPEGDVGLNPPPYDDVDHGHYYPSHNRLSNPALLDPETHSPRPYSPYDNPFSNP YIVPSDSESDHHHTSTTAHHNAPYPPDALQEQPPMPMPESYHPPSHVQH AOR_1_430114 MTDYSTWKVTELKAELKRRGIAQTGLRVKQQIIDRLVEEDAKGD ESPVANEGPATTQDAIEELQPAEGKQPTPPPVDAAAESHDPNPAEQAQEQHHDQEVPG TDAGETQAEKMTTDVTQDDDAPTEKNQQQPSKSEPAEPAEAQPETGTPTQPIEQAPGD TEEKEPTPAREASTGQTDSAEKVAPAAAPPSELATGLSTPLPPEELIEDSRKRKRRSQ SPVPTPDALANKKAKLPAEAPRVLLPEDRGAMDIDGDTKADEVVSVSQETPEEHVHAD LPDEQASVPRDDPRSPSSPDNSRSKKSTAPKHDVRFKRLFAAGETEQTRPASPPADTV TEDAEVEPALHVATAALYVGGLMRPLQPAALKSHLISVASPPGASPDPDVVVNFYLDS IKTHCFVNFTNVTAASRARAALHNTVWPNERNRKTLFVDFIPEHKLQLWIDTEENSRG RSGPPARWEVKYDRTDDGVEAVLEEIGPKNAGSRQAHGPAPGDFSRPPPLGPRADMEK KDRRPSGPSKVEPSSRPGQGFKPLDELFMSTTTKPKLYYLPVPRDVADRRLDRFDDLL RKGSYPRPGGDETRRISFEDGDLFVDNGPEFAGRNRRRGGRGRGRGGFGDSWRGDRRG RH AOR_1_432114 MAIKAAQNNQAIYFRNLHTPGHPILLTNVYDPATASLIANHPST KAIATASYAIAASQGIPDDALTLPQNLAAVRSIAATLKPNNPSGNKVSDEVATKLPLT VDIQDGYADVAETIREIINLGAVGCNLEDLDGTTGQLRPLAEAVARIELAVRTAAELG VPDFVVNARTDVLGANPGGKPGSIQDAIERGRAFLRAGACTVFVWGGAGGRGVSREEI KELVGAFQGKLNVKLVLRDGFLTVPEVKELGVARISLGPELYRAAMSGFMEKADAVLA SYS AOR_1_436114 MGTHQPLPSFLPVDNPTQPFWRTEPHPLDELRSTEALPGQSDIV IIGAGYSGVSIAYHLLKHLDGHNKPHPAITILEARQICSGATGRNGGHLRPDLYDRIP TYIRRYGVDAAAEVANFELSHVKAFKNLLAEENIDCDFNITRCLSVYLDEAAGEKARK KYKELVSRGLAFADDIHYTPPKNAEGVSGIKGAKACLSYTSGTLWPYKLILGLLSKVA ASPAVNVQAFTPVTSVVSDSSGHIIHTPRGSVRTSKVVYASNAYTSGLLPEYSASIVP CRGICCHIGIPEGKTAPFLPYSYGIGTKTGESGGSYLISRPDGSIIVGGAQRTFIDRK DQWYAVIDDSTLIEPTKDYYNDFMQRTFKGWEDSGAYVKEIWTGIMGYSYDTSPHVGE VPDKPGQYICAGFDGHGMPVIFLAAKGLADIIHHGKSFEDVHLPRLYKSTAVRITNAQ EGPEGGDIFSLN AOR_1_434114 MATESNSAPAQPQRLDSQPENPFSNLITDQLFVSIPSFTLESGV TLYNVPVAYTTRGQLSETGDNALVICHALSGSADVADWWGPLLGGPGQAFDTSRFFVI CLNSLGSPYGSASAVTYKDGNPEKGLYGPEFPLTTVRDDVRIHKIVLDYLGVRQIAAV VGGSMGGMLTLEYAYFGKDYVRAIVPIATSPRHSAWCISWGEAQRQSIYSDPKYEDGY YSFDDPPATGLGAARMSALLTYRSRNSFESRFGRNVPDPTKQQNIKGTEKLPTPPNEH WAIHNDGHKGGRSTPSGRNSPTSPTPQQTEVQYMDPQFSGTKTFSKTIDSALKSGQKR PPTYFSAQSYLRYQGEKFVKRFDANCYIAITRKLDTHDVSRQRASPTSSDPVREALAQ IQQPALVLGIESDGLFTFEEQKEIAAGIPDSRLKRIESPEGHDAFLLQFEQVNRYILE FFREVLPDIMSKAPADGAVEVDGVNKLTKSSTFGEAEVEDITAW AOR_1_438114 MESIRRRKGTTMNRRGSISQLLHIQKPTSSVFQEQPTRYYDFVD SPGYNGPSSSSTHCQYISPELESDIRHACSLLVYRIERGVPSPPANQSSKPSTRMGSE RRDQYSAAQDITVPQIDSKYFSPKVGAEVAALKDKFDSGVGLTQQPSRQTMRLLKSSH SDARPDSSERAPGSLLGQVRGTTSGSDASNAEDPNSQSLVKESRQGSKQSMMGNPIGD AQTKDQDTMSFLAGTCNSGPSSDEALDDMEVFLNPDVGLDSNGIPSFTSPSTFLSSTS PSFGVSSGLPQSRQPELIAQSRFLGDSPGTKAGLDPSQSGNGESEPGVIIDGNGFAHI LTVAEEAQRNLNLQQAVMAKMKANAVESNSNALPQTPKQVLLRQEPQSEHQPIPSRLQ NSWSHKSKATTLNWKSRTETPASSNNKPTFFQKIAGLFKTRRAPGQGNHVLVEQPFGL AH AOR_1_440114 MQPSANMIHPEGPVVISIPESAKIHIVGKTNADFRQRVTVEEIG KDKYIFEGSGEGKPMTLAGGNESVDLEPIKGTGFRTWKIDFQNSSSGAEDSFRMSKVL RPVYNTVYDENYKVRKMEWEIASEDNIDDDYNDAIITVSADI AOR_1_442114 MRRKVRRSVTHLTVFGCILFLILYLNCSPSLSPLKKNPQTYPWT RVTYQTNSEVLPPARGICPGLKESSKPALVISRVEADGDPSWLDVLDKKYHLCVYTVD APENPKSQYLQVPLNRGHEAMTYLTFIIDNYDQIPTRGAVFAHGSRFAWHNDHQTYDN ADLLAALNIPAALEPWGYHNLRCDWSLSTCPSNVPPQGGLENAFTAAFQPWSARAVSD VALPKALDALFGTGAGSQAKLGRTHTVRSQCCAQFVVARDNIRRHSREEYVALRQWLL DAGTHRNAASLDDRTSGRVLSYIWHILFINQNPVAGVSEGVDLEALNHQACPSAKDCY LSSAEEFEVT AOR_1_444114 MSFIDTDPEKQGSNDNVASTGSSENVIPKEASLRPIHGWKWAIA YASMISTTFLFALDNTIVADIQPVILDLFGEVSLLPWIGVGFALGTMCVLPWGKVYGV FNVKYVYLFNITLFEIGSAVCGAAPNMTALVVGRVIAGVGGSGMYSGTLSFVAMLTSL KERPIYMAGSTVIWGIGSVLGPVVGGAFADSSATWRWAFYINLPIGAVFAPSYLLLVP SVDPQPSKSWAEKCRMIDWIMTTMFLAGSASLVMAITFGGTLYAWSSGNEIALWVVAG VLLVVCIVLAKYHPGVYKDNRLYPAHFFKRPILVNLQLQMFLVSGIVLAMTYYIPLFF QFIRGDGPLDAGVRLLPFIISMVVFAMGNGALMPKLPYILPWHLFGSALVVAGTASLY TADLSTTNAKIYGYCILVGAGSGCYAVAGFAVVQSLVPVKDISNAVGAMAISQDLGMV IFLAMAGSIYQNLALQKVTQAMPTLSAADITNLVAGTSSHTYKALSGEERDLVTPQIT DAMRDVWLFFLVAGVVSFVLTLGLGRTRLKGANDAEGEVECCH AOR_1_446114 MLHRAADGSDSSAASMEDRGGDQRKSQSSSITKRERAPQTSGRL ERSAVTGGIPNVAAVRGLATTAAIRDGGDIVQLKRICHANYVDLSWPLMTPSERLSDH ERLMQRLQSHVTPPMGRAFEGFDMLANLTEDIPDFWGVMDPALTPDALPILPGDTPHL PTPFESGYLTTGSGGSVAPTFESSLFASTHGLEEPISPSDLAALHHKYFDVFYPVLPI LNQSRFHREWTHDPDSPRIRGLSYAVALIGATIAPEYAYLQSSCYSNARKYIELCERD DDETYIMSLNSFQALLFIVRYELTKKHFVRAWMTLGRAVTLAQILNLHRIDSGDTARQ QRTGSQQDATETGLACDTLDPASLEETRRSFWSLYIFESYGSVRIGRPCTLEEDNLCI FLPSPGELSETFLPSPMPFISDSTKLTGVGYLTSYAAVTIMVKLARLCFEHVSILSRS ASDSGFWDRHYRLVKTINDYTAIFQRYLTAKAVREDPLAFSLHLNLCATHINLHEAAI RKVEEQDLPKLVAAESRKCSTAAAFKILGAIRMNWPVQRSERDHFTLQATFIGWPISM SLIALSRSLANGDTTPIGIVDSLRLLCAALDHVEEADGYWHQASRAAVAALAKWDEQQ HESRPGE AOR_1_448114 MHSISNDRFSFLLLCQPTFISYIAYCKLPKDKQCVWPNRARFTD DDMEALARKLADYPICESVVFGELWRTRTKAQLISLEEGILDHWFFGRTVMAGDAIHK GTTNSALGGCTAIEDGVAITNQLHQLLNRHPNKKPSTVEISATMQEYQDSRLDRVKTI VKVGGDLTRLQAFDGWYFYIMQRWLTPWIGLDTLAINIAKLASAGTNLSFVDFPEQKG LLGWPDTIAVEARKNEQRKLPKRWWYWTGDLQQIWPLLVGFFLCFSSTLLWFLPRDPH HVWSGIEAAH AOR_1_1622114 MPSAIAIDGGRDVTGNVRVSGSKNAGLPLMAATLLAPGPSTLHG LPPVSDIKNMGSILQYLGADVSQVSDNFTIDTTDVTSRFVPAQLTDSLRASILFLGPL LARFGHACLSFPGGCSIGNRPVEEHINGLRKLGACITVTDTYIEAHASQLQGATIDMQ TPSVTGTMNLIMAACLARGVTHIHNAAREPEVGDLINFLVLMGVDIHGAGTDQLVIHG CHSTPLSPCQYGVMEDRIEVGTFLILGAICGNPLTVYPCHPEQHVMLIKNLKAVGARV DISDDSVTVWKAKQPLAVDIITGPYPAFPTDL AOR_1_450114 MPRLDVEKTIEELSLGEKVALTAGIDFWHTASVPRLNIPTLRMS DGPNGVRGTRFFNGVPAACFPCATALGATWDTELLHEIGQLMGEESIAKGSHIILGPT INTQRSPLGGRGFESFAEDGVLSGLLAGYISKGIQEKGVAATLKHFVCNDQEHQRMAV DSIVTQRALREIYLLPFQLAMRICRTACVMTAYNKVNGTHVSQNKEIITDILRKEWGW DGLVMSDWFGTYSTSDAINAGLDLEMPGKTRWRGTALAHAVSSNEVAEFVMDERVRNV LNLVNFVDGLNIPENAPEKALNRPQDQALLRRAAAESVVLMKNEEDILPLKKEKSILV IGPNSKVAAYCGGGSASLDAYYTVTPFEGVSAQSKGEVKFSQGVYSHKDLPLLGPLLK TADGKTGFSFKVYNEHPSESNRELIEQLHLVSSSGFLMDYVNPKIKSLTYYVDMEGLF TPEEDGVYDFGVTVVGTGQLFIDGELVVDNTKNQRQGSAFFGSATVEEKGSKELKAGQ TYKVLFQFGTAPTSDLDTRGVVVFGPGGFRFGASRRVGQEELISNAVKLASEAEQVVV FAGLTSEWETEGYDRDHMDLPPGSDEMISRVLDVNPNAVVVIQSGTPVTMPWANKTKA LLHAWFGGNECGNGIADVLYGDVNPSGKLPITFPVRLQDNPSYVNFRSERGRVLYGED VYVGYRYYEKVDLAPLFPFGHGLSYTTFTRSDLTLTTTPEKPQYEESGEPITATVTVT NTGKVAGAEIVQLWVAPPATEVNRPVRELKGFTKVFLQPGEQKKVEIVVEKKLATSWF DEMREKWASEKGEYEVLVTGTGEGVLKSSFKVEKTRYWLGL AOR_1_452114 MTGKVPDVVLMTTSGSMRIVGEMKTRWVVALDLEAATLPHEEPH LRHILDRGYMKMSDRKYGFISTYEGTIFLKQDFKMGSWTLFHGHAIRHSTKEQEVLDF GDKFSLRECFWFLIGCDLEDDIAGNSLLLRE AOR_1_454114 MKVFAPLLSLSLATSVAGHGYMYIPSSRTRLGHEAGIDSCPECA ILEPVSSWPDLDAAPVGRSGPCGYNARDSIDYNQPTTNWGSDAVQSYSPGEEIEVQWC VDHNGDHGGMFTYRICQDQSIVDKFLDPSYLPTNDEKQAAEDCFDAGLLPCTDVSGQE CGYSADCTEGEACWRNDWFTCNGFEASDRPKCQGVDNAELNSCYTSIAGGYTVTKKVK LPEYTSNHTLISFKWNSFQTGQIYLSCADIAIQ AOR_1_456114 MTHRPFFDGWVGKIIDFPQLGTMSRWRLVTKLGDLNDQESALDY LEWQAPSGAYGTFRCRNVDHPDELAVVKIFVQSVLRLHEHMTGSTQLASYIRIPYAGS DFAIHDERARQATDSLTPFGRDQINALTTLTENNCSSTPTLVAKREFKQDSTGPVPGG FMVYLLMQHHPGVQLNKRVFWNLEPSERQQMREAFKEALTYVCTFLFNLSPSGPQDSK ITFWVYSECIDCGIWPTLSKLHWDKSLGKV AOR_1_458114 MLGSSLSTTKLPFFRDLNQLPCPLPTTEDIEAGTILPTKSERIS GDHGHVAVVGDHFVVKYGQFILENEGHALLLLEKYPSIPVPRLYAMYRKDDILYLVMQ LLPGADLSKLWGELSCNEKASICDQLKEAFAQIRTIPSPGYFGSVTGGPVQHRFFGWV DSDPRIMGPFETLEDFHLDMALVSQRQEKRKDRHPWGAEWFARHLPQALKDHLTTFTH CDLVKQNIMVQELPQTDRHTDRKFKVTGIIDWELPGWYPRYWEYAAFFADFLWEGERG KMFETFIDPWPLEAALLGLFKHDLEGY AOR_1_460114 MSFSPPDSYLQISQILRDPNNDCNTIPINELQFALPLCLTPPDS ELDQSVSSVVNVGEKQQLPEWIQTEPGWLDSVEASYQNQEACAGNVTHSYSHYQIDDR ASSASAVNIQQELYPVPTPQKQSTGRRIRRQNHSCDPCCLAKRGCDLPRGVAICGDKP TVACTMCSLRSMECTVAWLASRKPSRQIQRRAETSPRSPVGYKAPTSQWNPVEDVSQM EGLTLIPRAEWDQAKQLEARERCLQHLYLYIDVFDMQITACLSEGCMPPCYSLGIEAL VPLSNSADVSPYVERVRSSINNSWYMDLTAWNSTSATPNLYLAVSLLDALFQYPGGQK SPSSSNTRDKAIDETYKWVAIATATQFTVDENNRTGTAKSHSRARDIAFATWQKAREM LFRNIGATGSFRLALSLLLFGGILPPTGLEQREICAEDTTYAHREGARRLRALCSNAR IYLQENRGHGGHPLSSLNTVGAATGRRKSHTVQAFSSEARQYIQEVVGAIEWFFWMSH SVTIAISRERTDPTNLDLQYGSIKELALRGPAQPGNLDELKTRRHEREIEDSILARAR PEKHNVTTLWSQNVSCDVVDRAVTNAGSLAVLLWRSVALLTLASQDMLMGMGDEESFQ RQYTATTELIDSWREAFGPITSTTIIGLQASRADIQRRALFCATDGDLAILLFDELIR ELEVGLKESLPAGDSFYTTLRSTMPSPGFQGDHGLKANVQDIAAHPQPALVVKAYTLA AKTLADEIQRVMAKMETGSVYTLTNGLNNCLQGLLALEKTLVMFPNRDDDSAKAPLE AOR_1_462114 MSDRPSSKRHAFFEPNLEISPQDIEYFASLPFASPYLNSPFYEP VPFITRYDTKATSNKFFSKVINTAETIPHLLALVRVPDSKSNQTTDQRDNAHPDFVVF VSLGPDLCGFQDTVHGGVLAALLDEALGLCAESTELVSKGHTRLYTAGLEISYRSPVP VPSVVMIKTWVTKRQGRKWFLEAQVLDQEGAVKVEAKTLYISSRVDAGL AOR_1_464114 MHTNSTGSKPVVFIGAADAICGEAIRLFVQASDVPVILADSDED ALRAVVAKLPGKNITIRKVDLFNPDELRSTITEAALVIQGAQPYHRTSAPVLTACIDA KVPYLDYSDDVNSTQASLDLHEQAEREGVPCYINCGSSPGMTNLIAIDIAKELDTVES LDICWLVSEEGGQLGREVLEHLMHITGGPCLTWADGKAAVHENWVETAFAPIITGSSD LFYESVHPEPVTLPRRLKDITRIRTMGALSPAPFNGFARGLGAAVHSGTLSMDAAVDF LEGMQRKPSSSWSETIGAMAVQFRGGDITLNQLYQLATHGIASLKPWNLALWGMIDQV RKGQCTSGEALGFLINSARGKQSPHRSGILVRGVGTRNGYPAITIRRTPVVREDSFMG ESMATSIGASCAAFALMVLDLGAQKRPGVQCPEDWAKLETFIKSMERLGCPRDQVLES VEG AOR_1_466114 MAENQIPHELLAKLAGQIIQDPGIPVAAPTVSAWQEPAHPIATI QSDKLPQRTDFAIIGSGITGTSVAKTLLENELARDKTITMFEARSLTTGATSRNGGFL LSHAPPFFKSYAEALGIDAARDIALFCDRTLESIVDMAKAENLDKASQIRDVTTIASF EHQEGFAEVTESIRMYEEAIPEAKGKYTIIDKDTAEKEYHLRKSSGALVVQSRVFWPY RLVTNLLQRLLQLYPEQFAIETQTPVISITIDEADTEYPYILTTPRGTVRAAKVFHCT SGFTGHLLPKLRGAIFPCRLSMTTQKPGPQWGNRPNSWLFHTKQSYDPNTTLVEQGLY WMQQNAETGDLFVGGDLQRLDDFLSSDDSVISADSARNLTDLLPKRLFKEGWTNPITN TTMTSATALHRIWSGILSMTADQVPIVGSVPTSISGRNVEGGEWIAAGFNGYGMSQCW LCGEAIARMALGEQKPEWLPDVYLSTERRLGDVSMGSEAALASFFAR AOR_1_468114 MSERNDSLDYDVIIIGAGISGINFAYRLQESHPDLTYCILEERH EIGGTWSLFQYPGIRSDSDLFTFGFAWRPWTQKHSIAHGSLIREYLQESAEQEGIDQK IKFRHRVEKMDWSTGKKAWTVHVSTDGEATVTLRTRFIMMATGYYDYHEPLETEIPGI NRCQGTVIHPQFWPSNLDYTNKDIVIIGSGATAITLLPSLAEKASSVTMLQRSPSYVL SVPREDTTEKVIRWICPQKLAASLIRFKWIIVPLVLVNFCRWFPNLAKRLCLDITRKE LPREVPLDPHFTPRYNPWEQRMCMCPDGDFFECLRNGSGSVVTGVIESITEKSIRLQS GAELNTDIIVTATGLKMNIAGGIQITVDGDWFSIPDHFMWKCTMVDRLPNVVFALGYV DASWTLGADATAQLTCRILTQMREEGLSIVSPRCGDEERNGMQELPFLNLNATGNLGR TIGRIL AOR_1_470114 MAQHNLPRDHSYTRGRGRRQNNRGGRNNFGRRPSNDNKNQAGGR KDTAMSPPNTTPAKEAPDQNQTNGAPLAMNQSQPPPSPSPAPSQSQPHPPPTPQPQML HVPPIQPAAPPPPFDYEYVSDSVVEAWSSTGKQKLVEDGVHAKNEQDIAKLAAIYQEV IRAAFYGRLSPTDAGAVVRDIIGEDVAAEDIDMDSEGQTTTLFDTRSLFLDTLSIVTD SDTTNPALKPLVFSTGINAALMRLQLDTPLLQSLGLVRDTFARMGIRKQTNLLYRQSN YNLLREESEGYSKLLTELFTTSNNEPPSSEVVEDTFERVKAMIGAFDMDVGRVLDVTL DVFAAVLVKQYRFFVKLLRASSWWPKEDAFRGSDGDNRDSGLPNWALPGSAGWVTTDE ERAELVHTNQHRDREFWKRVKEIGIRAFFEIGRKPVSEEELKRMLPESNNLSEEEAGT RKWIEETGTLPPKGNRVAAQLLGFKLRFYSSRARSKSDILPDNLIYLAALLIKVGFIS LRDLYPHLWRPDDSMDLLKEEKMKEKAERERAARPGGGVNALMMAGALSDDTLPTPTP RIRESETRSATPGKDQEAEKSTPVKTEEDELPEPSDQKVMLLKSLLAIGALPESLFIL SKFPWLMDGYPELPEFIHRILHHCLSKVYASLRPLPSAEELREQKQILSSDQSGIPKG QIRLTQAPPRRVLRWAQLDKEDTNDGTDYRFYWDDWADNVPLCQNIDDVFALCSSFLN LSGHKIGQDASLLTKIARIGKDSLSKDDSPENRARWKDLCKRLLVPAISLTKANPGVV NEVFDLVSFFPRETRYNMYAEWYFGQTSRLPDVKSAFDQARAETKDVLKRLSKTNIRP MARALAKIAYANPGIVINVAISQIESYENLIEVVVECARYFTNLGYDILTWALINSLG QKGRSRVQEGGLLTSRWLNALSTFAGRTFKRYSVMDPTPVLQYVVEQLRHNNSTDLIV LEQMISSMAGIITDTNFNDNQIQAMAGGEVLQAQTILQLLDRRHESKTTSRRLMKALT VSKLAGQLLIAIAQERWTCIFQESEGSDELKLLGNVFDEIHRVLAQYLDLLRSNMSVE EFDSFVPDLSSLISEFGVQPEIAFWIRRPSVAKKISESDRATTEEEAGVAKSGEVEQQ SPPKDEADKMETDEGEATAQDSGQTAESAMDVDKDQSEKPPDTDAVNKTTEQAIATAS VTAESPLNPVMQDLQDQVKSALPSETWGVVGLHFYVTFWQLSLYDVHVPQKAYEDEID RQKKKVIAIGNDRSDISMAGSQRKEREKKQITQLQDRILEENKAHLKSYGQTRTKLQK EKDRWFAGMRGKHDALNVALLEQCFMPRLLLSPIDAFYCFKLLKFLHTSGTPNFRTVG LLDQLFREQRLTALIFQCTSREADNLGRFLNEVIRDLGRWHADKAVYEKEAFGTKRDL PGFAMLVDPEGKPTTFLEYEDFRRLLYKWHRLLAAALKTCLNGGEYMHIRNAISVLKG VVQNFPAVNWIGRDMLTSVNNLSQNDERDDVKIPAASLIGDLNRREKKWMLPQAFMIT SQPAAGKGNPSKSEAADKNANGKTLPSRPQSSTPGLNASAAEFKPTTEPSKIEPTKTE VEDGEIEDAKMTDAASKNTIDTDKLSQKSSEQADTTASTPAEPIASQTQQASSAESTT NEKPATPAVEPRTTGQPAPASPAPTASQAPPKGPEPGRQANIPRRPDPERAPPSNQNV RSQTHTPNRPYREDGRLPPRPDLLDDRRDRHSDYPRGGRYGGEHDYNRSFEQPVGDGR GYGRLDREYPLRPSMDESFRGPPYREGRLLREPEWPDRPGRLRPSDAREAPPVARSGP PTHPDRAEMIHDHPDREGYRRGEALRQEKDDRRPLPSRTLSPPRVELPNRPERFPDER RSGNFGQAHSRHEDLPTGPRSERVGRPPMDGHDSRDSDLSHGRLRQPEPSAEIPSGPR MRNAPGRGGRGGPGPSNGPNAPNERQPPTGPGRQGMRSGPDQSASAVPSSPGPERLDT TGIHPDRLKNLQPQPTEGSFGGPMRSSHPSSPASVVPPSGPRSALGPPQGPSSLNRGP PQGPGYGGERGRGDKRFAGLNNMLQQSGGPAERAGPGPGPTIRGRGANRQPAGMNASQ APDEGGRLGPPQNRPDLMAGRPSTSFPEDDGQPRNRPSGPRGEPVEESGPESRRSGRY SGHDRDRERERDRDRDRDRDRDRDREPVEVMQAQATLGKNGTSPAKHHAEGLDHATKI VVDGIGRMHQTPRVRNMKGAFGHHPHLVDTHLRPLPRRPSQAARKKIGAGPAAGNRVI EIETGIEIVNATETTTATAAAEEEAPIVSVEDPGAMTVDTAMAVAGEA AOR_1_472114 MAPPKVFLTGATGYIGGDVFYAVHQAHPDWQYSLLVRSKDKAAQ VTSKYPNVRIVLGDLDSSNIIEEEVKNADIVLHCADCDHVASAEAIAKGAAHHTPENP VWVIHTSGTGILTVEDFRTNTWGFYRSKEHNDWEGVDELLNLPDDSFHRNVDKIIIEA SQRNPESVKTAIVCPPTIYGPGRGPGNQKSVQAYWLAAAVLKRKKGFLVGEGKNIWHQ VHVQDLSDVYGALADAAAAGGGKATWNDKGYYLAENGQFVWGDIQREVARVAYEKKLI PSPDVESLPDAQVSELNEFGLYAWGSSSRGHALRARKLFGWSPSKPSLKELIPEIVDL EAKNLGLL AOR_1_474114 MSSFAESSRDVRIENRGPETWLVCEAQAEDGSWQPAEINLDDVI GNDDGWFSRDTTGFTQSADDITFEFRGSEPWLEAELPTRDGGSRGRQGINLGLHITNN DGNLEWYGQ AOR_1_476114 MKEAVEKIEKHSEGSVTDDGSESSDLLYIKQQADKFYNEVNNGE HKYRRFAILQAYTTLPNFNQSKHFKPYDYRQAEEKSRQLFEDFTLYQSYDTLLTNIRK DRFSGGGAVKEALESKRTDEIEKIRRKVENIAADPAKELSIGFNYLRAEEFYVMVIVR KKPELANAIAPRNH AOR_1_1624114 MSLRKALGCIIYAAVWLSSIDFATGFPTQLDNFGVNLDHRGQSV ENGVNGVVSHQSEEPYSTRDSVLKHEDDSAVESLTESMEDWASDRSLSQLTATDTGSD SSQLYLGPHDPFEPKEQLDGYNAGRKPVFAIAHRVLTIQGMKDAVAHGANALEIDMRG WSSWGRKGWYCDHDGTITSPGDKAEDMFRAIQDQRRNGKTINFVWLDLKKPDEYKAGE NAIERLRDLARKYLQPWGVRVLYGFYRSHVDGRAFGVIRDNHNYLEAVSINDKAANVH KSFQHYGAKIQNTKRVADYGYFNLGFQFGNCSELDYYTCTELRHAGRMRDEGKFGKVF GWTLAVDQADLANALLGTARVDGLIYGFKVTAYRDHEDTRAAVKDIQTWVQKHSVTHY LAGQNDSPW AOR_1_480114 MYREEKLTNAQMKLTAIATLAYGISTASAYALYGGYERMFYYYG YMIDADVNGQPKKVAPSCKQTGKCTFNEFIKYINDLSKPVSVTSDELPEVHTTAQKLD TLQLTGAYKVGKIWPKASTIPALFDQISRYIKEVRDRVKRKESIEFARASIESVCFLR KFARSEALRPYLEGKKVTPVIKKEVFNGKYYDLVDEAATIKKFSQAKKMIQDFDKADP SHNDNIKASCDAAARLHGG AOR_1_482114 MASQIERRLSHIEYSDKICIEAIRGERLPEALGLPVVQHSVIRG IRYHDGFAEELMGSLPAFTRALCARSIMSNRVPQINQPEDIPYCIWHPDVATEATYRE VARRYPQMKYQVGRACAVAGYFNLYMELNLLPEVHIADEARENGHSDIYEDIMASPVK YEVMNDYFRTINADQPKVAHLNGNTAVRPYLEVKRKFRQTDEPFDVKGTASKGHYFDI TEDNGVDEFDTKSLPSDGAAVTQYLYSPLPRDLPLINKDVLILTAAYYALVNGVFRTI GSFHNWRVIKQEESVSLGAFFNKIVGYRSVLAVKN AOR_1_484114 METAALTGTCACEHITYTASVLPTKLTNCHCTTCRKQSGGPYQT WALFSATSITWTHEEPTQRRSSDFATRGFCPRCGSSISMVYDLEPGGLYIAAGTIDDL DRVVPKPCAHFFVKEKAAWFQLPNDGFVRFSDGANRGRRC AOR_1_486114 MDYSAISHDPEHPAGTSPWASPRPNQTTFPTSSTNDIPSDPLAP PHPPYDADRESQPESSLPTGQEQTESPDISERLQSAQLGDPDYHNEPSQYAAQQQQYG EQPRSQVPARYQTGARQHARPAPLYKIQAKITSLERTGKKDPILRFDVHTNLPKFRTT QYRDVRRTHAEFTKLAEHLMSANPEAMVPAVPPPLTPAGAGTEEDEIRVKASMQKWLN TVLGNEVLMQDDEVVLFVESDFGYSPVVRMKQPATGMRRKVLKQFAPPPDDTPELQNA RPVVKMFYLGSMDASHKVDRVVKGRRGLGLAESDFGVKLGQMHVQETHPGLANAYRKL GKVIQTVGDYHAVQATAEATTIGEPLNYHSSDAFIVKETLTNRHILLRDLIQAQQVTR SKRAAADRLKVSSSVRPDKVDEAINALDEAQSHEDYLTKRTHRVTSNLLQEKRRWFDR TTSDLLASLREYTLRQIDAERRTLATLESVRPDIRAIDSSGGLSRLGRESHPTVRRPN LGSSQGPKGDAWSGIPRRSDGLGRSMSGSLISPTSEADEEVNGQGKGRLRSPSGVGPV VEEDDEDRLDARNAASRLATSTF AOR_1_488114 MVAAPLLRAHQAARLQSVSTSRLGLNPHVIKSAGRLQLLRGSSF STATFKWQAGVLDRTRNIGIIAHIDAGKTTTTERMLYYSGFTRRIGDVDEGSTVTDFL PAERARGITIQSAAITFHWPPQTAGDGNTTPQEPQTPRSASSHTVNLIDTPGHADFTF EVMRSLRILDGAVCILDGVAGVEAQTEQVWHQASTYRIPRIVYVNKLDRDGAAFGRTV REVASRLGGWPAVCQIPWFEGGNGRFTGIADAINLQGLRWEEGDGKSVKMFNLEQLAS EEPQLAQELKRARVALVELLSEHDEAMVEKFFDCEEDHLAVPPNDILESLRRCLLEEQ GRKIIPIFAGASFRNIGVQPLLDAVTNLLPSPPETPDPEVSIGGVKGGLRRLLSGDLL VEQGEKAASAKGKHKKKSAIQAESRNAIEKLQGCALAFKVVNDPKRGVLVYVRVYSGS LDRNSILYNTNLNVSERAPRLLKMYANDAVEVDSIPEGHIGVVAGLKHTRTGDTLVTY SGNKATPPEPLNTLQLRPITVPPPVFFASVEPHSLSEEKRLQESLAMLLREDPSLHVT VDEDSGQTLLSGMGELHLEIARDRLLNDLKAKASMGRIEIGYRECPLGASGPITRIFD KEIAGRKGKAGCTATVEPFDPEETTTEPDPSTLSIQTTDGNQIVIQAPGLEVEVNKKG IEESPLLPPGLDVHALRTALQNGCLAALARGPQFTFPMHGTRVTLTFNPAEHLFGNES TPSALSAAARLATSSALRDLPSGAGTSLMEPVMNVIISVDEASLGAVVHDISSSRGGH IISLDEETPLQTTDITSNPTDDLLPPIDPNKVYAPPDPFQSSTVGIDLPSSANRPRTI TAKVPLKEMVGYLKHLRSLSAGRGTFVMSVDRFEKMSAPRQKAVLAELRGDFF AOR_1_490114 MSKMWEVDPETKAKLLQISKTNGNDKCCDCGAPSPQWASPKFGI FICLNCAGTHRGLGVHISFVRSITMDAFKIAEIQRMELGGNEPWKSFFDDHIVTQSEG RTFEDSTIKERYEGEVGEEWKERLSAKVEGREYVPGQKPPQPKRNPTVEAASSRSSTP LGRASPASHDGFGGMDGGRKERNEAYFAKLGSENATRSDSVPPSQGGKFTGFGGGVPV SSGPSRNTSGGNIPGFDDFQKDPMAALTKGFGWFTSTVGKGAKTVNDSYIQPTAKSIA ESDFAAQARQHAAQFGQTVQVGARGAAGQFQRFVEGPDENSAGRRRAEPERKDFWDDF SSLAQEDNHRRNASRSSAIGTAAMKPSPTSNTSAATGNASSTAAKEKDDWDNDW AOR_1_492114 MSTAVENHADNQAATTPAATEATTNGTAPAAPAQSTDAAAASAD EGRRLYIGNLAYATTEGELKEFFKNYKVESVSIPVNPRTNRPVGYAFVDLATAHEATS AIGELSGKEILQRKVSVQLAHKPEPAEAKAEGAVSGGEGASGNEGRRRTGGRGRGRGR GRGRGGRLGRGGRAQNQHQNGQAAAPAEPTNVPGQAAPLTETTNQTEAAATSESGKQA AKPRARPQKQRGPPEDGIPSKTKVMVANLPYDLSEDKLKEIFAAYSPVSAKIALRPIP RFMIKKLQARNERRKGRGFGFVTLGSEELQEKAVKEMNGKEIEGREIAVKVAIDSPGK EDDAVAAPATDAEKTEPATEAPAQENTAPATA AOR_1_494114 MAEKRRASSDMYSDMPSKKAKVLNETQEWILDEDEIPATPSSPP SPSETNDSPADTDALSESSSTGPATPSPYTVEFFADMANTIANLFPCEAFAKAHDCTI SDVSQAISAMVVAPLSDPSFTWHSDNEMSIAEYGQGMIRIWNEHYERKLRNADTTKST IDLTTPTGSTSSSGVETPSEDGSELSASFDKELLGETSPEDEDEPPDSEAPGLPKKSC LSTQSQKVAGQPAKRVRWAPPLSPVVREEVYKDNYGNYVPVPTPEEVKEKERKKMREE MRASKGLLERPQTTYDAFDLEILSGFDDLSYVV AOR_1_496114 MDHIEPVDGSDGPSAEAPSPPSTTQPTSLQRRQRATSLRSRRPT IRLQRLPSLENAIPQIQSGVSRGTGNVSSINVASPPPAKGADEDSWQGNRRRSSSEPR PGRWSAPNPSALPRLPPDQMHPLMEEPTSPINLAHSSRPFPEVHPSGSPPPRVQRRGS LGLLRWTSEAAMNRFSRNRVSASGAAPSSGADTTDNEYHPHVVDVLDVIDPEVSALST LTNVQNSLFVPNLGPLINRNQTYALSPPRESSESTGEETTETEEGEETFEKSPEGRPS LERPLTSLSAVLGKQDPQFAVLPEGSNLEGWTARDIEELNDHVRHMLHSRRSKFKRAM KGFGKYVSKPLGFLITLYATLITLFGLAWVLFLIGWINVGGRQLYIINVIDNVLVALF AIMGDGLAPFRAIDTYHMCFIAHYTFQTWKVRRKRQLPDLKDKNDLPTRREIDVDVEF GDTPKDEEYEFTVLNRLQQQKLVHHQTKLSKSHTFYKPHETLTHHAFPLRMLIAIVVL LDCHSLLQIALGACTWGISYHHRPFALTTVILCCSITCNITGGVLIMVGDRRTRKKDV VERLFREQLTKEAMKKVCKKKQKRQQKIEEEDEPRLSVSTRPQPYDGT AOR_1_1626114 MEDNSLNPEAHPAFSSDMQSIGKLNRSKTSIDDALGSSGRSNGR SSSIDSTSDRPKSQAGEGTDSAKAGPSGFSKLLAARKKRKKKENQKATEELPTQFELE GEKDAQDRPDEPSEDRPPSAANNDGLNPQNDEVNLLTDDSEPERTPSLTAQKSHAGFY TTSSPLKKTTSTDANDTDSAQADVESAVSGPSATAHSESNADTELSRPTSQPSSTLGV PEDRGGKKRSVSPGRRWKGAFGSSQDKKDSNRDRSSSTQSEGKKGGGLFGNSRRSSTS SKKAPTIVAESPPPLPPLIRTDVKEEKPAQPSDHAAPSTPPRRTIPAPHTTVTPPTPR TEAAANLFSSPDVTESPDSLKGKDPLPPGVVVSPSGNMISHRRVRSASSVSHKPSKLS NSISALGPTIEEAKATSKTNLGTQQTGFFSSVFSAAQNAASTLSSSLNTQSKTRSPSQ QVSTESENISTKDGESSQNETQESSKTERKKPLAIETLGTGDLDFSHLDIAVPPGGSV STPDGVVITKPDIPSDKRKNTAVHQRDEEAARLEDRHAARAVTMAYEKPSEVSVVPPS DESLELQSTSSLPRDATGDHTSPSGSVLDGEISVRPSRSGSVRSRLTRRRHRGSSAAT ASTAAAAGASAMALGIPGGNSSVPRLTGFAVASKKRNRDFHQLFRSVPEDDYLIEDYS CALQREIILAGRIYVSEGHICFSSNILGWVTTLVISFDEIVAIEKESTAMVFPNAIAI QTLHARHTFRSLLSRESTYDLMVNIWKINHPTLKSSVNGTRVEQGTGDKTEKAGEESE GGSEDEDEIYDEDEDGDNADSFFEPGDASVNGSDKSLPLKGLSRQASGNLPVNGTAPA TSNTNGDPRGGKSANENVDGDFPGPTTHGPTTYTDPAGQYDKVIKDEIIPAPLGKVYS YVFGPASTNFMPKFLVDNQKSGELQFDIESGGLTNESRTRQYSYIKPLNGSIGPKQTK CISTETLDFLDLEKAVLVTLSTQTPDVPSGNVFCTKTKYLFTWAANNQTRFLMTCTIE WSGKSWLKGPIEKGAIDGQGTFGSDLISALRAAVAPRARAASKVGGKGKGRRKRGDVA NEEAAAAAAKAASDATKQQAQTWGPLEPIRGVLEPIAGILKPLWNGNLAVLVIGILLF LIFFRTPSQPSMLSHDIGCPGYSLPQRLAAYEEMWRREESELWSWLEDRVGMDGMVFP TVNRPGESRAHERARKIQSGRDFINKLDEDKMSVREMDHAIRTTREKLDTLEKILTNR KMQATAGEDTMHSEL AOR_1_500114 MHSSPDDELFDPPREIPDAEIYNFIPRDHVFYFKNTQTSWRMGV IQAEKFRIYEYERFGHTKDVSSVCVTTQVEGPSPGIKAVMKIKAQMAPWTGDTSCADY LPITQEIFRELSVLEKLTEGGCSSTPRFIDFLAFEQDDDDPVPDGYFVVFLLEKLPGV LSVATDSLEELQLKTVYREFYSFRLFHHDPARRNIIWDEGMEQCFIVDLEDVEERDHP VFSTPRFDPWRDFRYWELCSAELDPDEANYDPMMPGPHEEIEDTDEALYALAARTKHI SKPDGNVATKVK AOR_1_502114 METAPLTLAHTHARNAVLETRKSNPVAASEEHDLAAGEFAAAAQ KSSDREALRTLQLLEQHHKKLAQILRFQHENPPAAAPGTQQVTVESGGGKTDTQQHPP KLLGHARLPARETSSIASNLASARGIPSQPRRGSPVSPTVSSQQAGAKMTEGPAKIRT SEARLRERQAYATKERSNRTPSKQSWNPPAASPTDITSQQFVPPDAESSHPKDRQATS EEPFQRFYSTFEGLISKLSAPLAFAGLPLGSDVSEKADSARKTSADTKVDRQPAVSDR QSQPGDTDISRIFSRAALRAVRDSTGGGTGSTAESFYVVPTTGGTVSYAGILSRAEKE ARRSSFEEGDEDFVDARETPPSPEMRQSMTGSKGRGSRGKDKLTSIQSPKTLEELQME NQALKHLTDTLSKRLHMWEVNAQSSSMALQQSLRAMHHQNVPSPEHFPQSTPAVASPI APLPTPASADQEQRIRELEELIQQSEKELDKVGRENDKLRNVVGRYRDRWEKLKEGAK TRREGRSTADRNSYAEPSTPVRTGSTSTQKASETTQVQAEGAAENDTNSSNEPEVKND SSS AOR_1_504114 MVSGVFTKGVLLLGLLSGLALGQDEKPRYKDPSVPVEERVTDLL GRMTLEEKMSQLIQGDITNWMNETTGEFNLTGLEWSTKMRGGMFYVGYPVPWDYIADN VKKAQDYILQNTTLGIPAIVQTESLHGFLIGNATIYNSPIGFACSFNPELIEKMARLI GQEASALGVNHVMGPVVDLARELRFGRVEETYGEDPFLAGEIGYHYTKGIQSHNISAN VKHFVGFSQPEQGLNTAPVHGGERYLRTTWLPSFKRAIMDAGAWSIMSAYHSYDGIPA VADYHTLTEILREEWGYKYWVTSDAGASDRVCTAFKLCRADPIDKEAVTLAILPAGND VEMGGGSYNFETIIDLVNAGKLDIEIVNTAVSRVLRAKFEMGLFENPYNAAPASEWNK LIHTQEAVDLARELDRESIVLLENHDNALPLKKSGSIAVIGPMAHGFMNYGDYVVYES QYRGVTPLDGIKAAVGDKATINYAQGCERWSNDQSGFAEAVEAAKKSDVAVVVVGTWS RDQKELWAGLNATTGEHVDVNSLSLVGAQAPLIKAIIDTGVPTVVVLSSGKPITEPWL SNNTAALVQQFYPSEQGGNALADVLFGDYNPSGKLSVSFPHSVGDLPIYYDYLNSARE IGDAGYIYSNGTLEFGHQYALGNPKAWYPFGYGKSYSSFEYGAVKLDKTNVTEADTVT VSVDVKNTDATREGTEVVQVYVVDEVASVVVPNRLLKGFKKVVIPAGQTKTVEIPLKV QDLGLWNVRMKYVVEPGAFGVLVGSSSEDIRGNATFYVQ AOR_1_506114 MPGIYVLAAAGALALGVGYVVILPIIHYFYDPKGFRKYPNFAPL AGITDLPYCYLSSCGYRSKDLYEAHKNAPILRIGPNNLSFGRIGAVKDIYGHNTPCIK DIKYAMTWGSHTHLFDVIDKADHAAKRKRMSSAFAIKNSERWEHKVANVTGRLVKALD AHCTLPLLPGQTEPQAADVTLDYNKWINLFTIEAINLIALSSTLGLLEKGSDEVTAQR KDGTTYPARYRKSQDSTAHAQSLFVWDYKYFHWLSRLSKLVPKYRQMWKDGEPWGDVI YHQAVTRLQRYQSGEKLDDFFSSLMEDKAGHPNNLEWGEIVAEVGAIINAGADTTAIA LTQVLDILIRHPKYLQRLREEVDSTLDADEVVAPYDKVKNLPFLRACLDEALRLIPPT SAGLPRRTPPEGAQILNEWIPGDTSVSMTSYSAHRDPEIFPVPEEYNPDRWMDLDNRK RMEPYFVPFSTGARGCLGRNITYLEQTVVLATLVHRYDFAVPANWKLGRFEAFNLIMG EMPMKIWRREKA AOR_1_508114 MSQYRNGGFISLLTRNGLACLGKKGRLHYVHVNPDGRQESDGDA DDDDDVEESLPDATGSNNSPSPSSMIWRKGQKPHSLPASTSTNTSHNHQGERLGQSHR DSRHYSISSFNAVADSNETSPQSASYYAQTSAYECLSQPTDQPSNAFPAERDHYRLGP LKGVPETFSDASYTSDLQEACLIRYFVEKLAHWFDSTDRDRHFALTVPGRAMFCPVLR YALYTASAGHMRQALKCRNNINGTVIFDGIPLPRLSEDSAIRYHNICISYLIEISKDP NEDYNEDVLTAATILRFYEQIDAPSIGTDTEAYLKAVQFIVHTQNNDSFYAAQTIHGP THDTNIHSSPAISLRHSACLIALRQEIWSAFLHQRPVRLPISPKNDYNAFPTTCDFIW ANRILVWCADLLNFTFDSHTNTRYPTQASRLEKWKSLKAFETHWNNHKPLSYKPIYHV PPEPEKGSYFPTIWLMNDSQVVAEQHMELARILLAVSNPGMQRLGAGAGALNRGLEAE LRAITRRVIGLGLGNRAGPPALVTSAVGISICGEYFDDPGEREALVRFLVDLEFEYAW PTSAIVAALRSAWAS AOR_1_510114 MAHLHEVPLAAGLLTTFVPSAVPTPTLSSLYVSPSSQPFPTLAS DPGYDDGGGGGGGMHEWSSLIGIVTALIGNILISLALNIQRYAHIRIEREWEHQKLQK EAEWKRANPGRGSTDTYGSVADHEYNDYETRGRNKSRRFARYRDESPEVRFVHHDQPH IDLGPEDDDEMDTNAHQDQMDESFMSDRTVRPGDLRRKSYLRSPYWWVGIVLMCVGEI GNFMAYGFAPASIVSPLGVVALISNCVIAPILLKEKFRGRDFWGVVVAVTGAVVVVLS ASSSEEKIGPHDIWVMITRWEFETYVGISTVLIIGLLWASGKYGSRTVLIDVGLVALF GGYTALSTKGVSSLLSFTLWHVITFPITYLLVFVLVFSAVLQIRYINRALQRFDSTQV IPTQFVLFTLSVIIGSAVLYRDFENYTLDRAGKFVGGCLLTFLGVYFITSGRVRNDDE SSYSNDEEEAIGLLAGERYRDSVDMSPPARHVRVQKPSRIPLETQAENMQSPSGSLLS HGIEGIDEGQRTPRGVSSAAPSSPVGSLTADSLTGQSLQPSPPMHPNSLLTNPWADAH ESAIVTPKSEPQIPQIDRPVTPEQSRPTTSGSPVLLRFPPAPGIEDNNLKVPAASGTE TASPGIRSSTVPQTPPARRLRNSISSRFSPGPLLPTISAGFSAVVAESLRRGETSPVK DRKSVRRHRGKQLSTTIVDGFLRSRDGENANIDEGLGPRGVLPTARFNSTGDIATAPV TAGQSTTTLDTEDAPQNLQPDGSTSVSRIRSLSDSWSGGLAWLGGTLRKVNGHGNGHE QIAESQTNEEETGANTQA AOR_1_512114 MDGFDEEAFKKFFPGSFGKQERKTDVNTQIDRTKRTHVSAKTTA DDDKAGLISDEQANVQTGAEDRKDKSESDSDNESDDSDSDDEDEDEFPVSHELVLKTH ERAVTTLTVDPSGSRLITGSTDCTIKLHDFASMTPSTVRAFKSVDPTAKKQSALQEAH AVHYAAFNPLSPGYVMVVSATPQPRILDRDGETITEFMKGDMYLRDLHNTKGHISEVT SGAWCPTDENLCVTAGSDSTVRIWDANIGRSQKEVIMHKSRAAGSAGRSKMTAVAWGS PKQGGPNVLIAAALDGSLMMWSGNGPFTRPSGEIRDAHTRDTWTSGLDISSDGRLVVT KGGDDTIKLWDTRKFKQPISTVAHPSSSSRYPTSNIVFSPTSANVLTGSETGHLHILN PATLKPELVTPVTPGSPLITVQWHEKLNQILTGSANAETHVLYNPDMSSKGAALVMSK APKRRHIDDDPNLTMDLSQGISGDNVVVGSNGVPHYSSATWSSRHPTIGLTASGRPRD PRRPHLPATTPFAKSQPDEKHIRENIPLSSMRDEDPREALLKYAEKAEKDPVFTKAWK ETQPKTIYREISDDEGEQEPDKKRARR AOR_1_514114 MGNQQSNIGGGPGGDGRDDKDKKKDKPRYEPPPPPTTRLGRKKR KAAGPSTASKLPDIFPTSRCKLRYLRMQRVHDHLLLEEEYVENMERLRKTKAQAAHDS VSRSEFDIMDRNADERGRVDDMRGTPMGVGNLEELIDDDHAIVSSATGPEYYVSIMSF VDKDLLEPGASILLHHKSVSVVGVLTEESDPLVSVMKLDKAPTESYADIGGLETQIQE VRESVELPLLHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGSE LIQKYLGDGPRLVRQIFQVAAEHAPSIVFIDEIDAIGTKRYDSTSGGEREIQRTMLEL LNQLDGFDDRGDVKVIMATNKIETLDPALIRPGRIDRKILFENPDQNTKKKIFTLHTS KMSLGDDVDLDEFINQKDDLSGADIRAICTEAGLMALRERRMRVQMEDFRSARERIMK TKQDGGPVEGLYL AOR_1_516114 MASNRSRRIAKEIADIHADTQSGITAEPVGSDEDLTHLRGTFPG PPGTPYEGGTYVVDIKIPTDYPFRPPVMKFHTKVWHPNISSQTGAICLDTLSSAWSPV LTIKSALLSLQSLLSTPEPKDPQDAEVATMLLRKPKEFERVAQTWATLYAGAPSKNAG EGSGGATNESLRQQELKSREEQEKEDLAKYDGYNKDLIDRFCSMGFDVDRVVAAFKYY GIDRMNGEDYELEEAYMGDVTARLLGEP AOR_1_518114 MIEDDFYRSSSQFRLWSYTEASLQSLRATTNANASERVRSALRK SREAQQSATSSAAGTPAANQNGSDADSKAGGEETEIECLTPEEEHQLVRYFCEQIIEL GEMYKPPLPTIVRATAIQYLRRFYLTNSPMTYHPKSIMLCALFLATKTDNYYLSLRQF AEVIPGGTTPEDIIQPEFLVMQSLRFTFDVRHPFRGLEGGIMELQAISQGMGQPAPHF PTQTPDDLKRKLHSLPASPTTSSSSITNRLARAHHNTREILKSAAQMTDAYFLYTPSQ IWLAAFMIADKPLAEFYLETKLGGPQQQQQGSPLYELRTKLLRTLTDCSHLLQAYKPL ASDPDQKKNLRRIRKKLTHCQNPDKAGVAGQKRIPAAAAAVAAAGDPATSESEMERLA KKRKLDGGQPNDIFGGELVTQRTKEAQQPQ AOR_1_520114 MVSASKAARLAKRADGDSKKKLGKGKKSGTESPQVDSDVPADDQ PATTTEKMKEVEKLTAQMDKHGLSDRVTTGVLSSMESSRDVKVTSASLVFHGKVLITD STLELNFGRRYGLLGENGCGKSTLLKAIAHREYPIPEHIDIYLLNEGAPPTELGALEW VVTEAQNQLDRMEKQAEDILEEQGPDSPILEDLYDRMDKMDPSTFHTRASLILTGLGF NKVTINKKTKDMSGGWRMRVALAKALFVKPSLLLLDDPTAHLDLEACVWLEEYLKKWE RTLVLVSHSMDFLNGVCTNMIDMRMKQLLYYGGNYDSYHKTRSEQETNQMKAYNKQQE EIAHIKKFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVIPDRIFSFRFAEVEKLP PPVLSFDDVSFSYSGSWEDTLYEHLDFGVDMDSRTALVGPNGVGKSTLLRIMTGKLQP IGGRVSRHTHLKLGMYSQHSAEQLDLTKSSLDFVRDKFPEKSQDYQYWRQQLGRYGLS GESQTALMGTLSEGQKSRIVFALLAIESPNMILLDEPTNGLDIPTIDSLADAINAYSG GVVVVSHDFRLLDKIAKDIMVCENKTVTRWDGTIGQYKDHLRKKMIDAGAV AOR_1_522114 MLRNVDFSRWHVDIDRYLNPLVPRPPWRWLPRPISHFLGYRGDK PPKNLGNLVLAFWSLIGVFCGVLVVAEVSLHVPSFQHHHAPIIVASFGAAAVLEFGAI ESPFAQPRNAVLSQVMASAIGIGIGRLFALNPHANALPQVGGALACAITTAVMVLTKT VHPPAGATALLSVTEGYEIGWFLILIMFLGCIMMQSVALVINNIQRRFPVYWWTPDPL PRPKVVVEDTESAREGKQESLASSASDDDTQAPVPARIVIQEGRVSIPDNVWLTAEEK EWLEKISQRIR AOR_1_524114 MASKTFNVGVVGYGFSAKTFHIPFVSDVPQLKLYAVVQRTPKPD DDAEKDHPGIKSYRTAEDMVKDDGVDVVIVTTAPDSHYQLTKLALEHGKHVVCEKPFT PTTQEADELVALAEKQNKLLAVYQNRRWDADYVTASKLVKSGVLGRVAEFETHFDRHR PEEPAPDVSKWKNKVVPGGSAIYDLGSHLLDQAVHLFGLPNRVTGFIGSQRAVNTSGY EDSFTVLFHYNNGPLVTAKAAVVSPEEEQLRFWVRGEKGSFKKFHLDVQEEQLKSGIK PGDSVYGREPSERYGTLTTIQNGKPVKEVAPTVEPPTWSEYYRKLARALAGEGDLPAS GAEARDVIRLIELAQESSRQGKTLDV AOR_1_526114 MSQNQPTTRQRNDEAELDLLDFIYAQPNRDEIQGNPQKVLDLID EFAKSRHLIHVGPEKGKVVADLIAERKPQTMIELGGYVGYSAILFGDAVRRAGGKKYY SLELNPEYAAISTLLLDLAGLRDFVQILVGRSDQSLNKLCASGEVPQVEIMFIDHYKP AYTTDLKLCEQLGMIVPDVSVLVADNVLYPGNPPYLEYVRSTVEQKRKAAEKGPIQMY NTEGIPAVSVRAFLGSDSTPLFDILGDPNLVYESTLNQPDGLRDALEITRCVGVEKA AOR_1_528114 MSIPTVRRAFGSIPKQRTLQSIRGLLQIQTLGLLLRIAISFILL PLDNAILFATCLVGHFSVFLSHSNPVHRRQAARRDVHFYPKTIFITGIDTPYGLALAR CLYYHGHRVVGADITDLPFASGEGMSKTLATYYRIPRSHYVSRLLDIIQREKADIWIP CSSRASVLEDAMAKQAIESRTACKCIHLDTELVNQFSDTESFTQYLVEKELPVVENYQ VQSRDSIHKILHRSPSKVYHMRRPDLAVSDNKVVTLPKRTLSMTYTEVSEIQISKDRP WVLQQQARLGEFFAELLLVSGQVKAIKIRPADNQPWGHSRLDEGLALAIHRLMDRFAF KGGHRMTGHLCLRLMVDEEFDANNVRYVTHIAGCTQGTTAVRHLLEEPSPSLIDGYLA VLSSQPDDTSVDLDRKRMQARASISAVSRSKFTFYKTLKQCDVRRVLPSLYPVAQQLD HLVSQGSDLLLFWKDPRFTVLDPLPWWWNAHIYQPLKGLEVVLSRRDVKRL AOR_1_1628114 MRHQLKKNSKTRNPDQQDDVKCLEEAKHDGVDADVSPISKQHTK GTSLKEDREFGDPETGPKALHELKNDHKQDEAETPEYPVSKKTPADEKVVERSEKPGN VDLWQRAFDELNEDLKGQLREDEAISPENAIKEVIDRTKESFKAYQNGGLKFKKYDGK EVNVRDVAKKILNSAIHCSDIIKGIAAFDPSNHASSAWGIVTLGLTMAKNSVDQKEAA FKSSEFLSDILARYVILNGHRRKEKLPSSDGLDDAIVQVYKAILEYTAEVKKRLNASG LNRMGNSIFPVSDTELNNLQSAVQDQDKKVSDWSQINNYIYLSTKGDEILASIEKVYQ NTETIKVKVNSDERDKILKWLSDIQYSHTQNDHQRVRTHKTGEWLLSSDEYREWKATP GKFLWLHGPAGCGKSILCSTIIQDIEKHCSNDLSSIISYWYFQFSRDETQNVKNMTRS IIRQLVPEELPGSLVSLWEEHGRHNREPDQDKLSTVLHDVINNYTGDRLFLIFDALDE CPDNEVHERDLLFQVLKGLIDEHGEKIHLLATSRYEENIRCHLEESLEIDLEDRMNND VEAFVRDALDHGKLSRWKKEKGVNDQILAKLLDTKEPRRFRWADLQIKRLEKCKKRDQ ITESLETIPKTLEETYQRILHDIPEDEKEDARSILTWLSFSLEPLRLETVAAVVGFPH PEDVVGTCTTYLVTVSPSNGTIKLAHFSVKEFLVVSESVHWYQLTKNGGHMDIANRAL DDLLDKTEVLTEEAVHGLPLLKYAAAHWSRHFSELTDSDAKFWDLEKKIHRLFEERIV YLNWRRVARDNFSMSSWDRGLFSFEPPIYLACGMGMQNVVERLLSQGANACTELGLST VGVAALNGHLTLVKLLLGNIGVSAKIASEIASYIDLNNVPHEEVEDLLDLLLSTKVLY DKTANGCVLLNEDFVSAIAENERSGRRLMCLLLDRQDKLEVPVTESVLDAALCNRDGG ETMRVLLDRRRKGIQITEGLMESVAADSRYTPDISELILQRWGATVPLNQKIVKEFVR RAPAKVMELLLQIRGHEIQITEELVDAAAANGDHEVFRLLWERVSGIEITSKTWQRII IWGSKGLERMEIALAKCPQRYFLENEIILKVARCLYGLPLMRMLLDKREAGLVVFDVS EATMIAAASNSNCPQQMMELVINNADSEIPVNEKILSSAIGNVRASESALEYLLELEQ NLPITEEVLVSAAAATAVPFYQRKRVLKFIFNKFPDAPMTDRVFKAAGHMSGVLLSLW LKRGRHIQDCQLIGRLLGQGIVSLQELTKLFDEGLVEMDDNLVDAVGTQNALIMALEG YGAMEKLLDLRRNDITVTEEVVLRALDEVCVDGQAFKMLTDRLGSVVPVTEKILEKAF LKDQSILLDLLLKEERNWNLQKVWDAIWRNHGYFLRDIVGGANALLKYGEFDVSQTLV EFLPRHEEDGFSNKDLDELVNLCAGRDISAPAMEMISVISFKWGDARTIRVFIDRLSS AVPMTEKVWDALWLNDGFSFQQKAIISNILLEYGEFDISQILLEFLPLREEKGVFSDE EIDELVNLCVGQDISAPATEMLIEILFEYGNANSIMKFVKRKPTVQLTDGLIQRADRN ERAYKKVLMPFLYSKRAADQNINNAEAKTEAEED AOR_1_530114 MKLARPSINPGRTKNIIHGVQGFIIFLAWACTIAVFTKGDGIDG RSAWYWALCWFSIPGLIYLVAVPMWPRARRFGNVYAFATVDCLYALLWFTAWVCVASY VAQGKSEGKDSNQEDKDKDSDKKTGCDNWKYGSASKCKISTATCIMGVVIFLLFIVTA FMSFRNVMHFRRTGTLPDAVSDPTFAAQSQAAFSSNPAHDFEEEDDFRSGRAGGMGSS VRSDRDEDYALLHQSEVDEFGNPSGRTAMHGAYDPTASNAGGSVLHDYNTVNTATSYG GAHGQHYAPNSEYAPPSEWGMGSSVSGYGR AOR_1_532114 MADSIDIYNQYPLVLDPTSKAINLSSQTTTPASASTVTTELTEL NALHRGLISLDPPNIPPPPLPINPKRSAQITKLRDSANTAYRKNNHAEAVRLYTYAIE MGIARPGWEPMSLAREELAGLFANRAQAYMAQQAWPEGLVDAKCSVESKPVGNVKAWW RAGKCLAEMGRWDEAQVAIDKGLEFEPRNGEGAKELLSLMEEVKEGMKRANSSA AOR_1_534114 MGAHDKFIGLALAVLASVAIGSSYVITKKGLVQAAEKYGFSGEG FEYLRSPLWWCGMIILISGELMNTAAYAFAPAVLVTPLGALSVLISALMGAYFLNEDI QVLGKLGAAICLLGSILLVLHAPGDRDIQTIEEILHLAIQPGFLIYCTLVTVFASYMI YKVAPRLGRTNPLVYLSICSTVGSISVMSVKAFGIAIKLTFAGDNQFTHASTYVFSLV LVVTTLTQMNYLNKAMGEFPASLVNAMYYVGFTTCTLTASIIFYQGLNTSDWTSITSM MCGFLLNFIGISLLTLSKTGQEARPESVRALSMRSFDMSRGRYDHVRTSSVDLPSALE RRSSGLDAAERQ AOR_1_536114 MRQLPGEKGWFDPLSPGCKTCEVYGVECRYEKAPPMSQILAMAK RLQETEQTITELRIALHEAREANAAQHTLSIERQIPNTPSADTSFDSASYIEASSSTQ VAPESETAPEQILLSDLSLDENGKLCYYGPTSAVHAPPPAESTNVNDSYSSMSDARSL LTSAALESRTWEEFALGNAAIQTDIPRQMISKLLQIHWTWIAPMFNWVYRPAFMRDMA INGPCYSPFLVVVMCAHAAHFDDHQISELLISRARLLLGTEILNPSSIPTAQGLLQLS ARELAWGSISQAWLYSGMAFRMVSDLGLHHSTTKIATLGHLTAEDLEIRRRLFWSCYF WDKAISLFLGLDDSAENDLWAPYYGDQLSPDKAPPGEYPPMKSHLVSSFQNLDLTTGE NSRLCI AOR_1_538114 MIMKSSFMPLVALSSAISAWAHGEEEAKEMGPVAFMWPPDRVWG AAYDNTAPCGSSSGATNRTDFPMVNGQLALVIQDESWNVQVAISHKSNPSSNDDFEPF IDGSRIKDIEPGHECYPVPNPSVDVEEGMNATFQIKYTSDFDTDKNETYYACADIRYV AASKFTTQVPCFNVTADEFTAVTSTTATAGATATAGSSSSSPKDSGTKESSSGLSGGA IAGIVVGCVAAVVIAIAVLFGSRRFLQKYRSYRQKASVRNVDWAETATVDPKVDNEGL RKIR AOR_1_540114 MHLPTLVTLACMAVSASAFHYPDFVPLHRRQEPGTPEYDCHANC GGVIVAARKDGYCDTDTFKTELSDCLNCALKYDIWKYYGASVSKAATGCGVDATPVEA SSTTTTAAASASATETGSPITSSASSTSTDTAATTGVTSAASSAVPSSSGAASSTPAA STVASSATPSQNSTGGASPTVSLFPGAASTNSRDGLLLSILAGCLAVAFL AOR_1_542114 MEKDIQPPHDQNQPSEGPVQPQAQQQNVQSNPPDGGYGWVCTAA AATINAHSWGFNSAYGVFLAHYLKHNTFPGSSPLEYAFVGSLSITLLLLVSPIATISV REWGIKLIMFCGVILETASLICASFATEIWHLFLTQGVLFGMGVGFLFIPTAAVVPQW FTTKRSLASGISLSGAGLGGLIYSLATGAMIRNLGLQWAFRILGIIGFIVNTTCTLLI KDRNSTTTGHHKQTSMNLTLLRKGEYQLLLGFASFTMLGYFVLMYSLANYANEIGLNA SQASIITAIFNLGQAVGRPCIGYFSDRVGRINMASSMTFLSGVLALVVWTNAKSYGVL GFFAVAEGLIAGNFWATIAPLMAEVVGLKEVPAGLNILWLSIVLPCTFSEPIALEIFT GTGSYQGTQLFTGFVYLAAAGCLVALRGWKIMVESRGAGVIGDGSGRRTDGVDVLVGG MDRLGFVEFCQLCFRWAVV AOR_1_544114 MKLFISSILSIGCLAKIANASLGALNNPGLFPFVFNGVAVFNYP NWTTQQPRDSIKEGENFFCYATETGYLSFGWWLDAAPGTSYSHCRPDSPDFVWYEYSE KIQCSETGCKAHVVNYWAANNSLKFETDYVFSDANLALPELGTLSTFYRDEEQGASAV GTRHVLSSTSSTALDCCKALKTFREIKDKYKLNLSFDLKDICPPQETVKTSWWRWGQL PMDGGCDSELSNLRTQL AOR_1_546114 MKSQSKFLFTSESVGEGHPDKICDQVADAILDECLKQNPLSKVA IEVAVRPGLVIVFGVVHFIPQLDIDAIVRFVLKDIGYTSPDQELDYRTCQVMDCVELH PSLFNGISSGLEAPDDEPAGDQGMAFGYATDETPQLLPLTLHLAHRISRELKAAHTNR ILPWLRPDTKAQVTVEYVEDEGRMVPTRVHNVVITAQHTPGVPLEKLRQGILDKVVRK SIPAKYLDDRTAYHIQPTGDVGVSPSGKFAGVTGRKIVVDTYGGWGAHGGGAFSGKDF RQVDRSAAYMARWIAKSLVHHGLAHRCLIQLSYSIGIAEPLSIFIDTFKTSKFTSDQL KEIIRKNFDLRPAAIAKDLNLIDPIYYQTAKNGHFTNEKFPWEQPLDLVL AOR_1_548114 MHLRALDGEISYPMWLAGNGETCTAYSLPNNHTFSVECTRRIRA LCTNTAEYQTGLDPPSTSRHIFVKTSQGLIKGFRDRLTARFQGIPYAKPPIGERRLKN PERLHSFPGRKKGSAYDATEFKALCPQDMTPNATPDLPNPNYPISEDCLYLNIYTPIL PENESPDLLPVVVWIHGGSNYFGGSSLPFYFGMNLATRRPLVVVSINYRLGALGFLSD LSKASDIGSNQAMRDQLMALDWVREHIKSFGGDPERVTIFGESSGGSAVMSLIQTNPE KGLFSQAIVQSGGTWSGWQRPHVQADLTRMFLDLAGCNDLDCVKNNRTMGEILEYQGL LFSKAQEVFPRGEVNFIEPFRPFIDHDLITEDWTAALEAGRYRKVPTLVTYTRDEFGL ILKTNETLKDKPIDYSTAVEFLATFLLGEERTRQVLDTPELGFNRSLIHMSDVTDPFI QLTTDLGYRCSSEIYAGFLDRHNRDVWEVSWDIGLPQFIGGMICGNGTKRACHAAELP ILFGSANYANISSSALASVNYYQQARNTIDLYSNFVHDGEVWINATYYLRRNGNAVRN VLHWDDTPASTRGGVRWEICQKMDEMNLYDRLYYPYLPLGDRANTRDGYISLQYPMVA GPFD AOR_1_550114 MSMALFTDELLFSFMVPLLPYIFEQRLKVPASRVQAYTSIFLTE GALVAIITSPLIGHVADRAKSKKALLLGLLVLTLASVCGLAVTKSCIICYSVYALYIA SFQTTIPLHSWETFKWGVFPVGLLLAAVQGPGMVLAPLIGYWKDRWGSRIPTAIAFFS MAPFLVLSGAAGDERFSWFTGGNKGKIIYSCSLAMTGCLMSLLSGVGAMEATEAVDKL EESHPGIFGKYGGYSRAVAITSMSWTMGLLLGPILAGFMAERFAYFELQCVLATISIA CGIGAALYLSSTPALQVTEGNEEQQTT AOR_1_552114 MARDQEIVSLSSFGKDLLASGFFTSLDAPNFADNNVKGPPRRNL EIAPEETFPPQPASPATWMGLSTLSAVTSAYADSPESPEQLIVGGAEVQNVSLVMVDE HGTYNDLKVMPVPVSGAKSSGGSLLVAPVGESKQIAAQPMLLLPAKADSDLSFSDDSK ALPMAELEKAMKESKGKVGVAAVDSYVLSGEIENFFGVKGVTGKLYCFRSDEEEGSKD EEEKPIDEKVKLQDMESPLGIFFPEIENKTIKTLPLKNLEFTFSNTKKETLLPDGLRL QGDLDLTDGLQWVSDGLKNVFGSDKATELPSKIRVSALLAKERDWTKKPKIEGIVLQA FLHEMKLPAWDFLEFQTVGIELSARKEAAKKDIEDNPEKEQKEDTDKTKEEEEDGKSK EIVAKKDKPSNAPTTKDHATEERRKKEEEGSKEEKRWKVGFGLFGKVNITKVPKSPVP LEARYWIRMGDWKEEKKEEEEEDEVEGDDEDKELEKPDGGEGKETAEAGGENENDDAE EDKPTERGKQYEVLIAVGEWKDFCAISNLDMKEAQLHAFFKPGEFRKSCTLSVTGSLE FAQGCLDKKDGDEEEEEEKPKNATLTIEGVLSRQDYHFDAKVGDLKLDSILKMYAQIT GAEPSKEAKEHDLVFEELHLNISRKLKSKEKAIEDKKDVKEKKEEEKEKEKEKEKEEE KEEEKEEEKEEEKEEEGEEPSTCLELSGKVSFNDCKSVHGLIKIDTISGLTIQGGVED YMIKDADVTIKEASIDIFIGAKPKQSKDTSRKTKPKLEADTKDGKEAGEGKVEVFNRE SKFAIKGRVDFSGITVTVAFMTERKETNAKSKKSSEREWVLFGIYEGTLHLGKICDIM EGPMADLELKNVALIAASGESKTIEALNTLKYPVRKGISLCATIPPLPELNNFAKQNV DGLVLAATIQKQKLELDIQLPRAFDVTITDSVKLCEIGIGIEISKTPSLMVMGTLNIV MDVDQDPLLLEGMVKAGLLSSSASIATKSPWVNPLNISKHVTIADFRVEIEITYATVM ELGPSKLGLAGDIEVGDLTAGAAMQISHHPGEQVISANISEVDLVKIIRVAGQVAEIQ VLQDIRGGEDTFVFTDANMYFSTGGTIAGREYPQGISAGGKLTAFGKTAQFDLTIGKA GLDFQAYIDNFSLGPLVVSSASGDPRAKMVVLMTKDKQVIQVDGMVRCFGIGFATLVD IQIGTETPSFDARIAVAFTDAFIISLQATVKDFKELKDIATKDLYFQAQIQGDLFDMI CESIKSLLRTLEKLGTEGIESLQNLIGAQIAEKQAEMDQEKEKLDEARQKVDTRRQVR QRDMKNEKDKRDKAKAEIERLRDNVTRAKQNKAQAENELKEKVEKLKLERESLIQRKR KEYNDMLEKAKQDQANNQRELERLRQEQRDRYGTDFLRKVNIAKGAYYEKERILKQAW ENVARLRREFNDANIFKKALLLPELGAAELAHEAAKAVLGGYLEAAKVLEDTLNSDAF QSIVTAIEDAEKAVESAANGIDKLLSGGGFDGFIRAFVDTKDARIKAAIQALEAMQNE NNKYQKAIREAQHILDQNAPDLEDQIAQADENIKSLEEDAMLADLERKYNYQLEVHNK VHNTIQQMQAGLETLKENWQKGMHALEDVVNEIQKKINAIFHIERIVVGIHTHALIDD KPLVFKFYGTVANRHFEIEAQWAPGNDLSRLYKGVTNEILKLGV AOR_1_554114 MPYIMEIYTDGGCRRNGRSDAIGAAAVVFKNRFGKCTGWTRSLP RYPPPTNQRAEITAIIFALEKALDKFERLDTNPYLEVKIYSDSRYAIGCMTKWIYKWA NNGWTNAAGNEVANRDLIEEASALDDRLKEEGDVEYIWIPREENQRADEMCNDDMDDQ WRDAASDSDYSSY AOR_1_556114 MALQLSRSPVYSLIILSILWIEGIAAGNFTFPTESESRFIVGDQ VNITWDVLTPRISLYEECGTQQWIIAQNIVNKYSYVWSANRDVYKESGCYFELESLTS EGEPDGQDNATSVVFGVSKRYHDDPSPTSYHFASTSATSLTGTSTPATTSSSESAGAV TVTGVSAANPSTEGSSGGLSSAAKIGIGLGIPLGFLLLAVGVGSFLFFRRRRRLRESE PDVAEPVWHSQSTTPLPGGFVDGSNTAKNVRGSHTDTIISELSSENYRSRDERQTHEV NELMGVERSELD AOR_1_558114 MVLMVTIIITTRMHLDLLVIMAVENSTPIPMDIDMDMTLTMSMS LNTDSTRGLNMADPDPATTADLASTTLTTNTVVQVPTSTLGETFTVKAMETNLSVGYD ASRSLLRIAGVVHRPDVDEEMYRTFLVAERGYLLGVFKREVPLSHGVVVEGIQARLED GVLKVILPRIEGEEVQHGNEVEVEMVNAEKELSTPDESDTEGEEEEEHEDEGEEAEKE FVKVDIQ AOR_1_560114 MVLDRLQQLTLQVSASSPPPHPFDPLSTVEIDTAVALVREAHGK VNFNAVTLWEPRKAEMMAWLADPQKAPRPMRAADVVAIAPGGKIYDGVVDLDQKKVIE WKHTPGVQPLITMEDLQEVEHIVRQDPKVIEQCAIIGIPKEDMHKVYCDPWTIGYDER FGTDVRLQQALMYYRPHVDDSQYTYPLDFCPIFNAETKQIIHIDVPPVRRPLSKAPPN NYHPAAIEKEGGFRTDLKPIHITQPEGVSFTVNGRHIEWQNWNIHVGFNYREGIVLNN ITFNDKGNVRPIFYRLSLGEMVVPYGNPEHPHQRKHAFDLGEYGGGYMTNSLSLGCDC KGAIHYMDAAFVNRAGASTIVKNAICIHEEDAGILFKHTDFRDESIIVTRGRKLIISH IFTAANYEYCVYWIFHQDGTVQLDIKLTGILNTYAMNPGEDTKGWGTEVYPGVNAHNH QHLFCLRVDANIDGPNNTVFQVDAVRGEGEVGSAENKYGNAFYAKKTKFTTPLEAMSD YDGFTGRTWEIANTNKLNAYSKKPVCYKLVSREVPPLLPKEGSLVWKRAGFARHAVHV TKYSDDQIHPAGRHVPQTSGEPSQGLPAWIEEAGPNSSIENTDVVLWHTFGLTHFPAP EDYPIMPAEPMTLLLRPRHFFTRNPVLDVPPSYARTPSQIAAGASSCSCKKNDGSSVL V AOR_1_562114 MPPPVGRYGPTGLSGPYTHLQQAHLQQQQQPQHHPAHAQSANTA LPPPSLGGHPGFAAGNPNTNINPFTLSGTGIANGMSVAGFGGAADAGGTGLASHAAQM GFARGAQMQQQQLHQTHDGRLALEAKAGAVKTRIRDVWKHNLAQEMAVLRQLVEKYPY ISMDTEFPGIVARPIGAFTNKADYHYQTLRCNVDLLKMIQLGITLFSAEGEVPPPNAT DANGQPLGNSLVPAPCTWQFNFRFSLEDDMYAQESTAMLAKAGIDFSMHDKNGIDPFE FGALLISSGLVLLDDVHWVSFHSGYDFGYLMKIMLCKPLPENEEEFHKLLNIFFPSLY DIKYLMKHAGRNQASGLQDIADELGVKRVGIAHQAGSDSLVTGEIYWKMRQLVFNGSI DESKYSGQIWGLNGQMPALLYQMPHQTPNLNGATIYSAAGTPSTPNAGTPQHQGLGTL TPGAVGGVLGQFQVGKA AOR_1_564114 MAGTNPTGFDIKEFKAAASPRSVWAKKDPWARYEAWRYTGPFSR FNRFKRIFPGFGIASVAFAGYCAYEAVFMKHDDHHGDGHH AOR_1_566114 MSSSQDPHHEAEDAYVEADEAEEIFQRDEDHPMDSDGEDGDEPM TYEQEEITLENDSSAHFDLHSDSLFCIAQHPIHNSIVLTGSGDDTAYIFDSTPNTERP LLPQSYESNPQPKKERESLQPIAKIEGHKDTVNAVAFTGPKGEYAVTAGLDGQLRVWR DTTPQLTGQAWEFVAEAQEVEEINWIAVCPCEKGDEENSNVIAIGANDGSAWVFRIDH NDTAQPITIMQTFFQHTGSCTAGAWTPDGKLLATVSEDGSFYVYDVFGAAAAAGISYS AGTSAVVGLTPEDQRFAVDGGLYSVAIAPDGAFAAVGGAEGHIRIVGLPRLASGGAAA SKAKGKGAASQSTGAAAGTILASLQAQSDGIETLSFSSPPLSLLAAGSVDGSIALFDT AHRFALRRHIKEAHEGAVVKVEFLQGRSAPASLPPRGPIASAASGQGQPWLLTSVGMD GIVRRWDARGGTAAAAQGLLKEWKGHLGLTENSEGEQAGGVMAFTQSLDGKRVVTAGD DGISFVFEE AOR_1_568114 MVFTPQKGAGELPAIPDNIPISEFMLNDQYGRNPLQKSRDPFTC GITGKSYSGAQVAERVDYLARALAKEFNWAPNQGTEWDKTLAVFSVNTIDTLPLSWAT HQLGGIVSPANAAYSAPELKHQLVDSQAKALFTCAPLLSTALEAASLAGFPKDRIYLL EVPAELTGGASIPAQYKTVSQLIEAGRSLPKLERLNWGPGDGARRTAFLCYSSGTSGL PKGVMISHKNVIANVLQISAFEKTHRDALAAPGGPQHTEVVLGLLPQSHIYALVVMCH TGPYRGDQVIVLPKFELKSYLASIQHFKIGSLFLVPPIIITMLRNHEVCKKYDLSSVK SLFTGAAPLGMETAEDFQKMYPGVTVRQGYGLTETCTVVASTHPNDIFLGSSGTLIPG VEARIVSPEGQEITSYDTPGELVVRSPSVVLGYLNNEKANKETFENGWMRTGDEAVFR LSPKGTEHVFIVDRIKELIKVKGLQVAPAELEAHLLTHPAVADCAVIAIPDEAAGEVP KAIVVKSASADKDDEKTIQSIKKYVEEYKARHKWLKGGIRFVEAVPKSPSGKILRRLL RDQEKEERRRAGAKL AOR_1_570114 MGKCDTLPWFRAVQGGIYHKDGLAWGVLVDRDSGERSYIDEEVV ITRIGGSCSKNANGDLVRVKDQAKKDLLVKCLMNSMEQKVPVGVIIGEHNTVLGKMVP HPYNVMDYFRITNIWFECIGKRIAAKVRYEKLDLQSKSWWADKGSLPPPPLQELVSLR SVIDTLEIAPQKRAFMPDDKFMTPEVDDNSLAPYRRDINNHPNGPNTMFTQLQLAELG LQRFPLSNAVVTGTLTAHFAVNYGNPYKYVAPVNCKGFGEAPGPVLHALGRLQWATEQ AVHLTGHTSYPPNEMLVLGYFANMKIGYHDDGETSLGPTIATLSLGARSTMQIRMKSK YYKGQSSRNILLENDPVLPGCAFQLQRQTWKAEFEHGMITQTKYNKCRNELFKNNRTQ CEAKPCIKLDIHHGDMVVMHGESLQRYYEHEVIPESKLRFALTARHIKAEHVDPKDTH KGEFTLAGHQIYNGI AOR_1_572114 MSFIQLVHFFGLLTLAAGKPILIPRQASGAPQDGARNLIDVQLQ SIGNSTIKAFITNIADENLRVVKRGGLLDNELPTKKVVVSGSGANPTFTGAEVDYVNT HLNDDAFLELAPKQTIESIFDIADSHDLSPGQKYSAIADGMLEYTKLDNPKKFFVVPY TSNAIDFDAPEDSANRLATRATLEACSGEYNKLMQDNLAQAAKMAEAAAADARDGSSG LFQKFFKSQDEADKKEVAERLEAIAKEATSKGTLTYYCQPSAQDSCGGNIAAITYPTQ NRVVNCQAYYETQQVVNECGYLDQAAISLHEFSHATSVYAPGTDDVVYGLDGVLQLST AQAKNNADSFAYYANSVFNNCSADGNQSGNSGTQIGSTNGNGGGLDIPFGQGTGQGNE QGTSQGTGQQTGAPTGMENGGGFTIPWGQGIGQGNEQEVSQGAGQQTNQGPATGIGGM NPWGQQAAPAEGTTQPGSEWPAGFDDLPWSFNNPSQGAQSNGGQFSNDWQPVSNDYQE ISASPSGDFPFGNFGAPESSQGFELGEGSGWFKRDQ AOR_1_574114 MWSVILLSLIAVVSALQSLPPVQWTNLDSEHDGFDIATIDRNIY ITNSFASDRDQNGLTLIPPSAIEFANTFRQDLEEITGESWNLHPVEVWPEGQTGIFLD RLDCSQDGLTYENGDPTEEGYKLQVQPGRVSILGSGARGMWWGTRTLLQRLLIAHNSP IPSGQVVDAPSYSTRGFLLDAGRKWYSPSYLKDLCTYASFFKLSEFQYHTSDNYPLSR GHNETWQDVYAQFSLRPESPELQGIVQRENETLSRADFEDLQQHCAQRGVTVIPEIEA PGHSLFITKWKPELALESKDLLNLTHPDTIPLVKSIWTEFLPWFQTKEVHIGADEYDA TLADDYIDFVNDMAEFMDEQAGKTIRIWGTYEPSDTRNISKDVIIQHWQYGQSDPVEL AEQGYEVINSEDWWAYMSLKNDHMPIFPAPYPDFFNNSRVLNFADREGWQWTPALFNP VNVTEQPDPKPVKGAILAAWNDNGPDATTELESYYAIRNGIPVVAARAWAGNRGPIIN VSTLSDSMDLLTSKAVAQNLDRQISHKSEDANELLSWTNPSENINRDKIHLGYGSKGM NYELTLNVSGPFTLWSNDSTLALSPDGNLTFVSDGWEYPLRSIEETDGFDESYPGRIW TNETSSTHEPVTVPLQSHITIRTDMIGGSRVWVNEGFAGRFEVLVFGGKNRLLSWSQM AFVAPLEWIEGGIQRLTVSEFDGQH AOR_1_576114 MYTFPLLLGILAATKDLALAKPTQKWGLREFNNLVTFGDSYTDD TRASYFYAHNGSAPPVGWKQPESNSSASGGYVWGHYVAAATNATRHNYAVSGGACSNK ITPRTMSGLNMSFPSVLEYEIPAFLADTQYVDSQGNKFLDIPADETVYAIWIGTNDLG NYAFLTDSQVQGKVIPDYIECVYESLDRVYESGGRYFVLMNLAPLQLTPQYALLENGG AKTVSWWPDKPSNQTLISYRMWEQVVNVNEVFRYRTPFEVLVADRYPGAGVAVMDMYG LLSDIYYNPDDWFGDVGANVTGFVKHCNAEGEDCVRLQDEENFMWFDELHPSQTTDKF IAEEFVKVVNGESQWATYW AOR_1_578114 MDDNDRTPHYRLSPPLRPIALRPESVSRSELLLTVKNRPEPWHS LDYSVEYEGQTIFSVQGYPWSIGQRRVFYDRSGLPLFELRCRWYNSSCLELRLPGQME HVILSAKLRVAVRAPKAVIRFRNAVLSEQRRPGDSKKRKGKGKLDDDGDNGVYLPDSD EMMLEVRDEDPYYHTQVLVLGDRIIAHIRRVTNPAELAEGPQPSSCYRPKWEVRVAPG VDVALIAVVVVILGQRVTADEDYRGSGDI AOR_1_580114 MKVGDSSSSNLAITITVEKDGFYEVNGTRQEPTVSLYMTAGASK LRRMLRETDDLIVCPGVYDGISARIAMGLGFKAMYMTGAGTTASRLGMADLGLAQLYD MRTNAEMIANLDPFGPPLIADMDTGYGGPLMVSKSVQQYIQAGVAGFHIEDQIQNKRC GHLAGKKVVDLEEYLTRIRAAKLTKDRLRSDIVLIARTDALQQHGYDECIRRLKAARD LGADVGLLEGFTSKEMARQAVQDLAPWPLLLNMVENGAGPIITTKEAQEMGFRIMIFS FACFAPAYLGIKAALERLKNEGVVGIPDGLGPKKLFEVCGLMDSMKIDTEAGGSGFTN GV AOR_1_582114 MYDSQRKERLTFFKAEVQPKHADVLLFACCLCSGLVDSTLYNAY NTFVSMQTGNTIFVGLGASNQNPRPYGWARSLTSIGCFIIGSFIFARLNRLFGAKKRG TLILSFCLQVIMLILTACLVQSGVISGLPLHNVNTAEPDWTQEVPIVLLSIQSAGQIV ASRALGYNEIPTVVITSLLCDLMSDPKLFLLRNEKRDRRVIAFVLTLVGAIAGGWITK GTGDIYAALWIAAGIKLGIASAWMFWKEELDLST AOR_1_584114 MASITVTGAAGRQIQVPTGLFIHNEFVPSTTSQTLTVENPSTGE SLGTISAASPEDVNKAVESATIGFNVWKNTSGPARAKLLLKLADLIERDADDFASLEA VDAGVLYTDSKGMNIPQAVGNLRYYAGWADKIDGKTLAMEGGVAFTYREPLGVCGAIV PWNAPLMITIWKLAPALAAGNSLIIKPSELSPLYAQKLALLIQEAGFPAGVVNIISGD GASAGRALAEHMTVRKISFTGSSATGRQILKTAASTNLKRVNLELGGKGPSIVFDDCD LDNAVLWTRIGITANNGQICAAGSRIYVQKTIYEKFLEAYKKMAEGSPSVIGNPLDPA TTKGPIVSAAQHNKILSYIEQGKQCGARLLFGGEKVGDKGYFVENTAFADVSENATIM KEEIFGPVASIAPFETEAEVIAKANDTLHGLSAAVFTNDISRAHRVTAALESGQVTVN AWAMLSPNAPFGGVKESGFGRDMGEEALEGWTSVKSVKYAILPPKL AOR_1_586114 MTSIPDSTLRALVDNLKSSEIFTPSSEGYQDSIRRWSETGIKQA GVVVMPTETEDVRTALLWAQEHNVDLAVKGGGHSVAGTSSSEGGLVIDLSRMNKVTAD TEKKTLTVQGGAVWKDVDEAGAEYGLAAVGGTVNHTGVGGLTLGGGYGWLSGQYGLTI DNLLAATVVLADGQVVTASATENPDLFWGLRGAGYNFGVVVDFTFQAYEQKTPVYAGI IAFTPDKLESVVEQMNVLFENPDPRSGAMIIFAQPPGAPTIMVNVLVFYNGTNEEGSK RYAGFLALEPVVNMIEVIPYSLLNSLQNPMATYGDRKSFKGLFYRTPMDAQFLRSMLD ELNAKAQDHPDMIPAMLLECYDMRKTCSVPLDATAFANRSLTQNGLLNLRWTDSSKDA EYRAWAREIQAKFKAQFESQLNGEETADVPQYINYAEPGDAVVNNIYGSNLERLKDVK AKYDPKNVFHKMHPVSRA AOR_1_588114 MVDTFSSIPIVDFRRLQDPLTKAEALEQLREAIFQVGFLYLINH GLESLVKRTHEKLPELFALPTEVKERCNMINSPAFVGYTRLGAETTASKTDLREQFDF GTPGMKPWTENDPFWQRLEGDSQYPDHPGAKELVENYIAESAKLSQEFMRYVSECLSL PPTTFESFKGKMDRLKFIRYPQAAPGSQGVGPHKDSTGLFTFLSQDDTGGLQVLNKNG EWIDAPPIEGSLVVNIQQGFEAITGGICTATTHRVIAPTTKTRYSIPFFLGVRMDLTL DQLRESAAHIVKCIPASDDRKKRAVDVPSEFLSPLYSCFGEAYLRNRIISHPDVGQKW YPELYERYTKEKLT AOR_1_590114 MANFKLFLALAACLSGQALAAPTKTIGKRAAITDVAHGYASQNG GTTGGAGGTTTTVSSYAQFTEAVSSDDAKIVIVDGTITETADQVKVGSNTSIIGKDAN AILEGFGLLVKEKENVIIRNLGVKKVLADNGDAIGVQYSNNVWIDHCDVSSDRDHDKD YYDGLIDLTHAADYVTVSNTFVHDHWKAMLFGHSDSNGDEDTGHLRITVNNNYLNNLN SRGPSFRFGTGHLYNNYYLDVSDGINTRQGAQLLVEGNVWSGGKKPLYSTDDGYAVAR DNDFGDGENTAPEGTLTSVPYEYDLLAASAVKDAVVGTAGQTLTF AOR_1_592114 MDLKYEFPPQQQEGKEWFNFPTEIERSLTSVFAPRNILIVGAGI AGISCALALSKELTPFVPDLQITIFERHDILSTSGGAINLTPVAQRHLDRLGVLDELD KMGTEGGTDVEAIELFSSRSGRPLGSIDFTDQKGNGFGGYKGRRVMRIVLSVAMLAVV ERTKNINVVFGKKLIRGEENEDEATLHFQDGTTATGDLVLGCDGVHSATRTHWVSPEH PSEYTGISFLQTVIDAKSIKSPIHFRSTSMNISRHGSLLASYCDREHDQIFLAAIVQF NEANLPSCKIENGQDWVTQHRIKNALHEEMQARFGKSGVPCIREMTSKSGDWMLYPVY QVRPNARWHTDRALLLGDAAHAMPPRDESAAYALDDAILFSRILAKHRHEPLPVAFKA YEDLRRHTVNTAFKASRRMWEKNRDMGFLEGRLKELTFPLYIRNHRQEREAAWAFDAT QITIPMPVEGGSLYSHGKSDTS AOR_1_594114 MRYLLTVSLALVATVGGNPSEPIVDLGYSSYRGYYNTTTGLNIW KGIRYAAPPINELRWQPPVAPPRNSSHILPAVDQPPVCPQSGAAGTPTVYGFNSGPGD EDCLYLNVYAPPRASDLPVFVWIHGGGYGLFGAVYDPSPLMNTNGNGFITVEIQYRLG AFGFLSSAEVRERGTNNAGLLDQRFALQWVQQHITKFGGNPRRVTIGGESAGAGASML HALAYGGEESNLFQNIIAASPYSVPIYPYDDPVPTGYYEEFVEKAGCGPSSIAKVRYN SSFDCLVAAPSETLQNASGLVSESGLFGTFAFLPVIDNDLIRERPSAQLLSGKVSGQR ILVGNNANDGVPLSNPNVVTRTAFDSYLSATFPNFTETDLAWLNLVHDTADSQPTDDS PRFDTLGTSGPTAKNESEVATGLQQTVFNIFAESTFDCPAQWLAEAFGGPLRQAWKYQ YSVTPAYHGADMNAYFPAGASWPSKGFNHAFQKIWGSFIINDSPIIPLQDATANQSHA IVPETPHGTLDWPQFHPSAPWHMDLNTTGGTVSPVVVTPNYTYYIRQGDDIVNHFRLA NAYTWEGGRGLRCLFWRAVADRIPL AOR_1_596114 MLDVFLRTWDLGFTSFGGPPVHFRILHERFVQGKGEKEKWVDEQ TYQELFAICQGLPGPASTKMIFCLTLLHAGFIPALLVFFIWSLPGAIGMYALSLGVQK IGDTLPLPVYALLSGLNSSTVGIIALAAVQLAEKAIRDRLTRILVIFGACAGLCHNSL WYFPLLMVLGGLASIIWDGWMSQQIRKIKLAWRRRHTVHPTTETELATMESAPMEEGG RNPGSNQIDNTPESQRNPVRSRNVGPRNTPFHQDAEERPLPTHRIRIRVGILITVCFF ASFIGLLVGRSVSEQPPLALDLFTNMYLAGTIIFGGGPVVIPLLRSYVVAPGWVSSRD FLLGLAIIQAFPGPNFNFAVYLGALALQNSQFPTIFGAFLGGLGIFFPGIALAVAVQS FWRTLRQRKWVVDFLRGVNATAVGLVFTAVYRLWEIGYLTPEATNGQSLAKEPWWVVI AVLTYAESAWFNVPSAAAIIVGAVLGLCWYGVVGY AOR_1_598114 MNIATTCNSWSIEHHRLEEERRWVTDLHCKAKKDNGEWISTQLR LDDILGNDDGNFKYSLRYPERNISSSMSNPRLEVTGDGRPILHGRLTTRDAYGHDRSL DLSKILWNKDGRLSLNEDVVRAEDDRRREEARQKMLEKARRNPKLMERLRRQGKL AOR_1_600114 MFEETSPDAFWGVQTAKSNFCEEVGSYENAMSTLYMLHAANTHG SEQDYAVTRYIAEFINSLTNLVYIFYAIYGIRKLRQKSSRDIFRVIPYWGLMAVGICS AAFHISLKYHTQMLDDLSMLFTTTPVLHQVLTVNASRRYSVMMAILLWSFLMILVVYH VRTDELLLHSLSFAGMVIGIGIRTMQLINARTLAGSPARKQIWGMVRFGAVIFNLGFY LWVIDGWICGFLRSARERIGLPLAFLLELHGWWHIFTGIGAYIFIAVVDHLVSGESHD GIEEHFAWPASWASRSVFAGSNPEEIHKKQS AOR_1_602114 MWTRTLAAASVSLRPSSLPREILRSTFAPANFLRDTILPRAQLT PCLPRSIRQISTVNMGDKVAAITLPNSEQFYLDGEQGESYLIQVSWPLHWQGHDPPVG NEQLPIIYIVDGNALFLTATEAAWRRAASSHFAGGGIVVAIGYPLTRKLYDARRRSLD LTPPTQAQIPGYGGADLCLDFIDKAVRPAIKERFPKLSFSREALYGHSYGGLFALHAL FTRPQSFDCYIASSPSIWWNSRCILHEAKSFLDKAKEADEQTPSLMVFFGSHEQSPPQ WNNEPLDHYEARKQIASDLRMGDNARDLVEMVRRSERLHTVVVNEYEGEEHTSVMACS MSRGLTAFFEDWPLPN AOR_1_604114 MGTASEASKDAGAPAKQSFMRRATSFGRLLVYGNPSSSDLALLL VGFITSIASGIPFPIMSIVFGQLVDGLNSSTCNVTPSNADSYQAGINDKILLIVYIGI AYFALIYIYVLCWNLSGERLAQRLREKYFSAILRQDAAFFDNLPAGEVSSRITGEIAV IQQGSSEKVGIVINSIAFFITAYIVAFIKDPKLAGMLVSLTPAYLIMSIGGGYFVQKF FGRALEGMAKASSVALEAFSNTMVVQAFSANARLEEKFVEVLHPALSAGVWKSIAAAT QAGLLYFIAFSANGLAFWQGSRQIADAVESGGDGITVGNTFTVILILVDASLILSQAA PFLQSFDAAAVAFGKLEADIDHPTTIDGTTEDTGRVLPEVTGNVELRNVSFKFPSRPD KPVLQDLSLSCPAGQQTAIVGLSGSGKSTVAGLITRFYNVDEGAVLLDGHDVKDLNVR SVRSHISLVQQEPCLLDRSILENIALGLINSPRHDHLHSILMGSVLADVAAAVRDGKD LVLASLEHGDGAREIVELVLHAALLADANGFIERLKEGFGTQVGSKGNLISGGQKQRI SLARALVKDPRILILDEATASLDSATEARIQEALDNVAIGRTVITIAHRLSTIRNADN IIVMRQGKLVEQGTHQQLLEANGAYAELVRLQNLNVHGGQDDEETEVSSARSGSLVPI VSEKTGALETSVTDAIDEEKTKPAKTAEPESAIEEKRSFGSTVGSMGSLFRPYTFVLI LAIAGAVIIGGTYCGSSVIFGNVVGKLSGCEEPDSIRHAGELFGLMFFVLAIVEFLAN FLSWSLFGWVAEQVIYKVRILSLRSILEQDLAWHESANRNPSLLLSFITKDSSALGGL TGSVVCTILSVLVSLVATITMTHIIAWKIALVCLSVIPLLLGAGYMRVTTLASFEERH LEAFANSVGITVEAVNSIKTIMSLSLEHEVLGTYRRSLSAPMRQITRQSAWANLWLAV GYGLSNFLYALAYWWGSKRIIAGEYTQTQFFIVQLALLVSSQLWGQMFALAPDVSRAF QATRRLLNLLDLGSTKKLSAPLQLLGDVEATAPPREKRSSSESRDGISVVFKQVRFSY PARPDTRVLHGLDLSVQPGQFAALVGPSGAGKSTIISLVERLYGPDSGTIEVDGHNIA YSDGSFRDDIAYVPQQSVLFEGTIRFNLTLGARPGQTVSQSELEEACKLANIHDTIMQ LPDGYDAYCGPNGDRLSGGQKQRLAIARALIRKPKLLLLDESTSALDAESERLLQDGL EKATKHMTVIAIAHRLYTIRKADVIFLIEDGRCVDRGTHAQLIERSESYRVNALNQAV DG AOR_1_606114 MAIGDRFQNLVGRQTPNDTHEAPTVEDIETPVTINAAPYDKEAG TGSPENKTASSDDNISLPHEDAQRGVKDIEAVTLAWSKATLATFLILIWIYTLANGFR SSILASLTPYATSDFQSHSLLTVIEIVASAMTSAVYIPMAKMLDVWGRAEGFLLMLGF ATLGLILMAASNNLPTFCAAQVFQSVGLGGMTYSVNVLSADVTNLRNRGLAFAFVSSP WMITAFAGSKAAEEFLVHVNWRWGFGSFAIIIPAVAMPLYVVLKVNLRKAKQKGLFDL PGVILFAAGLTVFLLPFTLARSAPEGWKTDYIIAMIVVGFVVLLLFAAYQVYLAPVPF LKHTYLLNRTVLGACLLDFVYQMSYYCWNSYFTSFLQVVNNLTVAEAGYVNSTFQVVS GVLLFIVGYLIRKTGYFRWLLLIGVPLYIFAQGLMIHFRQPNGYIGYIVMCEIFISVG GSVFTLCMQLAVLAAVDHQHVAAAMAILFVSGGIGGAVGNAISGAIWTNTFESSLARY LPESALPSLASIYASLPVQLSYAVNSPERIAIQKAYGYSQTRMLAAGTGLMALAFVAV FMIRNLNLKNTTQTKGVVF AOR_1_608114 MTQAILRTERLELVPLGPEHSEFTKMLDKDPQVMKYIGFGKPLD DEQAKEVHKWLLHAATLVPGFGCWVGFAGGEFVGWWVLAPCPSEGTPAQFRSDRSEFG YRLLPKFWRQGYAKEGSRELLRHAFQDLGLSEVFGETMAVNVASRAVMAACGLKHAYT FHNKYDTPPPGIEEGEVRYQITKDEWVSLVPVQ AOR_1_610114 MEAISLNSSDMSRFSDFTILTTTYKTVHGHEITTDILYPKALTP RFEKTQDTVTCPILLRYHGGGLIAGYSLFAPFFNPWYLELSKEYSAVIVSPNYRLLPE ATIFEILEDVEDHWNWMHKSLPQFLSKHTYGTIQPDLDRILTAGDSAGGYLSIQMGLS HPEQIRAVNAVYPLVDIKSPYFTNQMEKVVFSTPVLPQDTLARHIRKFREREEATNQK VVVSAPTDAERTQLMFSVCQHGLFGQFFPGDAVELYPLERLDAGARFPRGGVLVLHGR DDSVVPVEGSYMLKNKIDELDSSLRFRLVVRDGEHGFDHSAKLHDAWLWEAAQDVIHS WLV AOR_1_612114 MVECPICQKTVLLSEINRHLDSGCEAFCENVSSSSLLSSGPNPG CDRPLEVTSNSAVDDEERPDRIHHTIPIARPLVTESTKRVFDQQQSCEEEKRDTRTEH TRKRLKPDNAPLAERVRPGSFDDIVGQNHLIGPNGTIRQFVHEDKIPNMIFWGSSGTG KTTIARIIGDVSRRRFYEIGSTITTVTEYKNIIEKAFKDPDKGLRPSIVFCDEIHRIT KPQQDILLDAIKTGRIVLIGATTENPSLTIRHGLVYKCAVYTLTKPKDADVRKMLHRV VEEQGLHSHLLDDELLEYLSNFADGDCRLSLNLLEIACDLSKRDGMTGERLRNSLTMH LNYDRSGDQHYDTISAFHKSIRGSDADAALYYLARMLKSGENPLFIARRLVVATSEDI GLADNVLQTYATSVYSVLEKLDIQDAQASLAQLVIMMCLSKKSTRSYRGLNNAFACLK EPGAANAPIPYQLLPISPKTKTMVEEFTTPHVFSKGKETNFLPECLKGRKFLEDTDFL FKRDPDLTYR AOR_1_614114 MDQHQAPVIQELSRLIPSLPVYTVSNPQFEHYRMTYNRALTHQP LAIVRPQTEEEVSQVVQACSNQRIPLAIRSGGHDFFGRSLVAGGIVIDMRAMDSIIIS PDRTSARVGGGVIAGTLQQYLAAHQLFTPTGQSKTVGYVSWACGGGYGFYVGTYGFGV DQILGARVVLASGAIIDTDDDQELLWALRGAGAGNFGVIVELRVKVYPAPKLYAGYLA FPLHEAATVLEEFETVVAHGLPDEFSGDGIVAMMGLGTVLANTVEETTAAAYGVGDSA SATFFCSRNIRGLNPEIGAIFSGHPPIQPLSAVIIHNNHGKGVRKEIPNGFSACFPNR FPHVILGLHGGTHSNAEVGSDTITEASSWAKQLRREIEERELALDGGFPSFFPPEQID VEQFFGVQATDRLRRLKGRLDADNVFSGGMVKCL AOR_1_616114 MPNIKFFYAPDACSIIPHILLHETRTTFEPIRIEMEGSDESFPE SFRKINPKSRVPVIVVDNEVITEVPAVSTIISSLAPEAHLLGRTPIETVRVHEWMNYV AGTVHAGGISHFFRPGRWTVSTEEKDLEMIKRRGLEIMRNCFVLIEEKLVGEYAVGEG FTAADVFLYFAYRIGVRTEIEMPVYAKFTELARRVEGRESVQTVLGSEKIHSIF AOR_1_1630114 MPRPIYLALFSNGPRPAHWSIFVPTLNSTGQQGKIIHVTGTTAT GFFLEFKRNYDFATEDRKYQIMPLVDVEERYVADTVGDGKM AOR_1_1632114 MVMRSLKFWASSLLCATLLRECHAQQSDANIGSELGGKLSSGAS IYFPGSDLFNNATARWSQWGKPNISVVVEVANKWDVAETVKYATKHDVPFIAINGGHG NIETLENVHQGIEIWMHKLNTVDISDDTATFGGGILSHQVIESLWAAGKQTVTGVCDC TGFLGPALGGGHGYLQGRHGLIADNFVSLEVVTADGKIRTVTRDGPESDLFWAMQGAG HNFGIVTSVTQKIYDVPNNGVWSFVKHTYTQDKLELLFQYLNILGWNGQRVDVMYWAT ISRDAEIDPVNPIIDIFVLQEGVETLDATVSRSLLNFAPEKTVTHSGPYVDISKWTEF NIGSRACDKGDEGPQIVRFPLNLKTYNLEALRRAFNAFAEETVAHPDFEHSTIMLEGY SNQGVQAVDPASTAYAHREDTILVSSVIIFEKETDRPAAEAFGDRIRDILHEGSGEPE KHVYVNYASGDEPLESMYGYEPWRQSKLSALKRKYDPENRFGFYAPIPAADW AOR_1_618114 MRSDYSAQDLDAILELAATVQKQYTDVPDQYKAILHDAKRLSDQ LCETEDEDTGDSAEDQEKQRLDDIHKRCRDLLHELDAYLGQLDTTRTPSPQDEGGSVD LKTTTGETVLLAAKQGFREIVRFLLEQGVPIDPKDRAGRTPLHHAVAKDHKEVVKVIL EAGGDIETTDLADHIPPMFAAPHGNDKENRSCHTPLITAIEADNEEMVKLLLEAGADP DHYTDYYTPLTSAARNHNMGIFTLLIDKVSNLDHQDEGGDTPLIHAAKWHNGLAELLV ERGARLDVENRYGETAMSIASQRANKHLLTLLLERIPGQEYINAKGQGLLHFAAESDL GGGDEAMVRFLLAREGLTRDQKVADAQSGLHGAAWRGYTTILKILLEIDGVDVDGKDA NGYTALWLAVRMGREDAIELLLDTYGANPEIHNGHMEWTAIHAAIIHNEPSTARMLLA RGVNPNSRDRHGRTPLSGAVNIEWDICHDGIGSTMVPLLLETNGVDVNSQDNLGRTPL FWALLSAIYLVHSPEKVEMYEAGVQLLLEQGARVDIRDESGRTPIFYAAMVKRAALVQ MFLAKGAEPDCIDADGRTPLSYAVEPFNVVWLVEYKGESEDEWDPAWSGDQLSKVVKA LLAQGADPNRRDVKGLTPLSRAEKRLEEGNEVLILLRKASARGSGLWFKSRF AOR_1_620114 MQFTTSRGSVWGHKWRSSSLFIVSAMAMALFTDVFLSTFIVPIL PYILESRLGLDVSLTQRMSFALLALSAVTSLICSPFIGHYADQMSSKKIMLLGSLATA LFSTIILAMATSTFTLFFGRFIQAVASAFIWTVGYATIADNVKQDNLGKTYGVISLVV AVGTSGGPMAAGILFEIGGYWLAWSSAFAIIVVDIVLRVLMIERPRTQPGTPRGDDQD PENDPLLPDNISIVEEKTGWHFYTYLFRHRQFVCGAMSYFVFAVLISSFDTTIPLHVR DVFDWGSMMSGLLFAALQGPGIIMSPLCGWLKDRYGTRYPTAAGFAILTPIMWVLGMP GDDRFPGVNGGNTGQIVYAVCVTAVGTFSCLLNGAGSIEATVTVDEIEARHPGIFGPN GGYSRALSLASMSWTSGAFIGPILSGYLTEKVGYYEMNCVIAVLCALSTVNALWNLKS KTPADGQGQPDDRK AOR_1_622114 MPTDQGEIKYIAVLGATGNQGGGVVRALLAKTEPSFHVRAITRD VSSPAAQRLQAKYKDSGRLEFVAADVYNKQSLIEAFQNVYGVFAVTNNRIAGKKIEKE QDMDHELVAGRNIIDAAKRARDELLAVTALHPGLFYTNMQWSQYCQRQGQS AOR_1_624114 MKSLANAKTEIFSLGPEKTAAKTYPVVGPKLSFADFARVFREIT SQEATFDPITLDQWGATVAATVGKGYEEDIKQMMEWIAVAPDDKICYGTRDQTEDTSY EDLGVKASTLADWMRRTAWQGPQ AOR_1_626114 MTPLYCKGNYTVYSYFVGYKPISTQGDDAAFVDIPKKAAELDFL FTAELAGCSLIVTDYNSSHYRVYHDSRPMSSTFYDHVVMAADLTDCIGGLEVDPNQLL LTVCLQYKLGKWKLYAQLLKENDKHQRII AOR_1_628114 MRYFFPFAVAIMAFSASAHLGPHDARSNAEMAHKAELSSRCAQH VAQFNDKRWKRSLGHPGNTTVKIHTQAPYYDVLQNDTCVLSPEVTAGPYYWPRSQILR QDMTEGQVGVPLWLDIGVMNMATCSPLEGVMVDLWHCNATGSYSSFTELSPNTKFPAL LAEQGKNASDFVVGSTDIHTDSETWLRGMWPTDDHGMMQMKTIFPGFYVERTIHIHVQ VHTDWTTGENGTLVFENTVSTGQLYFDEKLEEKIMAMEPYSSHTQINRTRNDVDMEFS KGTANGYNPVVSVVPVDENDLTKGLIGYITIGVDTSAIEDEHWSAS AOR_1_630114 MGSKPQEFVFVDGPALARGSSAHSRKIRSALIRRRVSEKQTNYR REEAAKREKIIEQRKVQGEHHQHAFNKLCPCRREFQGSGSDQTQMSHRSRPTFLVTDD GATTGETPSTLSAVSGRMDPFSPLDASWGPQVDSLVHYALTSIWPAFRQSNYAGSCYQ AWILPSSRNKLLVYSTLWAASCHRDVLRISYGAPDPALETKEQLYYKGLVLSTLHSHV ADYTNETWRDSVIMSVLYLAVNEHVKGKVTRDASPFTPPFVDLQSLSFYGSREYHNMH WTFIQTLLDRLGGIHSIRMYGLGWLLSIGDLMFAAHSLTKPHYPLVDVQGKLYNLSSP LHAFGIAPKQPSLPGSGFRELLSLTPPVKEKIVNAFVHLGEYSNVIQSFSNRHLDAST LDLIGDVRNQVHHDLFSLPDEHSPTDCIIDQPNDPDDSSQSLEIYHACRLSALLYALH VTFPVPKSAALRAVIIPQLNEKLHDVSQKMSTTLLLWCTTVTAIATEGMIQRQSLVQL TNKVRLDLQIHHLSHTVEILHSFTWADIACLDGLYRLWDEIMRLG AOR_1_632114 MDSSTASAVDLHPRQATDSEYDAEIGVLQWDEPMSHHHNHNRDS NYHPHSLLTEDIRYIPTMVTGSTSALLHSIPISPHSSLSPSEATACIAMNPLDSATMG TVGVDSQHIDHNGHRISNTINRRQSQNREAQRRFRERREQERAQTQVKMDVLRTENKR LSDLFNLLRTENHRIEGENERLKAELEIMRKRWKDVLRVMSEMAQQDERTAGCRSSSS TTSPSSPTSPSGPCSQVDMQSLRRSIVMQTLVALFEERGSDSARSVTIKDGSVSP AOR_1_634114 MAPIHERIREDLLIKERSLWTALTSADPGPEIEKLSNSEANFLF PKTPILTLDGEPSLQQTLKPPFHHFDSFELKDVRVIIIDLMAGTVTYNINASKGKEQY RATGSTTWSQASDGEWRVVAHTETLL AOR_1_636114 MQLLNTITTGLLLSSSCLAAASPATWQRSVSQAPKKAAPKVFIV SMFEPEAAAWWGIPEFDLLAHNITIPGASPIFPDVHCTADYSVCQLITGEGEINAAIT VSSIALHPFFDLTHTYFLVAGIAGVNPKVATIGSATFARYAIQVALQYEIDLRELPDN FTTSYFPQGAYAPDQYPTSIYGTEVFEVNADLRDLAASFAKKANLSDSESAKEYRSKY TAEGYEAATKAPAVVKCDVATTDVYYSGKLLSEAFDNTTEVWTNGTGKYCASAQEDNA TLQALLRTSARNLTDFSRIIIMRTASNFDQPHSDESALQHLRYVDAGGFEPSIKNLYN AGIEVVTGILNGWNTTFKAGVKPSNYVGDIFGTLGGTPDFGPGRQQALADAGAITKRG LLRRGLMLA AOR_1_1634114 MTMIHYGFGQNMWDITPLDNITIVLKYFFAFVVMYKTQISLAKI SVCLFLLRIFQSKLFRYGAYTIIALNAAIGITWVFTDSFRCIPVHLAWDQWATGEPGK CVNFIAVTFVNAYVNIGVDTVMVLMPVYEVFKLNLSARKKAGVSVMFAMGLVLTGVAI ARVIVFWFNRWNTNPTVQLQPIVHWSVIEVHIAVMCACLPTFRAMLVHVFPKMLGNSS NQSYYEQRNTPSKPPTFGSTQALSKSHINKTVSYSVDYGANKRPQRHSFVPLVELDPH DH AOR_1_638114 MLLRVFVHTHWVSIWTAMPQLTEPANLPPPPYNSSTYIFQNTTI RQTIRVTQPGHEIRLRLSNAFGLEDLSVTKVAVSLPVDQKLGTSAIQSNTTKDVLFSG SADIVIPNGGLVVSDPIAFPVKAQDTLTIDIYLEQGQGGGAITSHPGSRTTSWMSLGD WVGKRNLTDSSVESVDHWYFISAIEAHLPSTSRSCAIIGDSITDGRGSDTNKNNRWPD LLLTRMQQTPTTNSIALLNQAAGGNRILADGLGPNVISRIDRDALAQSGVRYAIIFEG VNDIGVADADPEVQKKIGDRLIVAYQQIVTRLHAASIPVFGATITPFGAPANASDVQP YSNPVREDTRQRINEWIRTSGVFDAVLDFDQVLRDPEAPAQLADEYDSGDYLHPNVAG YQALADYFPMDLFEEYRS AOR_1_640114 MSIFQAQKTALITGAASGIGFATAKLCRSRGMHLALLDIDAANL HKAKDELAATDPSLKTESYEIDVGDKNRWSEVANSIKSAFSGVDLVFLNAARPQRAQS QYEGKLKPWADVESWKKTFDTNVFGPLNGIEAILSLLLSTNTPKSVVITGSKQGITNP PGGGAPAYNASKAAIKNLTEHLAHDLRSDPATAHISAHLFVPGWTWTGLMGNVGPTQE ENVKKMAGAWFPSQAAEVLVDGVEKGSFYIICPDGETDWPLDQARMQWASDDVVEGRP ALSRWEASWKERAEAGIRADAEQRRK AOR_1_642114 MASKSVSPSDLERHDTFKSAGRNASLDDNDTNKLGAYASAPGVV EDVVRVVDHKAERALCRRFDLRLLPILAVMYLFNALDKGNLGNAETDGMSDDLNFKPN QYNLLLSIFFVPYVIFAPPFAMLGKRFSPARVLPILMFSFGSFTLLSSATKNFGGMFA LRWFLGMSEAAFFPLVIYYLTTFYRRGELARRLAIFYAASNIANAFSGLIAFGVFQIK HSSIPNWRYLFIIEGGVTVLFSIFAFWYLPRSAAEAKFLSDEEKALALHRIQVDSSAV VNEEFRFREALGIFKHPSTYVWLCIEICLGVPIQGVALFLPQIVQRLGYSTVKTNLYT VAPNVTGAVMLLILAFCSDAVRLRSPFIVLGFLLTFAGFMIYASIDDVQAQIRVAYFA TFMMTWGTSAPSVLLSTWYNNNIAHEGRRVLLTSIGVPLANLMGLVSSNVFRSQDKPK YIPALITVGAFGATGAALAGCLGIYMWLDNKRRDRRDGVTVRAQDVPTERLRDGPASP EFRWFL AOR_1_644114 MFDRFTNGDWQSRLERWTDDDIEDVGDNLDKLMTALDSYTNAFL DKAPAQDNWPGIGPEISEAFRELTQTALKMRETIPWYLFGDDQVAATEAEKDRSAEDI ADELNDPFYFSQKLFPENIKLQRCIALNLTITLCVIAWNPPEEEAKHEDAGPDMWQFC TSPRMIAFFATYGASEAVAGYFGKGAFSAEKLQFSGRDTREADFWQHW AOR_1_646114 MEGLLGFTGGEILHRRLIGHGVDHIFGHPKAGALALFRDVYKST VIRFVPSRHERGAGHMAEGYAKATRRPGVVFVSTDPSSSNVISPMLDGLLDGTPMVVI CGQVPATQETNSLQEEKSMERIGACMKWRARVQRLSELPGAIDAAFLHATSKQPGPTL LDISSEIGEAIFDSQALEELPRVSLMVEKVHEKDCIVAPPIVDGRFLYERIFHVADMI NQSRHPVICAGNGVLASARGCTLLSKIAKKAQIPVATTLLGLGSFDETREEALHMIGT YGAPYANCAIQNADLLIVIGARLDERAVGDADGFAPKALDREGGGRGIVHFDIDAGKL GKVIEPTEIILGDLSETLPVLLSFLIPVEDRVRWLDQIQLWKRLYTIEEPTGRAKHRP CPQQVVAELNRQTTPMKSSITVTTSVGQNQMWTSQHFRCTHPHSLITSGSLTTMGFGV PAAIGAKLALPDQQVIAVDGDASFCTTMEELMTALLHQVQIKVIVFNNRQQAILSQLQ SAYGAKACCDARMSPDFDRLARSMGCQGQRCDRVEELPHAIYWLLRCRGPALLDVAIR QPDMVSIAANGTQMDTVAWA AOR_1_1638114 MLTQFKPTLTKSLPSLAMPTLHTALAPLLPSKQNSFLSVRVDGV FNQVAFRVMPAPLREKQPLFDLSRRQQLQSAHNVRGLLFGFWSPGCSNGFNVAGFHLH FISDDRTAGGHVTGFEAWDVKLSAGVLKDYVVELPQDEDFLEVPIRSYEEDQNLS AOR_1_648114 MASIKQWTTPLKGVESLKLTEAPKPAPGKGEVLVEIHAVSLNYR DIEVTNGEYTHHKSVGQEDRIVPCSDMCGVITQVGDGVSAWKVGDRVLSTFLPDHQTG QVTEKELLRGMGLPLDGVLAEYRVFPEHALVKAPSYMSDEQAATLPIAVVTAWMSING MRPMGQNGGHGEYILLQGTGGVAIAGLQIGKASGAKVIITSSSDSKLAQAKELGADYT INYRTHPNWEEEVMRLTNNHGADIILEVGGAQTLKKSFDSIAFGGLINCIGYVSGKMD APDDRTNVNLLALRRNVTLKGIINGPKDRFEEAVKFYEKHQIQPVVNKVFSFEEANEA FRFLESGNHFGKVVVRVK AOR_1_650114 MSEKEEVDLDSIINRLLEVRGSRPGKHVRLQDSEIQYLCHKARE VFISQPILLELEAPIQICGDVHGQYYDLLRLFEYGGYPPDANYLFLGDYVDRGKQSVE CICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNVKLWKTFIDCFNCLP IAAIVEDKIFCMHGGLSPDLNSMEQIRRIMRPTDIPDCGLLCDLLWADPEKEITGWGE NDRGVSFTFGPDVVHRFVQKHDIDLICRAHQCVEDGYEFFAKRKLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKKANITRGFKSDRSNPSSSQQKKQS AOR_1_652114 MSSSSHNPHNTPTLPNFTRYITTHDANGTAIIHSETESTFREYD NGSLRFNVPFTTSQFPAELSGDADIAAHESLIASGNLGLVSFSGTVCRVVDFAPLKSG GKGLMHRTQSLDYGIVLEGSIEMWLDSGEMKLLKKGDIAVQRGTMHEWRNASETEWTR MVFVLQGTKPLVVGDKVLKEELGTQTEIKPSVSATNL AOR_1_654114 MTPLPGSNRAMLVALWLMFALLIEFSDGHARPIRRHGGLRNPSR PIKAFLLCGIGCPSCPAGSKAAPKVSTPKNGIKSGSLPKRVLARPEDEDFGGDVDAFL VSQYMRADWVPSSQQSLSSGLFRELGNVKFNLAVQDLHGCTSVVVVSEKGVWMSHMWE NPAFGTEGPSGELWPSAENTFIADVLNAMEHGNQEMPGLTQFTGNGGAFIAAYKPFAY IFYPTGTQNLNYDRAYKARIDRISEKLQRLIPLKAPPLLYQYDRTRGSMMDARGKVLF QYEPNERVMQTNDGPLQQALNRLWLANRPTYVHQRYWPAWPRQMVAGNANQKRDTASS TPSSTPNSTLDDPETTLTRQIIPTLSNISLDDSLESSPAALDETLIASPTSEAEPECH IDI AOR_1_1640114 MALWAMIDSRPTLSRSTTLVTLTTLALWLLHLPKKRLNLAYRRR DADPPLYHCLSSSIIGLKISQLTAFPVTINYTPYISRQNVNLFYPSALIVPVSQDFLR ETLSAFRLYLCVARRSPATRYFNGSFGNSSVLILTMQSCGVIAQDALLHGVCFAEVVR EGAVGIAGWKGKDINMVNISELFKSVLVSVTMKVMFGTWLLWGLATAAKQPNILFILT DDQGKLIGGLDHMPKLQENLIQKGATYPKHYCSVALCCPSRANLWTGRMPHNTNITDV GLPYGGYPKVVSAGWNDNYLPIWMQEAGYDTYYVGKLWNSHTEENYNNPYAKGFNGSD FLLDPWTYRYYNAKMTRNGETPVSYAGQYSTDVIKNKSLGFLDEALANPDRPWMLTIA PNAPHSNGSQDAVTGATWFGEPEYAPRHAQLFKEYKIPRDESFNKIIDGAVGWVADLP TLTEEEINYIDEFQRCRLRALQAVDEMIGELFEKLDKAGVLNNTYIFFSTDNGYHIGQ HAMQPGKNCGYETDINIPLFIRGPGIPQNQTIDVVTSHTDLAPTFLSIAGTTRDGLDG KKIPTTIDAGNADNKSEHVAIEYWGIAVPEGIYGYKSDNDSQPGNSYRNNTYKGLRLV SDDYSLYYSVWCTNDKEFYNLKDDPLQTTNLASNISAHQSYTIANRHLGQIIPRLDAL MMVLKSCNGDSCREPWRQLHPKGYVNNLADALDSGFDEFYANQPKVSFSECSLGYHIY AEGPQEFNIYGSGSTAKRSEGEYVSVKRWGFLDGWL AOR_1_658114 MNMGFLPTIKCSNCGMNVEISAMGDHVCAPGPVSSTPPPPPPKH HSPSKSLGRSGIPPPIDPSKANRPFMRLEAVISSKGNITPFPDGQQSPPRQLLRSQTS PLPSQPDQDEIPTFPLPRSMSQKTSKHMVPLDNPYKALPIPAGDTGTTGLSIRLPLGE QAIAPPPPLPKDDVPGPLPTFHKYSYSTESKSSYRTSIASSRYDSRRSTSVSMGRPSY GSLAQQYKFLDDAPPVPSTFPSKLLRDSNATTFSEANMPHGETQHDQDQHKRHGSGNL NPSTERTSDQDAQGDRLGGLRASSHANSDRLSSNRGSAELFFRSPTPSSNGTPSELLD LPQERSTSPADMQGIEYKAYKSPGLPHLPPPDQELGDVDEPSGAQRRNSDAVSEAAIS ITNFARELGLDTMDSAAESSTASSDSSPSDTRSGSSFSSIGSAISMSKRHPSDQGQLG TLVEDLQKGNGENTTMSMAGSDTLEPPRMPQHLFSPDSPTDPAISMGSVSLLHDKPMQ KPTKQDQPEPPATTSPTTDRPARAAPRPKGPCRGCGEMIIGKSVSSADGRLTGRYHRA CFVCYDCKTPFQTADFYVLDDLPYCAQHYHQRNNSLCHTCHTGIEGQYLETIERRGHG PADRFKFHPDCLTCRTCQVALKGEYFEWNGQVVQARDYTRPDRYPPMRVNPRGAIPVL HLPDMQDAPDHHVHLAHLAHLEPQLPVSRHQAHSTDRTE AOR_1_660114 MAPHANSDVAANGAVNGSAQSSLFTVNSPNVEYTDNEIKSKYAY HTTDITRTADNKLVATPKATTYHFKVDRKVGKVGMMMVGWGGNNGSTVTAGIIANRRG LQWETREGMRAANYYGSVVMSSTVKLGTDPKTGEEINIPFQDMLPMVHPNDLAIGGWD ISSMNIADAMDRAQVLEPSLKQLVRKEMAEMKPLPSIYYPDFIAANQEDRADNVLEGT KACWAHVEKIQQDIRDFKAQHGLDKVIVMWTANTERYADIVPGVNDTADNLLNSIKTG HEEVAPSTVFAVACILENTPFINGSPQNTFVPGALELAEKHKAFIGGDDFKSGQTKMK SALVDFLINAGIKLTSIASYNHLGNNDGKNLSSQKQFRSKEISKSNVVDDMVAANHIL YEKDEHPDHTVVIKYMPAVGDNKRALDEYYAEIFMGGHQTISLFNICEDSLLASPLII DLVVLAEMMTRVSWKAEEAADYKGFHSVLSVLSYMLKAPLTPPGTPVVNALNKQRNAL TNIFRACVGLQPESDMTLEHKLF AOR_1_662114 MPDTIPLPPPFSTIPRTPLTLGPSPIHPLPRITADLNQTATIYA KRDDLNSGLAYGGNKTRKLEYLAADALAQNATTLVSIGGVQSNHTRQVAAVAARLGLK ARLVQEHWVDWEDPGYDVVGNIQLSRLMGADVRMEDAGFGIEHKETLKKLREECEGNG ERPYYIPAGASDHPLGGLGFARWAFEVREQEREMGVVFDDVVVCAVTGSTMAGMVAGF KLIEKLYPGEKKKRVIGIDGSAKPVETKAQVLRIARNTAVQIGLKEEDITEKDMILNE DYHAGTYGIPDKATWEAIEYAARMEAFITDPVYEGKSFAGMVDLIKKGEITGNVLYAH LGGQLALNAYSRLGETK AOR_1_664114 MTKVLLTGATGYIGGTVLDHLIKSSATSVKDLNFDLLVRSNDAA EKLIKKYGDRVKPILWAGFTDLAFITDTAANYDIIINAGTGFLPEGTKAFIHGLARRI GPDKPVPWFIHISGCTNLSDRPLTATAYPDREWDDANGNAVYEFLKSEDARDPYPQRT TEVGVLTLAEETGVQAVSLNAPGIFGTGRGLFNTQGLVHLLAMSYILKHGYGYKLNDT ANFDWVHVEDLADVFVLLVRAILEREDRGVGYIPSSKNGIIFPAVGRVSLIQIMEEGL DAAFGAGVLPREDTLKEKEIRLVGLQEVADEVMGGLLDMAERGVAGHKKMKGTVARRL LGWNPSRLEEHWRQAYVDAIEVLQSRKGSDTLETCLGK AOR_1_666114 MASLDIPHETLVKLKDKIILITGGSSGIGRATVELCLQLGAKAV IGDLSPPPSDLSSTENLKFVKADTSSWDSLRNLFNEAVKTFGRIDHVFANAGIAPRTN FLDESLDENGELAPPDLKVLKVNLIGAIYTTRLAVHHFRKFAEQHNGIATGSVVFTAS ASSFQTFGAPDYATAKHGVLGLMRSLVGQLPGNVRVNGIAPSWTTTGLVSADFLASLG VITQDPGVVARSVAFLFAESTRNGHLIYSWEGNYKEIEQAEGGLLSTVKDILGNPVSE DEVLQRMKGKASTGK AOR_1_668114 MAHGLFQLSNGLYTGLAVILLLPWTLTALGFTALNVLNSKSTYL EIIALSSLLTFLICRLLVASVPSTSQDDTIARLIASADYGSRRGAVILFGLCCTWLYE LLNQAVLLFFMTIFGSVMATAIYNDAFTDNNVDPDESTTAVSVEVKKFEDMAGFDPTA VFKLIPPRLLVYLVGLVWLNFLSLGAYVLCHAAVSLKKVLSSSVAVGRNVTAGEKKLV AEQ AOR_1_670114 MSTSGNQRVATKVDRKRITDRKAQRNHRERVKAYISRLETTVEE LTKASQEGSECSLLQKLQEKQLEIERLKGAIRQANTALRTALDTTSASITRSPIAEIV PRDTPVTENNSPSVAEHETTQRLNNRRMQMEYTLELEDISLNMNRASQFQKNNKRKDF AAPYSNLACGDGQMNYFQVLNESLIHVLSGGPLTTSAADDDDLTIRAILHGWDTIKEE KPLDRAWNFLRALDQGLFHRTGPVERLAILRLMRSMLMSKNGSPGHSTGQVPSFMFPT TMQTLVTHACIIDFFVWPNLRDHLIASETSHTSEAAAAHYAEEIQLSWPYQIRDAYRY HEEEGRFQFSIEFNNVYYDLKSWQFRSNPALKMLVANGPMSSLEGRLQASSSILISSL DPNGFIESGGVVGY AOR_1_672114 MAGFALNDHNGELNGGDLLAQTLKHLGVEVAFGLHGGHLDAFLQ GCEASQIRLIDTRHETVAVQAAESYAKFSKKIGVCFITANSGFSNGIPGLATALADRS PILCITSSPPTRDAENNSLQGIIDQVVVSRPLTKFAYRMTNPEDTPRIVKYAMGVALS GAPGPVLLDFPVDVLFTPVHKPLISWGSVSSPFPYGPGPHTAAIEGTVELLNAAKRPV IILGTGGNSKEAAESLMKLSETCHMPIFDTTKCKISFFPSQFALNGGASSALALLPHV GIQRPDLILLLGARTGMFLGGRSGAIIPDKDCKLVQVDVDGAEIGRTLPVDLGAICDV TQFAAGLNRHFETASFQGSVDTKWVDDVLGLKSLPSPYEQQAEVQPSGRLHPYHALKH LLTFVPKESIIILDGGEAPLWAGEMISTCSPSAIVKSSGGLGFLGNGFGYALGAAVAC PDQTVINLHGDGSAGFHFMDLDTYKRHNLNIMTIVVNNYCWGMSSNGQDLIYGTKTPT RPVSHLSPVTDYSLVAKALGNASAKIQSIDDMSPAFVKLLDQSGPTCIELVVDDKPIH PITVSMVGQTDEPGMVVVPYYDNVPRAYYKS AOR_1_674114 MKAARFYAAGDIRIEEVETPKGSDEKALVQVEWCGICGSDINEY VQGPMSIPHTRTGPHPLTGDILPVTLGHELSGRIIQAPSTSSLSPGQAVIVDPRYYCS SCTACTSSVTNCCQSLGFLGLSGGGGGFSEKVAVPPAMLHQIPDNIDMATATLIEPLA VAWHAVRCSGVKGFKGLPILVIGGGPVGVATVFVLRAWGADQIYVSETARRRREFLQD LVQATFDPIEVNVGSECRSLSNGSGLEAACDSLRFHGLYVNLAVPKSAISLPAMYFMR KELTYKSFLAYDDADFKATVAAFTEGRFAGVERMITRRIALEELVEKGFKTLLQNPDE HIKIVATAGELPSRDSTL AOR_1_676114 MTYPLSRTTRILLLGLLALTYLFPPVHSLATIAKSKCIKSCGDT RKTSADDLVCPDSAYNNTQKGRTVKDCLLCQSTGTAYINDERNDIYTFLVTQKYTVQT CLFDRNDSSISGCQDDCIPLRSVYKTNWYGGSNFTPIYTYCDDAGFQQYADKCESCLR GKNGTYILGNFIDNMVSACTNKPNASEGEIVTLRQPIFQVPASEAVPDESESSSGLST GAKAGIGVGVGVGGLLIVGALGWFFCLRKRSKKVDAHQYERPWQQENPDAPVLSPDPR SGPPSEMPAEAVVKGPTELEGEGNAKANVGSGGNEGGYAKDKKETPSQLVELP AOR_1_678114 MAYSPLRTLVGLVAVFHFFIPALSLEVTDASKCRGECGDRKNTL TTDLVCQDSSFNTTANGITMKNCLLCESTGTTYLNNHSGDLWDFLFIQKYTLQTCLYD GASETSISGCEDNCLPLRSIFKDLWYKTNHTEELYYYCSDVFTQYASDCATCLRSKSG SVILGNFMDNMDSACETKPNASAGETITLRRPLFDLSTATSNTTSTSTSSATATGTGD NGGRGAASSSILSTGAKAGIGVGAGVGGLMILGAAAWLLLARRRRGAAQGGAHQYEPP MEQGPASELSYGAGAPVEQVTVKQFGELAAVERTEVELDGGNGGVRRGDNAVELP AOR_1_680114 MSDKDRRGHPDGTWIAELDICIAKGAEIPEGDTHLLERLARNET PSDLRSEVCLSLAAGWLDKCSREHTECDADNDKPALLPTRVIDVGNSMTPPHLHVSGD GETGKWVALSYCGGADSSITLNSSSFENLRSGQPLSDFPLTLRDAVLVTRALGVRYLW IDCLCIFQDDTNDMAAEASRRSRVYSNAVVTIAATTAETVNDGFLDKREPHFNCSFPW RRHDHLDSVKNDCRTYPVFFRGDRSPLNKERPRDSPWATRGWTLQEELLSKRILYYTK QEMIWQCHAGTATEPAEEPEPYSTPFSRLKKLSASSGYPEKSTKSAAATYKLWYELLE EYVRRHLTSENDRLPAIGAIAESIQTQLNEQYCAGLWRGDLLFGLLWSLHCSSGGSGP PGRVIRRALLRLFDFQPKHPTLPAREIPAGVSKNRGPSWSWVGADTFVGLTWPQQIDT FDYLAKVVHVEVRGKIQDDFGRVEGAALTLDAPYRHLHLRLGTYLKSPWSPISLVQRA LTRLSPLARTRKLAQIALTRPDYLASTTTSGSVIVPSSSTEFTLIQLAKTSVGTRPVL YLLLLQPQARDKANKGGQQHYRRVGLLRLMPSQYDDDDYIGETMTDLLEGDAYREVTK KKWPVGTFVIE AOR_1_682114 MDESFILSKFDRLVESGLVLYDEKQQIIEHIDGDLKFQFILTSA LTKKPTLTTAQPQPETNTTQEPEKREGSDISTTGFEIATTDTHILIANKFSFARPHLM LLTLDGHRRQYEPLDEADLNGAWRILNASETGYVAFYNCGQDGGCSRLHKHLQVMPLP ANSFAAFLDSPDGSESETEVPFQWFYRRLQGKLNPATLLGVYSDLLEQATKVGGGRGE HAASAPSGAVCPHNMILTKRWMVVMPRRRGAVNKEAGVNSLGMLGVIAVATMEEVDNW VRLGLTESLAELGVPKEK AOR_1_684114 MGIFTCSRKSRAIPSKPMGDWKSQSPPDYNEVVASDYASNQSSS PDGFLATSELQVEAMGYNTNQALSGSKLLENISVYSVEFGVRTQEKYTSIRLKRNSNS CALVRSSDPRQNALISTIYRWGPGRHPRMRILARDSSVSVEQAIDDDKVCGELVDVQS RSMVSRAQVFDTSLGKYEWRYGSREERKACNADSLLILERMDRMVLGNGTRTKSGARV AQLIRNDQFRTPGSVKYSGGNGGRLVMDLRMWKDEKHADTDSVEAFFVASCILMLKRE ADRFIDNNIVAVT AOR_1_686114 MADTVGKTITCKAAVAWAAGEPLSIEDIEVAPPKAHEVRIQVHH TGVCHTDAYTLSGKDPEGAFPVVLGHEGAGIVESVGEGVTSVKPGDYVIALYTPECRE CKFCKSGKTNLCGKIRATQGKGVMPDGTSRFKARGKDLLHFMGTSTFSQYTVVADISV VAVTPKIPTDRSCLLGCGITTGYGAAVVTAKVEEGSNVAIFGAGCVGLSVIQGAVKNK AGKIIAVDVNDGKEAWARKFGATHFVNPTKLNGKTIQEELIEMTDGGCDYTFDCTGNV GVMRAALEACHKGWGESIVIGVAAAGQEISTRPFQLVTGRVWKGCAFGGIKGRTQLPG LVDDYLNNELKVDEFITHRETLDNINAAFEQMHHGDCIRCVVDCRQ AOR_1_688114 MEGLNASEQREFAARMERKQLKEFMTMYSKLVQRCFDDCVNDFT TKSLISREEGCTLRCVDKFLKGSQRLNERFQEQNAAMMQSGQMPGR AOR_1_690114 MVTGKSQGAEAFQSLPPTTTQPRFIAASPPSKRDLTSWWRQFKR NTRKEEPKEKPQGIFGVPLNISIKYANVAISLTNDNGESFIYGYVPIVVAKCGVFLKE KATDVEGIFRLNGSAKRIKDLQEIFDSPERYGKGLDWTGYTVHDAANVLRRYLNQLPE PIVPLEFYERFREPLRIYQKQVQGGGPTNEGDKFDHAKAVAAYQQLIRELPPLNKQLL LYILDLLAVFASKSDQNRMTSANLSAIFQPGLLSHPQHDMSPEEYKLSQDVLIFLIEN QDHFLFGMNGTAADEATVKEVEGESFRKFGSLRRNVSVSSKNSRNSNSNNASPATPTS MSGAGVHRSNTLPSKMSPAIAQARYGRVAEAPGGNTPGQTSTQRSQSPSRTPPGPEQK PQSQKPQPAKPQPPTQGSETATTGLVYVHTSTHGPIPISNATATHSPIAEKPSQDTLA VPSSPPRAAVTPTKERKLSSLFSKSPPSGSEKEPRQPNRLKKKRIPGSASASAQSSSQ SLQAATSDSGIGIPPPRPSDPTDAGGDTPKPLNAPNPEDDSQQDGKPEKPAGPNEAGH PSDTTLRPYGSRTPSMNSRSSFTDLSDADPIDDASRAERKEHRRSWRFPRSAKRSNEQ IGLGLGSPPLLASNPGADRSTSSFGSWHHSSKSSPSDLQQFASEHSFQPLSLDAEVNN NGKDSSEPEKRSLFGKFKAKVAQVRDGVMDTERDRTRSPPVHSDAEKSVSSQTLSPAP KESSHVRPAPPAPIDVTRELQEINSTPVSPLPGSGMPPAIPEEPRTPDSPAAPVELEA KKENGVAETHPPETRPAETGSAATLPTFESHKGDIETSQFLGN AOR_1_692114 MSSTPPSPSLAQGPAAPKSAPPIHILPNNISKTYALIHPVLLLA LLALRFNALVADPVAELLSKLPFLALLQVAFVMTCLPPAGSAKDDDKSTSANSSSSSS NAGSTSGVILKPGKIGLRRKNTPKSESACLSAKLIPAILSLTLTTLLATPVLTILLIL FGAPLTTHHPETLLCGAHMAVLTSTALIYVHGVDGSVWKEVWGARRPADAVWGAALGT CVGAWFGAVPIPLDWDRPWQAFPITILVGAYIGYSVGFGLGRTVLFGKRLKIEEEGDV VEAKKVD AOR_1_694114 MSRPSLSIGSDSLRSCLAPRDQSSDSGSDICYDTALPPITSKQL ILNSRSCRGSDPSIVVGSFRGNQKAAAALGFAPDMSSMTRGPNAHRRTGSTLKTVMRK IFTRKARGQADGCEEVSPDFRLNNSQESRPEKDTTNYAALSNSLKSKYSNPARDELKD PKAFEDTLLKLEMRPPRTRRATLPSLIFSEDDESRDALDALIHSDPADSRSKSPRLGD HDERRRELLRSKRRSRSATALRGLAKNHRMSPIQWRRRSIESYVTSTACGATSETDLV SIRPPTRGTAVSAPQTAVEPSVDGVDPLDDPVDEERIPPNVGTLVSAMQHDESISLEQ RLTTLEVKLIDLEFAIARMQTERSEPSPTASAGRKQSQNSTEHKRKKSTAQSPPSGSE ATSSVGSAGDRPLSTATIRPSAQHLHRSKTLQSPSLSSLSDHHAGISVEQYSALVMLL RREQNARRSLEHEVSGLRSDIQQLQQLARNSMGLKTMYPIRSADSQEFIRPDSNTMGY SQTTSVHTEQKLGSPYESDSDYDRSDTPKEDTFRPRWPPNRRVEIGNMI AOR_1_696114 MAPTPIPVPLFAQTQQQLLLQEHEAEVSSSTLASTAASVSPSTR RTLQATGYALTGIVLSQCRTGLGGRVVGEFGADAAVSTKNTDGHGKDGEPQLGAHGIR VGDVVRVNEIGSSTKKMGKDKASKDGKPAGTGPPKGPEGVVTRVGERSVWVAFGQQGG GGRSKEDDEAIEELWGKKLWLIKLANDVTYRRMRQTMEKMGKIIESDYTHFMRVAFGH TTPLPPDYGAVGDVEFNDPSLNDSQKEAIRFALASRDIALIHGPPGTGKTHTLIELIL QMVQRKLRVLVCGPSNISVDNIVERLAPKKVPVVRIGHPARLLPSVLEHSLEVLTHTS DAASIVRDVRKEIDQKVASIRKTRFGREKRAIYQDLKELRREFRERESKCVDNLVRES SVVLATLHGAGGHQLKNQKFDVVIIDEASQALEAQCWISLLSASKVVLAGDHLQLPPT VKSTDQKSKDVKAGKVDTVNDGDNGVLGKMSLETTMFDRLLSLHGESIKRMLTTQYRM HETIMRFPSDELYESKLMAGDAVKARLLKDLPYDVEETDDTKEPLVFWDTQGGDFPEK TEDKEVGQKEALLGESKSNEMEAMVVAKHVDNLVQSGLKPEDIAVITPYNGQLAILSQ MLREKYPGIELGSVDGFQGREKEAVVVSLVRSNSENEVGFLGEKRRLNVAMTRPKRHL CVCGDSETISKGSGFLKRWMAFLEENADLRYPDAGGLL AOR_1_1642114 MRTSRASKQTEKVLQALSPPARRQTRSSSNLNALRSFAYNGSSN GHVEPKLPANDDDETSSLSSLNTVDIEDIMEPPAKRRKSKVDTAPPRKINSRDSTRVP EKTIEKAVKTEPVAQKTRRAPARKIKDEDGSFKVEPPSNWDTIYATVKKMREANPTAP VDTMGCAELYWRASSPRDRRFQTLVALMLSSQTKDTVTAVAMQRLHTELGDGEAPLIE TSMIKEEPDEDTFKLEKPLRDSTLNLENILAVSPERLNELIGKVGFHNNKTKYIKAAA IILRDQYQSDIPSTAEELMKLPGVGLKMAYLCMSAAWGKHEGIGVDVHVHRITNLWGW NKTKTPEDTRKALESWLPKDKWHEINKLLVGLGQTVCLPVGRKCGDCDLAGTKLCKSE IRGLVSSRKPGISFLSLPENAKIKILEYAGLLRPCLIDISSECVRRNPNIQPLCNRSS MRETQGSWTAFVDRGCTHPRLPTALFQTSRAVRDEIGSMFFGLNRFSAMLYRKADFCQ FRDATLWGMEHLKYLHIDLGPCDRRFLKLYGGVHRTIMKIWVAFCDLAAVRMPSLTYF SLKCRVKDLEVAHKLMCAMDHFPSLAQCAIHLNQYQENDIRAVVKRSCWRLTNNLGDR PPFGFLRLPKEVQLLILEQLLINRPDPYIMASECPKGLVTLQDRRRQRPTIYPLTCCG TCSPLRAMCFCYARQTAFSTTCSCFTSPTPYFLVSRGFYEDARRVFFSKNNFAFTDED PELIMRLLHYIPTSSFMQIRHLTFKFPLTFRSPARTAHRVEDAALLSWSVLRRFIREH FDIPRLSLTIIDLGTKNYGNGATQSRNKYLRKLLKAFADLRGLRDFRVYLADERSYER DAERAVLGFACHGRSKPSHMPFIGQKHLNT AOR_1_700114 MASSSTVKRLLSELKNYTNNPNEHLLHLGLVDNDDLFQWEAVLK GVKGTPYEGGLWSLSITIPPNYPNAPPTIRFNTRISHPNISFTTGEICLTLLTTEHWT PAYTLSQTLTEIHQLLTNPEPDSPLNVDVAVLLRDGDIPAWESIVRYWTEEERWQGPE SASFSRA AOR_1_702114 MNRLLKLAFLSLVASSAIATTAPEQVESETNTVADQSDSLHKAL HLFEKFSHGVFRSDEDAADALTAEDRELAAHLNLKRDNSSSAVEPSATAVVPSSPTQA SSPSSNTEAPSSTTEAPSSHTTEAPSTSSPSTTEASSASSSDSSTSQAEPTTSAQPTT ATPTQKTTVEPTTSEQPTTTEKPTTSEKTTEKPTTSEQPTTTDKPTTSDKTTSEKTTE KPTTTDQPTPTTTDKPTTSEKTTSDKTTEKPNTSADSTKGPTTMQTTTTAPEPTTTEQ TTKHTTPYTSTYKSTTTLPNGEQSTITSITVVHPTETDVATPTSAAPGLQTDNAAPTT GLTRELFVMVGGAAVVAMAL AOR_1_1644114 MTDNTFFENWEGRQVHFPWDGPSTWTLTRLISEKNSQVHARDYY NGTIGGAYATFLCHNFVDSTQRGVMKIFKQVPFEGSENATIQ AOR_1_704114 MELVCDCESLKGRINLDDTIGPEFDRVNAVAECFGHKREKSRDM MKSESESGH AOR_1_706114 MTENTFFDNWAGRSVDFATAGTPSKWILTELLSEKNSQVHGDDF FKNGCIGGAYGTFLCHNVTDSTQRGVMKVLMQVPWEGSQYAPAEHRSSQASGSYELDW NITSQLNALITLTSNNCLSTPRILDLKYGWQETADPVPGGYIIFILMSYLPGVQLTKA FWGLEDSMHYIQYGIGCLLSLLLTGAKGHEDKLFTRGPAFSAYPYPTFFIECPEIGAS GSRMDIAHVYDGSGYFPELRWPITTPDTQEYVLVCEDPDELLAAPVIHGIYYGIPPVF IGLHRSDFIEVDSRNDPYRLRGGFKYGANSGGGVYLAPQPARGQGPHRYFFELIALNH TIDQSKLSPMATFDEIARQIEGKIIGWDDGVKL AOR_1_708114 MPKLETHWATIVTSYSPSTIEFTGTLLIQLLTFWLPSLIYLTLP TLFPTWSNNHKIQPAPKQPTKKEIHHCFKIVLRNQLLTTTLHLLQLNLLNKGTSSYTL TPTFPSLPILARDFLLSLLAREALFYYAHRFLHRPYFYVRIHKQHHKFTAPIALAAQF AHPIEQIFANALPISLPPQLLGSHVLTFWAFLGYELFVTATVHSGFDFFGGKARMHDL HHEKFNLNYGSLGLLDWVHGTDRLEKRRD AOR_1_710114 MKGSAIATALTLGASTALAAPSIKARDDVTAVTVKGNAFFKGDE RFYMRGVDYQPGGSSNLADPIADAEGCKRDIEKFKDLGLNTIRVYSVDNSKNHDECMN ALADAGIYLVLDVNTPKYSLNRASPKISYNENYLQYIFATVDAFAGYKNTLAFFSGNE VINDGPSSKAAPYVKAVTRDLRQYIRSRNYREIPVGYSAADIDTNRLQMAQFMNCGTD DERSDFFAFNDYSWCDPSSFKTSGWDEKVKNFTGYGLPLFLSEYGCNTNKRQFQEVSS LYSTDMTSVYSGGLVYEYSQEPSKYGLVEIDDGKVKTLADFDALKSAFEKTKNPSGDG GYNKTGGANPCPAKDSPNWDVDSDALPAIPEGAKKFMKDGAGKGEGFAGKGSMSGGGS TSTGTAEPGSGSATGSAGSSSGSSSSSSSAGVMNIPNMSLAPLVVGMVTVMSTFVGAG LILV AOR_1_712114 MRSSLFGGLVAMSSALGAAAMNYNSSAYSDSTTGIDFQRWCDET TGFCFGLALPETVDSDFIGQLAVPLESSNGWGGVSLSSSMTKALLIGAWPNGDSVVSS LREAQSYTNPDVYSGDASLEEIPDGTSINSTHLTYTFLCRGCVVGTPTTFGKDMDSYF FGWALSKTSPKSPASSDATLNYHAAGFGSFQMVLSDAKSAKYSTWASKAKASSTTTPS ASASASPSSTPSASAAPTVLNSTYDYIVVGGGPAGIITAERLAETKKKVLLLERGVAP TVQMGNKNALSWNNSLTPHDVPALGSSLSKLGLLDDYLCDDTAGMAGCVLGGGTIVNA LAFIYPQEADFNDKWPAGWKWDDVKEAASRLYERNPGSILPSADGKRYDYGMYDVLGG FFKGLGWKSVNQHEQPNEKHQAYGYPSWSVANGIRAGPVRSYLPLAQELDNFSLRLQT KVRRLVRRGGRITGVEVETESGAIEIINIRAGGKVVLAAGSMSTPRVLFNSGIGPAKQ IENVKSGSTGITVPAQEEWINLPVGENLKDHPMFTISVHTKSNFTAFNTSSVLSGPAA AVQQLYSKGSGVLSEGGHRLQFWTSNEGSDGKTRFYQGSCSVASDGVITMKLYLTHGA TSSGVLGIGSSGATVMETEPWLQTDADKEAVTQFLQGLLNDMTNSTAGFTVPGFSSAA DIIAKMTSGDHFVGTTKMGTDDGRTGGSSVVDTNAKVYGTENLYVVDASIHPDLPTGN TQAIVMIAAEAAAAKIIAAGDSIPASSASVSAPAQKTAYPSSAASSNAVTSAVASVST TPAASSAAPESTSDCDETFTTVTPAETAAPTHATAGSTARAVLSSTSAAAAGTISSVD AASVTVVTVTKQVVVTSTTTITVLPTFA AOR_1_714114 MLTPPSISRSSPVTSPGPYLSYPSGLGVNLDEVMRNWDELPPER KKAGDRWSAVINPDIDKNWEIDLLHSVTHDSIVNCVQFSNDGKYIAVGCADGAVQIVE VSTGTQVARLQDEGYSHDCLCVRVRFLPDNYLLLTAHSDGNIRVWNYMGSLLRRIPCG SETGFFTLDLSATGHLIAFSERNKTVKAWDLDAASGIRQLGTLHTADDVYIVAVSPDS GYIAAGCDDGMIYIWRADSLDPGTASASGTKIEKVLEFQAHDENVMALSFTPDCRSII SGGMDGTAKLWNIDSLKAFVNEDITPNDCTLIYKAHQDMVLSVSPDPTGNWLLSGSKD MTVYLWDISTGVAEMRLGAHGNSVLGIAWSPTDNIVATGGGDRVLQLWRLHRISQ AOR_1_716114 MASSPDLDTPMPPDHRTTSGLLAEYYILTVIALALVLLRAWVCL RLTRNWGWDDTCIVIAWIALLAGLITIQLEANLGLGRHAIYLPDPEHTVLQILKVNTI YQIFNVICALVTKYSISFYILRIRNSRAVRWILAWLMLFMTLTTIGAVVILAVSCIPL EKQWNKDVPGTCLPPKTVYSVAYVQSAFTIVIDLCLTSAPVIILWDVKIKRGRKTLIC GLMSLGLVATVSNALRNCYQGGLTAPDMPYAITNVAIVSILEVGTGVIAACIPACVPA FRCRQKAEPVANSYRDKKISVIRGGRWDEGSGNWSLGNAMSDSIALCGVKSPGRVVTK VTAC AOR_1_718114 MLIDGEKWACEACVRGHRVTTCKHHDRPLIRINRKGRPFSTCSV CHNTPCPTPEEHTKLKREAEAKSSSKRGAARVSSRSNSVLVPIAPRPSSSSPTPSPQS RPQIMAASGPVVSAPPQQQSPQQQQPQFQPEPFARSSMALPFTGGEFVTPALCSMGDV PVSLSMVSPLDADPTGRLLFGEGAFGDGGFSLEDLDVGALEGGVLQEDWSWLSEDAL AOR_1_720114 MATPDVAPQFGAELKDAFKPVNNWVSHGVQWLDEIQQFYRERSA IEKEYAAKLTALCRKYQDRKAKKISTLSVGDTPTMTPGSLESASLTTWTTHLTTVEAH AGERDQFATNLLVQVAEPLKLAATQYEEIRKSHVEFHAKLEKERDAAYGDLKKAKGKY DGVCQEVEGKRKKMENSFDHSKPKAQAAYQQQILEMNNVKNSYLISINVTNKLKERFY HEYVPELLDSLQNLNEMRVSKLNSLWSLAAQLEKSSLSKSMEHMAHLLNEIPRNVPHL DSLMFLRHNVSQSQEPPNMTFEPSPVWHDDEALVTDETAKVFLRNLLSKSKTQVRELR VEADQKRRDVETSKRIRENIQQGKDNRSEVEVVRSIFYLQGALHEVERKKLTAEVETS TIMSVVGDLSLGAKNHNFKSQTFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHSKCQ MKVPAECPGEQNKEEKKKLKAERQEQANATPHLDLEPTTTGSSAAPSLTRKDTMNSLS SGYAVSANRSLSNAASQPALANPTESAAPSPVPEAAATPAPVQETKPKRNRVLAPPPA QYVKAPPVAETTKPSEPRGKMVYPFQAGGADEITVQDGDDVTILEPDDGSGWMRVRAG SAEGLVPASYVEPAPAASVAPATSPGMAERPGSTYSTSSASLAGSAVGKKVGPAVAPR RGAKKLQYVEALYDYEARSDMEWSMVEGDRFVLVNRDGGDGWADVERGGVTKSVPANY IQEV AOR_1_722114 MSAEQPAETASAGNPLADRITTADGSKPEGTTETTDNEQADGAA AQLGGSELNEPDYTVEVKLSDLQADPNNPLYSVKSFEDLGLDPRILQGLSAMNFRKPS KIQERALPLLLNNPPKNLVGQSQSGTGKTAAFVLNALSRLDLSTEQAQKTPQALILAP TRELARQIVGVIQCMGQFLDGLNVSTAVPADTNSRHSKIESSVVVGTPGTVMDMIRKR VMVANKLKVLVLDEADNMLDQQGLGDQCIRVKALLPKDIQVVLFSATFPTHVHQYASK FAPQANELTLQHEELTVEGIKQLYLDCSDEEDKYKTLVQLYGLLTVASSIIFVKTRAS AAEIEKRMVAEGHTVASLTGGIEGSQRDAVIDQFRAGQAKVLITTNVLARGIDVSTVS MVINYDIPELHQPGAPERQADFQTYLHRIGRTGRFGRVGVSISFVSNREEWNMLNQIQ QYFNCTIQRVDTKDWDEVEDIIKKTIKNTRAQAQFGR AOR_1_1646114 MTTDSPETTRADGTTVQAAPSPESHYSTHIVLTTYPGQSGIDPV PLNWGAKDAKSRGPVVVSRSGPLLKRRNAMGAHGGSYSIYNALAIAAGDLPPDFRPDF KNSEPTFNFPWQPAWADKDKIVSMDPYGHDIVNQFRDELNAGWDIRPTMAVTRANMKL AEIGEAVRDGQLDVDGSIVVDSSGEVRVTKVAVEPVWYLPGVADRFGVSEPILRRTLF EHTGGSYPELITRPDLKVFLPPIGGLTVYIFGPPERVSDENVKLALRIHDECNGSDVF QSDICTCRPYLAFGIREAIREAQNGGSGVVIYFRKEGRALGEVIKYLVYNARKRGGDT ADKYFTRTENIAGVRDMRFQALMPDILHWLGIKKIDRMLSMSNMKHDAIVQSGIKILE RVPIPEDMIPDDSRVEIDAKINAGYFTTGRQYTMEELAEVKGRGWEKWEDITH AOR_1_724114 MGSHVTPQPHVPKAGVWCPAITFFDHSTDTIDFDAQKKYYSYLS KTGLAGLVILGTNSEAFLLTREERAQCIAAAREAVGPDFPLMAGVGAHSTKQVLELAH DAAGAGANYLLVLPPAYFGKATTPAVVKKFFADVARQSPLPVVVYNFPGVCNGVDLDS ETITAIVRESAASRGDGKSNVVGVKLTCASVGKITRLAATLKPEEFAVYGGQCDFLIG GLSVGSAGCIGAFANVFPKTSAKIYELYKAGKVAEALDLQQKAALAESPCKSGIASTK YAAAIYSAPLAGIEGAEEKAKPRTPYEEPGEGAKKTVRELMDSVAKLEVCI AOR_1_726114 MYIKPFILPALAAVAQAASYSGDLRPQTHFSPPSNFMNDPNGLF YDSKRGVYHLYYQYNPTATVAGNQHWGHATSPDLYHWTNQPIALAGDKPEEYIFSGSA VVDSNNTSGFFPDQDDGVIAIYTVDTPTLETQHIAYSRDGGYTFTKYENNPVIDIGSK QFRDPQVVWHPETQQWVMTIAYAQDLVIGFYTSPNLKDWTHASNFTQEGLPGDQFECP NLVKLSVDRAVSEETSKFVLFISVNPGAPLGGSGTFYVVGDFNGTHFTSEVVQETLFD FSKDNYAAQWYSGIPENEPPVSIGWASNWDYTEEVPTGPLEGWRSAMTLPRAHTLTKV NGVWTVTHSPFEGLSALKGRQLVSKSVHSGDVKANFSGVPSNAVYFDVTLKGIDVAKP TGRVNFNFTSSVSGEFLDGGVSLDDSSFWISRAGTHLFTIEDNGNYTSSSTTTISSFG NGTFSFSGVIDRSVFEVFLGQDGIQSGTMTFFPSSPLDTLAVSAEDLGDRASVSVKAW GLQSGWNSTTASKRFRA AOR_1_728114 MAMPGMGSDFQLFSPAQSSDRRESQTDSMVFPQSSPEDSGQDWT QWMRWDDHSFPETTNEITQSPFDFPFTSPGTSIGKQSLGAVQKSEFSPDISLDCVTNL PFDSFLGQDGGGGLPPHRSSNAGTDMQSAQSTTSGSPMSLAGAKRKSGSDDDGSTVSG LAPPGKKMPSKKRAHNVIEKRYRANLNEKIAELRDSVPSLRASRHLVDEDDGEGVTPA NKLNKASILSKATEYIRHLEIRNKRLEDENTALKNRLRQLDKAVDQNVTSAASVSSPS NYTESGASSSPSVFSNAEDVPSDPSPTSLHPPEGMIKVPDAFKRMRAAAPRDESWSQS YIQYPSSGNASPQPGSRKRSHYPNKYMLGALAGLMVFEGMSSEKKTESTAKGLLAIPV NFFNNLQLPPAGYWVTWARSFWYSWHARAISHFLILATLVVGSAFIVFVYLFNSGGPG LQYSSSKSASATLSSSNFRRQAWLTSIQRVGVPRHTFFHEWYVVTSRCFEYVLRCLLG WKLYSWATGITEEDEKGRVKTWDIAIDAQLAGGDAEVSKSRLVLTIFAAGTLPRSPMR MMLKALHVRILLWRVGDPGSWTFNVSNDIARSLARYQWDLARKMNSSLPEDHPDVLPS HLSALLELDSEEVMIDSVIQRAANLTWNRPTQEGTDGDEALLDVVEEDPAIQSSLDAL AAWWSSHLLQRALLRYFEASAGGQDRRKSRDAFKSKLRTALNVAPQPSAAHTRALVMK AVFFEQDRVANINMVLAALPKDKGNTKKAQASNFLDSSLPVSVRDEINIAVRCAMIAA IFTARTTGDTSLPASFTMQKAVSWFNQLPLDPVELTLLGFAATYHLLHVLASDTDYMN SSDSSVPSSPVSRHRSLSDNESDRPAPSHNPKCSPLIPNLSRVASELSYWAKNAYNPA FYGFTSHLVGVVDAECKTLCHSAGVDLIDYSRIQEERSKAIRNKDEKKKKKPRKKSNE DSRQTRWAISNSKPAQCPSPESSREVEA AOR_1_730114 MGVDQIGPGKQHTRYDHPPMNPALATPLYVLGGFFVVSFLSRSI IRLRHHRRLREILREDKQERFARNGALSAFFNKHVFYAPLWSLRHSREFRLLGRIHMG IIPLRLEAVLLLAYFVLNIIFFFVLANWWSGYEETMYQIKYAAGHLCVMNLPALVLTA ARNNPLIPMLGLQFDTFNLMHRWIGRLIIGEAVVHMACVVASEAKEMSMSKVTHLLWN TPFFIEGFVALIAFMVILFQSTSPIRHAFYEFFLHLHILLAITSFVGLWYHLRGLALQ RVLLVTLILWGLDRIGRLGSIIWRNFGKQRTMATVELLPGDVARVDVALARSWTFKPG QYMYLYMPSLGLWTSHPFSVAWTDDRTNLTEKRGSNDSLSILLGGPKREVMSFLIKRR DGFTNKLLEKVNKSMEGKFTASALVEGPFGGLHSLSSYGTVLLIAGGIGVTHPMSYLH EIMSGFSQKSTAVRKVSLIWVIRSIDHLEWIQPWMTSLLNHPALQVPNEQKSHTYFQF PELSLSIQIYLTVSESTDEYSLDESPWTNSAPPSVPISMVFGKPSFDQILESEKTQQV GAMAVSVCGPGGMGDDVRKCVRDNQGTQTIDLYEASFCW AOR_1_1648114 MAPQFRILHIGDNIKYNHDVYARFSSEFEIIQPSAEEREREEFM RALKERRWGDFHAIFRPFWNTGGEMGRWDRELIPLLPKSVKIMASAGAGYDWADVDVF AEHGIIYCNGAAASSESVADMTIFLILSVFRNLVWSHQAAHSANPQAFADAHKNSPLT ARNPRNHVLGIIGLGQIGYMIAQKAHAAFGMKIAYHDLFRKSPEQESRVGATYYKDLE SLLADADCVVVATPFAGKTLLTLERFRQFKKGARFINIARGSLVDEEALVQVLDEGHL VAAGLDVHANEPYVHPRLVKHPRVMAMSHNAGGTVDTHIGFERLAMENIEGFLLKGKA LTPVNAHLLKSKSSL AOR_1_1650114 MRLLKTTKSEVGHFEIELFTDEQLHNLPYAILSHTWGPDEVTLQ NINDPLVKSWTGYMKIEQCCAIAYSSGYDYVWIDTCCIDKTSSTELSEAINSMFLWYQ EAKVCYAYLSDVRQTTFEDSRWFTRGWTLQELIAPRNVIFFDGSWRVLGDKMSLRRRV SQCTRIPESILAGERNLDTFSTAQRMSWAAERQTTRVEDLAYCLMGLFGVNMPLIYGE REAAFIRLQEEILKISEDHSLFAWKSSDTRNGLLATSPAAFIDSHDIVPCETFDTFGS PLIISGGGIHVDVCFVGLERIGLGLAVLQCKKLDGMSTSVAIFVQDTSLTFELERFKR VYCDDFRLVDLGRYHTHQCRMTRMCVPSGRITRVKSPRGDEEYNNLEPPHIYPNPIQT QTIEWGSPRVEVYLWLLLTQSNLGAALNAVSNGRTPLSWAAENGLENYVRILLRKGAT IEVDSGLVEAPLSLASRNGHTATVRLLLDKGAGVDAKDHKGWTPLVHAILADKVSIVK MLLDYGADINASDKNARTPLMHAIKTQDTTTIKMILNENPDIEAKDDKGQTPLMHAIW TRHIAIINVILSKNPDIEARNREGQSPLIQAIMTQDTATIKVILRKNPNIEARDNKHR TPLMHALNIANRTIIRMLLKKNADIEARNEQGLTPLMLAIIETLNGHISCPTRKNIVE VLILHGADINAKNLHGETPLSIATKEGHGDIVELLLRNGAIRETTRQTPMAWLARMSQ QGILKLFGSES AOR_1_1652114 MRILCLHGRGTSAQIFKSQTTSFRSRLNDLPITFDFIDAPYPTT PASGIDLFYEPPYYTFWPDDSPTSHQNARTWLLNHIAKNGPYDAVLGFSQGCSLAALT LLLHAHESPLTPPPFKAAIFICGGAPLPLLEELGYTITPEMRSRDTESRKKLSIQADS AAILAKGAERWGGGGDAGEVVDEESVRREIERCGIGKVKIGVPTVHVYGRKDPRFVAG VQLSGVCEGSVRQCFDHGGGHDIPRSSAVSERLAELVRWVLEEGGVA AOR_1_738114 MGIETSPPDVEESTEPPICPYPKESKSHLNNHPSDVKSDLETEH GTPEHSPTSFESASNTSTRQQDFRSSLQYAHTIDPEHLAVILDVDVRHGLSSADSATR LQRDGPNRVREMEGLSVWKILLRQVSNSLTLVLLITMAISFGIDDYIEGGVITAVILL NIVVGFVQDYRAEKTIMSLQRLSAPVCKVLRDGRVSSAKAESLVVGDIVQLAVGDIVP ADMRLLDGMNISVDEALLTGESLPVTKTPHITLTSRDIPLGDRTNMAYSGCSTTQGRA TGVVTATGMMTEVGKIAQLLQDKRDQGSANPFVRAFQNVKSTTKNILGLVGTPLQVKL SKFALLLFGLAVLLALIVFAVNKFDVQGEVLIYGICVAVAVVPESLIAVLTITVAVGT KAMAKGNVIVRKLQCLEAVGGVTNICSDKTGTLTQGKMIARTAWIPLAGTLTVSQTTD PIDPTSGSVQIDEVDWKPDAVQGNIALTTFLNAISLCNLSTVQKEQQSMPSEKDPEHG AQVRWTAVGEPTEIALHVLAMRFGLGKPNILQDSNLQLHTEYPFDSSIKRMTVIYRSL ETSMNEVYTKGAPEAVIPRLNSSEIEKSSIQDTADRMAGEGLRVLCVAYKKVPINNES EVSSRTTAESNLSFGGLVGLYDPPRVETAAAVRRCQMAGIAVHMLTGDHIRTATAIAS EVGILDPVMGAKSSRLVMAAEDFDRLSDIDIDAIEQLPLVIARCSPTTKVRMVEAMHR RNAFCVMTGDGVNDSPALKRADVGIAMGKNGSDVAKEAADMVLTDDNFSSIVKAVEEG RRLFDNIQKFLMHLLISNIAQVVLLLIALAFKDAEGNSIFPLSPLEILWANLVTSSFL AIGLGLEEAQPDIMYRPPHDLKVGVFTWELITDKMIYGTCMGSLCLVAFVCVIYGKGN GTSSMGHDCNEAWNESCRIVFRARATTYATLTFLLLVTAWEVKHFSRSLFNLDPGRYP GKLSVFHSIWRNRFLFWAVVAGFVIAFPVIYLPAVNRIVFKHQAIDWEWGVVFGCVVV YLTMVEIWKAMKRAFGIGSGKNATLTLEDAETRAGLVSPVLTLTANASVDASVEMK AOR_1_1654114 MNLNLLLPTVDKRMITTSQDQQSICAALFYFTIFNLQYLDRELE TDMSSKAIEAVLLTPELLEIILLHLDMTTLLVSAQRVSLIFKPIAPPVKPSLAYSTPP NTEMDSYPNPYSLEIVNSFNPLLEKHFGSVFFNLNGVGNYHRANYFYTNLPWWVNGRD NDHMKAELARRHRAFTRSGASWRRMLVSQPAPPALGYGWQEYGDWTTIYKALITENPQ PAALSLDPVFPGEPVSPQPLPISQTGLRFGLLYGPLQYHAGHHQYPSLYFRLVWGRRY APLLRDAMEHACRQLLEETSAIVQFFHRNNDLEHEPADVEAWDSAFRSEDFQLPHEQL EVVYRNPW AOR_1_742114 MDIREPPVIDFAPFYGPEGAAKERLINELRQACKQFGFFQLINH TIPASLQESVLQQSKEFFELPISTKEKYKKGLNGDNRGYEGFRAQNFEKRGKGDLKEG FYLGKDLPLDDPQVVTRKFDKGPNKYPLEVSEPAKFRAVMDEYHEAMTSLAMGILRML ALTLELEESAFDAFCEHPIAILRLLHYPPQDPDSSDIERGIGAHTDFGGITILLQDTT GGLQVWNNVSSEWVDVTPVPGAYVVNLGNMMMRWTNDRYLSNLHRVINKSGKERFSVP FFLSGNPDYIVECLPTCIGAGPKYPPITVGQWMAGRYADTYGTSNEEAISDMREVPS AOR_1_744114 MKDQDIVGEALAAVLPQHDKPWFRVPHLLKLNLILLVPLLSSAV AGYDGSLMNGLQSIPEWKRYFGNPTGSILGVVNAAQSIGSVVSLPVVGWLSDRLGRRL TLLLGSLTIVASSAIQAASVKYGMFVFSRVLVGVGSMLVVQPAPMLITELAYPTHRGK YTSAFWTMDYLGAILASWTCYGTQRNMTDDWTWRIPSIIQAGFPIVQILFWWCVPESP RWLIANDRREEAEQLLARFHTDGDVNHPLVQFEMSEIIHTLSMEARASQVPWSTLVKT PGNRKRTFIAICVGAFAQWNGVAVVSYYLTLVLDTVGITDSDTQTLINGLLQVFNFIA AGSAALLVDRLGRRTLFLWSAAGMLVSFVIWTACSAVFDTSQAAPLGNTVIAFVFIFY FHYDIAYTPLLLGYPTEIFPYSIRSKGVTTTLLSVYSSLVILAFVNPIALENIGWHYY IFFCCFDLLVLAVTFFMFPETKGHSLEEIAQIFDGPSAGTGGLEVGKKDYDETITREH AEYAG AOR_1_746114 MAAAQKSIRWPNPTLPDSVFKMFDMHGKVVIITGGSGGIGYEVG RALAEAGADVALWYNSSGQADDRAATIAKDFGVKCKAYKCSVQNFNEVEAATQAVVAD FGRLDVMIANAGIPSKAGGLDDRLEDWHRVVDIDFSGAYYCARVAGEIFRKQGSGNMI FTASMSGHAANVPQQQACYNACKAGVIHLAKSLAVEWASFARVNSVSPGYIDTPISGD CPIEMKEEWYSLTPMKRDADPRELKGVYLYLASDASTYTTGSDIVVDGGYTCR AOR_1_748114 MTQDSNPSFVLKAVKDVAFEDRPVPALQDPWDVRVQIAQTGICG SDVHYWQRGRIGDFVLTSPIVLGHESSGTVMDVGSAVKNLKVGDRVAIEPGIPCRHCE YCHSGSYNLCPNDRFAATPPHDGTLSKYYITQSDFCYPIPDHMNMEEGAMVEPVAVAC QITKVGNVRANQKIVVFGCGPIGLLCQAVSKAYGAKKVIGVDISKSRAEFAKTFGADD VFVPPPPPADVSPEEWSEKLAKIIKEQFDLGEGPDVVLEATGAQPCIQTGIHLTKKGG TYVQAGMGRENVMFPITTACIRDLTIRGSIRYSTGCYSTAVDLIASGKVDVKRLITNR YTFEEAEQAFELVRQGKESVIKVIIEGYQGR AOR_1_750114 MDAVYAQVVESIYSRAIIHDKPRFLVAIAGAPGSGKTTLANALT ERLNAMPASIRRHTVCVPMDGFHLSRAELDQLPNRKEAYVRRGAPWTFDVSGFIMFVQ RLRKWAEKDTSPFHNQTTPPPSPSSSEILHAPSFDHEKKDPVTDGISITPDTSIIILE GNYLLLDELQWRDVASMVDYRVFVEADLQVARERVAKRHVLAGIEPTLDDGFRRVDQN DFLNAQTISERRLPADLVINGTPEHQSKDN AOR_1_752114 METVRQYVPLEFEGRPVPDIDAPEELTWATEEAVKKRLCGIESI YAYTWSQPYNRTTRLVALWYGEEEPQLPEFLQDVPYFELRLGRDPRNIRPQVSCKILC VEPQSISAVMQPTRSFSSLGFLGLLEEDTHNGGRVRHVGVTVGHILDDSIEDIVELET DDQMYSVQLHPAPNFERRGRRRPAFRNHLPRFRSCFDSICLLEADTLSAELMDLLHIS NAIDCNALFSVPEGIPLVDSLWDPALLDSEHVLKSLTQMLPLEVHKHGAATGQTTGQL VDIEDLENNDSHLKQTLGDDTLAKQLVIEWLSPEKSFARDGDSGSLVYAKKNGKIVPL GIHHGSDEGLRLSYAYLLWSWCEELDRKLGLRLTFCPPGRCPN AOR_1_754114 MVLAQPDNKHVMQAFSLKGKVAIVTGGTRGIGLEITNALAEAGA DVAIIYNSSSTAEATAASIAKTHNVRVAAYQANVGDQKEIEAAVQKIVRDFGKLDIMV ANSGIATAVAAEDYTTEQWQQIMNVNLDGAFYTAQAASRVFKEQGSGNIVFTASVSAA LVNVPQKQAAYNASKAGVVQLAKCLSVEWVDFCRVNCISPGFVATEILDHHPPELREK WLSMIPASRMAGTYELKGAYVFCASDASSYMTGANLVIDGGYTLP AOR_1_756114 MRVGNADDCDRLLPSCSLCDKFSRRCIYETLSKTPLTRQYLTEV EEELTRTKALLSELLPGTSRDISNGERFIYPEQGTTGDRGLTSEIPNREGSSEQPERT YVPHSNIGSETIPAPEVPSRPSLGVFSASLSNSGQLYDYSDRNQTGISHRANRRSQDA VMSMETPPSAGNVNFEWDERTEDQGGDGFVDGMAILPSRSNDGGYLGTASGAALLRMT NSQSGGERLDMPEPGRPFETASSHPSPSIPFALSSLSQLEPFVDAYFSLYHCSYPIIH EATFRAQFMEVIPRPTSNTWQVLLYVVAALGAFTAAVTPTDVDLALFKAAKARLTIDV LETGSLILVQALTLSSNYLQKRNKPNSGYNYLGLARRTAMGIGLHKEFPTSKASPLAM EMRRRVWYCLYIFDVGAIITFSRPLEFPEQGIETRLPLNIHESGITASTQTAPSPVTE TTVYTHLRAQAMFHLKTNLIYTKITSTSFPSAAELIELDGRLIGDWLASLPYFFNEGA IQAPKFALCHSILRWRYRNLRILMYRPFLVGKWMLNSDQGPDGLREKDDTHVELAIQR CFDAARESVELISSFWAQHQKTTMACWYGVYFLFQAILIPVICLRNNPSDPAAHGWRE QIFQAVNTLESMVPLNANAESNGWEGPIQESPETQIANLYPLMWPTLEMAQLDGVDSA L AOR_1_758114 MASLHLASIAIGVLTAYLLTKLLTFKKPPAPLPPGPPPKPIIGN LKDLPQNGERDWEHWLKHKELYGPISSITVLGQTFIILNDQKLAVELLEQRSKWHSDR PKMFFAAEMSGCGGILGLIPYSDRSRAIRKAMNKEIGSKVAVSRFNALQEAETRRFLL RVLEAPEELRNHIRTEAGAVVLKLAYGYTVEPHKQDPLVDLADVSMYYFSLVCRYGAW VVDVFPSLRFLPSWFPGTEFKRIGQRSKEAFDNFGGKPYNFVKHQMSQGTHHPSYLSS ILESEEIEPGSEKEYVTKWSAASIYAGGADTTVSTMASFFLAMALYPEAQRKAQEEID RVVGNSRLPTFADRDNLPYINATVKEVLRWHPVVPNNLPHLSTHDDMCQGYFIPKGSI VISNIWGFAHDPDVFHDPMTFKPERYLGDNPEPDSHRISFGFGRRICPGRVMADAAIY LNIAQSLAAFNIGKKVVDGKEVEPRVEFQAALISHPEPYDVSIKPRSSVHEELIRAVE EEYPWEKSHADELVNIKV AOR_1_760114 MDNFFVHTAQSRPDLWKSLEDPTHPLNAAWPLFLDQDIYLQHYC CPLSKIEAFACFQYAIVQIDDYDQEHIIACGRSLPFYWPELAKVGGKIGLAQHPKVLH TLPDEGYDAILSRAFEQYYAREGIFQDTDRPTSFNDPPAARTEPPNALSAISITVSPE YRSRSLAEALILAMKQAAIERNCDAMVVPQRPTRKSEFPTTDMIDYILWPATTVATHS PGTTVRKPDSNLPFDPWLRKHARLGPKVIKVARRSMRVEGSVEEWQQWTGVNIPQTAR QGVRRSCFSKRPGTIAVLRG AOR_1_762114 MVGPTASLTPLSRADGSASYQCPSTGSNILGSVNAPIELPGRRD ALKPEDATVEVFVKPGTAPGGVGERYVEGIIKNMLGKLILGREKGYARRGVVITLAIV GGESVARGDSYLTLLPALLHTSLLALLSASVPLSMTYSATVLAVDTSGEIIREPSVKE AAAAASLHVLAFSSNGHLLLNESQGPFDFETWEKVHQRALAICHGTVARSSDGDVAMA EDVDGQPLEGALREAIEEKIQQDYSWKIDAA AOR_1_764114 MASPGSNHGLVKSTCADTPSSTPTALSAPVSSTSSSSSTPLSDS DRPSSSTSTTTVGTSLLRDYACHIDPSQTPNDVHASDSHPGSCSNSASQKADLRDDAA VLSLPSSPFLPLRSAASLKAHNRNALSTDSIPRQTIMKALASRPSISNPNNSNVPLAA SLGLLSNSASTSPPDEKERRSSNPSSQKLSAALSNLQLGPYLDRLPPTARLAMQSPCF FHQRFDDAVNIQKVLEEITDDEWLSHSRLVQTATGVREVSKQLQRRPIKRAVRNVMIV TKARDNSLVHLTRELAEWLLSTPRYGSDLGVNVYVDAKLRNSKRFDAPGLLQMEPRFE KMLHYWTPDLCWESPEKFDLVLTLGGDGTVLFTSWLFQRIVPPILCFSLGSLGFLTNF EFENYKQHLNAVMGDVGMRVNLRMRFTCTVFRKDRRKEAEAGAVEEGEQFEVLNELVI DRGPSPYVSNLELYADNDFLTVVQADGCILSTPTGSTAYSLSAGGSLIHPSIPGILLT PICPHTLSFRPMVLSDSMLLRIAVPAGSRSTAYCSFDGKGRVELRQGDYVTVEASQYP FPTVVSGSGEWFQSVQRALRWNTRGAVQKSFYRGSEAGLEGLDEGEDEEWDIDTDAGA AGTDSGIGPSEDGDAGSISPMKRQMSMLSM AOR_1_766114 MNPPHADSSYFGENASKSDIYTQVLEQAQGLVYGQRNWVSNFSN VASLLWHAYAALPSPSSSVNWAGFYIRQDKFPNAQTTEKQNQNQKQVLWLGPFQGRPA CQEIRFGKGVCGTAAEKRETVLVGDVLSFPGHIACDASSRSEIVVPILVGGETVAIID IDCTEPDGFDEVDRKYLEDLAKLLAEACDW AOR_1_768114 MIAYGLLTLIVSTAAVAREIVFPPIAAIQGSGQVPLGEDDTVDI VTGSHFSGLTTFGHLPYVNCLVDDQAHSTPYDIAILGAPFDTGVTARPGARFGPVGIR LGSRRLQGWNIYTGVNVFESWAKLVDCGDAPLTRLDNTVALKQLDLAHKVISSRPTNS TDRGRTPRILTLGGDHTTTLSALRSTYDKWGPVSVIHFDSHLDTWDPKVLGGGVSHYA GVNHGTFLHIAHEEGLIRNTSLHVGIRAPVIRPKGDIRNDIRCGFEIIKARDLDRVGI NGIVDQIKARVGDSKVYISVDIDVLDPAYAPATGTAEPGGFTTRELLSILDALHGLPV IGADVVEVAPIYDTTAETTTLAAAEVAHSLLYLMVETPVNDN AOR_1_770114 MTMAKEDDNQQVFSESTGEDARLENLGYEQELKRTFGLLGMIGF SFSVVTSWTALSGVFIVGVTSGGPPVMVFSFIGVSLLTLAVAIPMAEMCSMYPVAGGQ YSWVAALAPPSIARGLSYISGWFMLIGILAMGATNNSIGANFVLGMANLVFPDYTIER WHTVLVAYLVAFMATAINIWGPHLLHRISRFILIWNIGSFLITTIVLLATNDHKQPAS FVFSEFQNFSGWGSSMAAIVGILQACFGMCCYDAPSHMTEEMKSASKEAPKAIILSVV LGAVTGFAFLLTLCFCIGDINTTANTSTGVPVIQILYDSTGSKVGTCFLASMIAIIVI VAGNNLLAEGSRSVYAFARDHGLPFSHIFSRVDSKSHVPVNAVLLTLVVQLALDAIDF GTTTGFETVIAISTEGFYLSYAIALFSRLLGFITGHKTHMKGPFALPQSMSIGLNILG LLFLLFAAITFNFPTDYPVTHESMNYTSAAIGVIGLVSTVTWITTGRKQFTGPQAMIH VGFHGESPILEITSGKRRRIASNAYKSIYVIYLAYLSSTS AOR_1_772114 MSHEKEDFRSTDEEVGNNPVPSTQNHPVKWYRSTFYNALILGLC NFLAPGIWGAMNSVGGGGLEKPYLVNAANALTFCLMVLSCFFGSIVVRYIGIKWTLIV GTMGYAPYAAGLYTNNRFGTEWFVLFGAALCSLSAGIFWMAEAAIAISYPEPHNQGRF LGFWLSFRVGGQILGGAINLGINSNRDTAGSVSYAVLIVFIVLQALGPFAGLLLNTPS QVQRTDGLPVKLRIANSPLHEIKETTKLFFTRNFLLIVPLISQAVFTEAVMFTYLSLW FSVRARALGSFLGGIIALILGNLLGAFLDTKRISLKTRTRSAFATILTLQGAWWIWGT IIVTEFNKTQPSYDWADAGFGRGFALYLFWVAGFQLNYMFLYFLIGNLAEDEEDVIRI SGLLRGTESAAQAVSYGLNAVTIMASVGSVYLNFGLWAISLLPAWLVVKHVGVTLGDK KIQRETRVA AOR_1_774114 MASAAVQLPPSHEPSPLTQKTSAPTSSEKPRHVQTKLYFLKELE DGSHLTPNYVTRPETYERPSVELAVTVHDVSGHELDYTLDKNGFQYYYHESREKDFLD DEKIAREYYPETEQLLKDATGASRVFIFDHTIRRAPKDVRAQSTPQRGPVQRVHIDQS YEAAKNRVSYHLPEEAPELLKGRYQIINVWRPIKPILKDPLTVGDAHTLPDSDLVGIK LIYPNREGETYAVKPNPDVKWYYRYGQTPDLVTLIKCFDSKTDGRARRVPHSAFVNPE TVNEEPRESIEVRALVFHPDDQE AOR_1_776114 MSNTEIEPLLDSAAPGYDTVHDPSYDSPPDALHSGFQNKLPEAP SHRKALNWSSAYILVISRVIGSGVFATPGSIVKSTGSIGLTLLLWLVGTILAACGMAV SMEFGCMLPRSGGDKVYLEYAYRRPKFLASTLIAVQAVLLGFTASNSIIFAKYILFAF NIEPTEYQHKALAVGLLTLITIVHGCFLKTGIWIQNILGWVKIFLIMAMSLTGIWVIL LRPYDESMSESDRVVTNNPFAWDTLWEGSNWSWSLISTSIFKIFYSYAGLNNVNNVLN EVKDPIKTVKTVCPAGLLTAGALYFLANISYFLVVPLDEIKNSGELVAGLLFERLFGA HVGRVLFPLAIAISAAGNVMVVTFALARVNQEIARQGFLPWSHLLSSSRPFNSPLGGL LVHYIPSILVISLPPQGDVYNFILDVEGYPGQIFALAITVGLLIVRRREPFLHRPFKA WTWAIWLRIVVCIALLAAPFFPPPDRKGDVHFFYATYAIVGAGVILFGIVYWYIWTVL LPRWGNYQLEEEKGVLEDGTGITKLVRSYRIT AOR_1_778114 MTAVSTYAPLVTLSEKQQTISYQEIYPEQRSEPTFANPNVQEEI RPQLGRATQRALLLKAAREQYTLVTDHAIPSISNKDEILVKIVAIGLNPIDWKGPAFN FGIPSLPWVNGRDLAGVVVKADRSSRVQEGDIVLVPSTDYRDIRKAAFQEYAIATHFN AARIPPTQSIHASASLGVAYVASALALGVSLGLDFSHASACPGPDLTEVVRQLDTDTI PADIRDECYSGLSKIEKVKPGDWIAIWGASTTTGYITLQLAKLAGLKVICVADIARHG ARLVDLGADALVDRHDTQRAVDIIKGLTKGKLRYAIDIVGKDTATLLQQTLDDAVRED GSHAHLLGLTGLPKDRGSNVIYHTVPIKLFHTSPQVGERMVSWLEDLLHSGALQLPEI IRTDGGLGNVNASLELLRQGTASGKRIVVDLAG AOR_1_780114 MGSIDKPKKQLILNAFAMQSPSHLNPGLHRYPKDQGGAYKSLQH WVTLAQKLEAAKFHAIFFADVLGGYDVYKGPANLDPTIPAGAQFPINDPLYSVPAMAA ATESIGFGVTASTTYDAPYALARRFSTVDHLTNGRVGWNIVTSYLDSAARNFGLNTQV EHDERYRIADEYLDVTYKLWEGSWRDDAVNVKDGVAGYADPKAVRQINHEGKYFNVPG PHLCEPSPQRTPFLLQAGTSTAGKAFAAKHAEAIFLHGQKPELVRPSVDNVRQQAQAQ GRDPASIKVVAGILAIVAETDEAAHAKFAELAQYGDPEGALALFGGWSGYDLSKYEDN QDFRFVEQPAIRSMVNHWASTVPGTEGKKWDKKTISEYLIMGGNGAKVIGSAKTVADE LERWVEVGDVDGFNLSYASIPETFDDIIKYLIPELQKRGIFHTDYAVKGGTFRENMYG EKGQARLPQSHPGAKYVWHAGEETPKYALEKNT AOR_1_782114 MFMDIKQQSHDPTSDHEAIFYIKHLGSSDHGLYLGADGENRNDG TGVYGKKAACTIHDPKHEWVDGRQVAVPDGYAMLRLFEKIDLRFKEELPEVHAVTKLV LRRQCRRRFVPRALWALLDKLPRLKHIVYEPWRVLDRTVQELQYDTDYKGMIETHLPK GVKKISLFEDYNEGYVTPVRRTTCLQPDLVRIAQPAVGAALAYRSLDGEELYVSFMVD AQHFFEARQPPWTWTSLQTLVLTSPLLAPATNHRKISGLLQDAGEAALRMPRLQTMAL WNGGKRDACGFMFRKGRNNPTITLRSTWDINLQHKTIKVWRRVASLNGLRIEMRMLRG DIINSHGDAIYHLGLNHEVIDPVSLWQIRKEGIGRGLP AOR_1_784114 MAAVEVSVVSDRNIPLLNGHAQSHTPVAVSTDIKGPVASTVIEK TEEVAPQGRPAFELEDHPIDEVRNIKVGVIGAGIGGITAGILLPAKLPGLDLRIFDKN ADVGGTWYENTYPGVRCDVPAHVYQSGFAPNTQWTEEFAQGHEIRDYWQGLARKYQVY KYIRLQHKVEEAIWVPETGKWRVTVRDIGIGRVYVEHLDVLINAIGHFNDWQLPNYPG IDQYTGTIFHSSHWDHDADLKGKRIALIGNGASGLQVLPSIQPVAQHVDHYARNRTWV ADSFGTTGVRRLEPNLFSREQLESFKDPDTYIKYRKSVEEGYFSRFGAIFKDSPENQA QRDTWTQLMLQRITEKPELADKILPEFPPNCRRATPGPGYLEALTKDNVSYIQTPIER FTATGIVTADGVERPVDVVICATGANVDHAPPFSIIANGIDLKKAWKHDGLWGFPYNY LGIATPGFPNLLWIGGPHATGHSGSVPNSMENLVTYIAKVLRKIRSQGIKSMAPSKQA TDDFVEYSDTFYPRTVWTGNDDSTPGQKNCRSWYNGGRPGGRIHGLFPGSAATLNYIR REPRWEDWEYAYTNPSGNRFAYFGNGWTRREKYLDADLVPHVKRPDTIDLTTYMEGWW DV AOR_1_786114 MSPIRVGLIGLPSASGENYEGTSWSVNAHLPFLTKSPNFEIVAL LNSSVESAQTAIQKYGLPNETKAYGDPQDLANDPDVDLVVCSVRVDRHFLTVRPSLIA GKAVYVEWPLDRNLEVAQEMATLATKHNARTIVGIQGAFSPIIRKMRSVIESGEIGRV LASTITGSFGNNVDAESKNVRYFLDRDIGGNPITIHVGHSLEYIAAVLGEFKTLRSFS SISRPTIDIKDYSVGDTGKVVEAGARNTVPDQILAYGTVEPSDAAVTVKFHAGKEFPG QPRLDWRIQGEKGWLRLASPLVSLNVGGPGIKLEIARNETNTVEEILPEADEWDELPV PAQNIARLYEAYRKNEWHPTFDWALKRHEALDRIWKEFDAESH AOR_1_1658114 MSKRIIVTGGSGKAGQYVIHHLLAQGYSILNLDLNPLPPPLNEK VHTLKVDLTDNGQVHGALLSHFRLTEPFREPHQQVPDAVIHLAGYARNMIVPDTETYR VNVLSFYNVIEAACRIGVKKIVLASSITVYGVTYAEGDVDYPSFPVDEDVDANPMDVY ALSKVCGERTARSFARRFGNDIYVMRLGAVVGPDEFQEKFDGYVERPEEYKVHGWAYT DARDIGLMFERCLVTDGLGFEIFNAVNDDITNFAESTMAFLEKMCPNIPITRQMEARE APVTNRKLKRVLGFKQTCHWQDLYITAGNR AOR_1_790114 MSTSTPTLTVYDILMREPVSENACSPNPTKTRQTLNFKSVPYKT TWIDMPDIAKTRKSLGIPAGRKFADGSEFYTLPVLVDTATNSKIGDSFDIAVYMHETY PSVGGDLFPQGVELDFRTSNTAMLVPLSELSEVARRERYIRYAEFNTHVDAAFTAHTI LNVMGLPFNPETAEASKAEFCRRAGMPSFEAFNVTGEARRGIMDSFEKTLGDLARLFV RNQTGPFILGDKPCYADFIVGGWLRMFSVCLPREEWEEVRGWHGGVFGELFDGLRGFY EIK AOR_1_792114 MLNWLRKLFGADNAALDPRSQQRRSRKRSKQMRAREQELRRQNQ RQELKGGKHRTPFFWGSPGVTTPVHQTRIFE AOR_1_794114 MIRTISLHALQPAHHKQLQGTGHEVGHEEEQIVVRMTRLACLPV GNHMAQPGEQERPECDEDLLASASWCHADETAGDLCSGGSAAGDCEAVPELRPEAADA ACLKGAAEEGLPFIGGVEAEYNTEDNGEEGVAEVEHEVGSLDLVDGEEEADLDLAECD EMMVVVEG AOR_1_1660114 MPPKAARKLKDSIEQEGRILLAISAIKKQEIRTIAEAARIYNIP RTTLRRRLNGHTFRAETRANGHKLTQNEENSLVHWILSLDQRGAPPRPAHVREMANIL LLKRGFSDTPTTVGENWVYTFIKRREELKTQFSRRYNYQRAKYEDPKLLHKWFERVQI TIMQYSIQPDDIYNFDETGFAMGLISTTKVVTRAELADRPFLLQPGNREWVTSIKYIS SRGPLPPCLIFKGKVHIEGWYEMGLPSDWRIETSANGWTTDEIGLRWLQQLFIPFTAG RTVGRYRLLILDGHGSHLTPQFDDICSQNNIIPLCIPAHSSHLLQPLDIGCFGPLKRA YGQLVENKMRLDFNHINKLDFLEAFPQARAQIYTTSNICSGFSATGLIPFNPKRVLSQ LNIQLEATPPGSRPSRNYTEEAA AOR_1_796114 MLSVRVRGTRLASRVTRTSLSQTQVRNFHSRKDTAPAINKTWRS FAIAGAVSAPGFWLLTSTRDDDVPRLEAPPTGHLVAEPGPSKEEVTRIISQDAYSFPV RSVTGVNRYDGTQLASNSLCEDRFTHGIFPSPLNDGTQWMAWAVFDGHAGWQTAELLK DQLLLFVRHSLSKVKSASTGEKSMPDEVLQHAIVKAFLDLDDSIVKTALQTAQSSEPL QDKLKKLAPAYAGSCALLSMYDSVTGSLHVACTGDSRAVLGQQKPDGTWEAIPLSVDQ TGSNEDEVARINQEHPGEENIAKDGRILGMMVSRAFGDSRWKWSLDLQQDLKQRFGGP SPLTPKYDVRTPPYLTAEPVVTTTKIDPGKPSFVILATDGMWDTLSNQQAVDLVGKWL DAQIHGHPISQPKTEYKRVDFGDLGNGVDWEFEEGRTTIQDDNAAVHLVRNSLGGNHH ELIAGRLALGSPFSRHIRDDVTVQVAFFNCPHLLPK AOR_1_798114 MINGKLRLCLPAFPCKSSNPEKVMGVLPDRGEEIALRRLHAFAH KIEQIYPPGARILIISDGHVFSDCIGVDDETVDKYGEHLKVLNRAVSIAMGEDAEQVQ FQSLVDLFDLTSPSPLTSPNLVGMLSLPKLQHYLSTNLDDIAEFCRRILVAGCQPSGE SLRTQIESGDESTLALYRGFSRFMLQDLDRHPLTQSLSRSQRKKLATKVSFEMILRNQ AYSNLIELMFPDCLRLSIHAHNNSGPKFGIRLFDTATVRAIRQLDSVGSDDNNGDDES AAIASHLLHIPTPWHNCIVEVAGDPKLYIVKYGVIKNGSFNDKYSSELVKGNLAKGEG AFVSLKRPLNSVRTA AOR_1_800114 MVMHGLCIIIGKALAVGILFLSICIFSRIVPPRYPRNIPAVPFW VTLLSLVRDIDQEDIYRRHIQKPLQTHGAIKIFFAGQWNLLIQRSSYLNEIFRNEDVY QKSGNQKKIPHSVLAEFLGDNVISSRGTTWRLYRDIITPGLQGHFDAGLIAANAEELC SSLLAFQNTVGNCGVPVQDLLQQFTIANVSQVLLQANHKPTKGDESSLHQLQLAVKRE IFKPIFMNFPVLDRLAALQYGVRHGQGTPNASNLGARLMAARDGGVLTGKQFRDNLNV LFVAGQENPQLLLISMLYLLAKHPDVQSRLRREIDACSTLDPSNVAFSELPYVTCVIY ESLRLLPPISQLINRRTSQDVILGNQIYIPKGTYVGYNCYSTNRDPAVWGPTADEFRP ERWGQSNTEISQCYRQRRARAEFVSFHGGSRACLGEKFALLEARVALFVLVSRLSWSL DPEWPDRKTPAGPLYPRALRLIFTERK AOR_1_802114 MSSIDRIVKGDRDKDNIHAHEQNAGSEEEYASETTINNQQVASL STKKALWAYLILCFSVRIFHSMAFNYVSAAIQSAANTVGHQPGSDKPCARRGHIKCLV KFGAGEIDYVSYVLYLRSIGRAMEGIVTIMTAGVADYSRYRKTMMLCSILLFGALALP FAGLTKAEYSHLNGLATLYCLLTTVQGVYTVIEASYIPIFMRSVGGLHSASPAADPDT KRTWKKGFTVSVLALVASNVGGIVALLIGVILSYGIGSYVQVGYFSYLLAITIAGCIT IVFGTIGQFLLPSVPGQERPKGQNLLLLAVKGWMRMIGSARHYPEAFKFCIGWILWNT GYSNFLGLTQSLFLEVTGIARGSGVYQVWSFTNVIFACMGSLSFLFLYPHVRVPIKSW AYFFLSVNFLCVLWGCIGISNHVTIGYKHAAEFWVEQVLFMSTSSALRSYNRTVFASL IPCGSEAQFFGMEITLDLATGWINPLVQGVIQDHTHNLRFPMIPNVLLIFVAGLLYVW VDIPKGIEDAKVPLSEAKGGN AOR_1_804114 MTSPTTSPPNQPCSVAKEEEPSKLVTAPAKSPASAVIQPPPYTA FSRPKRVFILAVVTVAGFLGPLAGNIYLPALPVLEHEFQVSAAAINATVSVFMAVFGF GPLFWSSYADWKGRRPLYLISLIVYIIANVLMAVLPTNFGALVFLRIVQAFGSSAVVS MGAGTVADITQPKRRARAMSYFMLGPQCGPILGPILGGAIVGQASWRWIFAFLAILAV VLWPIMLLFQPETLRARVGNGKPYSNKGWILWPPTPFSCLAPESERGPPPPKPTLKGY WRLFTYPPIGIVSVNTAILYSSYFGIAVQLPTALENVYHWNSTEVGAGYVAVGIAMVV GSIAGGRWSDWRRARMVNALGEDKVTPEARLRDQIGGILLCAAGFAMFGWFVDRAIHP AAVLFSTFLVGFGMSWIFVTTNAFLTECIRQQAAQAFALGNMLRSPAAAVTAAIIHPL TISYIVFEVPSNLLLKRLTPRLWQSRIMLTWGIVLACHAAAKNKETLWAMRFLLGMCE AGMFPGIAAQLCGWYRSDEMGKPIMWMFGFQNTSGIVGSLIAYGISYMNGLCGMSAWR WVYLLEGLFTILFSGVIYLVLPDWPKSPRTRKWLSEREQDYVEARLSENAPKTADSDF SKEEVIASLKDPRTYAFMLSQVLVNFSGYALTWELPTITTSLGFAGLPRNQLLNIPPS AAAVLAIIFSGWFLKQAYITRPAYTMFCIMGPMLVFFILLTVLESRVGIYISCVLGNM FYSVYFIPFWAWRTSSLKGTTGAAFTLAFQSCVGQVGGVIGPQLFQSKFAYNGYKTPF GICAGVIGAACLANLWTWWLTRNVEWDVRRIRRLRIKEERQGRIYADDDVKVYQERQF YSGVAKKGSETNAVEAV AOR_1_806114 MGDTKDNVISEQSSVHDDRKDNTLHYASRGQALSDESTGAGDIA GFDAEWMRARTSLTADEEKKLLRRVDWHIMPLCAIMFLLKNIDSENVANAKIMNKGTD RNILTQLGMTTDQYNLVTVLYYIPYIVAETPSNLLFKRILPSRWQSRIMISWGIALAC HAAVKNKEGLYAVRFLLGLFEAGLFPGVILQLCYWYRPDEMSLRLLYFYILGNFSGII SGVLAYAFDTVSGSHGLSGWQWLFLTEGVITVAFGISLIFIFPDFPPQAKWLTDKEKA FIQARLPGNAPRAEEINFNFREILDSLRDRRLWLFTLIWAFFTVGTHGLRFYQPTVIA NLGFTDIATSQLLNIPTSVLTVICIGVFGIWADSSRLPRPLYPLSFLAVILACYGVLY SFPSNGAVYAVTVIANALGSAWYPLMWPWRVQTTSRATGSAFSIKAWRGQEYNFPGDA HLHIFAISSVWP AOR_1_810114 MSQLQDYRLLTFDVYGTLVDWEGGILAALQTSLHRNDTQLSREH ILHVFHELERDQQARTPEMQYSDLLSTIHPTLLQQLGLPTPTAEESKAFGESVGHWPA FADTVDALKQLSKHYKLVVLSNVDRESFAKTNAGSLQGFPFDLVITAQDIGSYKPDIN NFKHMLSAVKERFGVEPSQVLQTAQSQFHDHHPARKVGIKSVWIWKFPVPDPVAPIDI LGNVELEENKLEYLDDLRSIPLWRLRDTPMRSLYRMYEAMLSGLYEALGPETEYFWYQ RNWSLQGIRDPQDADPVRYAILACLVEELVVAFNWRLSLGLRRDRNHIMRETGKDSLP PYAPLSGPIWTSSVPAISPKDLDRFPPEYVSDDRKLVLEADGLNKVFARRNIVTNVGW LYTI AOR_1_808114 MQKRKQYLGAEDFYNGLRLLDSKLAAIPIIVAFAPIKVIAAGGF FAVAHLKNRQTTKDLDYLLEPDWANDDDIKQSLREAIVQVADELGYPQNWANDDVALF VTKQARQLLMDLALKQNIVLWSGNQITVLSAPVEWALERKLRRIYAADRGRKAELDLS DALAMLNLLKNDKGGRLDMEYYRTLNINGFDVVPDRVTMQRVSAAYKAKYNDEVFF AOR_1_812114 MPDPKSSDAADHPSGSQQGRRFKLPGWLDHFNVRDLKVLFRCWA AAWVASLLIFIGPALHKIGIATFFGALVLYIVPPAGILFVYLLAALSLLFGMCLAWAW GLLTMKAALAARPDAQTKAMVQALQQQAVAIGNQTGTSPAAEAKVLVYDGFMLDARVT VVFYVMICVFIYFMSRVRVANPKFALAQIFGIIIADLFLLFGPSLPSFTASLPEVLIK PGAIGIGLGFACCLVFLPQSTSFVALTQMEQLVRLGEIPLKCTRQRFAGESLDLQQLT ATKAKIIGAFKAMQPSLAFLPLDFSRGRWSSDDIKTLQEPLRQAILSSVSLMDYHITL LRSDQKLQGIQPLSQQGSDKSYMQEKRVREVGQYQLQESADLLQALNSPEHGVSRART REALRKSTTELLELCSETSELLVQCLHAVNSGRWFRRAASRNHLDELVMKADTVLQTL QSTRSSCATETTEALLDSHADLFDENGHLKAPEHLGPHALRGIILGMVMEERILGTAE TLERLLAQVHRLMQARTQERIWIPSGLRYAFSWLVNPRQKAPADDLTAAPATDPDDAE EQAKEAHRRLNISRGYGRPIRQSLLVRALTGFYHWFTNPGGMYALRMVVVTIAVAIPA SIPHSAGFYYREKGIWALITAQTTLLVYMGDFTVSLVGRTIGTVVGGVLGMVAWYIGS GNGPGNAYGLAAITAVMTAILMWWRLFLPPIFTMAAIMGGATFVLVVGFSYDDTHTWQ YGLPGHGYEAFWKRLVTVLLGFVAAFIVQIFPRPPSATRHICKTLSNTIRTLSDHYAL LLSHWGRPETNSPIGAVAEKIAVEVAETLLSIQGSIGLLKFEMTFGPFHREALANMHV LCQDMNQALGRLLILSTSLPEHLQERFAQNVSLLNDHHIGDIMAVLSVIEQALKSGLP LPERLPTPLVKRCFEQWYAQHRAAELSTTLVRDENYRRYCVAISSYLKFLSAIDDMVL VLKGTLGESHLIERWQGEVPV AOR_1_814114 MTSQTLPGSPFTKGAKATPQHGKAFKSSSDVIGGEQRQQVMPGK RRASGSSGSPIPQEGNDMNAQLGGIAASPAQSASGSVPIGKTAMNEPRRDAQGNVEQF KPSGGQAREELHKTASSAPGDLPTSLSALEGLQVSDGGHILDQNGQTVGQVVEGDPED LVGMTIGSDGEILDEDGDLVGRVDLLSKPGDAVEEKAADAGEAPSLGAARVNISFKIG EDGRVMNDDEQPVAKVVDGDPRQLVGKTPNEQGQIYDEKGELLGRVEPLSTEEATGAI DEKLEGTKEQAGDTAHMADDTAAEGEAAIDEKLEGTKEQAGDTAHMADETAAEGEAAI DKAGATATEELPDVSTLEGLTCNKFGNIVNADGVPVGELIEGDPRILCRGGFQLDDQG QFWNNRGKVIGKARPVPVEESPPGPFADLDDLFVVEDGWVQDANGRRVGKIVDGDPKK LIGRAVDDDGDVVDKRGNLLGHAEPWEEPDEPEPEKPDLSMLEGYRSNKYGNIMGSSG VPIARVVEGDLKAVAGRPVDREGNIWGDTGEVIGRVEIIPENERETRGPFSGFRDLQV NQDGFIDDADSVIVGRVKDGDLSNLRGLTVDEQGNIIDEYGDVKGHAERYDPPEEQVE EEDLSSLEGKTVNKLGNIVDTHGTVFGRVASGYIKHLAGKKVDARGQIWSDSGKVIGQ AELIPDNEQERPEGPFFGLEGLVLTKDGMVIDPEQKIVGRLVEGDPQRLAGRAVDEDG ELLDKAGNVIGRAEPWTPEEKQRDVNPMAGHKVNREGEVRDGDGNLIGKLTDGNLQQL IGKEIDDNGYVVDNDGNKIGECTLLENLPEEEEPEQEPELSPEELEKQEKEKQDRDLA KRMCAILQQTLDSVQPICKQIMQNIEKANQTPKDELDEEELVKTVKPLIEEAASMLQE CKGALRALDPTGEVAATAKARDAAHEATPEQYSLANLLKELTQTVVETIDQGRRLIAD MPHAKKQLNPLWALLSEPLFQIIAAVGLLLTGVLGLVSNLLDGLGLGGLVRGLLGSLG IDKVLEGFGLGTLTDALGLGGSK AOR_1_816114 MATATPETKQSKPRYEDKYSQNRSSDTESTFEYESESEPDTQPQ TKTQVAPWRQREQQGRRRPAHDPNATALEPYQKGTPTAGPVGYRRGAGGELGREGKKG IQTKDEEKEDTGLKLRLDLNLDIEVELKASIHGDLTLALL AOR_1_818114 MAESPKTSHIEDTKPDSTTQQSPGRRRPPKLRKQQVSAEESNKQ QSTSPGTAKSPSPDKPQTPPPNQVREKESMEANQEDTESVDAPSSGPEMQPEPQAAAP PPETRVEERPAQNIPRRRRRRQGQQEMVPLGNVGDVGNTVNEAGELVQAAGSKAVNTV TDTAGKVVGGALSGGQSEEKKGKDEQLRLRLDLNLDIEVQLKAKIHGDLTLQLL AOR_1_820114 MSPLSFADKACRLCITAATVDFDNNLLHWCELEEFDTTFISYNY DDRHYIHELNALKQGLGVSESYAVIGFGDAAAFCLEHYLKITNTSKLCALVAYYPSRI PDPECRYASSMRVLVHLADQTVQVLSASHGTDHKRHIVKRRVGAGLGTGDRLDFGYRA YSYPGMSPGFAESDLNNYDPVATEIAWTRTLSVLQSGFQKHLDLEKTWEGIEQEKYFS SDMSTAMTNYTTEETPTVTYIPTLKGASGIQALHQFYKTSFLQGRPPSMRLRLLSRTI GADRVVDELYVAFKHTQEMPWILPGLQPTDRQVEIVLVSIVTLRGGKLYSEHIYWDQA SVLVQVGLIDRNLVPEQAKGITALPIIGREAAKKILLHDQEESTEPETASMVAQVDGL DIH AOR_1_822114 MYSKKNKAVLPYISPDQVSKRNGVDNSEIWLVIDDIVYDCTSFL SGHPGGEAVLRRFAGFDCSWQYHAIHGERRKVFANKSLEKLRVGWTEGVSNPYSKPEW VE AOR_1_824114 MDANPPQEQPPQTRRRRTRLACEACKIRKRKCDGQTPCESCSRY DYRCCYEPPPRKRRLTTVAAGQTFSPPVTLPSPGPVPVPPSTALEPSSRRPTEEKSME ANAGVIFPQLLGLKLSPDHAPSMQHGSGWNLGVRRSPHRSEKSITWILSQAAWQKLFG VYVEKIHPVYGFLDLEVVAGQAGRRWEDPCASNEYDAVLCGVGALGSLFSSRSKASEQ ERHLIDCAKDILETTSTLVVSPGVHDVEGWLLRTMYLRCHSTPHAAWIASCTTMHIVE AAGLHRESTRGSLVYPEINPGGQSENVECRRRLFWIAKLLNTWISFEYGRSQVVIRGA QCPAPAPKPGDPTSDLISLFQLSEKLDPDQTVQVLELEESLVRLEEFEFESDAAILSQ SVLAFTIYRRLQLLNSTTNMTSVVDRVIRLGCRGLAASSRSIDASCPWWHVSNVPFQF TCILLAMDTRKSLVHVKDSLATLKKAADHFATQKARKAYKNIDFLVRLSQKRKEQDAA LLNESVGSSQGKPDGPMAEEQLNGSVSPLEGTGTEDTWSADTLLNPMDPSSLNYSYDW DIFVRDALDFSTTFPRLAEQEY AOR_1_826114 MAPGILVNGFHDSTTPSSTLPTRRRPYPHEGLRFDPKLKPKSYR MTGTSPDSKILFLDVNILDSTGNDPYRGDVLIHGERIVSVGLVPDVEALRHDPKVRVV QGRGRTFMSGLGDAHTHWTWNNIALELLGDIGVEEHTLITARSALCYLDSGYTMCYGA ASAKDRLDCVVRDAINRGTLPGPRLLANGREIAKREGELAAGITAFAEGPLEMREVIR HHAKIGVDQIKLSMSGEAITETVSAEECFFTDEETAACVDEAHRNGIRVCSHARARDS VIQCARHGVDVIYHASYTDEEGMQILEKVKDRVVVAPALNWLYATVYEAEPFGYSMEK AEQVGYRRELETAIKALKEMHKRGITVLPGGDYGFAWCPHGTYARDLEHFVKLLDFTP MESIVAATAGIAKLFMQEDELGKVLPGYYADCILVDGDPLKDIAVLQDHSKLDVIMIN GRIHKAQPTEFLNTSAVPPSLQEKKSYFNFVAFEDELGRSRIGHLDLGDSTIQPLTMA SGSPLASLSQVIELGDEGVVRAAEAPFPLSSVKLLPPLADRDVLCIGENYRKHIKEYR ESGFAAADNKVTDSPQVPTVFTKRSTSITASGTDIYPHPGFTQTMDYEGELGVIIGKA GFSIKEKDAMDYIWGYTIINDLSARERQRDHRQYFMGKSPDTFCPMGPVAVEAAALSG EIRVQTHVNGEKRQDGTTADLIFSIPKLIETVSSGITIQPGDVIATGTPHGVGVGHHP PKFLKPGDLVEVSVTGLGKLSNRIADPGSKNPTIDRVLQKPSSIPTYNLDRTWGGVGL TKVGPDHYINVRELGSQSPDAETIVFIHGLGANLEYYAPLVQAAGLESNYRIILYDLE GHGATPARASSTATLQTFARDLDLLFAAKSITSATLVGWSLGGLIAMFFAEKHPSRVT TLILLGPGPTPFPEPAVEVFTKRAALVREKGMEASGVANAVATAATSSVTKSRPLAIS AVRQSLLSTHPEGYAKGCIALARSRGTVITVENLRMPTLIVAGQEDAISPVKLAQGYR SKIPNSQVELLKDVGHWHVFEDLEGTAEAINRFLGRL AOR_1_828114 MSEHTLDWVRDKAIGWSHTPPRNKIDTHHHCVPSFYAKAVEEQG GDPSGWPTPHWSSLASKLLMKRVGVKTAVLSVTAPGACIMPSRSERSQLARKLNEYSA ELRDKDPESFAFFVSLPNILDTEDALAEIAYGLDTLHADGVTLFTRYGSTNTYLGHAD VEPIWAELNRRGCVVFIHPTHPVDTNPVNSRLPQPSIDYPHETTRTAMDMITNKTRLK YPRCKVILSHAGGALPYIISRVTTPMSKAPDFAVSHRMGITHDQAMESFRSFHFDLAL SASPQVLDMLLKMVPHDHILYGSDFPYAPITAYPAFLEALESYEMDPELRDMINFGNA MKLFPRLSTPRGGSL AOR_1_830114 MGASIHAHISAAANESPITAAFVSIAACLGLIFLIIQEIFKPVG KRRSPQGKKWKLPPGPQGVPIFGSLLYLQKVREDEEHRIHKDLAKYGEMTTLHLGSKT WILLNSKRVVSEIIAKRGSLTNGRSPMPIASGIVSRDGRSLLLPPAQWMEKRRVMHSL LSGTAMKQYGSWQELESTQMLAEYLFQPERWYRHHYRYANSVVHRIALGERLVKSGKE LAELQDVVTHFVGSIGTSLVDWFPELDKLPRMLQPWRKHWEKLGDWNEEVYKSWWIPA REKVENGTAPPSWVRDVLLHPDTKFTGNDQEAMYVALQLLEAGSDTTREALNIFAMAA LCFPDKFQKAREEVDSQCSTTKNFRLPGIDDLGNMPYICAMIKELLRWRPIFSFTPDH VLTSDMEFEGYNFPAGVGFVINEIPVCNECEDPEDFKPERWLDGHETDAAHGLWQFGG GRRICVGYRLAFQGLFINVARLVFCYNYEAAGPYDSKRLNHHKTVEPFPVKVTPRSEQ HTSLILEEGARLGVLEDAKRLI AOR_1_832114 MTHKPAAYRKFSFLFTLLFRILPSAAFRIPGAIVRALLKKLPLG LSIWNGFVGALMDNTPPDELQAILPSTIDTYNAWVSSNGATHAVDVLPADNSTRLLWI GPRKAKNVVLFFHGGGYVMPLSKGHLEWMAHVRKEALNAGIQLSVCILEYDLIPANPY PRQMSQGIFAFEYLIDLGYKPYEIAFGGDSAGGHLSLSLMAHLHHPRPTDHEMKNLVD LHGTVKGCFLVSPLTSFDFTTAAYQKRFNADILSRKVVHKWGDYLVENSPWLEEISAG SGWGMALDVPESWWRNFKAVDRILLTGGYEEVFSDHIQQLGNMLKRQSQGTVTLHMGN ETHDTPLMDFISGRRPSETTNTITSFVISCFKG AOR_1_834114 MPLKAVDEGKRRSRTRSKIALACNSCREKKIRCDGTKPICGPCV RRSYRNDQCVYNPENSRSTSRDEYLHALHQRIKDLEDICSRAGVVVHNPSTPQSGLPL DSTGHGETPPQGASLSSAPRHSEHESMGQNPTPVTSNTRLAPACILPGTQGEHDNSHT RSAEEDATDIYESPLFDEGEGHIAGMGQIILSGAGSEEQRGSTRLQYYGTSSTASLMR FAWQRMPSRPAGTSAETRYSRLQDTSDNYGIDDFLLPPRAFADQLVKHFFDKVFNLYP FFHRPSFEAAYRNLWRAEDEPIIAAPTVLQVGLGSSAESGPKSIVFQCALNLIFALGC QFADIAPEEAEAVANSFFLRAKKFIGLDFLDINTLGVVQTLLITALFLQSSPYPSRCW HSVGNACRVAVVLGLHRSDILATLSPLESEIRRRTWHGCVMMDITLSMTYGRPSMTSH LAPVPRPDGLKTYERQEGGEEPSLMAFYNESIKLYDILDIILADIYQAWSGRLRRDQL QTSNMNLGSLDIVLRVEKELAFFEANLPPFLKWISGPPEGLSCPESNTAISQQRNVLR ARYIHLHLLLYRPIFTQLYSNRNSSCGLEMQRPLYSSMFSKCATACVTTAIDLTHLVQ ETYQTKATDAWWYNGFYVSTAAIVLLMAMSAPSMIDQSTLGKTRDAWKEAISILESMT TFCRSATNTLQFLQAAYHQAVPNGQQQVVVEGDASQIHQTSNSCDLPNSGPTQISTFD WEEFAESMVPGLDDLGFLTEFNFHGALE AOR_1_1662114 MASKSTDVPTYSQIACVGAGLSAVALGATLQRWYGLEDIQFFER HPTSGGTWYINSYPGCGCDVPSALYSFSFAPNPNWTKLMPSNKEIKEYIDDVVDTYNL LPKMSFETEVVRTVWREDANRWLLYLRELKTGREYTHEWQILFAATGQLVEPRPCEIP GASDFRGSIFHSARWDHSVDLNGKNVVVIGNGCTAAQIVPALANSGQVKSLTQIVRTK HWIFPAPNFTYPKVLQWIFRYVPLAMRLHRLHIFLVAENDFRLFPMTKGAARLREKRR KQVEKYMREASPRKYHDLLIPDFDVGCKRRIFDPGYLESLHQDNVLLTDAKTERITEE GIETDKGFIPADVIVLATGFQTNKFIPYMDVVGRNGETVSKHWGRYGGPAAYNCSALN GFPNFFILLGPNAATGHTSAMMAAENSINYALRILKPVLDGDASSVEVTAKAEHDYAY WVQDALSKRVWNAGCVSWYLNDQKWNSMSYPWTQGHYWWRSLFPTWSDWTIKKALKPA KRSSSRVLLLALFCIGILGAI AOR_1_836114 MTMMLPREGLYIDPIVKILRKTILHPIFTLTCLYFVKSSACAQY DKPAQLIAGTSVLLWLNDWLSAKSRNNWVIDDSWDWKKELVVVTGGSGGIGGGVAQRL ATMGARVVVLDIIPLSYEPGNDRIIYYRCDLSDEKEIAAICEKIKSEIGHPTVLVNNA GLSRGQTVVEGSYSDNIITLKTNLLAPFLLSKEFLPSMVRQNHGHIVNVASMSAYIPP PGIADYAASKAGLIAFHECLGQELRAQNALKVRTSLAVLSFTKTPLFRGETNQSHFFM PLLHVDTVVDAIVDTLDSGLSRTIFLPGIFSLLAGLRGAPDWAQNLIRGGTKSLKVEF KGRQKIDPQTGRLVA AOR_1_1664114 MNEEDPQFPSRLSKDIQTWHEESISPQYLTHEERIIEKRLVCRM DLHILPLVILVYLMNYMDRNNYVSARLQGLETDLSLRGDQYEIGLSVMYISYILAQVS SNLLLNYFGRPSLYLGFFVLSWGLVATLTSQCTNFGWYKKDELNLRMAIFYSGSLISG AFGNQIAAGILNGLSGKRGLAAWQWLYVIEGSITMFFGIIVLFFLPEFPQTCRLLTEG EKSVANRQMALDAADADVGEASAMSQLKGLKLAVTDIKTWILAFTYMAITGAADFQSF FPTLTATIGYSHIISLLLVAPPYIFMTFWSYVHGALPDRLESDSGRVIPRRIIQA AOR_1_838114 MTPAFEDLPLAPEGPPGNAWGRFGDQDELGTLNLITPDTIVRAA KEIQTGVRISLDWPLSSSQWDGFRHYAHQKSRRFYNNVTQEQIESSDIIGLHTVVEAG GITGRGVLLDYAQWATTKNLEIQPLSSTPITVESLEAMVKDFQIEIHPGDILLIRSGF TAAYNELNEQERINLAQRPSPDFIGVEATENMLRWLWKYQFGAVAGDAPSFERAPIRG PHADPRYNLHEWVLAGWGCPIGELFDLERLSQHCRATGRYTFFLSSVPLKVPGGVASP PNAIAVF AOR_1_840114 MSRPAEEVLSEHPLKTSLIPLDRGLAEEYIDCFHSHSCATYRYI PRSQSNEFLGKLYSEDESFLQDDASMAVIFLILAVGCIWYPSLHSRDIFEYKTKASRL YEAAQSRLEKTASMFPPSILVMQAHCLKCQFLLAVNIFNCAWLAVGTAVRLGQIVGFH KAKATGSNGLEEFTRRVSIYRLAQITTQVLRKLYGSKKHDKVARDESTSQIEAQLSKW LDERPRFLNPEEQLGQNESEVFYDVPWIFKRQQRTIRAAFYFTSMQLYRGHLLDEFLQ ANFHDRLSLTPAPPVQKCIHAALQMALFAADIKEDVTYNSVFWTTSYFTFCAISILTV YLTLYPEIENRAVIENVLERAIEGHRKLDNSMNKHTQMLLEVEPREEWEDAQGVMDNI EEAPAPDLASEQGELADIVNGDDLDFIMNIGFDASPFNHVDPLQFFVADKLTKPGPQA AOR_1_842114 MAPSVVGNDPIQQKTPCATPDSKRATPPQLQVLGKTKDGRDLKI RSYPKFDTLEEERLYRKQHLAAAFRVFADRGFDEGVAGHISVRDPILTDHFWINPLSA HFSLIKVSDLILVDEDGLVVEGDEPINAPAFAIHSEIHKARPDVHAACHAHSVAGKAF AAFGRELDMITQDALRFYKSHGVYRDFRGVVLDREEGQRIAKALGQGKAAILQNHGLL TVGQSVDEAAFWYMSLDKTCHAQLLADAASAAGYKKIIIDDDEAAYAALQVGGPEKGW LAFQPYYDEQLAKTNGNFLL AOR_1_844114 MVNPSIASNRTHCILHAAKEGKYAVGAYNCYNGDGVMAVIRAAE AKGSTAIIQLFPWTLHFQGPEFVHYVVNSAHAASVPIAVHLDHCIKPEDVELALTLPF DSIMIDGSAMEEAENVAICARNIKRAHELGISIEVEMGRIEGGEDGLPNVDLGTIFTK PEDAKRFMEATGADFLAPSFGNVYGGYGDGGAERSWDLTLLRKIAKTVEQPLVLHGTH PVENELFLKAIDCGVSKINVNRTVRDDYTKFVAENAGKLELTVLKVQAVDIYTKSVQR VMDLFGSSGKA AOR_1_846114 MTDHIGTFPELKGKVALVTGIGQMGDPQMWGNGAATARVLSRNG AKIFGCDLQLESALHTKKRLEAEGGVCEVTTANVTSSEDVKRMVEVCVAKFGRIDILI NNVGRSEPGGPAEMTEKVWDAQTDINLKSVYLSCHEVLPIMEKQGGGAIVNVASIAGI RYIGKPQVAYSAAKSAVIQFTKATAVIYANRNIRLNVVVPGLMHTPLVSYLADKYAGG DLEGFIAKRNKAVPMGRMGDSFDVANCAAFLLSDSARYITGQKIVVDGGITSSTG AOR_1_848114 MHNLMVALTLLSALVAGVFSFGPEKGGLQYERLTRPIQLTQDLA TSQTVNPTAANSWWSSSFVHASDGNDYLIISHVLLQGQDVSTALLRASILDINDTAYY HQVSWIHNGSSRAAQVQNGLPGLATKYFGFVSSDPVNPLDQMRIWCLTERVEFNLTFQ LSAPVILNGGTGTFPFGNEITFEWSMPGGVTDGHFTVNRKFLTIDSASSSTWPEDNVY LGVGYL AOR_1_850114 MVDSQILKAIQNSIEAWKDLAVPSIQKITDIESNKKHYNEVYEN LEWAIKTVAHIADDLEELVKEPVNNEDADQIVTKLEELLSQEKPFFDHYNKYNDNDVL EPWETHIEGHALDRSSYSPGWLDDQFYPENSFHNNVWQRVGQLVYDNMPSCQERIQEV VNNDDVYYGFCSFNP AOR_1_1666114 MAGEESIYIYVPNKIAPVIFAIAFAASLALHFWQCLHYKCFKLM SLHLVCCLMFVIGFALREYGAFHYSFSKPDLYIYIVSTCLIYMSPPLLELANYYVLGR ILYYVPYHSPIHPGRVLTTFGLLSTVVEVLNALGVSYIANPELPESTIKLGYILMKIS LIVQVLVITLFCFLAAMFQRSCYRSGIRSRRVSAPLITLYISTFLIFVRCIYRIVEHF GASKISPSPSRELEGLSPILRHEWFFYVFEASLMLVNTLMWNWWHPRRYLPERSNIYL AQDGETELKGPGWKDQRPFLVTLCDPFGWFDSNKKKERPFWENNGYTLVNSAA AOR_1_854114 MSIDVQRRKSIQTMVLRDGPTDKDPPLASLQSDPYLRAKPVSLT FASQGELQESSIVEPLEDVKLGRRMSPTFSITVGGSGKDASYEQFEWRSSHGKEIKEL AGHTPGWKLVRLSEAVGEAGGSRSHRAMGCSSDGKEIVAGIAHNASWSLSKGFRIAFV GSGLTGVLGERWEIMTLMTAVHLWLIEFQIATKAIPIA AOR_1_856114 MKANFLLVLATVAAGVLAHPEANVENNLAARSGTCHKPSSCSKF WAGKCEQYCAPYKFSHLTSDGCYMLAEKCCCDTTKA AOR_1_858114 MSCYAMHGGKILPNFEADSPCGITNSTNPVVQCCVKGDYCMSHG ICRYTHSGASGYYAGDCTDRSLQDPICLTRCGGLPYSDLTYNLSSGLWACCSYYDNDK ANCTSPSDEMFAAPAPSDLVVIQYLPSTGTPSYHTARATSTSDITSMPSSNSSGQVGM GAAVGIGVGVGGGVFLIATAGVLFYYRRRRSSPSSTTPTSFRRLWVRPESESHQLQPQ RRVELGKSEPRPQELA AOR_1_1668114 MELIKQRGGLASLAHSSEYLKPLILHSLILGVMANTTTPPSQHV PTTSQLDLIAIMRELYGDGIYPILLCPPYLFIDVIKINNLRFQTTSAPITETTRATAD EILEHIEAFSPDDWTGTNPDAREDWLLLGRMYKSSIALYCISSLQSLSILPSSKYYTA MRTVHGNHLYSLLPKITRRTRIRHFTIWPLVVAGMQAVDASPNVRRIVDEQLSELSKI MGCPTPTLAKAIFRRFWTSGHTGWDECFDKAYVFVT AOR_1_860114 MDSPRLWTGLAGLITYLIVISVYRLFSHPLRNIPGPKLAAVTHL YEWYYDLFLGGKYLFEIERMHERYGPIVRINPPEIHINDPKYYDEIYASGTHRRNKDA EFVSFTGLLLSSASTTDHDLHRYRRGLMNNFFSKKSVRGISYFVEEKVHNLMQRFEAF YRCNKVVRLDDAFAAMTSDVITHYCYGKSWDYLDYANLRTDVRKAVRDLTCSVHFNRI FPIFLAVLKKLPLRWLYAIHPGRSVVLDIQKTIYEQSAEAIHGDKYKIGHNDAVDKHK TIYDQLTDPSIPAEERSLQRLQDEGLLLISVGTETTARALTTACFHIASDDQLRTRLR EELRTVLPTPTSSVTWSELEKLPYLTGTVNESLRLGGFLTTRSPRIAPDEPLTYKEYT IPPSTPVSSSSYFGHKNPNIFPEPEKFSPERWISAGRNNDHLFKYITSFSRGSRICAG MNLAFLELYMTLAYFVRRFDVELVDTTVEDMKIVRDMRVGFTHRGEPTVYGRIVRVYE D AOR_1_862114 MAPRRGGGSYSGGSSSSSSSSSSCSGSAFSSQAAQITIAFHALF FLVFCGLFCFASFKLIRSKQKGRALRRWFPLGFSIVFSIVAVILNIVLLALIQCDITS ITVYQLVSLVPGWLSALAYFLLIALIMVPICKRLVQGNRKIAKMVTIVHSIYVVVLGI ILLCHLAIYTHLVDASYRGTLVSGSTLRYHQIKLATAYAVLAVIGMLMAAANMLFALT RGHHLRRGILFPAIILLILSSLGMTVLDLANHIIIDYLQAEYISKGIDAYNRSLEAQN FLGYFFYSVTFLMALLVASSKQLSDNTSTGPVKHLQNQQKYPGVSQAQQPYRAYRPQG QAKMHNGYQ AOR_1_864114 MQIKSSTVLVTLVGSSMAQAANLQQRQFESTDVAATTTDSNSGS TETGSVTLPYLTETSQTGSTTGSEAPTGTGSGTATGSGSTTGSGSPTGSSSSGAESTS DSATPTSGSASPTESGSTTSTSTSTTTEASTTTSRSETSSGTASSTSSSASPSSTDSG ASSTLPQGWATWMLPFVLGAFL AOR_1_866114 MATNTSSFTAIPILDYSLSTSPTTKPQFLAELRNALINVGFFYL IHAPIAPQIQKDVVDKCKDIFDLPLEKKVEIEMVNSKHFLGYSRLGAEITAREQDYRE QFDFATELPAPGPSEPLYRNIRGPNQWPDESAIPGFRKAIEAYLAEISPLSDAFRGLI SEALDLPPTALDPYFDDPQQLKLKLIKYPPPPISSTEAEVQGVGPHKDSEFLTFLLQA SPHSGLEVQNKSGDWISAAPVENSLVVNIGRALEAITGGVCTATTHRVSLAPRNFIDQ AGASLGPRFSIPVFLGMGLDLSAEKITLQIPQHVRDLIQDEKVRSDAEATFNRIFSGR TGEGTLLHRVISHQDVGRRWYPDLLDFALKQYETK AOR_1_868114 MKVALLTLAAGLANAASIAVTPRAFPNAPDKYAPANVSCPSTRP SIRSAAALSTSEKDWLQVRRNETLEPMKDLLGRLNLSSFDASGYIDRHKNNASNIPNV AIAVSGGGYRALTNGAGAIKAFDSRTSNSTARGQLGGLLQSSTYLSGLSGGGWLVGSV YINNFTTIGDLQASDKVWDFKNSILEGPDVKHFQLINTAAYWKDLYDAVKDKRNAGFN TSLTDYWGRALSYQFINATTDDGGPSYTWSSIALGDDFKKGKMPMPILVADGRNPGEI LIGSNSTVYEFNPWEFGSFDPSVYGFAPLEYLGSNFENGELPKGESCVRGFDNAGFVM GTSSSLFNQFILRLNGTDIPNFLKEAIADVLEHLGENDEDIAVYAPNPFYKYRNSTAA YSSTPELDVVDGGEDGQNVPLHPLIQPTRNVDVIFAVDSSADTDHSWPNGSSLIYTYE RSLNTTGIANGTSFPAVPDVNTFLNLGLNKRPTFFGCNSSNTSTPTPLIVYLPNAPYT AESNTSTFQLAYKDQQRDDIILNGYNVVTQGNASADANWPSCVGCAILQRSTERTNTK LPDICNTCFKNYCWDGKTNSTTPAPYEPELLMEASTSGASKDQLNRTAAVIAFAVMFF MTI AOR_1_870114 MDYATLVTLPVELMENLVSFLNLHDRHNFNRKKIQLTEEHLQAF VTVTQKEWLGCYLQHLTVTDVPVGDGQTIAEQRAVTLLQWALTQLRVNTGHGLLESLT LTINTETNRRGCWKSIWEAAAKLFRVTMVGLGRSKLHVKEIDIFSSIDRCSLACGEIA KVLKRVNMSESLKNIKAISLSLSHQQSKRQEKGQMLPPTIGRGNTQAITCLLNMCPKL ESLHLHWYNLDIFSLTQAQKEEQHFFDRIADSCPIGRLKYCTLQGIHTSEQKLHYFLR RPRLRSLTMEQIRLDSGTFQSIFEYLSLNMTKLQYLRLDDFWEDKLLYFDGPGQPNLP SISGPDLPNSITRTGRETRRLIRYCFSMGGSLPGADSRRWMEKHWRLYGPPQGP AOR_1_872114 MARIREVLRLQSLPPSPPLLPFPLRSTHERFTLYMDRHRNRPGA NGPGPNVDRRAAQATVPPYWPPPNPVQPPAYPALPSAYPAQPSVYLAQPSAYSVQPSA YPALPFTYSAVPPVHVANAIFMATPYFM AOR_1_874114 MSHLGSGQHVLLTGANGSVASHILAILLERGYAVTATVRSQQKA DDIIKTHLSWKVSFTYIIHTAPPLNINVKDIQKEMIEPAVMGTTQILESAHRQGGTSL KRFVLLGSAVSVLNSFEDMSREGRPYTEEDWNPVTAEQAIERQGTILGYNLSKT AOR_1_876114 MDSKVARLPLFGGPRAWHSSDWTSTDDRVRGGSSHSHMSCSPAS LVARFHGNLVITTLGGAGFASQRTTGEDRSWDLSGYDGLELHIARGDDKLYTITLKDK TAPKRPDGRLESTLSWEYDFHAHGEKRVFIKWADFKPTYRGKEQVDARPLDLTGVKQI SFMMRSFFGIQEGDFSLDIVSVAAVRYKYYRDDPEEEEEYVMVDEKLETVAETPKSRG WLSWIGECCGLS AOR_1_878114 MDKLASKLGGGHKHGGAGGAQNEDYVDKGLDSLETKYGHGKVDP HNSKVRQTNERITDSARNKFESATGKHVPSKISN AOR_1_1670114 MSNASVKDHANTLLNLLDLERSPQVNARPPKIIFIGHSLGGLVI KQALLNAQEDPKYTSIRTGTYGLVFFGTPHHGTKGVELGKIAAKVAKFVSKGHASNDL LDCLEHNSLFTRQMSSRFCHQLEDYRVISFIEGKEVLLGGSGPASISHLVVDEESAIL GLPGNRETRLKLDADHSQMCKVGTRGAMYKLIKGNIKQIADQLLVTEQGYITQPSPSP RAGPPLPPRHTNSSAPYPPPSITSQAATQRVIGTLYHPFDNDPRSIEAAEYKNNWKWD DARRVEYTIFQEHLRTLGADHHSTLQVGYNLAEIDLESGYLGKAAEWCQWVSNNSQRV LDKRHPLTMRAESLMGEILVSQGKQQEGESVCANVLARQQMTIGEDDIDTLETRRRLA NAYSSVERREEGIATAEKRTESLKRLLGENHIKTYAAVLDTIELIVAKLSSSNEAMAK ARFHTGTEDIVNVVQEASREMNNLLGPHHPLSIRSLRLLGACQIFTSGGLTEPSETLR RALATAEENLGSDNPETIQIVVYMGLMYAKQSNPYSYLVSQQNLELAMPWFRRYLDWA KSRDILSSPDPQAILGMIANMYMGKRDYQQAQNYYEQLVTACQKGNIPVPADVQNMLQ LCRMNTRLMSPYTSSSGFESLLSSFKRL AOR_1_880114 MDITTNELDCDSYVKLDAFTRKTYRDVYPAIDPTRPELSQAGKV IVITGGSRGLGRSSFAASFARANADAIVLLARSSINLAQTEKLIKDINPSTQVSSITL DICDEAKVKDTFDKIKDRFGIPHVLINNAGPQRKSLLKYTAGWRRIVSNFSPSWFSTT MGTGMAGILFHLMPFEHAALQYIAIAFFVLNALLFLTVLGMSILRYTLYPEIWKVMIQ DPVNSLFLATCPMGFATLIELWVFICVPQWGDWAKTTAWGLWIIDAVAAAAVTASLSF ILISQHYVTSLERITALQLLPIAATIVASGVGAEIADILPNAQHAMGTVIVSYVLWGM STPMAMMILVIYYQRLAVHKLPSRESIVSCFLPLGPLGFGGFSILYLGKVVRHLLDES NAIDPFVGHIAYVLGLLLSLLMWSFGLIWLVFALATIYYRSPFPFNMGWWGFTFPLGV YAANTILLGKEMNLMFFKVCGTILSSAMILLWLVVATRTVHGAWHGVLFHAPCLQNLK EKLEDSQDDATNDEA AOR_1_882114 MYLQSSLALVLLRAAVVHGYANPGACSGACNIHDPSLIQNGDGT YYRFSTGNNISFASASSIEGPWTALGSVLPGGSSIDNSGRYDPWAPDVQKVGDLYYLY YAVSSFGTQESAIGLATSETMEEGTWTDKGSIVTSTTGDQYNAIDANLLVDGSANYLT FGSFWQDIFQVTLNGDATSSTSTPVNVAFDPATTHPVEGAYLYKYGDYYYLFYSWGTC CGYDTSRPAEGEEYKIKVCRSSTPTGNFVDASGVACTDGGGTVVLESHDNVYGPGGQG VYTDPNLGPVLYYHYVDTTIGYADSQKLFGWNAIDFSSGWPSV AOR_1_884114 MQSESPTHSNVTSEPPRKKRAKYTQVACNECKRRKLKCSGEPTC SRCARDGVPCIYTPGTYALSNGASSVEEPHDDGVSARFQSVDRKIESLQREMRAMAAR LRELESSSPSNSNANNPTPRPASIVSSQAANTGLQRIMNRPMSPYHVGPTSAEFGLTA RRKPSDDEDEFESTAAPSPVAAPNVDFATDDPLGNLGQTEALRLVTVYENTVGLMYPC VDLDSMRAYIVDFFRDDSRQILSSEEQDWFFARDVEVLKIILAIALLTESHGRSERAA VLAESVEDRFATRVNIPEVDMKELLILTLLSIFHSYRDDEVISWRCIGMACRGSMQLG LHCQETWYRTGGVFPGELQWTWASRLFWCIYVLDRKWSFGTGLPFAIQDTDMDTNLPE PGTATPYLTCMISYARLSGKIWGLVVGWGSRSRAATSDYCSYLDFQVQQWIQSIPQEL RFDPSRQSSPDSVQNDNMMMLQVLLALQANQLRILVYRQNLLSSESIETNVSGASIAV ETAKSTIHMLDYFTRVSDIYFQRPEPFNYFLISALAALFLAVFHAPTRFSNVCRAEFY AAVDMPSGIPTPQPSASLWSVSSPPVTAPVNYGNTDESCDDLTSFFEMAGGLYFDPKT GPPDETLTSDGASAGGEGVDAFHAENEALTRVMAGLL AOR_1_886114 MPRTNFHSTFDCIISWLRRVKNFDNGSELADIIRRGELVSLSDE QAVHLLYLQFQNEFERLKRVDYTLEQGDGDPLKGSLDGKGLTPSQFLFGEDYAEINRT VVNFLSLKWLLEDNHQAFTAHQPSAVQLSIPTFKSFRELARNILGTTDDILALVVSLI LGDVGKDPQLEEDIERKDGRKPNHDEVLARAIQLRRFRKPLGLLTKDKRAEVLLGVRV GAKLNIPQLTQGENVPGSLESILMLQGQPQAFKLKYLEIMLDVSGAGAHVDARGAVRM IEPVCKSFLSAYPVLEQVISKTLSVRDAYNTVLQNRGQLLAEQGFHALSTNNPSDRAF LRLCAMGRVADKHLAELFEKAFTELPQPTQEELITGLNVDGCNGEDAVILYYMPAIFA EALRVTRTASDVKKIQVLQSLMSFMARTYNDTKPVDGHPGVILERDVSGAKDYIRMDG FIDDPTILDQCVPPVATY AOR_1_888114 MGWFPTKLDSEESKWHFDILILLAVIGSSATQKHMPAITASGFG LFPRLLPAPETLLDTSRLYRLPSTQNVDVVGVHSGTVLTELNYFANLLHKIEDIKPFE FRSYEIDYNYDDEEKAEPSKLRIKTKSFLNVITILSIFMSVGLLVFAGVIHDGVALVG VGTMALSTSAASLSAWWSPELPEPPKRSVGTNLPPADVVIRTRGGGFIVVRANEKVVR ELYTGMDSCKYNLPDKARQAFLAMSTLLLMTSVIMFSNSTEKMQIAVGAAYFILNILY WGLALLVEPSDVWDTSRYKRKTPEPTFAKTYTQVLWLAVLETKSIDWVRRANIAPKTE AWDKWLQEACENALKENEDWPAEQRKDEIIAEYLLKLQNQNGVLPQD AOR_1_890114 MASNLTFPGHPSNISQIPSAFEIHDNRFFNIIGSAPKLEALLKN DDVPFAHEASVFIPSTDELFLTSNIFTDPVTNHSTIQISKVKVNALPVTGEVIKTTIP MANGAVNHGDGILFCGQGNQTEPSGLYQMSIDAPYEAELLVSGFYGRQFNSPNDVVVH SDGSIWFTDPIYGSKQGFRPRPQLPNQVYRFDPVTQNVRVVADGFGRPNGIAFSPDEK TVYITDTAYTLGDGTNDPTGPSTIYAFDVSSINGEQFLTNRRVFAMADTGVPDGIKLD MDGNVYAGCGDGINVWSPGGVLLGKILIKDGAANFSFGHSGQMFILNENTLWTAQLSR SVKGALLRI AOR_1_892114 MAHGGSCLWPADDSEFFVVKDLISYLTKVQILTIHGGFDSSHKS AWSVLQHALQHMHAIHSLDLCREMGGLFLRDVVKQIDIPSLQNLCLSGVYPESTSMET SVLLEPKKYRTAGFTSLELTDYGESPEATKQLIAWPKSLVHFYLGSFYDNPFYIDLPM LSEWLAIHKDTLKSIDIGSLSRGVDRRLFNACDFPKLEVLALSKWQLAGWRPHRDEGL TFSPLDADLLLGASLHTFTLDFTVHDQHSESWTDFGEREEHWVTQVARAAITRKAALR KIKIIFTPDYWTGTEEDGYPWDRMDRIHDEISPHGLVLEYNKPALTKEEWLQVLRQES CAQSDTESLDALEMEDSERTYEGGDIREYFPRL AOR_1_894114 MQGSRPGHIHSNRFRTITIAPLSDSSVSESSDSENLSDPSDSGD ASDSPEGAEGYKASSAAEGRSKRTALPLLPEKTKVQPGRLAFRSPNSDSTGGRRQGLP KQVEQANEILAKLRDRRVKTLNRLKSLGKDNKIEGLPDIIRWENKEKRLKEENRDTVS EVEKVLQKALEDRNLGVTTEAKKVIEMVPGNNNTKTTTQANKVSGKAPEDHNGGLTIR AQERSQQLEVLLSGNEDKIQRAWTKIGKKLIKAEKLRKKILHAKAIRDVFARELHLER SIPRKTKVKVSSNSANPYNGKKQPQFSYEAIKPGQFRVLVLHPAKNTSFPLVCTLQAK SLNEDRNVNYAALSYCWGTDLDQQRLLIFPGSKQKALKWKYVARHAKEMPIRKSLYLA LLRLRRKDVPIALWADAVCINQEDQKEKTEQLQQMATIYRMASHVCVWLGEADTEGRS DRAMEFIPKLMDFAFLERLANDKEQAGNWYALAELMRDRWFSRRWVVQEISLARSAAV HCGTKTVQWAEFADAVSIFVATRDKIRELFDFETWKEGPQTLGEVQSFGASILLEATS RLFLRTPSGEILRPVKGIESLVTSLNTFDATDPRDIVYSLVSIASDTRDTSVYVDKKQ GKRPTPSSQLVIDYRLDEIEVYENFTRYCIETSKSLDIICRSWAMPPKKAKGSLPSWI RLLRDSEFGEPEEVYEGRKNGESLIGPVGNTRYNASGGRQFRSVTPNIDDHGSHRQNG SPLDAQQKTTSPEEEAMQGPSTLWVEGFKLAIVDDVAGQELARALKVYQTTYGGR AOR_1_896114 MAPHPLAILSEEETNIAREVVVAAHPNTVLHFREIYLSEPPKEQ LQEFLAIEHAGRLSPTTPRPPRLALCQYDVISGDRIPVYQEAEVDVNTRKRVQHKIIG KEHHAALTLAEFEVLVERCFASPLFQKAMEDFDLPKGFEVVIEPWPYGGRDHSDPNRR FFQALCFATNTTKNNEDANFYSYPLPIIPVMDATTQEIVRIDRPATGGKGEGLHEQTF SRDIIGHCKDSDYVPELLPNGSRKDLKPLNVVQPEGPSFKITNESLVEWQKWRFRVAF NPREGATIHDVWYDGRSVMYRLAISEMTVPYADPRPPYHRKQAFDFGDGGGGNMANNL SIGCDCLGVIKYFDAVITGADGKAQKLPNAICLHEQDNGIGWKHSNWRTGRAVVTRHR ELVVQFIITLANYEYIFAYKFDQAGGITVESRATGILNVVNIDPGKTSDYGNVVSGGV LAQNHQHIFCVRMDPAVDGANNSVVIEESHPVPMNEATNPNGNYYKVTNQTIERATYL DAAPQLNRVVKMVNPNKTNPISQKPVAYKFTPLATQTLLADPNSIQARRAQFAQHHVW VTKYRDAELYAGGRYTLQSQVEVDGVADAVKRGDAVDNTDVVVWSTFGITHNPRVEDW PVMPVEIFQLMIRPSDFFTENPAIDVPSGKNAASRVVQSECCRNSHI AOR_1_898114 MKLLNIITLLSTTSLAVAGGLDTREANNADLATRAATICGSGYE LNKAIPLPKGTDPKQRLGTLYTYIGKDKGCAILDNNVGKAQYMYVGVCDLNGKHCDKD SGAFSQYAGPIYISNFACAPLVAKMGQSSKSLYIDYKDEYGWACE AOR_1_900114 MCVYDIEQSGTQRRTHRILEQRTFASNPNGETTAERRASHPSSQ PSQPLSDGQMSTYIYSSDNGSMAQEVRSVLGLQNTLNTYPFMDARTAEDRWKSCLFLG AFSDKSKVFQFYRQWVYPFNPILVDMGRFELDLFTYLNAYAAVEFESHSKSDKWTIDR SIAHISLLLATLSAGAYYSDLDLAQQSYICHDLARRSFQALRLANFLFRPSLDVVQAL LVLDNMFQNNGQSDAAWALLGTTVRLAQTIGLHTERSIAYLPQYMQLKAKTLWLGIEV TTADRDTQETTFHLNILNHLEYVDQRSLPHLTSLQNCKSMHDNLERLELKMHFYLVVA VLTRPALKQSQIQDQLYGILRERAKASIIDASRAFLDFQALSVVLLRTWSMGAYCPHL DFASVYLGRNTK AOR_1_902114 MHYYLANSISSDYIPLTLRIPVPLRAVHYGVTEPELITYFESTA SKALATLAHDSPSLGRTILRMALTNDSASSAAVREALLAFASRDYLLPTSSNKLLRLF KECCYILAMNSDLGPLLPRLHTLAENITNLHLPDTPHRTTLELFRLSMLIWLNRMAGS TLEPQSTTDARVQRALHILSDLKTCPRQLPLFIIGCEARTDEDRCMILELMNRTEASA SSRSMFIVKALTEAVWKQDDLAGERELGYREKITAIVSVCSLLPTFA AOR_1_904114 MSSYAITGASRGIGWAFLTNLSSNPANTVIALVRNKAGTEERIA KELAGRTNVHVVQADLDNYKTLQFAADETARITGGGLDYLIANAAYVETWDLYDPIGV LGKQVPELEDNLLKNFKTNVIAQIHLFNLFTPLILKGTAKKVIALTSAHSDIELVRTL GMANAPSYAIGKAGLNMAIAKFGAQYASEGVLFLAICPGLVDTGHFEGMSEHQQAAAQ AMFAKFKEYSPRFNGARPADDSVRDILSVIENATVEKNGGDFLSHKGSKTWI AOR_1_906114 MDAETAPVQETYHRQSRGIPYGQNDMPLRPVIYPFAGRIGGNQG LVLDRDDPANAELLKKVPDAAPLMSISEGFDPRGFLSIDHWKFGFIECIGTMLNVFVT AWISIRHSSASQDAQAPSSASGVYSTATFLGPLFGGISNWLFLTLFIFSFSNVSGSHL NPTITMATFFARLISLPRLVIYLASQTLGGALAGFMLRAAYGSRDYTVGGCYMNPQLV PVNEGFLLEFVFTLLLIFLSFGVGLDPRQGRIYGAALSPFLVGLALGLVSWGSAFSRA GYAGASLNPARCFGVYVATSFPGYHWIHWVAPAIASVGHGIAYFIVPPWGRSM AOR_1_908114 MAPAKDMDSFISVNQESYDPDGKDYYLGYREADFKRPFAKYYNP VTPAISDEVQKGLSASPWASSIGHTPWEAKTHMLRSGYTLLENGYTTLPDGTLYIAVR TSIPQITGDAYNWWFGWHLTDTSRYKLWNPIAHQYAWRYPNTMDWSNKSLPERYINTY SFISEFIGNDCSKLTIAFIDPQELGIDKSKFEEQGIEAMVVGRIKMGEHITSGFDNKS FLIHQVRRKPDGERELRSRFWIAGATPQVGHDLAVHCAIEMSRKSCIHSRGKAEYGQL IDMVE AOR_1_910114 MARIPLTDIATSTETEEHIYKRFPSNLVRGLLRTTPEIANGYLD LGKALSGSPLPPKLREMAILRVGVLTHSPYEWMQHIGIAKLVHVGDKEVIAVKSGEYG KLTDQEAAMLQFVDEVVAKPKATDTFDLALANLGEQGLATVTLLVGHYMMTARFLETL NIDLDKNATSWENT AOR_1_912114 MTEVAPNVFCISVTDVNATVLREGSDLTLIDGGWPGDVSTIENV IRSIGNRPEDVRAILLTHAHIDHLGAVQSFHDRLNVPIYSDPIEIRHAARYYLEQAAE ADIMKGPMPQTLGWWERVLKVGADTDITITDVQPVASGQLDVPGRPVAIATHGHTSGH SAFYVPAARTVITGDCLVTGHACSTIEGPQPCPWFFNHSIPEAHSALKVLKSVDADII IPGHGQPLNMPISQAVDIALKVAEESGFDKH AOR_1_1672114 MSLLTLPNELLFCIATLLLPSVQDVDSVAKASKILYAIANPILY HRQIFHQDSAALIWAAQHGKADPCNRLLQEGANPNTHDLQHRTPLSWAARNGHTEVST ILLCAGTIDPNAPDAHLQTPLAWAAGHGQPSPFTVFDSLRPTTTTDAMHSKAGDYLAI VKLLLSAKGIQPDCRTERGETPLMAAAGAGAEDVVEELLRTGKVEANGKDKFGQTPLL AAALNGHLGVVQRLLAVEGVDADARSQCGETPLLAAARTGQARIVKLLLAIPTIEPDQ EPNFGSRALLTAVEAGYTDVVEALLTHEKVDPSLPSKHGITALASAAQLGRTHIVRLL LAKGSDPDRKDRKGMTPLMLAAERGHVEAVELLLSTGRVHTDFDLTKMNRSSIFAPSK LNEDVVRVFDDYKSRYRGGSSLDS AOR_1_914114 MVPIPSHPAILVEAETFDDFGGWTMDSQFDLEMGSPYLLAHGNG VPVADATTVIHTSPGLYYVWVRAKDWVPGHHPGRFSLMVNGKPLDREFGANDKDWSWE YGGAVSLEDKTRLTLHDLTGFCARCDAIFLSQEADAPPPEAGRAWRRALRGLPREPVE IGHFDVVIVGGGIVGSAAALVSARLGDRVALLHNRPVLGGNGSVEIGLRPRGVTGPLI DEISQRHPNGDLYAENLLRAEPTASLFLEHTVYNTTTDAIHSGALSTVDNPTVSGSRI LSVDARDARTGREIRLAATSFIDCSGKCILGLLSGAETLFGQESKSEYGESLAPTVGD DYTHHGNTLFFRTRMADSPISFPKLPWATEVAKDFSNLSGQLVKPGIENGPGPVVAPS NGNADISVRGRMKGPLTHFWEYGQRLDPYTHGEHIRDHLLRAIYGTFSNVKTVSKYAN LELEWVAYVAAQGEFRRYKGDYILTETDIRSHKQFPDAVVKNDGAFCLHYPGDKDAKY DFRLKYWEWDERDKKPYDVPFRCLYSTNISNLMMAGKHISVTHIAGSNTKFMGNGAQH AIATAAAAHLCKRHNTTPRKMQDYLPELKQLCIQMTGLGDTNTKNGGVKSISKL AOR_1_916114 MARIILSRKTTNLLVFILFVVIVASVFKISVPDTSLDPATLYHH ATGHDKNEPDYWEWETTTRFAPIKNQFTASSSADDDHLCDSFPTYVLSRIQVVLKIGA SEPADRVDAQISTVTRCIPNLLIVSDRESELKGHRVHDILATLPESFRFNVTDLEPYE ALRRGDEKAVGSNEGWYLDRFKFLPMVERAHETNPTAQWYVFLESDTYYVWDNLFRLL DQYDPSEPLYFGSPSPGREISQGKPMYFAYGGAGFVLSGGAMKKMVHRHHGSMGECIE PSLSLQYEDIVKGDCCGDSVLGWVLYQKGVKLSGLWPMFNPHPLHSIPFDNAYWCQPV ISMHKTMLSDMKGLIEWENQRDRKKPLLYADLFEYTRMGTFESKPDWDNGDWGGFREP DESPAHMSMEACRTACHEHAECLSYTYDHSGHCILVRTMRLGHSKPPTNEVRLSAGWD VSKMRNWRASHQCEKPMWVKPSITRIF AOR_1_918114 MLSVYEGLLDMIALIIALLSGTPSLASRDTFYPPLNHTTFITNA SLGTYGGIYNAPADATSPIGDVYNYCSMPHPNEDTYSLPPPVANHSVTARLVYLEYLQ RHQRRTPYNLLPGGENQDYNCDNVHPHLYAAPAAQGTLPAKVYGQAYSDPSNPFLTTY VNGSCQYPQLTIGGLLDGYQHGRDLRAVYGDQLGLIPDSPDGKVWFRSSSSALTQGSA GGVLRGIFPEHSGPIPLHQQASGIDTVDRGFSCSARDTLLSSIQSTAEWNEHLSVTEP LRTRLSTMFNATDSWTSTFDHFADNFQARLCNGYELPCRVQDGSDCVTTEQANEVFRA GDWEWNYWWRTNANATQYIQLVEGLFIGEIVRKLEAVQQGKSDLVYSHNFVHDGDIGP ILGALGIRSLRWPGMASNIAIEIWETSEEKLYARVLYSGSAIETIHGTLDWIPLPALI NILKPFIPDDIISMCNSS AOR_1_920114 MERSYLTQECPVEILERILYHVRMTDLWELIDVTPIEESAIRFH SKARLRQLLLWNDFYVFEGDQEWREWRNEDDNYFRQLEEQGNLRRPVVYRHDDPVTHC IALDRDDRLQFMLECFDLLCAAQAEEDVLRQDTGILPSAPNWLMTFVGERAIELPPIS PQAIFEVVRTFPVPLVERAARAGLRLALAAHRTTQEGAWHIAPFRPDAMDLINVLCRE CATSLNSYDANGRTPLFPAVESGKPDVVKLYIDRGVDVGHIAGVAETALHLACRQRSV NLEILQQLLALIDVNSGAGSAQGTPLHTLLMSTWGHTYGRIPWATIANPGGGIHGWKR KRVRPLSAEEEIIMEVACEACSMILGFYPEKEAVNANEQTALKLARALRLKRLSSRIL GAPEKPEQRWDRRLRPRIRR AOR_1_1674114 MRYALYTLALGATSTLAVPVSVTPHNGTLPNVPGRIGTPLSNPP RARAGVQSRTFLPLIEWLGHHGSATSTTSCEACEASGSATSSGAVSVTTSVCEKCENG HGVGVSVSFGTNPSASAGASVSGGVSGSVSGGGSVGANVGGGANAGAGVSGGANAGAT GDAGADVGASGSGDISGGANVGTSGDAGADVGGSGSGDASGSAEVGGDASGDAGAGVS ATGSGDVSGETSAGGDVSGSANTGASGDAGANLGASGSGDISGDANVGGAATIGGDVS GNATLGGGVNAGGVVGASGDAGADAGVSGSGNLSAGGDVSGGANVSGDVSGDASGSAN VGGDADASGNAGADVGASGSGDLSGGVNAGGDLSAGGDVSGGANVGGDVGGSVGGDAS GSANIGGDAGASGTAGADAGGDVSGGANLGGDVGTSGTGDISGSANVGGDVGGNVGGG VSGNAGADVGGGANASGNLGGNLGGNAGVGADAGANLGGNTGANVGGILGGIAGLGGN AGAGVGADAGVGGNADAGVGANVGGNLGGNAGANAGTNVGGILGGSAGLGGNAGAGVG ANLGGSLGASLGGNVGGNVGANTGVGADAGAGEDCDE AOR_1_922114 MATTVGKTITCKAAIAWAAAEPLSVENVEVAPPKAHEVRIKILH TGVCHTDAYTLSGKDPEGAFPVILGHEGAGIVESVGEGVTNVKVGDYVIALYTPECGE CKFCRSGKTNLCGKIRATQGRGVMPDGTTRFKARGKDLLHFMGCSTFSEYTVVADISV VAVTPSCPTDRSCLLGCGITTGYGAATVTANITEGSNVAVFGAGCVGLSIVQGAVKKK AGKIIVVDINDGKEAWAYKFGATHFLNPARLRKTVQDELIDMTDGGCDYTFDCTGNVS VMRAALEACHKGWGESIVIGVAAAGQEITTRPFQLVTGRVWRGCAFGGVKGRSQLPGL VEDYLNGDLKIDEFITHRETLANINTAFEQMKQGDCIRCVVDMVVS AOR_1_924114 MPVSLHPLVDNGITKGDANFPGGNLYCLCPQNKVTVAIKGNVAH NHACGCSKCWKPAGALFSVVGVVPKENLSVAANADKLEILDKAAAIQRYACKECGTHL FGRIEIDHPFKGLDFVHAELSDKKGWQEPQFAGFVSSIIEQGFHPNGMDEVRSKFQSL GLQTYDTLSPPLMDLIATYTGKKNGKLSANL AOR_1_926114 MDQQLTPTQKANLHEVADLMLEIYQTLANMRFLNPAGIIKGPHN IDNVRELYEKLGLDSSITYLYKILPYIDISVAGQTDFFQGGTFADFRLPEDIEQGRDP FYIGPSDDDYEDEDGPYMRPWVTALSMLGNHQSVILYDARRHRIWIIDQESWDTTDPA IRGNADRAVDEDYESGERSVNENSFEHVPSRPAGDVLRDIIRWYRSLDILPGGGENSG REWDGDELPLRELYIKHGWPDGFDGDAFQAERLSYAMSMEDTETQNGDDSM AOR_1_928114 MRFQSLAAAILLSLSITIHATQTFKNTGTTSGWSSINQEHKGTV QQVTNVVYGGSTALKMTQVYDSSYSGRYHSEVVHNDMYKLGDEGFYGFTLRLQESWQF SPAQSYNIAQFIADFGDTGCDDYMPSSMVWLIGDQLFTRVKTGSVCAQKTTTFSNLAT VSAGVWHKIIIQAKWRADGTGYYKMWFDGKKVLEKYNIDTTVDDGRAFQFRVGLYANG WYDDGGMKGSQGTRQVWYDEIVAGTTFADADPDQQ AOR_1_930114 MSRKNRNPLSNPLHYATPQAQVGPPATYSSAQRLLKGYDYVIIG AGAAGSVLASKLSEDPNVSVLLLEAGGDNTGVTESKMPLGFGKLLHTEHDWNYYTVEQ PGLASRRLYWPRGRLIGGSTSINAMMYHHCSKSDFDEWASHYGCQGWSYDDLAPYFKR MERFTPNPNRPRIDLQHRGNAGEWQTGYSWLTEIGEKGFLPACYDVGIPAVEDINTPG GTLGATRFQTFIDSNGQRSSLATAYLTPEVRKRPNLFIACHAHVTKLLFDRLSGDEPT AMGAEFQKQREGELFEVHARREVILSGGAVNTPQLLLLSGIGPRDELEKHGIPVVRAN DAVGKNLKDHLVTTTVMCKAKAGTTLDYLGSPLRAFPSLARWMLLGGGPLTNNVGETA AFIRSWEHHPFPGSSSERNPPKDYTSGSIGPDVEIIGAPTGFIHHGEEPPMDGASVFT LAPISLRPQSKGTITLKSRDPFDHPIIDPKYFSDEEGNDRAVLLAGVRVCLRIMRSPV FQKYLERVPVNDDPWSYWWPYSSSDIDRITDDQLLRWMDEKAFTLYHPVGSARMGTSP ENSVVDVQCRVHGVKRLRVMDASVFPEQISGHPTAPIGAMAYKLSDMIKQDSATAGPP HARL AOR_1_932114 MRTSLSTTVCLGLLLPAAPTLCNPLDAVNSNAESIRHDSAQLQP LKPLNTAHPLAVRSPDWGCAEDNKRCGIMCIPKDQECKPEDNDGCAKDNKRCGLNCIP NDQECNPDDNDWCSKDNKRCGFSCIDKDQECNSENAGWFWDWRRSLEHNPLALAARSP DWGCAEDNKRCGIMCIPKSEECNGETGGWDWWKRSLEARSNAVAVRSPDWGCAEDNKR CGIMCIPRSEECNGETGGWDWWKRSLEDNSHALAVRSPDWGCADDNKRCGIMCIPKTE ECNPNYGCSDNNKRCGIMCIDKDQECNSETGGWDWWKRSLEVKSPALAARSPDGFGCA DDNKRCGWMCISKDEQCCGNQGSCSANEECHKNDDGGYGCCAKGETCTNTNGTWLNGV REVGNDIKDGVKSVVNGGAADLRPGMVAVGTMASLFVVAMML AOR_1_1676114 MSEPISDSDPGVADTDRTTYTIDPRQLDLGLKILDFLADNAVLV RGLVIKVYQLGRTPIVPEVLMLPALDMLWDIFGDYIAQDEPSRLRTVVRIFENSYQQV PGRLAMNVGELCQWISGKNVRWETIGSVLQIATMGLIHTPERDATLIDPQQRNKDEVL AQMLEVIDQLLPLSNALPAVNQLMVCLKYYQMMLASQRFGDSSRWLYSSLGELSSCVY ATGIHQYDIPTGRYPGFIDLWRRRCFAVVYSIDKTIATILGRPPSLTRHYCVLKPPLD IDDDIDTSNYEQSLQMLDSNGWNTDGKRRPSTTVRLRFLLATIREEILELHLGVNYVD IEGKTSNILQNLHSIWDTCPSHMKYSPEMWNEQIPCQDVIALLSIYLDYLHSIFLLHR FAAQGCQSEKPKELLLVAKTILSTVLVINEYRERSREVRSDFSSIFLPYGLPSAELLA TELLKSSNPLLSGAYPGLPRAEIIRELTLYISCLSWVARPGSGNLGFCKKVKARLTSI LDKILDPSYVAEGSSSNDGGTSSDVSWAFPDLLDSDFTINSLLHSDDGSNWDPGFDLF CGPVF AOR_1_1678114 MLLITNPVQVQWESPDDPENPKNWKTSKKWMAVVTVSCFTFISP ASSSMVAPALSTMAKDFNVTNEVESQLMLSVFVLAYAVGPLFLGPLSEIYGRVPVLQL ANLLYLVFNVVGGVAKTKGQMIAFRFLAGLGGSAPLSIGGGVLSDLFTSDERGRAMAI YSLAPLLGPAIGPIAGGFITENTSWSWTFYATSIADGVIQLFGLFFLRETYSPVLLKR KAEKLRKETGDPEYQTESEMSNDQTVLQILGTSLVRPFKLLTTQPIVQFIAVYIAFMY GLMYLVMSTFPTLWTGPYNESIGIGSLNYIALGLGYWGGSQICALLNDRIYRKLKKRT GQGKPEFRVPLLVVAVFFTPVGLFIYGWTAQAHTHWIGPDIGACLFSIGIITAYQCLQ TYIVDAYTRYAASALAAAACLRSLAGFGFPLFAPYMYQALDYGWGNSLLAFFSIGIGA PAPIFLWFYGEKLRKMSTYAAG AOR_1_1680114 MAASFPRPPPTDAERERIRELSRYYCTLDRIPSLPQFDNGQQTT AAEEDVDKDSPRLSSDITLTALSQLAVLRFGCNRAFISIIDDGNQHIIAEATGSISLR DKDRHAPDDAIYLGVRTIDLAWGVCPHTISLFTGQDMSKAVETPNMIANSSRFVVHDF TQEDFFKDRPYVVGWPYFRFYAEVPLLSPSGVVLGSFCVVDNKPRGHFAEEEVNALKE IADAVALHLDKVRISIDHHRAEKLIKGLTNFVKDHGEFDPAEVPLPISRQSTLNTLNS PRDQSSVSLPTPGAGGVGNMEGPIMSGSTASEVELSSLFSGVTSSEQTKTSSFLYNSS QSAAPTPAEETISHQEAAPERNEPPTVSVKRCVKNADQIAQVFTRASVSLRDSLDLDG VLFLDASRCNSGVVLSDDEARSWEPLPTTANPEFLADPFPSPLDLPGVGSLSKIAEKP CEVLGWAQNTPPPIGSDALSITERLLSQLIAAFPQGQFFNLHEWTEEDECELGGGIRR DGGAEANSKPLRDLVRQLQNHLPDAYSVLWSPLWCWRQSRWVAGTLVWSRGSDRALGV YDLPYLRVLGDSIVSELARIDWSTMQQSKSDFISSVSHELRSPLHGILASAEVLEGTP LQPNQLHWINMLKTCGLTLFDTLNHLLDFAKINNLTTEDNGREDRIRASDGSLETTFD LANLIEEVTRVQYVGQRVPKATLPFVDALATPKNGAPYGETTVVVRIEERHAWKVQSL AGAWKRIVMNLLGNSLKFTSAGFVEVSLSKVVKQSDPESIYAHLCVTDTGRGIDRMFL RNKLFSPFAQENTLSEGLGLGFSIVRQLVDAMDGHVNVRSEVGVGTQVDIYIPVRRFA SHYPSSTSMSSAPVKACLVGFEGYPDIKDTPTGILPVEAKRKLAIRSSLAPVLMAQCG WSLSIAESIENARGDVAIIEEEEFAKATCDGQLPRELCERTGINFFIILSGMQPRLND LPPNAIRVSQPFGPAKFQDVLQRTQELYLKSLENPRSPPPPPGKPVITKRSSSELVLP ASPDAPQEVGVALPLRVPPQTPQNANAIHCLVVDDNDINLKILSTFLRKLGCSYETAP DGLVALNKYKDSRRRYDYVLMDISMPVMDGIQSTSHIRRHEKEQNLTPSRIMAVTGLG SAETLQEALAAGVDDYMVKPISLKSLKKVMNLG AOR_1_940114 MEILFDYLEEDSWNGFIDLTPRTPSSSPEACSTNYLPGFVRPIH TTISEAQYEHLLLQGALTLPSFPLQQALLQSFFECVLPSMPIINWQTFINIVSNKDGG QGRISLLLFQAIMFSATAFVNLDHLQKAGYSSREEAHEAFFEKAHLLYQSHYESDPLT NLQALLLMTHRAKATDGKDSRYWIEVAISLALMMGLFRDLPSGYAGHHSQKLHRRIAW TCYTADSLISFRLRCLPLIRSVDFNLSMLTEEDFDFNHIPMESRLLLPGCTFIRNLEV QKSLASICISQAQLCLCIRRVLNVQARCNSTELSSEPTGKTPDSPNKHHSDYLTSIWM SQKALADWKYSLPPICQRPPTVFGFSSDESPIVAVHRNVLHMVYHGVVCVLYQSQIFQ SSASRMQHAARQITEIANELDQMKTLHSLPIIGSTTILIAMIIHLAEVFPVIDWNGYE VVVQTKG AOR_1_942114 MPFNTALTRKLGITVPIIQGGMQWVAVAELASAVSNAGGLGILS ALTHSTPDDLRREIRKCQSMTKKPFGVNLTLLPSLNPPDYAAYARVIAEEGVKIVETA GHNPGPIIAQLKKANILILHKCTTIRHAKSAIKLGVDFLSIDGFECAGHVGEHDLTSF ILLGRARQELTVPFIASGGFAEGRGLAAALALGAEGINMGTRFLCTAESPIHQKIKEA IVHAQETDTTLVMRRWRNTSRYFANTVTEPVLKIEKESPSGEFSEIAPFVNGQRGRQV FLNGDIHHGVWTVGQAIGLIQDIPTCKELVRRIERDSVLAIEGVHKLYHDRPLQSKL AOR_1_944114 MEPSQAVDQSPYARPSFKDMEGKPKHSIKTAEEDSIQIVNSDAP LHTWGALGMNFTITAAPISIGSFLALAIGLVEPSFFFYGFLFTGLGQLILCLAAAEIA SSRPHPPWHHFLVYVGVCINALVVNLPKVMDWSLSASLVFINGATLFVLITLPVRANP KQSATAVFVSVANNSGWGSAAVVFFLNVLPGLASLGTCDAATHMSEELELPTRDVPWV MVRSALLSYLVAIPTMTIFLFCIVNPEAMFSPVGGQPLIQLVSDGHASRMLTAIPSAL IVVGFAIGSWEALISWSRLYWSFSRTNGFPFSNFTERTTDGVPVNALILGTALTIVIG AIQLGSTTALNAVLGGASLCSGFSWIIVLSFRVWRGNDALDPQRWLNLGKWGRSLSGF AILWNMWMITWASFPLLLPVTLSSMNWSSLVTVGVVVISFVYYILFYRYRSDEME AOR_1_1682114 MRFFLAISALLAVATAAPASTAQNLQDVQNNAAAFTEAKKAAGC DWLACVSSLAGESAACAAAAAELGANPIADAACIASVGTSTASCKKCG AOR_1_948114 MKAGLKADGRRYNKEIIDFFQMEDEKLQRFINTQSDLINDTLKP KNHLLELLLEDRKKKEIQITTLQQRLRAMEAPRLRPRALSSTAVVSVTQLFALLAQPT SQMARNFSYEVTFGHSNNHLEAILSADNTFDPQAQGQAQ AOR_1_950114 MASKSRIHVNIARLREIESRKQEHLKGDDWQEPVLKIDDKSIMG YAGWYFDNYPEQCWNGRQIRNAFQIASSLAHYDMNKTSLDVWNDDKSNEGRSDRSAAY QILNWIQFDKVAGMINEFDVYLQETTVMTDVDAA AOR_1_952114 MSTPVDKPPPGIRMILLFEKYVPFIAIILFIVLVCLSGAFLGKV YSRPEVFGPPYSPYIKPGTVTPLIIACISAVLFTLFIFMPQSTLWRLACVVARFILAA GLVASAVLQSRYLPLPLGSCENYREWRDPASISEGIPTLFEVLPTTRGKKKFGGRFTN RPCDALVTIWRFEIALAVLSIVLSLCVCLLVAHTVISNNPATSPPAAAQWLTNPFKFG KDYVRRLWSKSRRDKERQEAEANLLPTNPEALEYIDHEMGITTRPI AOR_1_954114 MIVSQGLRRNSINGNLLAQAKAEAIKSAQEHIHAYMEVVLYQRD FKRLTELETKFGKDQKLTEEAEVRLYDRYITVRTSILIQMRNVAWAYKFAVLDNEPIQ IDPLKNVQDYRKDLSDLVMKLQRFKGHCLLVYLIGLPRFNPTDISNYRPRTFTFIPKS PKTHNHSPAGPFYDGSHFRINKLEISTTGVYNDIRKLDIFTFVGHVFSREYSYNIVEG KPVMDTAFTINTNEYSAPPPFTTWTVEITNPGSLDLSGLTSMNLQWHGQKYNEEFLG AOR_1_956114 MEVAAEPELQYVGDPLPLSAGFLGAEVPLSSVHNVLGNSQLDFN SLDFSHFETKDLSLKWHLDALSSTDNLSDDCAPSLITEDHSISTVPETTCSQEDRLCQ NPQECCISLATGVLRTMHAGSSSCILGMRGQDGSRQAQLSPAADEILSMNQSALKAVR SILKCSCYGSPQLLLIVTVLCSDIIAWYWNIMEIYSHRHNSTADSAVLPTNVSQAETR KREFFIGNHRLSKDVETALIRQVLSGMLRELQVVLGDMACHAGQSSAINEPSSGLMLS DVRARMVAFLHTQLRALTSALNHSDSNLRTVVPPILHN AOR_1_958114 MYWAILHILAVALPTTAFATNHACKVVESKIPGRISYPGTTTYN SSIASYYGDQERALSPNCILRPTTTAEVSGFVKLMTSNNSTSKFAVRGGGHTFWTGAA NIESGITVDLRLINQVELSEDKTIARIGGGAVWDIAYSQLVPYNLTVMGGRIPGIGVG GFATGGGITFASRDHGFSCDNIHGYEVVLGSGEVVYVDQRSHPDLWLALKGGSNNFGI VTRFDVATIPQGKMWYSMLNYNYTNATLWAHAKAFSDFMKPENHDGAAMMGMFLDYVD GKLLLSDAMWYTREVEEPAVYDAFTEIPNLGGVAELNTTDNVVANFGENIPSKVDRGF QLTFSFNNPEPSVYMQLFKIWEKGLSKIAKVEGIFVEFLVQPHPVTNGTNMFGLTPGK TDDVMVDMTAAYTNTADDALVKAVITDIVNQQRALLKAHGHLIDFIYLNYADISQKVL QSWGADNVAKLRAVSKRYDPKGVFQKQVPGGYKIPM AOR_1_960114 METYNPQIPARKLKDSCDVCSASKLRCDKQKPTCSRCANLNRPC TYSPARRGGRPHRVRRKASESQSQDQIRAQSPQQCFKAPETNTSNSHLVEPTGIPSQG DNQVGCDNGWFPGTHTMSHYQDSQPQSAAMSSSSSSCNVMSMGLDADTAETDCTKVAL SIVEQLEMSKGRPRTAAPTYGSSGLTATEACQRLLTILMCPCSEQADVALLVASGCIS LMDVVHWAAGADSDPGSVNYDGPYTNDGMSISQGSCNEDVLMWSRPQSSSRSLTGDGQ SQVGDLSKIARLILQFTDRYCQDNKGGARWEHTTWVVAPVAALLRCKLQSVTQGVARR MVL AOR_1_962114 MATPPSYTKTTHTATYAGINPTQPGLSTAGKVVLITGASGGIGR ATASSFAASGPRALILLGRRADALAETATIVRTSHAEVTIQTHEAELCDAASVRNAMN KVAAEFGGIDILVHCAGVLAPVVPLLEADPATFLDGYKTTVVGTLVTAQAVVLANRTV SASEDKPVTFINLTTAGILFPPFPGMGAYVSSKMAAVKLLQSFATENPQVRLHNVHPG LLRTAMSAKLAETIQLPYAYDDITLPADFLVWIASPEAEFLKDKIVFAAWDVDELKAR QKEIVGGPPGTGELWLGYQGFPRFIAGQPLSGTQ AOR_1_1684114 MEEADYVIVGGGTAALVVACRLSENPETRIVVLERGEDTSSDAR VQDPLVYESLMGSEMDWNLKGAPQAGLNGREFNQAAGKALGGSSVIDGCIFLPPAAAA FNAWESLGNPGWNWETLAPCFQRAYTLHPRTGGPQSNTAGPIQVSYPVPTERADTTLL DAWKQAFEEHGYGYADELVSEGATIGTRPYTATIDPVSGHRSSAANGYGAIIASRANV RIVTGASIEAQGTVLFKPTKEVIMAAGVFNNPQILELSGIGDPARLQTLGISPLVHLP GVGENLTNHAMSVLSAPVKAHADIQDIAPGMKANAFIHLAPMDMQEILDRAREAPDHA AIAGILNGPNEASACIHFAIYPGNLAIIGIFPSFPFSRGSVHIQSADPASSPQIDPKY LNHPSDLDSMVRHVQHLQEIFHSARLQPFVDAPPPQDREALAQLVREAMAIPTAHACG TTAMLPRERGGVVASDLKVYGVSNVRVVDASVFPVISQANPISTVYTVAERAADLIRA N AOR_1_1686114 MTNVPEKCQVLVIGGGPAGSYSASALAREGIDVVLLEAEKFPRY HIGESMLPSMRHFLKFIDAYDKWDAHGFNVKNGGAFRLNWSRPETYTDFIAAGGPGGY AWNVIRSEADELLFKHAAECGVKTFDDTKVASIEFAPSEDANPLGRPVSATWTRKDGT SGTLAMDYIVDASGRNGLISTKYLKNRTFNKGLRNVASWGYWKGGGVHGVGTHKEGAP YFEALKDASGWVWFIPLHNGTHSVGVVQNQEMATEKKRKMAEPSSKGFYLESLEFVPG IKDLLSNAELISEVKSASDWSYSASNYAFPGVRIAGDAGSFIDPFFSSGVHLALSGGL SAATTIAAAIRGDCDETVAASWHDKKTSESYTRFLLVVSSALKQIRSQDEPVISDFDE QSFERAFDLFRPIIQGQADADAKGKLTQAEMSKTVEFCFRAFAHVSFEEKEALVQKLK SLGHDADANDEANRKALDELEKHLTPEEQAILKTLKGRRMVRPEDSLNIDNFTLDSID GLAPRLERGNLGLSPAKKAEVKYTTHDALSFLNGEARAAKKTLSNGESQTNGNHLCKD HDQTNGHTAINGHIENNSQGDTNGHTEANGHSQTNGHSNGHAHTNGSHTTNAHDELNG HNNVEVRSVKSCMADLIAAEKISSQTSIEEGTRHRLISSLHQSAEDLETPFDTVVRLV DAGRQTAMVCIGGDLGIFKSLVESKRPLSAEELAKATMADPLLVARIMRYMVASRLVG ETGPDQYVASKKTYVFADPRIEHPIRFFHAFSNPAFHALPEFLKETGYQNEPKGSAFQ KALNTDLEPYPWLKQHPDVLKNFQAAMRLTRDANGVDMMPLDQSVSIGHDGAMFVDIG GNTGHQAAEVLSKYPELAGRVIVQDRGEVIKCAPDIKGIQWMEHDFFQTQPVKGAKYY YLRAILHNWDDKNTVQILSNIVPAMSADSLVAIDEVVVPEENAHVWPAGLDLQMYSLF STTERTASQWDAILDKAGLRAVAVKKYAPVMQSSVIFAAAK AOR_1_968114 MPEGFYSSPFWAGYLETQRSKLPVLAEVDDGLSDRVVRFLGYNP GSMQLQGTNTYLVGTGSSRILIDTGEGSPQWALSVTRYLEDHDISISQVLLTHWHKDH TGGIADLLAHDSNITIYKHMPDHGQLDIVNGQIFQTRDATLRAVLTPGHTVDHMCFVL EEENAMFTGDNVLGHGYSVAEDLEAYTASLRQMASLKCAVGYPGHGDMISNLPQTIAK YIAQRVSREKQIYSVLVRETSSSPSFRNGSSARSARKARSGYTGGVSDSSDSRIDQDI NGTETVQGLSTADIGGLIYGEVSKDSATFDSAVGPLLDQVLYMLLERGEVGCRLVGPD RTTHWFATVQPL AOR_1_1688114 MIENTTITPSESRSPSPSHANVDMDANIPEEFILFSHELPSGEI QDLIRRLHRYSMLPGYPHLARFLQSCALVLRTEVQKLPRPLRDSVPPFHNVVTLASHW DDFKSSSLNGAWDGAFLCIYEIAMLIGHHETLDTSYRRPACLVGISVGLFSAAVVAVS ESLSDLVSYGAESVRTAFAFCVHVQRVSQTLEPTVTEQATSVSWATVVIGVPVEIIRE ELDRFNHQEELQRTGTNTMPLTGVSISHVNNTSVGITGPPTRLKQLFRESEILRSSRH SELPISGGLCHVPNVYDYDDVRSILATAEVWERWGTRATQVPLISPFTGSPYQCSDAY HLIEAICTEALTKPLFFDKVAKGVVTQLSGGQRPDMQSCQILHYRASVMSDTIVADVA EDLSTRDVIRESLVDWAMRDAFDQPQHSPTSPQNAKLAVVGMSCRMPGGADTPERFWE LLVNGVDTHTTVPPDRFDLDAHFDPSGEKENTVGTRFGNFIDNPGYFDAGFFNMSPRE AEQTDPMQRLALVTAYEALEMAGFVPNRTPSSHLSRVGTYYGQASDDYREVNAGQKIG TYGIPGTERGFGNGRINYFFNFQGPSFNIDTACSSGLAAVQAACSALWAGEADTVVAG GLNVITSPDIYCMLSKGHFLSKTGQCKVWDITADGYCRADGVGSVVIKRLDDALADND VILASIVAGATNHSAESISITQPHAAAQKENYRQVMDKAGVSPLDVSFVELHGTGTQV GDAVESESVLDFFAPSDRRLHPDKRLHLGAVKSNIGHGEAAAGIASLIKVLLMYQNNM IPRHIGIQTAMNPVVAKTLANRNAGVLSENTPWLPTSAFKRRYSIVNSFGAHGGNTTL LLEDAPLERMDRNKNHSQQVVPSSEVVCISAKSKASLRANVRALVNYLNNHKETGLRD IAYTTSARRIHHHIRIATSVSSTAQLHSFLQAAADDIDAHAKHVATATKKPVVFAFSG QGCLYHGAAAQLFERAPWFRDQVLQLDRIARRLGFPSILATVAGDAASIGSTRFPKRE STPGSEESHDVVALSDSDTSTTSTTPTVDSPVVTQLALVVIQIALVQYWGLLGIKPNV VIGHSLGEYAALVAAGVLSVADALFLVGKRAELMTAACEPQSHAMLSVRGASVDHIEE LCREDEKHYSYEVSCVNGLTDIVVTGLREDMASLRDMLMGAGLKCVLLDIPFAFHSQQ MSPILDDFERATQYVTFKNPTVPVVSPLLGRCVSEDHVLDGSYLSRATREPVNFVAAL DAAWSDGIVNDKTVWIDIGPHPVCTSFAKNHYAKGATQAFASLRRGDDTLSTFTGTLA ALHCLGHAVAWNEYFDLRENPARLLHLDSYQWNYKNYWIQYEGSWTLDKANAGQRNKD NSSTPVSAFFTSSVQQIISEEYGESMGEMRGLTNLHHPDLRGAADGHKLNGRSVVTGS IWADITLTVGEHLYKQMVPNGGTPPHMDVKNMEVLEAQVLHPEASQGSAPAQYIQIEG VLDMSQKQTTVRLYTASPNGTRNTDKAFATATVCYEDAQTWQEQWQMTSHLVAARANS LWEMTTGDENSPDKSRVSKFSQSVAYQLFANVVDYGPRYRGMQRVAFSEDTLEATADV LLDNDEHGTWHTPPHWIDSAFQLAGFVMNSFGVQGDGKIAGSSRDFFYITPGWRHFRL LERLEPGPSVTYRNFVRMFPVDGEPGTYTGDIYLHRGERLVGVCAGVKFKAVPRALMP VLFPRVNAGKKRNQSVDTHSTKGETKENDTQSQPLPASALQRPKNLTTPTSKVTNSQH EDQAGISPKFNSPAPVATVTQQVQPVQGGQDQSQNSQATACLAIIAEETGLDLDDLKG DAAFAELGVDSLMSLALSAKIRAELGVDVQASIFLQCPTVQDLVTWLSK AOR_1_972114 MLLPIVLMILSWLIQYPYTMLIGTLSIIEGPTSQPSNTITNSLR TTINHLRTQVGNSFYLRGFALVLIHDIARDPILPGLLPMEGNSALKRDIRSLISVALL ANLQVLWVHIVITKPSRKFLFQQLPSLNCWLRVIPIALLEVILRRLAVPITLFLELYL IHALEMIDINQDLDNSQAAGHVYALAAIAPRLVEFWLALPARIIFVRIAASMVPDDDE LIVPLDPQLKVGAPVGMFDVWRRDSTNGSS AOR_1_974114 MADQKDAFQALSSENRGTLITLTSVSLLIVAIIFVAAKFGSAIY FKQRRTAVNTPIWAALILAIIQVVLLQKAVDHGLGRHQDLFSDDDIQTWSKFAYVAHI LLIGAMSLSKMSTILLIWRLTPSKILRRSCAVATGIVVGWSIFAVLGIAFQCEMPGPW LYSPERCAGEGAIFYPISVFNILTEVIIVVLPFFMMRNVQMAWHKRVKILCSFSARSS VVCLGIAHLALISSFSHSTDVSWDIVNWEIIAQTMMLTGVITACVPTLYHIFAGLHSG LTTTQIPDGIGLELPRTKVSGYINQSSSGASQSARGRSMKIGRSMFDGRNTDGVVTEV STGGNLGRDEGDRQSSSSEGAESTRHLTQGNGGVLRTVDVTVSVEKQDHRDRL AOR_1_976114 MQEEIEMKSESVVRSGQAGSRNGGYESATMRQKQQLERYLNFFS SVAFSACLLATWESAGGSLLSGLYNGGPAAIVYGMILSTVGNLTIACSLAELASLHPT AGAQYHWSYFLAPRGRRFISFFQGWVTVFSWSALVCIAPYFIGTQIQGLVVLAHPDYE LVRWRGTLLMWAVAIIPILINIFARRVLGAIEVAAGIMHVIFLPVTIAVFVILAPRNP DSFVWETFVGGLSGWKDSGVVFSIGLLGVITPLAGLDGVIHMAEEVKNAKVVVPRSMI LGTMINGTLAFAYLIAVLYCMGDYTEAVLSPTGYPIITIAYQATGSKAATYVLMAMGM LPGWIALFNGLASVTRLTWAFARDNGLPFSDFFARVDPTYKIPLRALFLVASCMFALS FIQIGSTAAFNAILSLSTLGLYISYLIPLVLLVFKRFTAPQDIPRGTFSLGKWGLPMN LLSILFATYFVIFLPFPSALPVTAENMNYAGPVLGFVMLFACGDWIVRGRHKWEGPTM RPYAREE AOR_1_978114 MEKPTTHYENDDASSPVSGGKYDLECSVQLETKDENPLTEDHRQ YLLERHGTVDLDPMPDMTDADPYNWPTWKKSLNLVMVAFHAMMATFTAAAIQSAFGDI AEDLGVSVHRASYLTSLVIAVLGAAPLIWMPLSNRYGRRPIFLLSLICSLVGNVGCAK SYSYATMGLCRAITGFFISPPAAIGSAVVAETFFKKDRARCMGVWAVMVTVGVPLAPL IFGFVAIRTNGVQFLLYLAFGSESLYIRGDTAKGPDSLLHRFFSFKRIDPTPLKVWDF IQPLAMAARPCVMVPTAVYAMVFLLASIFPSLEIPQLYPEMFGLDTEQVGLQYIAMIV GSIIGDQIGGVISDRWMLYRAKRTNRPVAPEHRLWLSYPGLIMAIVGIIVFLVQLNNA SSHWTITPLLGVAIAAVGNQIITTVNITYAVDCYRSEAASVGVFITFVRQIWGFIGPF WFPEMLASVGFLGSTGILVGLIVAVSVIPTLFLQWKGQTWR AOR_1_980114 MTPDQAFGIGAPRLLLDIAQSPRLARYVEELAPHQFDVQDRPNV PWELALRWAKRLLHQSPILNEEDEVCDWMHALSNGVGGATLGLLLSQLTELKRMQLNV CAQDPITPYVPRAVSRLAARSQKGLLDQALSVLAEVRIECTSYGDEDEYEDEGSSSGS SQDQRDQRPQAVLADDHYQQIIRLLAALARLPGLRTLSVMDYYSQPVWQEGTEDRNTL TLDWDDAMDPAYPLPLAKSELESLIVDRGNISGRALSRIIEGCSNFKQFKYMVFHTPE VFGRRFNGVLCQPHLPDMTVEAVCLALLTHAKLTLRHLHIELMEYGSICGCRHEPCLK PYSPYMSINPHTPPTTNWKWNEFTQLERLTLDIDLFSNLEDGGWLPFAQTLPGSIQDV VILASRCPPEADQRDFENMFRDFKPQEFPSLRSISAWHRNSYSDLGHNGAQHLLTVYS QALDRAGIPPMQTVEYSLGSEYFNERQKAHRRQWQGIQMNEFGKDDYYLYALPEKDLV GKVVFGVYHSKGEIRARDDVYSGVMIGKSWG AOR_1_1690114 MSSSSNTDRCGDDSFGPFVTTLCRGGFDFTVLFEASILTIGPAA CFLLLVPFRLFSYPDNLQKYFPLQGVLAVFLVVVQIALVALSTIGRESLAGAIIELIA ALALVILIDLEHVRSIRPSFLVSSYLFTTLLFDLVRVRTTWLTSDSPAYAACLSSSIA IKLLLLLLENVEKRKWLKPSEKLSSRDLSAQFADSWASSEQSGQNALLLAVISCLRWE IVRVAFPRICVVGFSIAQPFLVGKVVTILEQSDSFSLDKGYGLIAATGIVFTGVAVCT ACYQHLGYRATTMLRGGLMAIVFQHMMNQPLGSIDESSAISLMGTDIEMLAEYFQSTV CEIWANILQLGLATWLLQTQVGAVCIAPIVVVIIFTVASLGMGHAVSSRQKSWLQATE KRINFTTAILGSIQKIKFLGLTEIMGAMINALRDDELRVSKRFRRIQTVRVCMVNFPT IVGQLATFAGYAIVAMVQGSSGLKVSQAITSLSLVNLLVTPLSNLLLAIPDTFASIGC LNRIQNFLKQPNRPEKRQLPQIAGGPSLLSPSASTIELSHLEQHVASPIFGEESDVVL SLNNVHFGWNPSLPDKSRISLILKSSPNGTLVMIVGAVGSGKSTFLRGIAGETPVLEG ELFIKNPDLAFCEQTSWLTNTSIRENIIGKDLLSVFDAQWYHTVVRACGLEPDLKRMP AGDETLVGSKGAKLSGGQKQRIAIARAVYARKHIACFDDVLSGLDNATAQLVFNNVFG PAGLLRQLGCTTFLATHNVHHSPQADLIIVLGDDGQVLEQGSYTQLRSHAGGYIHNLG MQSRQMDELAETVDLGYRQSQSRNITTGSMSPSPTTDGSRQANDLAVYKYYFSSLGGL RVAILLLFLIVNTGIDGFRYVRVNIWSSSSDSASNSRLGYWLGLYAALAVIEASALIF SVFWTWVIIVPAASKNLHSIVLRACMSAPLSFLSNTETGVLVTRFSQDMRLVDMILPR GFISTGFQFFGAFAQVAVVIASLPYLAAALPVLIFVLVLVQRFYLRTSRQLRLLEIEL KSPLYTHFIESLAGVITIRAFSWTHASTSRMLSKLDTAQKPFYLLLSIQRWLSLVLNL IVAALTVLIVGAASALRKHVDPGLLGVALVTMIDLGQVLSELIQNWTLLETSLGAIAR IKDFAESTPSEEKDLGVQVQEPTPEWPRHGEIVFADTSIAYDCSEGAKPVLDGISLHV HAGEKVGLCGKSGSGKSSLALSLLRLNEILSGKILIDGQDISLISRSSIRQHISCLSQ EPFLFPGTIRQNADPLKMLASQDIISALQCVGVWNALLAHHDGDGETVLDAKLNEKAL SQGQKQLFCLARALLKKSKILLLDEPTSSLDTDTDARVQKVIRESFSDCTVIMVAHRI HTLLDFDRVVVLDSGRIIESGHPRELLGRPDGAFAKLLKLES AOR_1_982114 MHEETSPLLGSPQLRASGWTPSFLTYLVICNFLLAGSGAFISLP LIRLIEDNLCRRYIQQGSSLDESLCKTDQIQSELAYLNGSLLLVEAIVGLIVAFPFGV LADRIGRKPIILLSTVGSQLAMAWELAVIALQGTISVKLILAGPLFNVVGGGSTVQVA SLYSIASDLVPETDRAAAFFLMVLASLAGASVGPAISSKLMEIFSPWIPAILGFFTLP IGLSVLIFIPESFPPLKRDGFPENDDQPDSEEQPQCSNSFKSHLAQSLHLLKSSFATL KSTSIIVVLATFLTRMPEHLATSQFFAQYISKRFDWPLAKTGYLLTIRGIIHLVVLSL ALPWLSKLLLRKQRPASKDLTLARFSAALAAVGALGMAASQIRLVLSGLVLQSLGAGL GPLCRSLAISHVAPQDTSKLNTLIGIVETISMLFAGPALAWLFEMGMKLGGLSLGLPY FALAGSFLLCLVGLLFVRAPTEQETDLLGGDLEGDY AOR_1_984114 MSAVLLFCTSKVRAGVINRLMNECAIPDNTFNFFSLVRTPDQEA LDEWNTQTPVQDFDTGFEGKSDAELRRFFQDRLDKHTDTQTTSISDSWLAVLDDKSPS ENAVVLHYTYDKSSWGPGPIPGPAEVMDDVIWWKWRVPFKSAWTFWNAIGSAGADAIE IYSRPEYTSSDGVLQTEIPEKIINGEIEDPHA AOR_1_986114 MQLTKSLLVFALYMFGTQHVLAVPVNPEPDATSVENVALKTGSG DSQSDPIKADLEVKGQSALPFDVDCWAILCKGAPNVLQRVNEKTKNSNRDRSGANKGP FKDPQKWGIKALPPKNPSWSAQDFKSPEEYAFASSLQGGTNAILAPVNLASQNSQGGV LNGFYSANKVAQFDPSKPQQTKGTWFQITKFTGAAGPYCKALGSNDKSVCDKNKNIAG DWGFDPAKWAYQYDEKNNKFNYVGK AOR_1_1692114 MVKNYSPSSFLKISVLQGLLTTISPDIIKKCATDRDCPPAEYCR SGGCSKKPGTFASLKVRNNTTEELAGEDTTAGLPVVNTGDEKAMITKKLMSSGMWQKK ILHNLKERAEGPVHGSGNASQKEDVYVAFAIHVELLDILKAVQYFQSTIVKEISCWGE CLVA AOR_1_1694114 MRKFNELRSKISSKAKSRHPDKSRQGISPDSTHTNQIEQQAPPV VSPTPGDNKRLSSIHEDLWNRAYKELKEDPVKEKYMNSYEQLVSDIFLRQPTNGSARA EPVHEGKSGTDRTPWTEYQLQNIVQKGLERVNASQKRIDSASEVFGVVQNLKPIFNAV LSNTPHTALPWAIVSSTLDILANPVRSTKALYDGVSHVVGRMQWYSKITDNLLQTGSE NGHQKLEEVRKQLETGMLDMYKCMLFYEIKSVCFYHKNQLAVFVRGLFNIDDWDGGLT KLNEQENALRSDLQQYKLEQIVAILDQMATNKKSCDGADDEYRECLKSLGVANPMLRT KDIIARKNEVLKDCYKWVFQTKSYQDFMDWQSEDTPNLLWVQGQAGTGKTMLLAGIME ELNTFSANSVSPTTLHFFFQDKDGQTDQSLIAVKSLLWLLLSQYPYLVQYVHEVQGSQ GNLFDGDYAFTITSDLIKTMLQDDRIDPVILILDALDECEYKARTMLTNFLGSLLQLN SRDNGRVKVLISSRPLEEIKIAVKGIKSTIPRATIEVDNISLEAPITAFIEWNIDRLE ESTEDKTLLPTIRKGLRKRASNTFLWVSLVCQELNHYGLHMWEDILGEIPDGLVELYD NLLAKLEGSKYKRHVQTCKKTLTISILARRPLSLNELALLAEIEESMIRSIVHDCRSF LTIQGDTVYLFHQSVQDYLQVNYNRLQVQRREYIHQSIFELSLKGMESQLERNMYKLP YAGSSFEDNSLPAPDPLRAIRYSCRFWVHHLKHGDSTYDASDMIYSFLRKHFLHWLEA MSLMHMMPDSIEIIEELKPLTTSHTMLHGFLQDAKRFTSKNQHTATTAPLQLYSSGLL FSPQSSIIRREFQKEIPAWITRFPSAETQWDAVLQSLDHPSAVRFTCFSPDGRFLASS SWAGPIAEYSIINICDPNTGALKQRLKITNSMSSLAFSPDSQRLAATCWDNWIRVWDT VTWTEIKVPHQYERSAVGFTSGNELLVSTAGFSTLSIWDIKTSASDPKIKIETHAEIG AVSFSPDAALLAHIRRDRTIKIWNTSTGALEQTLVDQLGSIEDVLVFSFGNDMLVSRS RNALRLWKTANQEPIFTLPHKGSVTAVAFSRDDSLAASGCSDYVIRLWNTRTGHLEQV LEGHVSTPICLSFSVDGQVLASGSEDHTLKLWDLMKGKLDPTQISQQTTSQDLDSPSD QIAVIDLSPDEQQVAAGSWGGVVTLWDVKTGNLQYTLKRTTSCSTLAFTSGSQQVVWG GFEGRIHVCNAKSGVYEGETVKRPALLRLEREPSTRKPEVLFEDGWVVTVSSGQRILW LPPENRPAYWVDWKCIENGNMLICGTDKGHVHFYEFHLEGFLT AOR_1_990114 MKLAIFLSVVLTFFVEVKNAAPLDSLRPTINLDYAQYQGLRLPA GVDQYLGMRYAAPPLAELRFRAPQEPARTSSVQDASAFGPVCVGTGQNVTEKTTEDCL FINVFTPSSATQGSKLPVWVYIQGGAYATNSNANYNGTQVIEESGHEIILVNFNYRVG ALGFLAGQKVQQDGDLNVGLLDQRKALQWVKKYIHLFGGDPNHVVIHGASAGAGSVAY HLAAYGGRNDGLFVGAVAGSPFWPAQRNSSESEAQFNQFIEAVGCSTISCLRSANITA IQKAQATSIDPTDPTRTTPSSWEFGPVVDGAFIQDRLYPLFAQGKFIRVPLIIGGDTN EGSGFAYNATSPADISEFLTSLYPGLSSSQLKSINRAYQGMQPVPLHAEYFPAASAAY GDAVFTCPGIHMSTKMTELYAVGGEKVWSYRYNVQDPENLSKGLGVPHTFETEAIFGP DYGGGLSSSITNINAGIVPIVMNYYISFVKSLDPNSFRAEGAPYWMPWGSGERLKIQT NQTAMEVIPGMQADRCTLWEALAPVMNV AOR_1_992114 MTVQNHSLASSRRKSRKAHFNAGSGERRVIMSAPLSKELREKYN VRSLPIRKDDEVTIVRGGQKGREGKITSVYRLKWVVHVERVVREKSNGQSVPLGIHPS KVVISKLHLDKDREQILERIGKGREAAKAKSA AOR_1_1696114 MVSITTEPQTLCASPDVDVVFVINSDEYHVDHAILALENNKFVF VEKPLALNVRDIKRIKSAERNSNCKVMVGYMRRHAAAFVDGVKEIGGMDQVLYARVRD IIGPNSAFVSQSGTFPKRFTDISPEDIEDKTTRATEFVRQALESECGVPITKSSTTMW RILGGLGSHDLSAMREALGMPNKVLGANLGYPFWNVLFQYPGFAVSYESGIDSVPLFD AHIEIYSQNKSVRVQYDTPYVKGLQTTLHICEKDGDGYRETIIRRTYEDPYTLQLKEL YDWVVHGTPVKTTAEDAELDSHIFQMIMKAGGYNA AOR_1_994114 MTHPRKRAVTACEHSRARKIKCTNERPECRSLLILEKLDQVLRR LPRDPVPWSPNVSTSDGLMRGNDINVDTAPGEKGELLS AOR_1_996114 MKFLQRLLPLAVAFLPMTCALSDPEMIQSVELNSDPKPPSLIYN DPVGGSGGKDFSVIAAPSETIDTLHFWVGDGAGQPKVLRGLQITWSDNQKSTVYGTTT DDYQSFKFAPGEIIKEMQIAKGIRADSISFTTTSRSFFAGGHGGKEVTMNVGSGILVG FQGRAARDIDRLGPIFAQVQPTTLKVGQCMDCSQE AOR_1_998114 MAAATTNFSRFPRFTSLPPELRYLIWRCALPDNIGQVLFPYKKG CWGSRHLSESDEEYTELCNTALEFRHSLLGPVQFDIPLFFVNRESRAFALAWVREMGI EMRFHRDKQSFVFMRQLDLVRDVLYIPFDKVDEFIQEPLDRQFEPDLFARMVDWHPNV KHIAVPEALLQSDSSALREIFDLFYHPKTFFIVVDAQPDWHESNINVHQRWELDSMLG RGFFWNSKHGRFVCETGLSIGDEALYQRIERAIDDLASLFTPSHPMDDFEIRPVFVIR K AOR_1_1000114 MAIEPVIPPPPGLTSNFEHPRDVLHTVNLVTQILCIVVVTVAVT LRIVIKIRLRKKLELEDYTTVVGWVLFVGFCVNMLVLNAYGGGYHGWDVPKNDFVDFQ KLLTSIRAKASYAITLIYVPTVFVVKLALLSVMLRIFAPDRRKVLVIHISLIVLLLYY IPALFIKVFFCKPISAYWYGTGDGGTCIDQQKVIIADSAISIVSDLWILILPVPMLWS LHMSRMKKLRVIGILGAGGIATAFSIWRLVIMVEEAPTTDITWFWIHAVLTANAEAGI GLICACLPALSAYFVSVKNKSSNANSGSYLHSHELDNWKKISNSRKNRTDTNSFQTQQ NDQAHLISTAECAEAPEGSLSSLPSQQNNYSDRHVIHKDVAVSQSYEFVR AOR_1_1002114 MLDNKKAEASMAYDDERTSPHGSVFEEKEVFKKTDTGVNFRKVG WFNAGVIFIKILFATGVLSLPSALYSLGAVGGSISIVAWGCFNTYCFVILGNFRTKHP HCHSIADMAEVVGGTIAKEATGLLFIIAYVLVTGSGIIGVSTALNALSHHAACTVWWS FLATVVIIATASIRKLEHVGWLTYAGFISIYAAVLIVVIGVTTRDRPAAAPQEGPYDL GFVAINNPGFAAGMVASCTIFVSSAGTSAFLPVISEMHNPKDYKKPLYFCMALVTASY LAFSLVVYRWCGKWVASPSLGSAGQTIKMVSYGVALVGLIVSATLYLHVAAKYVFVRI LGNSRHLQANTVVHWGTWIASTVILGALAFILAEAIPIFNYLIALVGSVCFAPLAMSL PGWLWLYDHWHYKNGTMKDKAVFVLHCGLVLLGLFFLVGATYGVVIQIVDAYSSGTIG SAFSCADNSNSS AOR_1_1004114 MANRTNPPESYETLPFKTISISHFPETLPTVTKVVILKLNRPRQ FNAVTAQMIEEIIAAYQHFDTDDRVKAVVVTGSGPAFCAGADLQVGFSTLIDDLRKDP SKIESHRDGGGRVALAIHNCSKPTVMAINGPAAGFGITLTLPAAIRVACASSKISFAF SRRGLAMEACSSFFLPKLVGMSRALHLVTTGATYTASDPLLSNLFSEILPTPEETVAS ALRIAGEIAASTSTVSTKVMRDLMYRAPGSAEEAHLLESKVFLGMLMSRDSTEGMKSF VQKRDPQFRGTMRRDAPVGWPWWVAVDVASKAKL AOR_1_1006114 MVNRRQTRQASRLQDVLSARQYISTGQEGSVESDLVPNDTVVPN AGQEKISSQPAVQDSELPTEKANQDIPSENIPKVRSRLHVRRSAGRPRLDASNGGAVL SEDRRDQIRRAQRTYRLKKEVALEKAKERAADLEYRLNMAASAIADYKAVFSSELKSS HPALPRIQSSTPSSVGSDKDASQLKIMHNDPASKDIPKIDCGCLKDYQRRKHKERVAD SAKKRPRHLSFSDEVEDKSNSCSTQIQQIEQLHSRDTHYTYSYQEKFFCRRLQRYSLE YAFRLFTDARSHPLEVYRVFRLVPCIQDRKQMYPYFRKLVSAGVRDALEIPNLPLYSI GGVGTHYPKKDCLGNPIYPANTRTPKRVLGLFQPLGGMGEVDQEPDHRKFLELCGYGG EWFDCRDVEGYLREQGVDLDASSLFPSIHDQRIRHISQLDGDIHTYQSINDYVSQTHE DTEIATLSGCLKSRMLDIERFLSMLLRGVCILGRAPGFRRTDVEAAFKSAIMIQ AOR_1_1008114 MAILDNWKLIILCILVAAYKNLPFVWFIRFLRALITRLLITPIT HKDLSPECLFLPAIHRTRSPLTECDYNIHKCNSTYFTDLDISRGNLSLLLFSQRLSFR PTPTTAVMILSGVQIVFRREIKPYQAYEVWSRVLSWDEKWIYIVSHFVKRGAFPHRRF LLQPNTPGDRTRPKVGSDQSPEKQVFASAVSRYVFKQGRKTFPPEQMLVECGLLPSKD MDKGLAMWSLIEERRKRDLEAAQLKVGWDAVHNTFDGDATKVLGRYTDLLWR AOR_1_1010114 MANLSITPERLLIAAAVLLPYLLLVKRLRFQRARKIEAKFNGRP LSSMTVKEAHEIFRELRELEFPYTLHSAMKLSLLKTGSIPTMTKLFVATRQLNEKNAS KRAADTEVVLNEVHDREPGSESHLLGIARMNYLHARYRKAGKILDEDMLHTLGSAVVD IVRGVDRNEWRRLTDVERCAIGVFHRALGDAMEIPFTFLPSHETGWKDGIHFAQELYD WTMAYEKAAAQPTDSTRYIGRRLMELAKCNMPAPLKPLIESVVVTKLEEHSRISMGFE KPGILVSIFAKSVLVVRKFILRYLSLPRPDSKAVHVLNDSPDPSTGLYTWNLWIEHPW YIKPTVKHRWGPKALLVRLFGNGAIPSQTDEYKESGYDLRTIGPAAQEKRGQDEMEAI FQSLKGTNYAAGCPFHA AOR_1_1012114 MVAVQHTEEFPSEATPLLNNSPAESDESNVPISFGRGFTIVSAM GLLLFTQATNMSMMTTAQSDIAADLDAFSETTWFTSAYLIAMSSVTPLAGRLSQIFTP RVYVLFSCVLLSIGLFITAAARNLAVFLLGRALTGCGGGGIMVTTIILTLDLTSKKRR GLYIGLINVGMTTGVSTGAVLAGLLTPAFGWRIIFWVQAPLCFIVGPIQYFAIPSSST NDEPLWGRALVRKLAKVDYGGALALSISVFLLLFSLASPEIQLVPLILSLAPFAVFLL IESRFTSEPIIPVEVLGMRSVVLTCLSGLASMMARWSVLFFTPVYAMVVRNWSPASAG LILVPTNAGFGLGGLLVGWLHIRKTGSYYISNIIVFLSFALANLVLAILSTPSSPTIA YLAVTFFNGLAAGALMNYSLSHLLHLTRPDVHYVVSALIAMSRGFAGSFGSAIGGGFF QRELKTSLETGFAAHGLPEQDELIRKLLGSPAMVKSLTGIKRLVAIQSYEQAVKTLLL GSCVLALVATVAQAGTGWRPDRGDGRVRDDLENIVERE AOR_1_1014114 MPRAVRGVLIECDPSVKAIILKYDEERHDYIVEDLDDDRHLVIK ESQLQNLKIRLGRELDEKVMQPEESESDE AOR_1_1016114 MSLFRTMPTAGDFSPLFRLLDDYDTHRQSRGQVSSVRSFAPRFD VRETDDAYHFDGELPGISQKDIDIQFSDPQTLVIKGRSEREYHSPEAGETKETEGESK EVVKKENNKPRFWVSERSVGEFHRTFTFPSRVDQENVKASLKNGILSLVVPKAAAYTG KKITIE AOR_1_1018114 MTTPTLTPKYFNITYPQEYVAHVEINRADKMNSFFEAMWLELHT IFTHLSHSPTVRAIILSGAGPKAFTAGLDVKAASQGLLSSDDSQSDPARKAFHLRRHI TSFQDCISAIERCEKPVIVAMHGFSLGLAVDIATAADVRLCAADTKFAVKEVDIGLAA DIGTLSRLPKVVGNFGWVKEVALSARVFGAEEALRVGFVSQVFGGKEEVLKGALEIAG LIASKSPVAVLGTKDILNWSRDRSVEDGLRYTSVWNSAALQTKDVSAALLSGIQKRKP TFEKL AOR_1_1020114 MRSVLLPLSLLPSVLGATIYLAGDSTMAASGGGSGTDGWGQYVN DVSITVSNKAIGGRSARSYTREGRFDAIADLLQEGDYVVIEFGHNDGGSLSTDNGRTD CPGTGSETCETTYDGQAETVLTFPAYLENAAKTFVDKGAKVLISSQTPNNVWESGEYS YSPSRFVEYAQLAAETAGVDYVDHGAYVAARYEALGADTVNSYYPNDHTHTSPEGAQV VADAFLKAVACSDVALKEVLTSTDFPGDCL AOR_1_1022114 MTYLSRLGNIPIIQTRKALLLLDFQNDFVRPSGALHVPNTAEFL EILPQLASAFRRTGEVIWVRTQFESCRPWIAPDEQEYVVLAPETANKRPRVDPQAPVD EEAFLSSETSKCCRPQTPGAQFPAPILAAIDAESDTLVDKSDYSALQSQGLILSLRTR FVTELYLCGSLCNASVYATALDAVRHGFSVTLIEDCLGFRSFPRYEEAMRRMADIFGA NGITTEELYEELDWQETDAIARKGGNRPVRNATSSGIEGVMDDLDVKPAKPAKPAKPA KPARLEEPEPIERIPPPRGLDVSPGEDPLEDHDDILASVARTRYSRAAEKAQKARVKA RRTQRVDSKPESTSRTESRRSTKSKPSRDIRKPGDAIGEGDSRIVYDLELPANAFEQI RTEVAWQKMYHMSGEVPRLVAVQGQPLSDGSIPIYRHPADESPALQPFTATVDRVRMI VERILRHPLNHVLIQLYRDGQDRISEHSDKTLDIVRGSSICNVSLGAQRVMVLRSKAQ SPDAEEGESSRATQRVPMPHESLFILGEKTNMRWLHGIRPDKRADTEKSMEERAYGGE RISLTFRHIGTFLNPAGDTIWGQGAVSKSQDQANAVIHGDPAETERLIRAFGEENHAI DFNWDAVYGAGFDVVNFVTASANKLVAGEDVVANLRVLVCLSEHGMRYNLETPSSRKD TGPVYVDTDGTEIAGDINILTHLTRRSTELNRPGVEPLGGGDRFAEIDEFLKSWREHQ KEEKEGHLRALDTWERALVGKYYLNGPTFGLDDCALWPVLREIVQARGPFSMKAYRNL AQYYQRVENRGFVRKALEELR AOR_1_1024114 MAARGLPRALRLARVAAPRSVVSAALPRPALAKAAANALPRVTL SSTPVRGVKNISFAGHEETVYEREDWPREKLQEYFKNDTLALIGYGSQGHGQGLNLRD QGLNVIVGVRKDGASWKEAVQDGWVPGKNLFDVNTAIEKGTIVMNLLSDAAQSETWPT IKPLITKGKTLYFSHGFSPVFKELTKVDVPKDVDVILVAPKGSGRTVRTLFREGRGIN SSIAVYQDVTGQAKEKAIAMGVAVGSGYLYETTFEKEVYSDLYGERGCLMGGIHGMFL AQYEVLRERGHSPSEAFNETVEEATQSLYPLIGANGMDWMYAACSTTARRGAIDWSSR FKDNLKPLFNELYDSVRDGTETQRSLDYNSQKDYREKYEKEMQDIRDLEIWRAGKAVR ALRPENQK AOR_1_1026114 MPLYSSYLGAFSVFALATLGESRSSWTLGQGIEVNWQSSSQQLS IVQANKTLLATVPGQNFLSASTGKDQWVGANGNFNYTSVDLNRCQGQNVTRVTHSSRE NSVSGEDVSIQGYLQDCGDQSIGYTMSFWVPKTLPDRVAFDVNVEPGAQASFASMKNQ TIPIFSREQGVGRGDQPITALEDSQSWFSGGNEFTTYTAIPQFISSAARVFYLREEDT AFSEFDFTKPNAVTVRYDALTVGGHFMQADNMLNAITMLTDYVGKMPTLPEWVDNGAI LGIQGGQEKVERIVKEGLKQDCPVAAVWLQDWSGTHSQEAPYGNMQISRLWWNWESDS KLYPTWAEFVQDLREEYGVKTLAYINPFVANVSSKADGYRRNLFLEATKGGYMVQNST TNGTAIISSGKGIQAGILDLTNQKTRAWFADVLRKQVWNANISGVMWDFGEYTPITPD TKLANISSDAYFYHNQYPRDWAIFQRSVAKEMPLFDEMVTFHRSASLGSNRHLNLFWV GDQTTVWGVNDGIKSAVTIMGQMGISGYAQSHSDLGGYTTAFHPPTVANSSGAIGRSA EILGRWGELAAVSSAVFRSHEGNVPEVNAQFYTNSSTYSYYAYNARMFKSLGPYRRQV LNNESKTRGWPLLRMPVLYHPDDSKARHISYQSFYLGADLYVAPVLDPQTTKLNVYLP GTDRNRTYTHVWTGQTYHAGQTVRVDAPYGKPAVFVVNHAHSPELNVFLDFVRKENGT VIRV AOR_1_1698114 MSNWRPGKRVAIAGGGPGAISTALAFLKHGYDVRVFERQPECRA IGGAVLLSTPVLAILRSYGMSLENVGSYTATYFANKWGRERLQLPFNTEVERRIRIKG WQYGVLRSSAFKKMLDLVPDGVVSCNHEVTGYNEHEDCVEVKFKNGSTVTADILIAAD GIRSAVSRQAFGDPKLFHTGIRLWLAWCDHIPDIPPNVGVISHDWQYQTSFFPMLHDG KPGFEWWVVEPGWEGQPLPEDPKAHVSKILEGWAQPMPRLLEATDFDTQVYRWDIYNR PSMKKWSTGRIVGVGDAVHPVSPYAAYGMGMAIEDGYYLAKALNGVDLRDVRAVSAGF ELYEAQRVDYVNHHMEFARFSSSMFHSLPWPLAKLRDFIFDYTPVLKNLLRKQYLQKA EDETMNLKELHPDWTSEESGQVPGSGTTYRIPANVKAELTSVKTYYRDLQIETGTSLA SKLSVSSSMGVSYMGVSVNASAEYEYETTFQTDSYYGRLPAWDEKDPAVRQDWRKFFD VYGTHIIIETFYGNSYKMRVTSTETSSMQRERWKACIEAEFLGIVKSTVEAATEEEKK TYKKSREGSVSMEGGAPQQSARLVEDPANQAKYEEWTDTLIPGVNDNITNIKVKSLIK DALKYFLSLLYVEWEFKCGYGSSDTPIPAFDKAAVSFMLGSDDINTRIIHPNSEYQMK FWYDNPDKPRTLHMEQTQSPGNLGSIHVFIFVETPRRPIKIMVHVSRFYKEPAGYWAR LKSKMTNTEITLYSQDTVF AOR_1_1700114 MEAREKPTPVFLFAHGSTMMLGEESEPARIWEEVGNEALRRGIK RIVMMGAHWETTGDAIEVSMNQNPQKLPMGGVRDDRYIPYKLAPDLEGGQKVLDMLCG AGFDARAAPTFDWIHDTFLVIIRMFPRCVPIPTTLVSMNGRYDPHFHVKLGAALRPLR YENTLIIGSGGSVHNLYRNHWEHMFRFNDNFAQPVPPDPWALQFRQAVEDSITCNTGP ALRRALSRLMKHPRYRDAHGTDDHWMASLFVAGAAGGVDDTGPNTMMGECWELVNMCN TQCQLGSWD AOR_1_1702114 MAVTTRSGLEHQAGNLDDTGLRIVGDYAIHRSPTEQPETQSPVQ MTTVVGDVRREAQPSDFNPTLAPHPPVPYAVSNPEWWQQEYRRVPDYRPVNKDLDSEQ RRQNRLFTAVGTFMIAGCSMIANWSSLWRNTAGRFTARGDHPIGGEW AOR_1_1704114 MAENKFVEVDRESFPYIFMKNVDIPLKTHEKGLLRCNVYLPKDS APYGTQKYPVIATYGPYGKDVPYEVFFKKSWDQVNPDMKSTHASWETPDPGFWTRQGY IVVRTDERGSGQSPGELDTMSRGTQWRVAARQPKGLAAIIPWEGMSDYYRDRVRHGGI LSDRFIDFWWKNAVQPNQYGKPGRASRQWGDDTLEEDLDEQTLFSNRRDQTKDTAAHR FMDEDYYNTRNLDLSSIEVPLLSVANWGGILLHLRGNVIGWMRASSSYKFLRFIVGRH DLPFYYPHSAQLQLSFLDAFLKDNDYDGWRSGQQPRVHLCLRKGDCGVDDPERELKFP SRAEVDWPIPDTQYTKFFLTTKQTLSREPDPSIDTCTYEALTGTPITFLYKTSSSLEI TGHILARLTVSCSRESPNSSPPTDIDIFVTLRKLNADGKEVFYTGTMGDPVPIVKGWL RVSLRKINTEHPFHRHYLPYRDYTSADVQPVKENERYQVDVEVWPTNTVLDPNETLVL EIAGHDTQGVGKYSHEHPDDRDRAVFGGLNHIEVGQESGYLLLPIIPSREALN AOR_1_1034114 MPSTYSRPQATFLLNLSEIIQLYPEEEPWCAGYAPSQRRRCHMP TNARNRKTAMYLLDEGTKDIHAGRDINDLLEDLAPYVLCTRFHKNQAPELAAKWKWQV QRFLASYMVLAPAQRAAREGRQISSLARSRQSVEVEYSHPEKWLTVRIGETEHLRTTR LAPAASQGHLINERVTQTANSPDRPRDRPRELAMSSPAVSTQEISSRTRAVASNSQTR LQVAPAAASNRELSNIPTPAIRATSSHVRPESGTSVALPSTISSRSGDATRRAVEGDC TICFDPLKNARSEAGDGAHHGTDDEEEQRELSWCKAQCGVNYHATCIESWLKASPKST CPTCRSAWRK AOR_1_1706114 MIEPLPTEDIPKQSVSIVGIASRCAPHKLGADELEAIARRHYSS TPSLEKMLEINRKTRIDHRYSVFSSDHEHWHRPTIPSFSECDSLFKEYAHPGFDSVLC RKLGLKCNVRRVLLHGIGCGGGISAMRVAHELLLGSTQQGVPARALIVACEVPTVFAR SELDIMDKTQDVNVAMCLFGDCAAALVLSNGIGHKASEQRPIWNILNCEPTQFDGTED IAHFNVHDKGYHAIIDKRIPQLTGKCVPAGFQSLISSTPSLALEEKNYVPSNYGWAVH PGGYAVLVAAQDALGLTADDLRASYDAYRDGGNTISTTIIRILEKLRDEHKHGSNQKD KLVLAAIGHGITLETAILTRPGSSSYLHA AOR_1_1708114 MTEIVSQGRLEPKVPDIQGSGSETNAEPDAEEERFILSRHAILI FLTLAVLTLMAALDGTSISVALPIIAQRLHGTAIEAFWSGTSFLLCSTVFQPSFASFS HIFGRRPLILVAILFFFTGTTLAGVSQNFTQMLVGRSLQGVGGGGITALTNVIVTDLV PLRERGKYYGILNAMWSLGSVMGPILGGGFAQNVTWRWVFYINFPFIGIGTILVILFL RLRPVPTTFIEKLRQIDYAGIILFTGSLSSFLIPLTWGGVSYDWDSWHTLVPLLIGVI GLVLFVLYEHYVTKVPVIPTSLFSNRTANITFLETVLQGLVLWCQLYYLPLYYEAVKG YSPIITGVALFPGTFTVAPFAILTGALVTYTGHYRWAIWLGWTLSTLGNGLLCYIKPS TTVPAWVFLTMVSGMGLGFLFPSLAYSVQASMDDDNLAMASALFSFFRTLGQAIGVAI GGVVFQNLMHKNLLKYAALASKADAYSQDAAELVQVIKYMPDDEVKANVQVAYTDSLR IGWAMCCGICGLGLFLSLWTKGYSVNRLLRTPQGLEARPVAEDDKQNVHS AOR_1_1710114 MFQSSMSCSWSDRNTKWAGHGWETFMGLSSWEHTQELSIFAIRP DGTGFRKVIGKEGYALGSPSWSADGKCIVYYEMTRETTWDAHSSFDVNSANSSIVSVD FATGTDRVVEVNTSGVKIYPQYLGNTSSIGYLLKGTTYYNTTARSPACWDANWTYRFT DVFPTINTAGRLAITQKQLGDSAVISLNATGTDNETVFDPVARGILSLSSVEQGTSGA FQSSWSSDGNWLTYGVGYWFAGRASNGGWIVCSKADGSEAMNLTTSAIPLSAGGNNTL NTGFPSFLPDGTKIVFRVWGADAEDGDTSQLGLRILHLDQVTANGTYPVTVLTNWWDT LPSFSPDGTKIVFTRRVSGSNYEVCTIAPDGSDLIMYSTGEYGFQSECALYDNTFQPY GQINIMDADGGNKRALTNSLWEDSMPAYVPGEFL AOR_1_1712114 MSIGDNARQAAKALDYQFKSDTRLIEALTAPGVEGEGSQGNRWL GDFGIDVIRSCLSFYAYEEKIRPNKIASLKQKLCSYDHLMSVAQRITLDRVIIYNPRS GKGRPIVVGKALAAIIAAAHLDCGRSYQTTWEILEHIGFFDPERNGVDPAQLESRVDD NPCLSITSPPMGNNREVSQTTGSPVGLEWSTAQSISELENTAAPSVSSGFDGVETEND VQIVRQENQKRKALAPSPLEDKNGMTKAKQVRRSTNDQVAAYLVREAIKCKAQNLQTP QESYFTVEVESRLLKACNNRSVEVAKKLLLCIGSSQSILSLQDAIQSWRTGTYIRFLQ MPHCSSKADTFDIISKMSQGIACLYLFRRYHIVRLFEKCGGFETPSVSRFVAVPVNNS AVLKRPGNPLKNAETELNIAMMKEVLPHLKPGTSEYEEEYNCVNNLRLLARRYRTLQA RFGQGILALIPNPDQSRHTGLGISESSLLRMPESVFLETVLILERSQGHILRDFSTAA WRIMETLLYQPAQHCSQFQLERTVDYLESQNDDDVPVLSYEWTGESLKSLPQLVKDPK IQAKLKEDVPFTYRRRSKREKPPIRKLIESRLYCGEDVLLSRVDHMRESPEDMEWLKT HVRPRFWRNFVAEVNANNPTGASDIQE AOR_1_1714114 MAPGRRKTTERWSMYQELHDDVSRLLADTDLNFDFHDSDDDINC TKMRNTNIMGHFTCYNSGCRFKGWASRKIAITIRLYPGQKYNARVYHQRCKFCNSLSQ PVLDESYAERVTYWIKQWNGVQVEKPPISGVSKGPHNRQLCEGCKAGRCTESGDDWLM QLQRLTI AOR_1_1044114 MPPYQYKKEEFYAVYRGRVDRPTIYSSWSQVHPRVIGFKDADQQ KFDTLQDARKAMTSKGFTDFAEVIVQINESKPWPFGHGKFYAVAGGRTTEVFTDWENA KKSINGTNACQERFRTQQEAEQFIEAWKDAYADVWRREIRQALDKGWKPKDMKFDAGL FLDRGTNNGTTKEDTEEKKEQSSRGADDDHELSKLEGLAIKEESQ AOR_1_1046114 MPRILGQRSRFLQQWRPLTDYERWLQEHNENYQVGEDAEYHPSI PGVHGEASVFHRVIDDSPRQRAGDAHPSHSDVTLPQSHKPHGEFLNQLGAGTPSKLRD ALTDGFRGHCPYQLALFFVVVIVFLHIVKGLQKQRLDANAPPAPRPKQYVNLQIDEKL LV AOR_1_1048114 MPGFLGWKSSSRVGRFVLLVTGTVLALALIQGVALISQPITVHV NTPSINHESLQSSPSTTPIDTTSPIVTEPAEVSQKPNYAFATIITGEGDTETEVKDAY FTATRLLTYQLLYSPQTKSRSGHIPFLVLVTKEVPQEQRDILTKEGATVIPAETLERE WIHPKWSRWIDVLAKLNLWRLTEFEKIAFMDADSIILHPLDDIFTDPTTDIQQTVPPR EGVDDNMNITVPLPETYLLSGIHDRWVEMALPPVPGKEFYVANNYMNAGFFVCSPSEL LFNYYVSLLDTPDRFDASYPEQNLLNFAHKTDSRMPWRELGPGWNHKPIAVSKDDLEN FKSLHQKWWRPIADKDAAEYIRNTVQEMEDFFQHRTI AOR_1_1050114 MSEGYALRREKSCMDYETDCGTTWNDFVACCPSDSYCPGGESTT VCRKSHVGSVPEQCANGTWNLFHANDFFCCDQGELAIKTVNTGNRDTDGYVGCVMSDY ASVDWLSTLTVMRSGTVSSTPTSSATLSSFTSVSTTSSISTTHTAEPTPSNSSSPSTP SSSTNTGAIAGGVVGGVAGAAILAALVWFLARRSKAEKVKSPTSAQDAWDMRTARDSS KFQQYPVQKLDAGLGPTPPPVYELPDATSNRQ AOR_1_1052114 MAESVTFSGFGAPLDKRGKSLQHSGYLLHGAKVTSPHIHEEQDT VVTANDPGHSSPILPPSDTFLAPILDAPSSGLSKTPKDNPAAMSVEAPVDSSQVLWDQ SAPNFGDLTHIIDNMTVASQLAHDQPNLPLSFSLFDEMVPQPSSIHWQYPSTTPSHEA TGFRQVPHLFEEFSSAFPSFEILPSMQTYQEPWKITQQLWDRLFAQVQFFSPLLPPEF VLQSRHTLTRYLGTYFSGFHRHLPFLHIPTFSLERCPVELILAMAVIGAQSNFEYDNA RILFEASYVIVQERLRNRKAELCYRSFPIDDEASAVLQPGCQPWMESSFSVLEVPSDS TAGQAGIPQFHPLPAAQTLLLLMAMATWGNSKAIYNKAFGLQNTIVNLVRKEEFLKVQ TQTPEGVVNDTPPPIRTSELIINLPSREADWAAQTEKEWQEIRGRSEPEPEFQSYFSN LFLERKEDNEIVKGYSSLGGYTLILALIQHIYFLRELSKYKPGSEQSLSPADAANVEQ ALRNWESGWYTDPESSLSPGNPQGPISFNSTALLRMAYIRLVVDVGPWRALNTHNPYE IAMSMHQSPPLTPSTKLSHAVLYAAHALSIPVKMGVSIVTRSQAFTWSLQHSLCALEC AFVVSKWLLAMQNRTSEVPVDKEETTLVVYLTDIVTEADPAMGSEATIAKTGKVFSAT VIGDFEDKLPEKDATAEFDKLMLDKFIALDEDKCQFVYQLINAMGATNVVEAGTSFGV STIYLALAVAKTKAATGKPGVVIATEKEPEKAKIARVYWKQCGPAVENEIDFREGDLL ETLKENLPEVDLLLLDIWSKLALPTLKTVQPHLRHGAVVLTDNTISGAQGYADLLAYL REPGNGFRNMTLPFTNGLEMSVYLPENK AOR_1_1054114 MATHLNFVTLDVFTTTPFKGNPLGVVHLPPGAPLSQAQKQAIAR EFNLSESVFIHDVDPSNDPDPHTRRIDIFTTTEELPFAGHPTIGTASYLQAQGINKLI TKAGPIPIRSDAGEGLVSAAIPHDTHLNSKVLGHIESTLRADRLHSTPEIRSAELQAP IFSIVKGMTFALIPLPSLDLLSQVLPGAFPCAMRDLVDVEWSETFIGRYYYVISGTSV SDSGVRTVQLRTRMVEDQIEDPATGSAACALTSYLALQKYSETRIQFQVTQGVEMGRQ SDIAVEVKVDVGEDGVRRVKEVQLGGKARQIMSGGILVPSV AOR_1_1056114 MQRIVVIGTGFAGMWSALAAMRLIDLHGGKATGIEVTVVSPEPT LVIRPRLYESGAKNMSASLEDLFRVTGIHFAKGTVETIYVPQRLVKIVDQAGVCSTIS YDRLVLAAGSRLRRPNIPGLRGHSFSIDQLGEAADFEAHLHSLASLPPSPARNTVVVG GGGFTGVEIAAELPERLRSILGQEAEVRVVIVEQATEIGPELGANPRPVITQALAEQG VETKLGAGVMSVDDKGVVTTSGERIESLTVLWTAGLEATPLTQQIPGAKDKCGRLHVD VDLRVPSAKDIFVAGDAALAETDGNGHYAMMSCQHAMPLGRFAGHNAAADLLNVPTKP YSQPTYGTCLDLGPWGAVVTEGWDHTSYIKNPPSAYLEPAVDIWAELNKVYDNIKSDK YAGEYEFQADLFKAFNLAHDGHFRFFPDLLTKVLTFHRNVGLVSVSLDGKETPQIYVH TDIVERVENGLDPSPVKSINGEDAVKYLEYWSQLGSLNDPDALYNSLFFSKPFAASTP GFDGYFSGSARYGYIYPGNTTVIEFANGTSRSYRTTAQIKADLTGVTDGQSLYQKFCT GPSSTESAASTTSSPAATATATPAPGYPDPEVISSDNVISGYFLDSDKNSEVAVLSML SFEPSTPAEFQAVLEKFLRHAKAAGKTKLVIDLSGNGGGYILQGYDTFRQLFPSIVQD GYTRFRYTEALAAMAEQFNAVLPEDFNPDSATDDEIQMFESPPNYRYDLNLENKHFTS LEEKFGPREYNGDQYTSIIRWDLDDPLTTVNQTYGMGMEITGYGTRRNFTQPFAAEDI VMLYDGYCASTCTLFSEFMRLQGGVKSIAIGGRPSSDPMQGIGGIKGSNNFAFSYIYS LAQLAIDSAQPGQEHKANWTALTELSELPTNRSTDTSINVRDNILPENLDDGLPSQFV YEKADCRLFYEPEMIVDVTAMWEAAADAAWGNKECVNGHLGLKKRTATRARRSRIFPP RKMTVNVPANPVEDRSPWWTQKHGTKVPL AOR_1_1058114 MDDYYSSPPAGFTLRRNGSCAANEKECDNPWGRWYDCCPEGTYC SSERSDNDRNVCCRTKSGCKALIEQDPHCANNETWDLYINNQDYFCCLQGKRGFVQTF SEGGAGIACADPGSGELDNPSQSLLNLVASGTPSASASPTLSTSAIPTETNTYPPAAT ESKSDTSSNNAGAIAGGVVGGCAGVALIIALVWFLLRRRKQVTPVISPNAGTPATELK GVSVAELDNNPVRSELSGGPKTMAHELPVDMR AOR_1_1716114 MEQSEKLLSNEIRLLILLPGIWSDPIRCKWRVVSLNGKPEYKAL SYVWGRSKHSQPIYLNGSPVYITRHLRRALRQLRSDSEAVCLWIDAVCINQSDDEEKT EQVKMMGKIYAQSQEVVVYLGDAFAPSFSQSFNHPSDTSDAIAHTIAPHECYSTAALD EKDTGLVWRRQMSYKDGSYLFCFIQLLADGVDMNSFMAGKGQGSLDEVMEALRLFLSA VKWWKRVWVIQEVVIATKIMILYGSMIAPWEMFVKAANRVLGNSQMQISSLAVNDTKV LLEFSRRILSIESIRARWQSPEQITLLQLLRQFSGREATDPRDKVYALLGLAKDKPSV EPNYAASERDVFVGTALDIISRSKSLGVLTGDFTMKNNHALPSWVPDWSSPFEASIQS RVESVKHYTACKNSTIYVQLEESEEVSSAYQDCYIGPLRYREIIEKCYGPWGGHMCLR VHEQGRISLPALIVDRIMAVGETMWSDAVLTSTISSWLAVIAEYSFLFENMMTRDDFE RTMCADLVFDAVTGQSQRLSPSDRDLIGCWLAREVPDYRERHLLRPYRLMADTADLSA QTLNSNSIRSSIIQATYRRRFFITAHGRIGLGPATMEKGDRVCVLPGGRTPFILRRRR DGRLLVRLEFPAACELLGDCYVRGLMDGEGMSRWERVRSSHSRSNIEVLGKPGIPGSP DVHFGDHKKKCADLQEAGTRWKAQASNWYQEAEAWQDAYSAFWEQLKESESLLRGDQS SRWRIASQDYDIVCQSWARVQLTWKKSLNACRESLFYPTPSWQLFREWHDNMQFLDSA ILAWQSTQTCSQHSLQACEQETRRWMEIEKNLVNRSMENISRRYNFIERLLLGRLKHD WQCFAEECAEFADRSLDHVVPWKLWVDAQKKFWATDGSLRLLFHLAGAKAYISEQDLY TSLTEWRCQLEEWELATKKLKEEGLQNCTEAVEAAQKALWTIYPSEEDRVRLKSLIAE VGREEFAMKHHIDYKLGMESLVVVLV AOR_1_1060114 MDPQSLAQSLTPSKVHAAHALIKPYIHRTPLLTNKTLNGIASTP QTPDALKGTPYEGQTPAAPTIRFFFKCENLQRIGAFKARGAFHALLRLIEERGEEEVR RMGVVTHSSGNHAQALALASSTLNIPSYIVMPSISTTSKIEGTKAYATKVVFSGSTST EREAVVAEVQSQTDAILIPPYDDFNIICGQGTTGLEIEEQYLELLAQNPQLSVHGSSG SGLDAVITPIGGGGLNAGVATFFSDKKTRVFGAEPSFEGADDCRRGLEAGERVTSVKS LTIGDGLRTPVGLLNWEVISDKKKVEGVFAVTEEQIKAAMRLVLERMKVVVEPSAVVG LAVCLFNEEFRRIVEREGGEEGWDIGIVFSGGNTTVEAIGKLFS AOR_1_1062114 MAASFGEKLLAEVHEETLDQLLHDLNCLYESSNNTPRQRLGIKA LDDLLGVFMPIPAVAAWAQAQHQPPEPTTTDQDEQEQVYHEGPPVQSEPEHDLNIYAG EQILPPARIKGTDPVLEISSTSSGAGKTQTLYYLTAIAILPSEFHGVKLGRGSAVVFI DTDGRFDAERLVTVAREIVHKKFKSQNEKTDSTTTEGVPAYTEEDLHTLLVTSLQHVH VFRPQSSAALLSTLQSMDKYLFDLTRHFSSARPLHTIIIDSASAFFWQDKLHDEVART EDIGRPYEEIESERRKKKSFYLFDMYADLVAELKRLQRLFSCAVVYTTIAWSGRSMPG QISASGPAGPFPLFDPSDVPFSRTPSFRSSLPGPWGVFPTLRLVVQRDVVRPFPPAMT VHEAQREAPMRQEVVLKGRFVGWVNGWGREDWPRRVVENLDEMDGGMFGFYVGTDGVN FE AOR_1_1064114 MAPLVPIFSAEALPDHVSIVRKNFQERRRKGGPVELEKCKLMEM VQYSCNPPQDGIPAPGVVVCKPVVRLFRRCANGLTVETTAWEPIRLEEEAKRKAAESS SKVNSK AOR_1_1066114 MLAAEPKVKRSSSSLPEQPNAPDAKRIKRPYHHHHRLQTPVNVA LAEPAITDDAYVDHLMNRSIGQTLRETGFDLADPAALESFRIATEEYLLKFASYVRQS MLSSRRTQPVPHDFEHALKKHRVRVDDLLPHVKTLPNVDPVPTLLPSPPPEEDDSFKT LPSLGPQLSGEDDRARSAYIPKHFPEFPSKHTYRHTPVFTEREQDPRKIRERATEDGR HGEEALRKLARAAFKDNQLGSSGRDKKPWGRRTETMDSMFEKTIKGIAKKMQKNTTAP GAPAPMEIDSGAVDPDMKARNKVSLNIELPPIINCERDLWRRTTTGNRRPEERPPNNK EAPDISRVDSWVST AOR_1_1068114 MAAIAPITGMLRRNLVLDLSTAFGFGTTFGYLWWYGYHLPRVRA RDNYYVRLEQERAAAQE AOR_1_1070114 MLKIWSMKQQQQQAENAEGAAGKKKKKVTAAQLRVQRDLQELTL GSTMKMSFPNPDDILNFTLTIEPDEGMYKGGAFHFSFNVNQNFPHDPPKVKCTQKIYH PNIDLEGNVCLNILREDWKPVLNLNAVIVGMQFLFLEPNASDPLNKEAADDLRQNREA FKRNVRTSMTGGTVRNVQYERVMK AOR_1_1072114 MAYQPPGKDNGAQSPNYNDSGHRLEDLPHGATYEEEASTGLLSH QQGGPFGGPFDDPHQRGTSPVRPTSGYSLTETYAPDAGFHDPYSTTGSVYSGNSAENP AAAFGVPGRVASPYARSETSSTEAWRQRQAPGGGGGGGLRRYATRKVKLVQGSVLSVD YPVPSAIQNAIQAKYRNDLEGGSEEFTHMRYTAATCDPNDFTLHNGYNLRPAMYNRHT ELLIAITYYNEDKTLTARTLHGVMQNIRDIVNLKKSEFWNKGGPAWQKIVVALVFDGI DPCDKDTLDVLATIGIYQDGVMKRDVDGKETVAHIFEYTTQLSVTPNQQLIRPTDDGP TTLPPVQMMFCLKQKNSKKINSHRWLFNAFGRILNPEVCILLDAGTKPGQKSLLALWE GFYNDKDLGGACGEIHAMLGKGWKNLINPLVAAQNFEYKISNILDKPLESSFGYVSVL PGAFSAYRFRAIMGRPLEQYFHGDHTLSKQLGKKGIEGMNIFKKNMFLAEDRILCFEL VAKAGSKWHLSYIKASKGETDVPEGVAEFISQRRRWLNGSFAAGLYSLMHFGRMYKSG HNIIRMFFLHIQMLYNVFNTILTWFSLASYWLTTTVIMDLVGTPSESNGNKGFPFGKS ATPIINTIVKYVYLGLLLLQFILALGNRPKGSRFSYLTSFVVFGIIQIYVVVDALYLV VRAFTNSDAIDFVTDQGVGEFLKSFFSSSGAGIIIIALAATFGLYFVASFMYLDPWHM FTSFPAYMFVQSSYINVLNVYAFSNWHDVSWGTKGSDKADALPSATTTKEDGGKEAVI EEIDKPQADIDSQFEATVKRALTPYVPPVEKDEKSLDDSYKSFRTRLVTLWIFSNAFL AVCITSDGMDKFGFTNTATDRTSRFFQALLWSNAAVALVRFIGACWFLGKTGLMCCFA RR AOR_1_1074114 MSLYPTDPSFSSNVLSGRSIFKRCVSCDSTAPTCPSCSSGEICT MISQSCDQCATTKCIKTSSGSSSQGSSSGGSNVGAIAGGVVGGVAAVALITFLVWWFF VRKKRQELAQQQGTSDGEKSSTFTDARQARKSTNSIASTVLTRASNVIQIAYIPGVTN RSPPETPLVPPVPPLPGAAPDQHFFMPGDLRDSAWSEASRQHRSIAPSLRSSVATTIY RDNAIVSPMPAQQAMRTRAAVVSIHNGGNPPGSGNTLAPPDAPAVPAITQAQLARAAI TESNSNSSIVARTVTAKPVMVKGSIKKKNKNPNNSNTATPSATSPAVQTIEEQSESST SAASSTKPPPGPTSGFDANSSDEEEAHAKDIQPRKGGSPAPKSPTVIEDSPAVEQSPF QDQPNPQTNRRASARLSSRLEADEGTRSNRSSRIPPERTESPFSDANEVK AOR_1_1076114 MDAELGLFDQQPQPTWKHVSLNSVISWLSTISKGCVLYAISEAL GQLKWVWFAQETRPMPNLRTFDSASRGFYGSGELIWTLRFRHFAVWGSLAVILALAFE PFTQNLIHYFPNSVVDSSQRAFLANSTYYNTTGPPLQNHAIVWVDPSLKANVYNSLFN NDQSKPWATPKYICNTSNCTWGPTAAIEVRARCSNVTDLLNTTCTTVTNNPSGYDGTT NCTAALPLANTTAWFLSGMDVLQPLSIATVHASTALIYKNATLPPIQMVSPTNLSMNT MFTENTTKWHATECSIQPIIHSFNATVTNNIYNETTIATWETSWATWDQDLNISDSEL QKIPAGMYFNPPWGLEQGITPNTSFMFSDLAASSLDTFFQDLFTGVWFMRSMYSSSFI PSSLNMYAAPDFIQVMAIGNITGCDVGSMDKLQCAMENVAAAVTKSFRDSVFIADSDP VSASMAVGRAMASVNYVEVRWQWIVLPGLVWVLGAVTLVGSMWKSRGVRAPKWRNDPV PLLFLFRGRGEDAVGVAEREVEEKAEGLRVRLYESNGRMALG AOR_1_1718114 MKLLIEKGVDINGIDLDGNTPFHDAAVSSGDFLPVRTRLEVILG LGGLANTTDHRGQTVLHKVASLPNPSTCDQIDFLLQPSLGLDVNARDNKGLAPIHCAS SNSGIITWRLIQAGADMQALSHDGRTPLHFAAAAAQSNVVGLLCKLYKESSLSLNQRD EHGCTPLHYAANSGNSECVYHLLQVGANLNITDCHGRTPLHMAAEHKVDVAALRKQRK HSGEEWWTRIIDGSNTLGPFIHPLLQSRRGDAYWNLSFVIERESEAYMMQDIVHLLLS AGADFNMRDSSGQTACDVALHLGHEEVWNVLSCRREQRENRALMGQLCSLKSMHAEEI VQTLSLERSDAYSLLQAAISLRNQTILDALLGAGVDLMTKGPDGLTSVHYVVHWGLLT TMKTMAPYVKDINAFSPPLLHTAATRELSNLQMIDLLVELGIDVNASYQDPFDEVANA STDRPGPPAPEYTATHILAAGGQWWNIAALETLCNAGADLEITDSKGNTVLQCALAGE SQGPGRHGFWRDETFEVVLRHGANINKLSPSNESTPLLVALKTKRGCKLVQRLLDHGA DINLGPVPALFVAIESRDCEAMAMILDAGADVNAVYYPKRRYGATPEVETPLLAAAME PREVGEAIMALLLQRGADPLLELEDSNSTVFHQIAHYHGRIGPILRSMPDVLDVTNRS GLTPLLSVCSSVDGYYTGEESTSIELIHAGANINVTDKDGSTPLHLACRSGKCATVAL LLEKGASCSATNNTGLLPLYYALSYANGDNERFEMTRALLDAGANPLITGPNGETALH ILAPLLVYISSSYDHRSKEEIYRQAVFEDLYNRFVESGCDVNARDAHGNTPLFPFVRT VQKRDEYGMGSPPAEDDVRQMFQAHDIFVVNDNGDTLLHAIAAREDTPESESEPDGV AOR_1_1078114 MDNDDFVLVEKQDGDSFSIATSQDIGQIKSWLSPTEFETEGSEY QKHLNAHASGTGDWLFQTEQYQAWHDANINTLWLQGIPGSGKSVMAAKLIRTLKEEGI PVTYFFARQIIKANSEPRQLVQDCLYQLLDHSVLLQARLKTLLAQHQDVDNIPFHELW DLFLFGLDTVPKVYVLFDALDELAIENDGFLQLLQKLGQQNPESAKLIITSRPEAHLQ TALRGSFRNRIRLTGAMVDQDIATYIAHRVANQRDNLAVSENRSTIQDRLSQKAQGLF LYVRLMLDQILLQSELPVEKHLQHLPSSLEEMVIKMFVKGGADINYGRQGDLVTPLIS AAICRGGDVTPFIENGADPNLQDSDGNTALHHICTSWSLRYSDVQKWLEFADPTVKNK AGETCIYKLAFGSDGYERVNAISLFTEKGLDLESRDRRGRTALLAACANAEPHFIIGL LENGANAKAIDFQHKSCEYT AOR_1_1080114 MADAVAEGVAKLQLDPETGEMVSKGELKKRMQKRAKKASKAAAK ENQPSKPETKAATPPKSAEPTLDPDAMFKQGFLAEVYNLRPSPNVRTRFPPEPNGYLH LGHAKAIAINFGFARYHGGVTMLRFDDTNPDAEEEKYFHAIEDIVRWLGFTPHEVTYS SDNFQRLYDLAEKLIQQGNAYVCHCGEADLKLQRGGEDGKSPRFHCAHASQDVETNLT KFRDMRDGKYEPQTAFLRMRQELLTNGNPQMWDIVAYRIPKNRTPHIRTGTKWCIYPS YDFAHCLCDSFEGITHSLCTTEFVTARESYEWLNKTLGVYEPMQREYGRLNVSGTVMS KRVLRELVEKGHVRGWDDPRLYTLIGIRRRGVPPGAILSFINELGVTTSLSTIQITRF EQSVRRYLETSVPRLMLVLDPVRVVIQDLGDLEGQELVLPFSPKQPEFGSYKLKMTST VYIDQSDFREVSSKDFFRLSPGQTVGLLQVPHPIKAVSFSKDPATGKVTEIQAVLVKD APKPKAYIQWVPEGSRKVTVRIHNPLFKSENPMAAEGGFLNDINPDSETVYSEALVNS GFDEVRRRAPWPQAEGEKTQSGPEGVRFQAMRVAYFAMDSDSTEDSVVLNRIVALKED AKKEAAS AOR_1_1082114 MVSATFPLPGVTHGKRILATVIENRAQDGTNTNPWISLPINDQD LSAGYRDITFQQLNNAANHAAKWLSQALPAASDPFQCFAYAGPKDLRYAILAVAVAKL QKVMILPSPLITPEAQLRILEKKNCKLYLRPVEMAGPVDAILQKAPHVECITVPGVEE FLRGDAALPVLYGKTWDEGKDDPWLVFHTSGTTGNPKPITYTHRMMAGADIAASLPDI EETHIHQYAQRRWYTPLPSLHFVGMLMSLAMTGFVNMTSVIGPPAPPTPKLLIDIFRY GRIDGALLMPALIDQLCLLPEGIEALRELKYIHYAGAPLSAKSGNLLAPYTQVVPCVG STEAGGYFTTIHQNKDAWDYLSFQKHSGAEFQHRMNDLHELVFVRRPECAMQQIFQVY PDRESFGTDDLWIEHPVHKGLWKIIGRSDDYVYLAHGDGLHASLLEPEIIAHPSVKSA IIGGHGQISPVLLVDLNPGVELNNEALRESLKPYIEKVNAHCHDCVKLSSERLIFATK DKPFILTVKGSVARLQTLALYEREIASLFA AOR_1_1084114 MPGTPLPREQISSQYLEEDVSNRLVAASSVILVVTTILLALRLY VRSLPNVKTGLEDILLLPAYLLSLASCICGYLAVTHGGAGRHVKALMVKDPNIVIVRG KLLYSLSWVSAYSNCFSRLSVLVLLYTIFTPGVARKCTVLLMVYMVLFLISQTIAGAM ECRPLAYFWDRTGDGTCIDLFLFFKLSGILNIVGDVAIMVLPAHTVWNLQASIAKRVA ISFVFLSGSIGLIASCFRTASFFTSQEQSTTDPTWADVELMSWTIVESGMYMTAACTM RLRPLLRKLPGWFKQFKTTHESGVTVERTFTIEDGKGYEMNFYAKQGHIPLKSFDRDL NRTSIQGLQRPAVNR AOR_1_1086114 MAPVLGGRRIIASSSTRLFQLRRRLSPYIGLKNHISTAIATEPK DTTFFADESYVDSQFWENAVGTALKTLMGGAEYEAATLQKHLRFVSQSVAPALGARPI QGQTPRWKSFMTDDYSPLEYSWSWDGERPTIRYSFEPIGPLAGTEWDPYNHQAPIAFV DHLRRQLPAADWQWFTHFARAFNQDTSAKVAAENYGSSPSSVFIGFDLGRDGRQMCKM YLVPVKAEQTDQSRLAVLDDAVRTLPQFSDLSAYPPLQGFLRHQEEIGTPAHLIGVAV DCVDPSASKLKIYFRSSSTSFASVKNTLTAGGSVSSWDDSALEQLRELWSLVLGLPAD FPCSQELPSKSHETSGVLYNFDIKLGNQQPETKVYIPVRHYGQSDHAIAVGLVEFLQR RGGHSPYHDRFLKALEQYASFRSLDQGCGVQTYIACAFKRGQLSLTSYLSAEIYHPGR WEGQGAETI AOR_1_1088114 MTAETGFVPTVFSLPSESAYSWVWAVSAGLVAIFVLYLTQGIAR RRFAYAHGCQAPPRYAHRDPILGLDSLRDSMQARKSDRYFRREQQLHQAYGNTFMSLL LGSWMVNTIEPKNLEVLFSTKFADYEVGFRRRNAFAPLFGKSIFQSDGTRWQTLRSQL QLCFSRVQTSQLGLLESHCQRLLAALPSDNQKFDLALFLHRFAADVSTDFLFGESINS LENPQNLDGGALKAFADTHSTCELRWLLGSMSWIWPQRTFMKNVRLTHRFIQRYVDAA LEREVTPPGKASDQQNEQRILFIDQLRQRTQDPIALRDELTTLYFAGTDAPAALLINL FFVFSKRPDVWDRVRSEVQSLGGKAPDLQQLKGLRYVQDCIRECLRLYPPQPSNSRVA VRDTVLPTGGGPDGGSPVLVPKGMMVHLSVYALHHRKDLWGEDADEFRPERWSYEKQT WKYIPFLGGPRNCVGMDFGLNEVAYAVVRMAQNFQTITSVDPDEWVEGSSIALESKNG VKVVMCRDA AOR_1_1090114 MGKSFDFHTSLVLQQWSLLNELLRGAADDLVLNGTGLDIPHVVY IARYAGNVSIDPASYESINQSQAAIAKSLSKGDVIYGVNTGFGGSADIRANDVLALQR VLTRELSYGVLPPGARDPRPPSDRQKSAYQAFDLSLEHAAESQHLPLPWVRAAVLLRL NSLVKGHSGVRPVIVDRLRDLLVKNIIPMVPMRGSISASGDLSPIAYISGVLQGKPTI RVFRPGIGRDVYADEALAEAGVAPISLTAKEGLAIVNGTAMSAACATLVLHDTHQLAM LSQILTAMTVEALLGSPESFDPFFAEVRPHPGQIESSRNIRVFLRGSRLALQKDGKDG SLRQDRYSIRTAAQWIGPVLEDLLLAHQQISIECNSATDNPLVNGDGQFLHGGNFQAK AVTSSMEKSRQAIQAIGRMLFTQCQEMINPATSWGLPPNLVADEPSQSGIFKAIDIYI SALTSELGFLAGPVNHVYNAELGNQSLNSLALISGRYTATAVRVLTELVAAHLLSACQ ALDLRAMQLQFLDGVKTEFFARVVSMVEKQSPNTTDASKNLPATLWAHLQKGLEQTVS MDSIDRFPHIVKTMRVPILDCLPFQNVVLQEMQSFIDQLGPWLHEAWCTNREAYLVHG DASPVLGDGSKKMYHFVRKTLGVPMLCTKRILTPTAEAMAAGQVEEAPTVGGYTSLIY RAIQRGEFLPVIQELLEECLAKEELER AOR_1_1092114 MSFPFRIIEHTIPGQHIRESPRSIRGRQETPIKIAIKQYIPNDA DRPDPTPDNAITIIGVPGNGSPKEIYEPLWEDLYRQLKKLSVPVRGIWVADTSNQGAS AVLNEEVQGDQTNWYDHSRDLLHMVNHFRDEMPRPIIGVGHSMGCAQLVNLSIIHPRL LSTLVLIEPVILEVAFGGPNPAMMASRRRDLWESPEKAVASLTKGLAKWDPRARDRYL RHALRPVPTRLYNPATDPKVPPTAVTLTTTKHQESWNFFTPNLEREELDRLLLPDWDV EKERPYLFSRPECWSAMRSLPYVRPSVLWVFGGKSFLSLPDAQESKMRTTGTGTGGSG GVAKGMVEKAVLPKGGHTLVFEQVDWCAERVADWTQRWFRGWLEDEKFWEEYQSRGSD KEGVRMSEEAFQIAQMPVGTKRGEKPKAKL AOR_1_1094114 MADSQLVSLEAFLLAHPSIKYTAPSSSEFSAVCKVWNMARPDTP LAVVHPQSAEDVSVLVQFAKANGLKFTIRVGGHNMEGRAIVDGTLVIDLRALTGVRIA EDRQSATVEGGILQGELGTKLWAEGLATPTGSIPGVGYVGWAMYGGYGPFSSHWGLGV DQILGATIVNHDGEIIEADKKLLKGIRGAGGVFGVIVDLTIKVYPLRNFLAGAILFDS QHISKTFADFNTGYQKLLHNGIPKQLTVQQAVFNAPPGRVFAVLVAWSGEDIEEGKHW STQIASLGPLLMSTVAETTIPEWFTGNAALVPSSVYGSSHTLNLHNVTPEVAEAIGRN LTTLPSDPGTMISVHQLRGPSAAPKGNSVFATREPHYMLEILGFAAVEDRKGEAEKWA SDIAEAVSKTDPGNILPTTYISLYFAPDHGVDPLSQFYGSHAEEILSLKKEYDPKAFF HWTVPQLE AOR_1_1096114 MATVPQRTLNWLYSVLIRDHYDSRQTYQDPNRTYYDVASVLAQY PSLSPRTEVYTYENGFSALLLQLTGTVPVTFRGTVYKFPISLWVPNTYPREPPIVYVT PTQDMAVRVGQHVTLEGRVYHHYLAHWAEAWERSTLVDLVSILREVFAKEPPVRYKRQ HVPPRPQQPEPTQTPPPLPPLPAELGLSSSHSPLNQSVPSPAPTAQVPPPPPPKPGQL ASAEQRQPIPAAQNNSSSPLPPLPPKEQDPRWAPRPRPSTSTPTGLPSQYPPEQSGSM GGPTPPQRYPQQQHSMAHPMPAYAQGHLPSQVDNRAPPGAMPQQFPRGPQPQPPFGIP QQASPHHPTHHQTNGRYQQMPQPQTPQQASHHSFQRPGSATQPAMKPKAETPDLLTSP FEVELPSFASGPAPPIPPNPEKDALLHAVSKVLAETLQTNVSQTESAARSLLSQSDSL HAAIATLQGEISSLNTLNSSLQSNTSILQQSLHRADAVIADAQSRISSSAAQSSSDPV PSGLPPIDEVLVAPTVVGKQLYDLVAEERGIQQAIYALQTAHVKGVIGVETWSRHTRG LAREAFLKRALIRKIGKGMGLEEHQI AOR_1_1098114 MAIMDSKFDPYSQNLTFHAADGTPFQVPVMTLNDFYQYCIQICI NYGAQFGASVIIFIILLLLTRPDKRASSVFFLNGGALLLNMGRLLCHMIYFTTDFVKA YQYFSSDYSRAPTSAYANSILGVVLTTLLLVCIETSLVLQVQVVCANLRRRYRTVLLC VSILVALIPVGLRLGYMVENCKTIVQTDTPLSLVWLESATNIVITISICFFCSIFIIK LGFAIHQRRRLGVRDFGPMKVIFVMGCQTLTVPALLSILQYAVSVPELNSNIMTLVTI SLPLSSIWAGVSLTRSSSTENSPSRGALWNRLTDSTGTRSNQTSSTDTAVAMTYPSNK SSTVCYADQSSVKRQYDPEQGHGISVEHDVSVHSCQRL AOR_1_1100114 MASHSMSLRDRQVASIQKILNLNHEPQTEDSHHDTSAQGLISTP ILNEDGDPIWKVLVFDNMGRDVISSVLRVNDLRTWGVTIHLNINSNRYPIPDVPVVYL VEPTPANLQMITNDLARGLYTPAYVNFLSSVPRPLLEDFASQIATTGTSEHIAQVYDQ YLNFIVAEPDLFSLGLGHDAYWKINSAQTSDEDLDAIIDKIVSGLFSVSVTMGAIPII RCPKGGAAELIATKLDRKLRDHILNSKDNLFSTNKKSTPGVPTSRPVLVIVDRNVDLV PMLSHSWTYQSLVQDVLQMRLNRITIETTDEANPGKVTKKAYDLNSNDFFWKRNAGAP FPQVAEDIDAELTKYKEDANEITKKTGASSIEDLQNDTSTSAQHLKAAITLLPELRER KSILDMHMNIATALLQGIKDRQLDNFFELEENITKQSKAQILELINDPAKGSEPTDKL RLFLIWFLSTETELNRADMGQFEEALTRVGVQDVSPIAYVKQVREVTRMTMMTTAAPQ QQSSDLFRGFSSLSNRLTDRITSGALGANFDSLISGVKNFLPANKDLTLTKITESLMD PASASSSAIAKTESYLYFDPRSANARGAMPPASASRNAQSPATSGTPGPGTGASFGQR RQAFNEAIVFTVGGGSMDEYGNLQDWVRQTSGQPGSDGAGAGRGTASHGAPRRRVVYG STDLMNANEFLTESLAKLGHES AOR_1_1102114 MPVQYRQVPQYSQGQVPFESQPLNASDSPSYQPYSFPRNYYPAQ HISLPDTFSQTPTPQASQPQPSQSAPYQSNTPQTPIVPYTLPTGFSEETSHGQMQYTN EFPDSAPTVHEHQTINPQFLNTPQQANNQHAPLNNFIYVNPPSFERQDNQRTFDYFRN DLQMPSILNRPTANSPSVPATQTPGVEVVMPANRQQPLLPNTSGKPGKKQTTSKKVTK KSASKASTKKPDGRSASSGSETDSSDSELEIEAPEEPSPIPLVRPNEPEAAARYDALR AVWSPRNRRPHADKVKGALVAFKDVVKAVRDTWKEKSQAMKMAENKGENDKAAQIKKD VVLQRRLMDVVVSTTLDQGHPTIVEKLGEHPMAVAAMYSFLLDRHQASDIDGAFTLNL LKLLARFVTMDEDVLQKTNVAKLLPRFVKKGGQVAKGLAQKILDNAATSTKRKQEGNK VAPKEASPAINTISNITLADGQRTELAGSKRPRDADSNGQPATKRMVVTSNLKSNQKP TNGPVTSAAKRPQEIGPEAKPAAAATSRPKANIIAPKPTNLFGSLSSASKRPGTSNAE RAAAAAAAKSSTPTEKKESQPAPSRPAFSFGDIMADLNKQKESPPSEPAENMPPETEE ERTKRLRKEARRKLRVTWKPDDSLTEVRLFTHDPDEELGPGDHAQREAGDVKGEGSVL KLHRDLDEFDEEEDGGVREESFRDYYEPSEIDFADITSEDRSRNNIKRAGTQQPTSAE KEAQEHREATTLMVFYTSAADVPPSPKEPPPPSEDEPATEAVALGELPDHVKARQERY FSAVNPQPTPAQPQPSAQSNQFDISNLLKIIQNAPQQQQSTPPPPPPPPVTQAPMSDL ERTISMFRQQQQPQQPQQPQPQAQQFQMPQFPTAPQAPTAQGLDFQKILAVLNAQKQM QQPPPVVPPVQPSQPAIAPNLAAFISQFAGANQQSGPSPAQMPGQFDDLERKRMREGP GFDGSEDDRYSYFKRNKPNGPNKSHPKVGLVPCRYWPEGKCRKGNDCTFRHDPLN AOR_1_1104114 MADHKAGELDLNHGNASPSQEGTAMPALTTVPTSITLSTEQFEK LYLTPLRHRQPALTKTFGNPTPLALGGFVVTTTPLSCCLMAWRGAGGNGIAFTGPIIF LGGTLLVITSILEFILGNTFPCVVFGTIGGFWFAFGATQIPAFNAAAPYSTSTTNTME GLQSPEFLNTYAFLFIAMAILVTIYMICATRTNLVYVLIFAALILVFVCLAAAYWRLA DGDTVVGNRLIMGAGAALFVASLLGFYLLVVQLFEALGFPVNLPVGDLSRFWSRVEGR DSERDVEMVGDVQAKS AOR_1_1106114 MHSTNIFNFFMLAVAAASAATISKAGDSKALQKVAEGKCDIGNT ACCNNVHEEKDERLFNLVKQGLIDILAGNEDYACAKSGVIDEWNLFSLVKQTNDGPVC KNVTACCSSGKCVAIDGSAEKKKESDKEDDKEDDKEDDREDDKEGDNEGDNEGDDKEG DNEDDNEDDNQKDKRGDHDDYHHKGHKVDKDDKENKHEKDEEDHGRY AOR_1_1108114 MHQFKVYTRWRPLTPSESTTPEIQRAHSQQDNGRVSISLTPSSR SATERPWKSEAAFTRVFEATDNNKSVFEEAVVPTLPHVLSGRSCNFFAYGHSGSGKSH TIIGYDFENPDEFGLCLAAARQLSETLAGLNQDTENPAEELAIGIRMFELRKNIAFDL LNGRCQCYVREGPDGKTHIRGETEVLEEGKVRVRPIVTKACWRFEDLRQELLEGLKLR ATGTSTVHDQSSRTHAVLELEIVTRALLDARDAVVQRQSELVPVGKRATDIYIEENTK GYIQNADGKYVPNPDYQIDQARIDAAEAKKAEFESYVQQAEDKVSGILKSSRHSCLGG KLVFVDLAGSEYYHDKITSTVPRPKQTPQEQQEGRQINTDLLALKEVIRARASKQAHV PFRSSPLTMVLRDHFLGTNTTDSYSAMILTVSPSSEQFAATMNTLKYGNLVGVAGGEK KRVTRSRVNQ AOR_1_1110114 MGGGAQFPSYQSQKPTDNNNSTQWQEPGEKQYLHGIVDMGSNGI RCSITDLSPPTTRILPTVYSQRFNISLYDAQYDSKTGKQIPIPRKVIHAVVAAILRFQ IVCLEVGVPASQMLIIATEATRTAINSEEFVKTIQNRTHVQVRMLPKDEEGVVGAWGI ASGFSDIEGLAIDLGGGSMQMTWIVSHAGDVRISSKGSISFPYGAAALTQKLEALTKG KDEDEAAKAKEQFRQEMTSQFRRAWEDLEIPERLVNKAKKEGGFQLYLSGGGFRGWGY LLLYLHQVRGEYYPISIINGYTVGKKDFENTEVLKKVAKTAKDIFRVSDRRRKQVPSV AFLVNVLAKAIPHGIKEAHFCQGGVREGALYRKILPVIRQQDPLQVATMNFARGSAQA MAFMAFSSIPRPTKDRSFPHSISPHVIQAFANILYAHATMSKETAATAALYSTSTGVL AEAHGIPHADRARLALMLQERYGGELPPREMDFKKSLRSLLTPEEIWWTRYLGKLGLV ISRVYPTGVIDPSRPRAMPRARWANDLGKKKNKQGIELKVSLQKVGFDPTRLKQELEA DLKKIRKVGKRKHWIGGRDGWGMKVEVLLVEEDLL AOR_1_1112114 MPPGCSLQADNNFGPAIDPGCRDGFDFTLLFEQAILGLVPAVVF LLVCPLRLQILVKRDVRTQPHIMRLAKLITALVFAAIQLALLISWAKNTRPNTKLSVA SSAINLAVAMEIVVLSWVEDERSVRPSSLLAIYLLFTLLFDVVQTRTLWLSPGNLLVP SLFTASVAAKTVMVLFESLGKQKYLTGPYQGLPPESTSGIVNRSFMWWLNRLFFRGFR SLLTTEDLDHLDKPLKSAATAPKALRAWALRRRPERRFEFPWQMIQAFKGPLALTILP RLFLIGFTFSQPFLITSILNWLDNSHSASNHGYGLIGATLLIYLGMALSTLIYDQLLY QFVTMFRGAASSMIYNHALHIPDGTLGDRSATITLMTTDVDRIINCLITLNESWARTF EVGIGIALLALRLGWVCLIPLVVVLISSGGSIYISKNIGGHQKIWVDAVQQRIAITRS MLDGIQTVKATGLSQTFLQLVQRKRAQETHQMAKYRWSVVWKNMIQNLPWALAPALTF VVYAAQGNELDVTKAFSSLSIITLLTNPASKLLSAIPSITAATGCFDRIQAFLLLPTG PQYIGEGFVRTRETEVDASPHIGGVKYMTSKGSPETPDLPKPVIFMEKLSIRPSSSAK IVLRDVNLEVPLGALVMIRGPVGSGKSTLLRAILGQAVCETGSMAVINQQPAFCAQTP WVPSGTIRDAICGTFSESPIREGAFDQKWYAAVLHACALNLDLDLLRDGDATRIGHGS GHVLSGGQMHRIALARAVYSRRKLLLLDDIFSALDRKTKTTIIARLLGVDGLLRKVKS TIVLVTHEMEQLCRADQIYVLSDGHLRPEEPCEGHVHQGPGDDAVEADGSTGFIELTI EDKAAMISEANEVDDLRRAAGDSAVYMYYWHYLGWTKAAVFVFFVTMNVFSSTYSQIW LERWAARSGAQKALYVTVYIFLAICNTVGNGGYVWAILILISPSTARRLHYVVLKTVL IQDMTLIESDLPIGILIMVSNLFSSIASAALIATGSKYMAISVPFLIIFVFLLQHFYL KTSRQLRLLDLKSRSPLYSHLLDTVEGLATIQAFCWEVDIGKANSTLLDVTQRTYYML NCIQRWLTLVLDLVVAAEAVIVVSLAVSLRHTTSVGLLGVSLNSILGMSF AOR_1_1114114 MHPFNGSLSSLISGWTQLEISLGSILRVREFERAVPRELSTEQE IPVDWPCQGAIEISGMTAQYSSETTVLSDVSLKCLPGQKIGICGRTGSGKSSLLSTLL GMLTVTRGSIVIDNIDLATLPQDIVRERLVTISQTPLIMVGCTVRFNVDPTERLPDTD IITALIRVGIWDGVLLERGGLDAEINDNLSLSRGEQQLLQFARAMLKVQARDSRILLI DEGTSSVDVETDARVQDLLQQDPFRSCTVLTVAHRVHSLLSYDLIVGLDRGRVVEIDE PMVLRDREDSIFRNLLNSGGY AOR_1_1116114 MANQSTLRLREVSPEDIPKISEVWFRAFSTTPHNLELFPDTPAV RTWWNEANYHDLVNKPYQKYLKVVDAAHPGDILAYGKWDLQPDRCGERYPPWHPESNA ELCDQFFGGIEKQRRRLMQGREHYYLDMLATNPDHQRRGAASLLVQWGCDQADRNGVA IYIASSKQGVGLYRKFGFELLGGLDDTPEGANPMVREPRRLN AOR_1_1118114 MGGPYPITPTDQRGLIIITATMFMSWMCTVCLFRLYMRLVMNGP LGADDFAAFAGGALGIGHVGAIMASVSHGFGRPMQEMPASEALYAADLLFLAGHSAAK VSVCLLLRRLGRVTKYLNGCNIALIVIGLWTVASIIGIAISGQPSNHWNLSRSAIDRN TGWKVLTTVDIMIEAFLVGLSILLVWGIQMPRKRKVAVICAFSTRTAIIAVAVVRQVY LNHAFEEPRLPVRVSNAVIATEVLLHCSLMAATIPCIKPFLASFDTGWGQGTIKRDGS YFAQSTEIESHNVPHRSQNIAELVMVFPGSAQSQDSQSSRQFIIRGERESISEIELID MDRRSVQYME AOR_1_1720114 MRTEKAVGSVAYATAPEDNPSDKHGTVQDMMDMWRVGRDQELNR NFRFLSVLGFSAVLMCTWEAVLFGSSNGLTNGGKGGMIYTYLGGLIGFSFVILSMAEM ASMAPTSGGQYHWVSEFAPRSCQKPLSYITGWVCVLGWHTGIAGCSYTVANMLVGVIA INYPDSYTYEPWHVTLLVIAVAVVALMFNTFLAQKLPLIEGVILIVHCFGFFGILIPL WVLSPSVAPSEVFGSIEDRGDWGSNGLSCLVGLVGPIYALIGPDSAVHMSEEIQDASR VLPQGMIWTLILNGATGFVMIVTYAFCVGNIDEVLESQTGFPFIQVFLNSTGSVKAAT GMTVVIMVMQFCAAISNVATTSRQVYAFARDQGLPFSGILCQISPTFTVPLNALFLSL IIVSLLSLINIGSSVAFNAIMSLGTAALLSSYIISISCVRLRRWRNQPLPPARWSMGK FTPVIDSISILVLMVIFVFSFFPVTRHVTAQSMNWSIVIFSGVTLFAMAYYHAYARKV YRGPVVRVRLVE AOR_1_1122114 MSDQYLSSTPRDQDSEAREAILAQHLNPQFTASLQDETFAPSET THEGAEDSPEPQSSLLLQGGDIHRDLYRIEAKSKQAKIDKRAASFSVPPREAEDAIEE GVAAMEPGSFRRHFVQQHKNGNFDSPGVTTSFLDFLDLYGNFAGEDLAETEEDSVVSE DRETRTLPWEQTPLIQRARRRSRVGRPGDASNMKTFFTLLKAFVGTGIIFLPKAFRNG GILFSSITLVTVALITTVCFRLLLQCRRQYGGGYGEIGERIAGPRLRSLILSSITISQ IGFVCTCFIFTAENIQAFLKAMATNISTGSLILLQLLVLIPLAWIRNISKLGPAALLS DVFILLGLGYIYWYDVATLVTRPGADPTVELFNPHSFTLTIGSSIFTFEGIGLVLPIQ SSMRKPQHFDRLLYIVMAIITTLFTAVGALSYATFGNRTQTEIFSNFPQTDRLVNTIQ FLYSLAILVGAPIQLFPATRIMEGKLFGHKSGKGDTSIKWKKNIFRMVLVLCCAFISS VGAGDLDKFVSIIGSFACVPLVYIYPAYLHWKGVAELPWEKRGDIAMMVLGFVFMIYT TIATLAVWVQGSP AOR_1_1124114 MSPLLNSPIKPIRSRLGCKTCKIRKVKCGEEKPNCVRCTSTGRK CEYAGTSSGTFSSTPSTTSIQEYPLSSVPNTVWRERRAFAYYFQQAALSIGGGLDVYF WRTVVPQVCRSEPGVWDAIIALSDLFESPEEHSRPPTEKRRDALGWYSRSVSAIRQRI ERGGIDIFVGLISCILFISIEAIQGNEQEALQLYGQGVHLIRMLRTQIACGVISATHA SLLEETIVPIFVRLGVVALTIAHLPVSGLLLDNDPVPVQEFVTLKSAREAIARLSMEI QLFERTCIEHRHEFRASYMHPDFVARQKVLSAKLRNWHTAFTVLIDGLRTKDGYSLQQ IGTGALLLTYYEMSFVILATCTSSSHMIYDTYTPSFQNIIEQSGVAMNALARSDGTQP PFTFEINVGFPLWFTCLRCREPKIRRMALALFRRGPQAQAFYNSAGAAVLGERVMLLE EALAIRANQGKTKPLKLDSTKTAIDSHAYSAGHSSDASSVDSSYLPVTQDTKLEAHSY PVPAGRAATTPTTLFVPEEARLGPIGIFRSQDGFPPGTKEEEVEKWNKRRDQRFLRFS RNEHDQASDTWHVVHEYVPIDV AOR_1_1722114 MTCGYDSDIVKLVNTANFSTISGQAETLLNAVARVRQECQRRPL IFITHSLGRLMIKAALNSSRRKRARDLRAVAESVFAVIFFGTPHRGSGMAGFGEMVAH ATSVLTMKPYNKNIVRALTENSEILTNIPSDYLNTEEDMAKLNRFESSTFQEGKGMTG VPGSQGKVVEDDSSEGGINDCNDHINRNQMDMCKFYGADDPEYEKVLGEIKRHLSRVR GQIDEQSYS AOR_1_1724114 MPSHHEEYLLPPLSRLSSTEFDRPQRSWSPFDPNPPDRSHIHYE RAPSSHQEQDIASHHETSSTVGLGIRNARRRPASIHFVEGLEEVRPRGESRSPHLSEH ATPRSHTSKTALLDSEVRCPHRDTIAQRWFRWVPMTILVLAVYATVWSGLYFFVACLK PRYGNYVGVDGKLAASTANLLSALFAKTIELAYVTVFVACLGQFLSRRALQKDSNGIT ISDMSMRTWIMQPGSMIVHWETLRYSALTFLGAMTLTATIIAMLYTTAAEALVSPKLL AGPHEDRTLRANVSTDFFNPYYMGINCQTPISNEEDPLYRGTTCLQMQHVGQAYHNYI AYLNGWSSMITNGKHASAVLEERPPPSGSIHDNTTVTGSWIDRSNMTELSLHYNRMVL NVTAAMPHAGVLAAAKDPVNGLKQPQNSSEGQFDMMVAAPCPAVNVLCVGMNASELKP LIYDMWPGKKFDVEKWSEQEKEVPKWPNSWLNRTVVDDIFGWGPKYGWRPPIFGMLPF ENQTILNHSAPFGNTMYVLGKPPNATKIDYALCSMKAKKTPRCSVRYTASASGARFST DCEKSDNPWQFDRFFPDAVDGNWSLDWKNIASEWGNSLSLNAGVNDGRASNARLLMQL TPSGYSLEPDLPSLAEALAVMAGNTLIMGTENATFLPYWNHSDASLSEPETQYFNATV SVVDYQSSGTEKWQNVFFPVLFLTFVTSVLCLGYLLSEKGRQLTDFTEPQNLFALAIN SPATSRLEGACGAGPQGPQFKEKWFVGMEEDDEHYYIRTKAEEHTPLISAAKVSTESE RPKSVSPALEEYRRLSTGQSVLGRLY AOR_1_1128114 MALFTTVLFSLFPTIVFSSGLIVGHSVVEVTQSFNDVFPYENFN RNVTVPMWDTSLLNGKNMSAAQKDLETIANASFIVYDSAFYNLLGIAGPFEQKQVEEI FTFPDPPPYAQRQIHDGSVYVPEENALFVAELFSPKEGHSMSAIPYVWRVDITDPASP NTTKVYPNPPLTIANGAYLFNGSVYWAQEGNFTTPSSIVRMDPKTLKTEVVKNNFYGH RFNSLNDLVISDEGVAFFTDGYYGWDNFNDTLFPELANGIYRWDMRTGNIKMVAGAAE GAFFNPNGLAFSQDQTKLFITNRGNSSSDPHGGRTIYVHDVTSSGLSNRNVFSYSDAG FPDGIKTDKDNRVYGAVTGSVDVYDSRGTLLGRIKIADGDVAVNMAWVENWLYIFSRN KIYRVELMTSGR AOR_1_1130114 MDNARRDLRLADLVSQLDLNRPEPVELAQSTDVRPSSTDREAQD RYPADDISNDVDTQFSIDTEGRQHYFGATSRFHPIPGHDHRHDTKAEINKPETQEMEN YHRRWLCSNARFQGPWERMAHANMSHYTHVDPNICSSLLDVYWSWQAPLHNCIYRRCF YRDMALGGPYFSRFLLNVVFAHACRHMPEDDPRFSQFERGETFLREAMLLLIDEMQQS KPQIPTIQGLLILGGRQCAVGKSSEGWLYTGMAIRMVTDLGLHIKRSQASLLKEFEPD DLEVRKRLYLSVYAWDKSISLCLGRPPSLKDTPYLPSTLFDLSDEGELWQPPHLLETD QLYPETKCHSTLTFVHFCNLAQIINESYKTVYIHPSRNINPDDIFRLEEKLVSFHKNL PSSLRFEEGANVQFCVPPHILCLNILCHTVLILLYRPFFIWCWDAELQRHPLALRAQI VCTEQAAGVNDLFRAYGRLFNFQYQSYLVSYCVYTAATIDVRLARHEDKAIAEMATGR LAITLRMLETEVKQTPGIRRSIEIIRSQIGAPLASNLQRQARRSSEVPDRGPSNRGKA TGIPLQSVIDTLPQMVSPPPTMSNTSIYGSLTECVATGPSQLPLLEMSGIVVNPNLSL ESGWPDWYMNDFGGGFVPDMA AOR_1_1132114 MDMEKAECSDHKENVTTGNGSIPADEALAQFTEAEKLKAFRKLD WNLIPLLGVLYMLSYIDRGNVGNAYTAGMGKEWGITSNQYSWIITAYYLAYIAFHWFI LLWKLVSLPAAFAALPAFLTTIIEDIEYSSIKAQGLSAPPYLASYFICLASSFLSDRV RNRSYLLSALSTIGAIGYLVQALVKTSAVRYFAVYLICGGVFPAVALTFTWVTDNQGS ASKRGAGLVIFGMVGQTGSIAGSRFFPKEEGPFYTKGMAISAGLLFFAAIVAQVLRAL MSRENKQRDRIHGPVHSSDMSNDVLNAGDEHPSYRFML AOR_1_1134114 MSFTKLLLSTRWFSKKLSPVEPLQTSAGSDIVSASVISRPRFDE LPLKQGHPKGSAWGLWGDHDERGTLNLITEDIVRAASAELIQGRVVNLNLPLDVPLKP MNPRRKPCAHNLIAKGHANDDELDFNTQSSSHWDGLRHFPYSESKQFYNGVVQDEISS SHKIGIQNIAEKPIVTRGVLLDWYAYAQRKGLPHRPFTNQAIPLEELLEVAREQQVTF RRGDILVIRTGWTAAYSRLSDAEKKRLGGRDDRASCGVEATEAAIRWHWEQEFSAVAS DTVAYEEWPSPKPWGVCMHEVFLSGWGMPIGECWDLEGLSETCRELGRWTFMLTSQPL NLPGGVASPPNATAIF AOR_1_1136114 MSSEFNILTPNAMLGYGYRAEHFWYGIEKFTPKAIIVDSGSTDG GPYKLGLNKMTCGRDSYIRDLTPILQACFHKKIQVLIGSVGGDGSDKHVQEMFEIVQE ISAKQGFSFNVATISAGFHRDLLRQRIVSKKVGPCGPVEELTVESADRAIDVVAQMGA EPFLKALQTCPDIILGGRCYDPAPFAAFSMYNGVRPGVAWHMGKIMECGGICALPKGR SMIATMREDSFDLTPLSPRERCTPLSVAAHTLYEKTRPDRLPGPGGVLILDNASYEQL TERTVRVSGAVFEPTPIYQVKLEGVEKLGYRTIFIGGIRDPILIGQIDTFLADVRAYT QGLFPELDKSPECQLLFHFYGRNGTMGPIEPTPVAGHDLGILGEVVAPSQELSYTIAN NARASILHMPYKGQVATTGNFASPLSPHETAAGPVFRFNIYHLVDLEAGEEIKLFPIT TKTIANNPPSSDDGAPVGLSDSERQRLRSETLEPLSLKPIPRGECRMMDIAKVIRSKN SGPFEMTFDIMFDTVEAYERVKNSNVLTNERIVSLYHLQPSDILVNMFFEPALAWKCT IRRPWEQGTVGERDTLGTQQHGPLLTIAIPAAPSSAVVTNAIGKPHVSYTPPERSHFS AKDSVDYLWTKLGLPATSLEKLQLLGQGLGLPSSFKIAHIAQASIGLSALLAAQVYAY RTNSALPTVTVPLQHAAIEFKSERLYTLAGKPAPSPWGPIGGLHKTSDGYVRVHDSFP NHRDGALALVGCEPNATRAELGSKIEKWRSVDLETAAFDNNLVISALRSYSQWDVLPQ ARMITDFPITLRKLCDGPVGLPSTMQSPPDKALRGLRVLEVSRVIAAPLSGRTLSAHG ADVLWVTSPNLPDLPTMDRDFGRGKRTIQLDLDTPTDQDTFSQLLEGAHVFVQGFRPG SLSHRGYSPSALSKRFQHRNIICANMSAYGPDGPWSDKRGFDSLIQTCAGMNVSEAEH FGAGEAARPTPCQALDHAGGYFLAAGITAALYKQATEGGSWQVDVSLAGVMKYLRSLG QYDGKSGFETQDFTCTKDVPEQYLETRDTGFGVMTAIRHSASIEGVDVGWDIMPNPLG SDEKKWL AOR_1_1138114 MVSWKQTFIKVLVLGSAFEGGSASPLSLSNILQTSEAVSYQLGD TTYLANAKEPRDTLTIINPKVDNHYATGTIITLTVIPANETIVTAHHLNATISSYLAN DDVFSTEFLGAVYLTSSAGNTSVTADALEYLSSAGAETIYLDSIVFKSQGRRAISIHH KSAKTLAPGPYTAVMSKDKVSLLDTYRLYPDMYRDFVTGMYPSNDGSGSFVPLQSMSS RLWAPLVPVPSRIHSWGDPRPLAGKRVAVKDIFDIKGVQTSAGSQAWVQITPVANRTA PAIQRLVDLGAILVGKQKLAQFASGANAWDWTDGQAPFNPRGDGYLTCAASSSGGACS IAAYDWLDAAIGSDTGVSIRRPAAVTGTFGNRPSQGMITLQGMLAQNWAEDTAGVLCR NPAEWARFAKAWYTPELHQPASITGLSPLSVPDTMAFPTQILYPEEQFPLVNPAAQKI LEPFLSTIAKELNMSIKYTNLSATLIEAPIFSDNNNTMDRLLTATAALTYWSSHVAVA DPLMTEWARRYEGRFPPVDPLWRKEWSQFNASVINQAAYDQALQDKRKGVDWFERNVL PETPQSCSESLLICDIGTGGLPSFREKALNEGPNATFLGRMPDWAAIPCSMICPIFGC ADFTIPLGQVPYHSPVTNVTEQFPVSINMIVRRGCDFVLFNMVEKLARAGIIQAVKTG KQAF AOR_1_1140114 MGSLNIPPEEVSVYIGDRLLIFTALFVPLQILCVALRFISRYKV HTPWGLDDAVILFALAEQMGMAGISIGAVKFAGVGHHIPWLTVKDPASLRIWAKYLLA LSFLYLGSVNLPKFSILLLYHRLFPTKKMGAIIKLMMVVLCVITISTIVGASLVCRPF SANWDGPIPGNCGNKKVLYIWASFPNIVTDIILLLLPMPVLWSLNVSPRLKVGLTITF AVGSM AOR_1_1142114 MPIPWPPTSIPNEFGIATLSLGSWRHHKLQPRLEEAAKAGYKWI DLFDECWAAYLEEHHLPGDQLWEPTEDNLRVARKLGDLVKSLGMRIACTQPLRKIEGI KDPVERRASLDLVAKRFPFMRAFDTDLVFMCANIRTDDGVTSDLKTVACDLAELGDIA RAFAEADGGPMLRIGYEGLSWATRNTWASTWEVVRMANRENVGLIVDAFNVLAVEFAD PYNPAGHGRLYSTPEESVDVLCASLAGLVASVPGDRIFFFQVGDAERMDPKIFRPPTD PEVPALLPWSRGHRLFPYEPQRGGYMPVDLVAAAVVATGYQGPMSLEVFNSSLNRPDA GVPSAHARRGFTGLRKLADAVPQVPAFWEMWQQGTRRFAGAGISPRVQRL AOR_1_1144114 MLSKMYRWLVALTVCATQLVQATPIQTRESDYFLPNSTGFRMQH GFETILVQPFGFDGFRVRAWPFRPPTGHEISFIYDPPLEGFENGQAHGLTFDTAFNGN HTVAIRNGNTIVRTSGWGGNPGGYRLAFYRIEQDGSESLLTNEYAPLKSINPRYYSWN GPGSEFSAEFSFSTTPDEQFYGTGTQQDHLVNKKGTVIDLINFNTHIPTPVFMSNKGY AFIWNMPAQGRMEFGQLRTKLTAESTTVVDYVIVATTPGDYDTLQKRLSALTGRAPTP PDFSLGYIQSKLRYENQTELELLAQKFKDNNVPVGMIVIDYQSWRNQGDWGLDPALWP DVAAMAKKVKDLTGAEIMASLWPSVSDASDNYLELQANGYLSATRDGPGTTDSWNGSY IRNVDSTNPGARKFIWSTLKRNYYEKGIKNFWIDQADGGALGEAYENNGQSTYIQSVP FALPNVLYAAGTQQSAGKYYPWAHQLAIEEGFRNVTDSKEGEACEHISLSRSGYIGSQ RFCSMIWSGDTTSAWETLGLQIASRLSAAATGWGWWTMDAGGFQPDPTVPWSSNIDTP EYRELYVRWLQWATFVPFMRTHGQRVCDNQDAYTCNNEPWSYGEKNTPIILSYIHLRY QLASYLRALFDQFHKTGRMIMRPLYMDFEKTDPKVSQWTQANNNVTTQQYMFGPRLLV SPITTPNVTEWSVYLPQTGQNGTKPWTYWWTNQTYAGGQTVTVPAPVEHIPVFHLGKR EDILSGNVF AOR_1_1146114 MVSVIFLLSLLGAVFANSVLSRDTPSGSITSPVHSSAPITLSVP SSETTCYTSSQWLVISNTSVFWPTSTDYIYGPTTGPGASDVICAAQWMQYDERSRGLS SLGPTKTTTVTLYTPTSTGACRTSIRPEQYSDTHTGPVTTLCDGVARALGPRETATEY YPGTGPCSTFSESRIATTTVNREPSASPTCRAELEGCIGVWQTYSSLSSEYAASITSP IPGDTRSPLRPAHCPNTAREYPEENPCSECHFIPGTATMFYWPVTTANGDLCAQNGTT IPATQTGDGPNTAILDTYTLVSPSVYISFTSINAWSNRRHGHQCGERYSNQLISIHPT AVTSLREHRNARYPYEGTPYPFNFAEFLPQTIGNFTQSLIPWPQYRGGSQCPLYDPSC TMVRDDYMPFIEVPREAAEIDSHWERCSRRWLVPAVTMVPIVDGTAVAPTPTGELEVM SIEADAVPEGMVEAPTPIPTAELGW AOR_1_1148114 MATKPFQHLLHDKKHVIHAPEETSKVKVENCIGFVKVPVGIAGP LLVDGPNATNEQVYATLATTEATLVASCARGCKAFNACGGLQFDILGEGMSRAPVFKF PTPRHAIKFAKKVPELQSQFTTVAESTSRHLRLQKMVPHIVGSSVHLIISYYCGDASG QNMVTIATQKACQMLVSSQWGSEYGIVGFQLDGNMSSDKKPSWGNVKMTRGIEVMAWG TLTNSACEKILGTSSYNLYSTLLTGKEGGIRNGQFGCNANTSNIIAAIFIATGQDAAC VAEGCWSHLVPEYDFDTKDLKISLYLPSLPVGVVGGGTAYETQNECLQIMKCLGPGMK GRFAGLIASFALALDVSTCSAVANHTFSQSHQRLARTSQQRTPKSNL AOR_1_1150114 MAEFNRSEVLGSVFDTTNRYVNVRPVGLGAFSLVCSAYDLVRGQ AVAIKKLLNPFATTANAKQTYREIKLLKQLRHENLIGLCDVFISPRTDVYLVTELLST DLARLLEAGPLEPQFVQYFAYQILRGLKYLHSAGVVHRDIKPSNLVIDENCDLKICDF GLSRPQDHRMTGYVSTRYYRAPEVMLTWQRYGVEVDIWSAGCVIAEMFNGKPLFPGQD PINQFYLILDVLGNPSDKFISRICTTNTVEIIRSLERREPRPLQSVIQNLDDSARSLL ERMLTLDPQERISAEEALQHPYMKMYHDPTDEPIAEERFDWMFNGGEFDKEMLKEMIF MEVLHFHQSACLAMSPMGLKEPTIPQFSETESFLNPDSYVNWTPCL AOR_1_1152114 MRFLSGFVSVLSSVALLGYAYPTAIDVRDIPTTQLEDFKFWVQY AAATYCPNNYVAKDGEKLNCSVGNCPDVEAAGSTVKLSFSDDTITDTAGFVAVDNTNK AIVVAFRGSYSIRNWVTDATFPQTDPGLCDGCKAELGFWTAWKVVRDRIIKTLDELKP EHSDYKIVVVGHSLGAAIASLAAADLRTKNYDAILYAYAAPRVANKPLAEFITNQGNN YRFTHNDDPVPKLPLLTMGYVHISPEYYITAPDNTTVTDNQVTVLDGYVNFKGNTGTS GGLPDLLAFHSHVWYFIHADACKGPGLPLR AOR_1_1154114 MSHGLVEKASKETVSATSGGYLAPFPPRTVDLESQRRPSTLHDS VELERINTSRLQQQLTVGSNRSRIPREQWLPMGAGKDYPPPLPDAEEYVVEFEGSDDP MHPQNWPMRRRVLIGSLLTFCALVTAYVSAIFATASEGVMKEFGFGKEVAALGTTLYV LGFSAGPTIWAPASELIGRRWPMLIGMFGFDIFTIACATAKDTQTIMLTRFFAGFCAA SVIALVPASLSDLFNNHHRGVAIAVYTMSVFTGPFTAPFIGGFTAESYLGWRWTFYIP AFVGFFSLILMALFAQETYVPVVLMQKAAILRRQTRNWGIHARQDEHEIDFRELVTKN LARPFLILFTEPIAFLLTLYMSFIYGLAYALLSAYPIVFQGTYGMTGGVSGLPFIGLI IGEIAGSSFVLSLQKSYSRKLEANSNVPVPEWRLPPCIVGGVAFAGGLFWFGWTGWNP SIHWMAPTAAGVMVGFGITSIFMQGFNYLLDSYLNFAASAFAANTMMRSMVGACFPLF TRQMFNDLGIQWAGTLLGCIAVVMIPIPVLFLVFGPRLRQRSRLAPAMGVKQA AOR_1_1156114 MDSGFIRDNIPAIASIAVLVYVLSVVYRSQRSHLSYLPGPWYTK FTDLPLRYKVVTGQRPRYVHALHDKYGPVVRIGPDEVDVSDISGAREIHRIGSGFLKS PWYSLLNRKDTQSIFTTTDPKFHNAHRRLLSSPMSELSLKSMEPLIDARVRLTIQKMQ EEMKTRGVADVYKWWFFMATDIIGEITFGESFRMLEKGKKNQYVKDIEMISVIGGIRA SFPILVKLATLLPLPIFKEVNASGNRVFGYATESINRYKRLLAENPENPKPTVFTKLY NAGEEGLPDNEIRDDAQSFIVAGSDTTANTLTYLVWAVCRDPQIKKKLVDELAEIRDD FTDEDLRSLPYLNQVINEALRLYPAVPSALPRSVPPKGTTMGGHWIPGGSTVSTQLYS LHRDPVAYPDPEKFEPSRWASPTKLMKDAFMPFGAGSRNCIGLHLAKIELRLATGYFF RYFPNAKLSSKYDFNDNDMEQMLFFLMSPKGKRCLLEV AOR_1_1158114 MSPSIPKTMWAAQITEFNKPYTISTVNVPPLRPNELLVKIHAAG FCHSDIQVLRGELNSPLPLIPSHEPVGTVVQVGKESALNWKVGDRVGILNFKNACGNC AGCRGSRKRYGKLDPRFCDHRETGGFKNDGCFAAYMVADSATTILLPDSVSFEQGAPL LCAGATAWGAINKARPFLRTGDMIGVVGIGGLGQLGVQFAKALGYRTVAIDNRDASLQ LTDDMPTEFRPDFIINSTHDYASEKILERTGGEGLAAVINCADSIAVNAWSLGLLRIG GVAVLLGLPPEQWRFDTHPIVFRELVLRGSYVASRGEVEEMMAIVDQHGVRSQLTVVT KDDILRVPEMYLSRSFRGRLVVKFD AOR_1_1160114 MAHYQNGQPPYGQSGNSAQPSRYDLYTSASSNSQHNPTLRRMPS YSIGDDAGLFAPSHSHNPRPTDASARYSEWGAGDSQGGYSNDGQEYAEHPRYAHLPSA TSSQIPRSRASSQSSYQYQYTSSIASPTQTAYNPQQYAVPSTPSQLNYNPLAYSSASS SSAPGYQPYNPAAYQTTTVAGYSSAGVQRHPSVGYAQAPPTPLSYAPPVPSLPPPPPP RGPDHPYGSRLSPQYSSSTSPGTPYGLAPTASSYNLASPSTPSATYVSSFTGMGSAQS RPYSSGSYGPSSPRRSESAAAASHEDQPPEPPAHASSGDDPYGKRLSLTRPGSGRSLP TPPIYPPQPPVSPQRTDTLTRHPQSRPLPGPPVDAEDDSAPLTNGGSLHGRPDGRAGY DDLLREVEAAVLDAGDRSSLRSLRLEAQNSNNGGDGLRLSPDEKHTHTNGNMATGTGQ YVNYDAYSDDSDAEAAAGLAMLQMADEEDRMRAERLQERERRETNASIISAYASQQQA EAGDEAVRYGGNLRGQSQYEETDVSADEYADAVDHGRLAPTSGSLRSSNMSAEDRGQY SDEYEYPPMTQDAEYPFELVPSYARVDAAGTGGLSEPSAYGRRMSFDYGDEGTLSPVR RSHQSGSDDSDRGEEPGDLFFHPGMRPLPPAPVEPANRADLIPHLMPAGTYRHQEQED WQSATQYTPSYYPVSADSYGPTVPSPSSQVPRSTSLSSHPIGPRTDPPIRSKTDADRA KYKQQHELHRQSGSPKVASPQAAAAMTLDLPTIPAGRRKKFNPTKLSTEQFRRCAEPW ALSAILEWIRELSEEETDLKEHTIVDAIVALFTHKVPTMNIADAETLAARVVENMLAE EALIKEEEWVKFGNGSLSGVLFQITGTGCYSSRLHEQETEVFGRCYSHHCMRTLKKVN LRTQVMAQQKKAEDWVTFYKVPKELWETYPKKEIDRQNNLHEIVTTEDSFIGQLDILR ELYRDQLASMQPSIIPPKRANKFLKDVFGKVDLVKKVNEDHLLAQLKYRQKEQGPFIA GFSDIFRQWIRKAKAVYIDYAATFPHANYLVRSEAERNIQFRQFLEQAQSHKLSNRLS WDTYLKAPITRIQRYTLLLATVHKNMLKDSEEKANLAQAIEEIKLVALECDNKVGETS KKVSLMELSAKLQLRPEMKREVELNLEHLGREIVHQGILQRPGTRTRFLQETHAILFD HYLVLAKPVPKYKVFDVSKLPIPMDLLVVESTNEDPVVKSSVRGVATVTQPQAVATRA VDGNGANAAGAAAGKTIVPTTVLESSTDNKILYPFKIKHLGKNGTYTLYASSAQSRQD WCEKIIEAKTKHAAALFAQNAEPFRLRVLADTAFASSDYSPPPKSVIIEGTPLDRAIK DVEHRFGDLKRPAPVCRASVHSATVFQQPPGRMMCAIGTDNGVYISEYNDPRGWVRAI QIPRVTQIAVFEEFNVFLLIADKSLIAYHLDVVCPPSGVPTPTTSDSARRAPQKISGS REVGFFAAGHMKDRTLVMYKKRDGLSSTFKIMEPVLQKSSTSKSRFFSRRSQTEFFRD YDEFYIPAESYGINMFHSSLAISTQRGIEILTLDKKQTWSVPDFRSEAPEAQAQLTSI AARITNLRPLGMFRLSDSEFLVVYAECAVYVNKHGDVSRSVVMEFVGRAHTACLYGKF LILFNEDFVEVRNAMNGRLRQVIPGHGVVCLDDGSSMPGSGVNSIPTTTGGTVNLSSG LSNGVALANNGRTVKICMQHPEYERNQIILELIENEGQKD AOR_1_1162114 MMQWTSFVQKAQSLIDPANFTLPTLTSTDRNPSKASLFRQQFRL PDSQNPLQEIAAELILPIPHTSSSTSSGDQPRNLDRAGNRYAGRLHLSERFICFTTQP TSFVPSATHSVSTHWAGQTNGTGPFGNGFTLPLCCVRRVERLNSLSHVFSLALTTWNG ALGKQQSPGFIPQRFTIQLVGSRQACERFCDGLKKGLRECMKEIESLRVVVNDCYSEY LLSGAKSKGQDGDNTEVRQPPDAGLGMIFRYPGDARKLRDRSKMRLWGEYFRENGRNA TLIRQPTFHKLIRVGLPNRLRGEIWELSSGSLYLRLRSPNLYTETLSKFSGRESLAID EIEKDLNRSLPEYAGFQSEEGIGRLRRVLTAYSWTNAEIGYCQAMNIVVAALLIYMSE AQAFFLLSVLCDRLLPGYYSTTMYGTLLDQKVFESLVEKTMPVLWDHLTKSDVQLSVV SLPWFLSLYINSMPLVFAFRVLDVFFLEGPKVLFQVGLAILRINGEELLDIQDDGSFI SVLKSYFSRLDESAHPRSENPKLRAITRFQELMVVAFKEFSGITHQTITEQREKHKDA VLENIESFAKRTSIRNLGPDSKKLGMDDLGVIYDRFYEVLYEHQQRQRLLDEEKKRQQ RKRVERTSILGPPVDREVGRVGLGPSPTHMDYDAFRDFLAATAKWAVGDTPGSSRKES NVEQSANSFRGFGKSLAWNNKSEPADHEFMQRLFRKWSTEPSEGLSLQNVVNGLARLK GPRDIMNNINYFFDLYDDNGNGTVDREGILRMSEALLFLSRRGFDGAITPSEPLEEVG ERDRFEQDKLSTDERFLGSVSSFIRRCFEYADPSNPEGKATQERKDTDTEEATEKLDA FAIGDDDEEEDLIDIADEAKPEPSDSKKQNSDTQHNRGASEAANPALDPNNPLHITLP TFRMVILADELLEQFFDTFFPQSFRLSDQSLPAAKLTSLSSNLTTFSNINTPKPHTNA AAGATVAGASGGIVPPGKGLRGVLDNIVSDGIRMAAEVKKRMDEAQRELERNALSRPQ EDDEEDDEEDYDPRSGTSAPPTIVGGISSWGAGAYGADPERRSVRDTDRDLLEGAEVV SIHKKEDTSLLDEKEEHQHAAEGSSSRQQGQSSSHSQNDGNVVSKVEFES AOR_1_1164114 MQLRSFLLGSAAVLGANAFLVVPEVEVEAIASEPEFAGLHPLES HSSQQQNVDLLCTECPFREVTENGKSLNFSIEDGTLLANGRQIFPPPPPTVITAVQRR LSDDQESEPIPLGYAVEMLPLPSPPEEPIDLVAVRFTVLDLDSHPVPLNTVAISLLHD PEGNLYMVKTDIEDNTPNRVSWRQCRGKPMCLKRLLFNRIRALFAAAKARMLGVMGPK PQCGRPRPPHPHHDGMPFPPQAEGHVGRPGHPHHHHMHHGPHGNWERTASRVVRFIVI PAALGVLAGLAASAVGMLVGQVVVFLWQRYRRSDREESLEQGTTSEKQGLMTESTDDL PPAYSDEEEPAEAAADHKN AOR_1_1164114 MQLRSFLLGSAAVLGANAFLVVPEVEVEAIASEPEFAGLHPLES HSSQQQNVDLLCTECPFREVTENGKVSWTDGFQTALSLNFSIEDGTLLANGRQIFPPP PPTVITAVQRRLSDDQESEPIPLGYAVEMLPLPSPPEEPIDLVAVRFTVLDLDSHPVP LNTVAISLLHDPEGNLYMVKTDIEDNTPNRVSWRQCRGKPMCLKRLLFNRIRALFAAA KARMLGVMGPKPQCGRPRPPHPHHDGMPFPPQAEGHVGRPGHPHHHHMHHGPHGNWER TASRVVRFIVIPAALGVLAGLAASAVGMLVGQVVVFLWQRYRRSDREESLEQGTTSEK QGLMTESTDDLPPAYSDEEEPAEAAADHKN AOR_1_1166114 MSFHGGFSQWTWQQAVNYTQTTYLHHHQQQYSDNIDPQQTRRDG YNLQAKNQELKQTISVLLCEKHKYREDLKASEQAYQLAQHVSYIAQSNSETQKHYFHS AEVAYNKAQEQTRRYSNLLTDAWVKTRALEAQLRFRGYTTNHLNAMLEDKQAMIDEYW RRMGQRDNMCWAADTCAWRYNLVEEGEILGEILFRISRAADEMECLFRGYRKGLTDRM DVVSEGGDGGDAMWRAAPRAAAGNVDLASLERDLDALINGVWPACGLGSVRERNFSSI TTEAQASGAVNGTNAQMQEESESEYEPPETIHQPSTPGKMFASSTQPLQVTVVGEWMA RYVTRWVVLSKSDAGRNNWFHMYGTGTQDVFMFNGPQDPVGQPIDLHAFIQDVELTQA SQETFDCGMFCALPTQGYQAMQNATPAEEPSIASMRYKSSRLYMNAKGELVQIRDPAT DVKQEISPVMEQSSSQESDMERQNLQSTSSSRVGAKWWLVLLCFVFLWYMRPSGETDR QKLLETNQAPKDLRTRIQRNRDSEFAFMQMLAYEMTKRSNIDPMALG AOR_1_1168114 MPLAKSEYLSDTWKDGLFTNKVVFCTGGAGTICSAQVRALVHLG ANACIVGRNVEKTEKAAQDIATARPGAKVIGIGAVDVRKFDSLKDAVDRCVKDLGGID YVIAGAAGNFLASINQLSVNAFKSVIDIDVLGSYNTLKATIPHLVESANKHKVDSKSL KPSPLGTGGRIIFVSATIHYRTMPFQTHVSVAKAGVDALSHSVAVEFGPLGVTSNIIA PGPIASTEGVDRLVPADAMEGYIKTQPLGRFGSVRDISDATVYLFADTGSYVSGQTLV VDGASWRMSAGGAASGSLAYPDFLLSGDAVPNVKGQKSKL AOR_1_1170114 MNHSQLSQGISDHFDLYYHTHRAFSFSIALSLPIMACPLTVSKF VGTVSLGLLTGLSYSASTVTIPSLSLLPTSANASRALHEVKRLNRKHGLRLTNVANGC LLFAYCASPKHRKHPYLIWMIATSITGTYVADYFFHRSMGFKAWIQSFVQDAGCASFS RKNAQKKEEDLVVVEAEENVNGESVQREMATERRFQRVRAVLSGLALAMGIVGLWGDR K AOR_1_1172114 MYILLLLPVLIVSYHVLHLIYITLTTKSLLSVPGPFIARLTKLW YFDRVRRGHFEEDNVRLHERYGPVVRIAPDHYSISDRAAVKLVYGTGTKFTKSAWYEG WKHPSPERWTLFPDRDVRRHAETRKRFSGLYSMSSLVHYEEFVDHCADIFSQRLTEYA QREGSLNLGHWFQCYAFDVIGEITFGKRFGFLDQGDDIEGTIAALQKTMVYSTLIGIY PEWHPRLFELLSHFSWSGAGGRTYIMRYVQEKIRRHSEPAKRDPEQGTLQTQDFLEKM ILARDKDPEKVTDYHLFMMGLSNVIAGSDTTAISLSSIMYHLLHYPAVLEKLRREVDD FTAQGRCSARVTFKESQEMPYFQAVMKEALRMHSATGLPLWRVVPAGGAEISGYYFPE GTTVGINTWVAHYDEEIYPDAKKFRPERWIEAEADPERLKIMNEMYMPFGLGSRTCLG KHISILEMSKMIPRLIRDFDFTTTSQKWSTENYWFVKPTDFVVKVRRRTSSAKQA AOR_1_1174114 MAHRQWLCSVCSTHFTRMEHLRRHLRSHDNERPYACALCQRTFT RKDAMKRHEKTCKVQTTAINALDTLPRMYDKGNNHSVNEALFQNTDSAIANEVACLPN IPASSDFEALDFLYSQDLTPDSTALAERLEFLAYFTSAKGMATFLDQDTLNQWQKMLL EYERHIKVYGHDRDATVPEASETTIDPSVVHMTSDDSDLLFLQTQEIIHDIQAIIAQK ADKSIIKLDWSPSVQESCSILFAPNNIRRFLGYFWSLWYPNCPIVHRPSFDPQTAPPT LLCVMVIIGACLSPHDGDGSLARMWLDTVEELVFSNEIFQERSAMATTPPVLEGQTEW KKRRVECLQMTYLVCSLQKREGSIEAQTRSRRYRHAMMVTLVRELGLSASHRDLELKA PSESWWRKFIVEEERIRTLLYVFCIDSAITMLHNSPPRMVVSELKMDVACPEECFQAG SATECFTALSAWKDSVFWRERLSMSAVVKRICQRSLEDHLLHEFSRMGTLNMCTLVLS LHSLIFSLQNSLIFEYTFTPVQTGLENWGRIWNKRIPEDRYTPDNPHTIWKKIGFVRY AAEFWHLARIIVARVQSGSLARSQKESSRYDHTDMVDVNGLIMEYRRMSLNAPSPI AOR_1_1176114 MSSNKALIFKQVPTGYPVPGKDLTIQPALYDKDIAPAENGIVVQ SLYASFDPYMRGRMRSADTKSYIPGFELDKPIDSLGIAKVIRSNNAAYKEGDIVIGQI PIQEVVSLDEGSIAKVRLLQNPLGIDLSVFLGALGMPGLTAFSSFYKIGKPKQGETIF VSAASGAVGQVVGQLAKHEGLKVIGSVGSDEKLDFILNELGFDGGFNYKKEKPADALA RLAPQGLDIYYENVGGEHLEAAIDALNQFGRIVACGMISEYNSAPYPITNLHKVVGKS LEMRGFIVMNPGFADAYMEEHQMKVQKWISEGTFKALTHETVGIENAAEGLVGIFYGR NKGKAVLKF AOR_1_1178114 MHSINIPSYSDPSGYILSELPEPEITDSKDVIIKVYAASVNPVD LKKAEGVLKAALKDTFPYKIGYDCAGIVTKTGQSVTRVKPGDEVYVRLPESHRGAWSE YAKCPEEFIALKPPSLSFESAAAIPLAATTAFQALQKYNGDLAGKTVFVPAGLGGTGL YACQLAKHVFHAGKVITTVSTSKIPKVDELLGKGTVDQIIDYTKSEPKDAIPHGSVDF LFDTVGLAMEYLCLMRPKTSRIISISTLPSGNLLQDSSVMRLPHRPTLPFVYKQALNL LDGVRKLRARRYGVEYSYMFLEPSGKDLDLLREYVEKGKLKPVVGTTANMWDIEDVRK ACQVVYSNRGGLGKVVIQVVKPENAQ AOR_1_1180114 MANPGHLKAAAYGSLVLAFGHALSSRKFMRLRRFQELPSIAYVC STVGWFQGSGYLVLTALLNLQWSLNPQALDEPLNRAIAGLLTLIAWGSSVSYLRGGVI SSGLITAAAGAFQAWAAFRG AOR_1_1726114 MTENICLVTGASGFIASHVVQQLLESGHQVRATVRSIKNEKKIK HLLDMQAKWPGKLTLFEADLLKPGSFDEPAKGCSVVYHIASPFFIESRIRDGQKEVVE PALKGTQHVLDAVQKCETIKLVVVTSSVAAIFGDNADVQSMKDNTLSSEYFNTTSTVT HNSYSYSKVIAEKEAWKYYEAQPSPQRWRLVTINPGLVLGPSLSPTSESGSLSLLDQL LRGELFLGVPDLWFATVDVREVATAHLRVAQNPDSHGRYILADKETHSFVEFARILRS LAHSSRIPEHKLPNSLVRLCGPLLGLSQKWLKLNLGIGFNINNHASVEELKIVYRPLE ETLADHFRSWSAQRKSH AOR_1_1184114 MATIINGQVFTPGLAIIDSPQPYTPLGGDSLQVAIDVSGNGQLT SSPSDATKFKDITLFLTSNELSKNFTISNGTEPKGNAYVGPVLEINPSTNVQQVNWNW PECLVGDEHSGSGSARGSYNISIHQSFKWDGKDYYTIFDLPVNITNAISKSDDRVDCK LLENDLLKPDEIAASNDTLPGQPWVDADASDGGNSSSSSGGGETNSGGRVRGTMKQWG LFAMVVGGLLLV AOR_1_1186114 MPEDKSSAATRRLDQVSAHLSPQRLPPDYADVRSQISTLRKIAA TPDINRRGYIRQKQAGKLWVRERLEELLDRDSFQEIGSLSGTVTWEKTGPMREKPVSF VPSNNVQGMGKLRGRRVLLTADDFSLRSGHADGATAGKTNYLEKLALALKLPVVKLVD GSSGGGSVTTITKAGWSYLPYVTMYKHVVDQLNQGIPNLGAVVGPAIGLGAARVVSCH FSVMAADIGSLFNAGPEVVKGATFEEGLDFQSLGGPLIHCTNGTIDNLAANEAECYEQ IRTVLGFLPNCGRETPPVIASNDPEDREDEALRRIIPRRQTRMYNPRTIITSVVDHDS WFEIGALWGRTAIGGLARLGGRPIGVISLNCEVNSGALDAAGSQKLTRLLKLCDVMNL PVLQFIDVPGYAIGTVAERTATMRWGVELAKAYYSTTMPIFNVITRRAYGVAGGIMLG ARDPVMQVAWPSGQWGSLPLEGGIEVAHRHELREAEKEGRKAELYQELEEEYRRLMNP VRTANAFGVEEIIDPKDTRKVCCAWARHVYKALTPERLADRAAGRIQPVFS AOR_1_1728114 MRGNDLIRSETYILVKCSSIAKMCAQSIQRLLVANRGEIAVRIL QAARELSPSIETFALYTDDDRSHCDIGRPHHAVRIPSAAAYLDIPLLVNLSREHNIDS VHPGYGFLSESAEFASRMHDAGITVIGPGPETLSCTGDKLKAKQLATQCKVPVLPAMP RSTSDLKEIRTFARQVGYPIMIKAVDGGGGRGIRLVRQEGELEHAVRAATNESPSQTV FVEKAAVDGFHHVEVQVVGDGIDVCHLWERDCSLQRRFQKVVEFAPSLMQNREVVEQV LNAALRMAKAIRYRSLGTVEFLVHNHRREFYFLEINPRLQVEHTITENITSVDLVQTQ LKLAMGLSLAQVGLLKDRPVQAQGHSIQLRLCAEDPTRDFHLSIGKITEFIVPSGHGV RIDTHVDTSGSSPVTVGANFDNMLAKVIVTAPSWEATVSKARRVLTDIKISGVQTNLN LLRGVLSHDDILTGQVDTQWLERHLGHVIQSGETIAQSVRHEASAQSFHSTMMPSISS TNVLFRPGDAWSITLEPLGTVQQHHLQLTRVLQNDFPSSMAAEIKYTTPSSSMAYRLQ LNSTSTTASALVSSSHRRGDVHNPRHIVLPLSGKLIEVLVATGDQVSENQVVAFVKQM KMELEVRSPRGGRVRWVYQMDEEEEDVAEVVPIMESLTLYMLTFNCARNPVDVDRFAH HFFDALPLTDSSSAPTPPDLIVLSLQEIAPIAYAFLGGSFLAPYFASLSQVVERAVSN RWETHYVNMVTDNSGMTGLMVYARSDVAERISSIDTARVGFGFQQMGNKGAVGARLAY ATQNTLDDTVDLTFIAAHLAPMDADWQQWMQSQMARFENLTPTKEHYKEDAQICDDAA NMPRRLLSRELGAGNGTLASRQENSDYDRLFVSGEPMTAYKIGAGSNFSRPFSRSPSV RTIVTASREHGLDATPPFPIPTSVSPIGGGSSFTVSRIRARLDQQGSLPMQSRPMNRP WMPESPTPKREARELSQRLTLSRKYGGSSAKWSQAQDTGPVPFRLSRHRDSTRFTNEN IRAGSRHVDGSERYPLSQGTYSPMSSKRMVELFLNSRRRQMGMEMSDDSAPDGAFL AOR_1_1190114 MYSCANYPRGCRGRVNIQGGKCADCVQLKLRRPSSTSPFAQSRD YRRALPSEILNDSPYRAIARELL AOR_1_1192114 MLSVQRQFGRFMKRSADDSQVAILLKDFDEANKLLARIVDSTKA WRDAWSSLLTYQARILSEFEGLYAPIIASSDSSTVDKAVPTPRETLARTANLAQEYEE LRSELLEELEAINERMIKPATQAMDYMKPLEKTIKKRDDRKLDYERYQGRVDSYSKKT KRSDRDNASLTKAESDLARATEEYQAADEHLRKCLPPVITTAFSLLPRLLATQIEIQN TMLAHYYTVVQNYCEQEHFPSPSPPMEQIIEDWEQAHLPTQQEVEALGCIANGKAVRL SPGSPDDQRNGHSSRLPNGLNFRRPSSSNASTVGATSTLTSTTNLQPPSSAGRRLSAP SVHDTKPTPVMDTKPRLNSLASSPSSTLTVPTTHLSPQTPASASASSPAESYVSTRTD YFSRNQHPSDSAATSPGYSVLAAAKKKPPPPPPKRIGSNQGLFVTALYDFGGQSAGDL AFREGDRIRVLKKTDSTDDWWEGELRGVKGSFPANYVE AOR_1_1194114 MSTPLSSAPRATPGMSVPATQNTAPVIKFRCLYTHDMRRKAKRW QDGYLRYHTFNKRIMAYDITGNFIGDLHWRQGDAIQDGDELELDRGVLIQVCEPMEKT ETDISGLYSNKKSQGSPSRPGEPPMPSLHTSTPLRSSIGSQSSRSLNDLLGIKKTPIG RMVSPYEERHPPEQSKGHAQPSERAVKRQRLASENVPRAHGSSRPHPVTIDLSEEPPA DKPTAVATDTEPVVQPKKTPSLVKAASVTVSPSDKKPSGRAQPIITKTQNPVNNAPPP SKESTRIPVDTPVNTLRLSTERPRRKLMYSALLPGQTAAKVSLPSPFSEKTNVPVRET PQLGNRSDKPRSCTNER AOR_1_1196114 MAHAMQLGEVPPVFKIDLSLPPSKRYTHVARQYHNHLVSITGLF DQLITDAFPKSLLPWLKRLSRLFLRRLYTHEETEEIKGISRATGIELYLLVSFNVLLD LLMGCTSGAALTKLDNPTGEQSQPRLLHFRTLDWGMDELRKLLVCFEYVRGPDYDTVL ATNITYIGFVGVLTGVRRGLSVSLNFRPNHDTSSWLRNYRYYGSHLLVLFGLRRSISS MLRGYILPPDGSSPPPALDKIWPTVMRTPSTAAYLIFCDGVDVVVLEKDHRTAHVERQ SSFIVATNSDHAAPSVRENGHQGDHAGAALGTGVAVSVVDLIEDSEERRKFMQAHWDK KVRQARKATSIPECTSSTGRQDPLRRTRASQRWGGGSSHEFLPNGSSLPTPSSGKLVD FQVTATLKEVIKWTTTYPTTNEMTHFAAVMDPTEGKVVWIRRYLEPLVFYTRY AOR_1_1730114 MIELLLREGADVNAIFNEKTLIENLMFYYNTIQVCFNIIKIFLS NGANPNSRHYPIEGRLKFWYPLLHLVVRMDDYIGIGPRIDLMRSLQRHRADLNATDYL GRSFLEILSWKNRPFPKNEWYWLLKNGAKIMKSMISTKFHKNSTTFAVPRKGQLYGPQ YTDEDDESMDSLDFACSMAYHIECRLGHTIFDSDYENSDGSATSLARRKFTKCRYGGL CDRFSCHNAFQVLSELRFRSRGWYTEEAASAAEEMCPGWFCS AOR_1_1200114 MAKAIFKDTGFTVLHEGHSNPELDIVFVHGLQGHPEKTWTSFSQ PFRDKPESLLSKHEYCVNSRIMTYGYDSNIIQFVKTANFSTISGEGESSKTDAAYYFG LLDEIDGELTLQGTLKECWPTDEVPWRDDPTT AOR_1_1202114 MVKLEPFEVDHWILTRDIGPKYNLAHSYSLPVTINDLKSLSENE ATGDHLLASVQSMPMNYGPSFTGLEQLRENIANLYSDESSITISSDKILTTPGASLAN FIVLFALVGPGDHVIIQYPTYQQLYSLPASLGAEVSLWQAKENDNWNLDMEELKGLIK PNTKMIVLNNPQNPTGAIIPRSRLEEAIEIAKSHSITVFSDEVYRPSFHSIAPEDPDY PPSALSFGYENTVVTSSLSKAYALAGIRIGWIALHSKSILDLCINARSYALITASQVD EQIASLALSPSCVHNLIKRNTTLAKNNLHIVQRFIDEFSSSCQWVKPVAGPIGFIRFT RSGHPVDDVEFCTMLLEKKGVLLVPGRKCFGDGKNFSGYVRLGFGGDTEVLKAAFDAL REFMLEDYESLPLAD AOR_1_1204114 MASINEPTTKMWLASLLEPSLLLLWAMSYHVKVNLEAVFKRGQI LAPLLQPGRLRDEAFGRFWVSFSSDYAANLEDAVESQPPPTQTGVQRSSDLIPPVLAH ASGLVLDVGPGTGTQMPLLRSSAIKAIYGAEPCHGLHAKLRSRADAEGVGEKYHILPC SVAASELVPALQEQGLLPAGTTALDQTTGGAFDTILCVRVLCSVPDLEQSARDLYGLL KPGGKILVVEHVVNPWRTAKGSIVARVVQAVYGFLGWSWFIGDCRMNRDTEKALRGAA EADGGWESVEVEKWFGRTCMPYIAGVFVKRG AOR_1_1732114 MDDPFVSPAEAVAPRESHRYSSFDTQLFSLDASSPAQVKRALEA HLAETERRLEEASKLGTALIEQQKELEDKLKAVEQQQEASQIGPDLQRRLVDLEREYR EIGRETARAFLAPKRLAGGDDGHLGTPSLDQKSPLSPALFAGQATNSPSKVSVPSRKQ RNQPSSRVHDIEFATEISTSLLAQVRQLQALLAEREEALKTVNLEKSRLELEAEGYTQ RIRALDESEERYKDENWALETQTRELMSAVKDAADRESRLNSSLGAVTTEKNTLEREL EDLKQTNTKLIEEQTAAQKANDAEIHLLRRNLSSGDAERLALQKKVEELNSQNEELAR AVAMRLRQQEAETVREVHRDDDSDEDGRGTPENSPPPSPNKFTPRHNQLETETLRSSL GHAHRMIQNLKSTIHREKTEKIELKRMLQEARDEIEQKRREAIAPSGPSNKRQKTKPD NSRKAARPNLLGAGRRGTTEIEDVHETDTDWEDHATDTSPSHKAALRSYRSRPELQSS DEPSDAYHTATEADDAFETANEREAATESEAFQTGVESMADDSTDTDELTETEDRIQL TPRARVSSLTLAKARDRKSYQSTASTSGEEDELSDGMFPSPSRTSTPRHRLRNKRSVS RRIRPSGEAPMASDSRPSSSRESPATSFTQTLGDSEGQSLFAELAELDGEEDEEAEFG PPIEHDADSTPRILSMPDSRRSSQATLNTRPKAVMVDSGVMTDPWEPSAPVVVNDENV SDVLVTPKKVTSDAETAAGTREQPELVHAATQGASPQTSSEVNGDQLSSVLATSKMIS DVDAEREINVPAAVLPQLDISPISTQDTVPVAPKLPELSMSYVVGGSTEPVAGPISDP PEMALSAISLQYTEPISAKLPEPEPKYVPEVVVSSIFSEGTQPVAATLPEPVPEPSIS VAEQATSTDLPQLACSAIFSEDTQPVMATLPEPVPVPVPMPEAPVSVTERDISTVVPA LAVSTIFSEQTLPVMATHSEPVPEPSVSVAERAINTEIPGLAFSTIFTEHTEPIAAML PEPVPEPESTVAVGQASAEHEVPDLTVSFIPPESTEPIAPREREIPVPASPQLSVSTI RSVETLPVEDTPATAIIPAALPSDENAPLMQTRGVMVDKPTSESLPIVVVEDEANDSS DYDTAGHQKDVPSPLKAISGNAVPRHARRRSSNQADQGAQTILSSKQIDQILMDRVTA RPLSPPDSDKAKEQGNSPAATPRARPRPQHQSSAASLNWRPGSAASQASTVHSHPPLP SEHKEAIIAAEKKSLDQQPATPGLMAPPLAPASAFRAPRPRTPNDSAPQVGSVRSSTS RARVRRESQVSRRSSVSSFASEIEERFNTHSTPAGGPYGYGSGTDPRMIQAITQTMIG EFLWKYTRKTVSGEISNTRHRRYFWVHPYTRTLYWSEQDPQSAGKSERKTKSVPIEAV RVVADDNPYPPGLHCRSLEVVSPGRRIRFTATTSQRHETWFNALSYLLLRSENGEEEN NDLEDIDEFNPGFRSGSRSRQRPRLSISSSQSRQTRNWPKQRAGSALSLRRTGTPGRA SPALSTPSHYSDQRNSSTSRLSTILNTTLKGSFGRRGPPGYATSSIHDGSVYGRDSEE DLRQMMERQEQETDRLENVRACCDGKSMPS AOR_1_1206114 MTDETQVADEVPQFSFKKRSNKAKANFRKKPEAPPPASDSDFTS SDDEEGRRIKRRRKNAAVTASSTTNAPRRDEEQPVTATPIPLPSSNDATKHSNWYDDE LDAKNLLGNTRAQPTSNAPSASDGTYKGAANYQSFIQKNPDSLAKQFGPIKAPTNIRT VTFMDYTPNVCKDYKQTGWCGFGDGCIYAHIRENVLQGWELDKEWDKNTQGKKLDGKV VSQRGGDKPKDDDDEDEELLESIPFACIICKKSYQNPIVTKCGHYFCESCALQRYRKN PSCAACGAGTGGVFNTAKKLNQLLEKKRERARKRREQAIADGEEVSSEEEEEAESA AOR_1_1208114 MQAHQAATANNRGASKSTQPASPDGNDEKDCQEFAVEFIKTVSS RCKTLDPSNIKMIYPSDHPNQESNNLEIQRNRPKDDSIPSHGQPKPDAWILKLQPLKE FIRPILRSTGGLSARVALLDDGAKLTGKYGKQKGQSFHPDKEEYFVGPCIHGTKMADY IRQLCPEVELLIARLDDSRKVESGQRFTTASAYKALEWALAMDVDIVSMSWSFFRKGN AOR_1_1734114 MDKGPEAEVKDYAPVGIDGVIKIGSATLYGQQAERNLYAQTDFL LPGEDVQMRNGNKASGSSFSTAYAAGLAALVLSCLRAHMGMNDPEPYTSDSKDPEEER ARHLFQAKSSDGMKSIFEVLSQRPSNDKCAFITPSRIFGDKYSDSKEERLKAHKEYRR QPVAAKSSD AOR_1_1210114 MRCGFRLALCACLLLIVPIYLLALHLEEICNQYRAGAYLIDWLN SKKPHHEGLSHYHAKLGDKVIVMARLEEEPAEWVEQELPDWQRAIYIVNPSNKTKADK HKLNTALNKGHESMAYLTYLIDHYDNLPSTIAFLHAHRAGFFMAWHVDAPLHDNVAAM RALQLDFVQQNGYVNLRCNWNPGCKADHRINRHVTEQVWEEVFEGTSTPPLNSTTSPA ITEDPSTENRQTQKFLQAPKLVGAACCAQFAVSREQVLKRPREDYIKFRQWVIDTEKD DASSGRVMEFLWHVIFGKESVYCPDEELCYCQVYGKC AOR_1_1212114 MADEDQYRGDDQIDEEEEETDESGYKTVKDAVLFAIEVSDSMLT PRPSSDSKKPAEESPTTAALKCAYYLMQQRIISNPRDMIGVLLYGTQASKFYDEDENS RGDLSYPHCYLFTDLDVPSAQEVKNLRALAQDGDESKDVLKASGERVSMANVLFCANQ IFTSKAPNFLSRRLFIVTDNDDPHGDNKSLRSASTVRAKDLYDLGVTIELFPISRPGH EFDTARFYDDIIYKASPSDPDAPAYLQTDSKASPATGDGISLLNTLLSNINSRSVPRR AQFSNIPLELGPNLKISVSGYLLFKRQAPARNSFIWLGGEQPQIVKGVTTQIADDTAR TIEKWEIKKAYKFGGDQVAFTPEEMKSLRNFGDPVIRIIGFKPLSALPFWANIKHPSF IYPSEEDFVGSTRVFSALHQTLLRDKKAALVWFIARKNASPVLGAMVAGEEKLDESGV QKFPPGMWIIPLPFADDVRQNPETTLHVAPEPLIDQMRYIVQQLQLPKASYDPFKYPN PSLQWHYRILQALALDEDLPEKPEDKTLPRYRQIDKRTGDYVLSWADELEKQYAKISA HGPKSTLVKRSAKDRTSEVEDAAQKPYKKVKVETDEQGVEDVVRAHYQKGSLSKLTVP VLKDFLNAHGRSAAGKKADLVERVEEYLEQK AOR_1_1214114 MALRRTFALPRQLLRPVARSSLGYNTEQRNGFATAVPPVTQDAT GSKGPTAMVFLNMGGPSTTNEVEDFLSRLFADGDLIPLGRLQTYLGPLIAKRRTPKIQ KQYADIGGGSPIRKWSEYQCQEMCKLLDKLNPESAPHKPYVAFRYAAPLTEEMYTQLL DDGFGRGKGGRAVAFTQYPQYSCSTTGSSLNELWKWRNRLEGRRANESVDPSGSIQWS VIDRWPTHPGLVEAFAKNIEDQLKTYPEDKRNSVVLLFSAHSLPMSVVNRGDPYPAEV AATVHAVMQRLGFSNPYRLCWQSQVGPSAWLGAQTSDTVMEYVKRGQTDIVLVPIAFT SDHIETLYELDLEVMEEANSPGVKRAESLNGSPIFIEALANIAQEHLRKGEKCSRQMT LRCQGCKSDRCLEQKKFFAGEEAASLVV AOR_1_1216114 MANQTNSSVDADVGGQLRSRPVVPTQNHPRNTGGDDPKDPAQLH VHEQTPLLQRTSEEGYDEGLDTSSQDSAALNAGFWRSRTKASVFWLFPFLLLYMLGFG GAAVPKINLMVSLTCRDYLAERASSDPGFTYLPVLLGQDNSQCQIPEVQSLVARFQLY YNLVVGLLSALISPQLGHLSDRYGRTSMIAVSAIGTVLAEIITVIVAANAERVSIYLL LLGATFDGLGGSFTAIMALSTSYASDCTIPGKRSVAFGYLHGAIFTGVAAGPFLVAIL MKKTHDIMSVFCSALALHVLFFFVVLLVIPESLSKEQKQRAQEKHQVKLAHQEDVGWL SMTFWNPKKIIAPLAILFPPAGRPSTLFPNHGGASPALRRNIILLSVIDTLHLGMALG MSQIIIIYAGYMFGWGNVESSIFVSIISSVRVLNFFIVLPIITRIFREEPREDLVISG SGALDVVLIRVSILLDMIGFAGFALANHGSLLILSGVVTSLGGMAAPVLQSSLTKHVP RDRIGQILGAKGLLHAIARVIAPSICSFIYSVTVGPIAMITKPAHGTSAVPSRNALRV LRRLALAGSTVGSFCTVAAITYDVHRRVRVAERIIENKRALQTSAPNYDATSAARRLA RMMEVAEAGEFTSMDAFKEEDRKSRQAQTLHVEGEEGRRPDEFGSNVGIGSFENSAQV DAFLDAARLNMAGVMNTKQDIGKLPGCCDFLPPEYTRPTENPNRSSPAIGKTGAFANA SESMDSTGTLPKGPNSIPKQMQDLLDRGRPIDAAQLFLDAHPASLNGLSSDRRELAVQ TFFVNCKQGNVFIARSIFERLEEVDKVSPRLWKVLMFALARKGCIESVATIYTRYMHK FQLSPDMVDVVLRCLLESHRLTTAKWFLFRHLQFDRDCGLCGAYLSGLWKKTRSIELL NGQLKKILTTLPRLGKDPSDKLFNPVVKAYVEFGRLADAEALVEDMTTTYELPLRCRT KGLLVYGKALACDWQGVEADLQEMHELNLTSRRRDFTPIFDRIFLEYWVSHSGHEIQD FVTRYVDKLNIVPDRILYKHILEAFVEKGDKHMITEFTRMARERGWKVHVNEQEFLEM LRFRRLALEGAPVGFWQMLQAARVKYGQAAASQQILGYDQRSFPIPEVNTMPFTQSPL PWYQRALQDVTPSKPVDQYQKLHKQMTHYMHVGKMTEALKCFQNAKNARFQFKQLHVE LAAIATLLEHGLGEARMLVEAEWRGIRHLIRFFPQFFRQIMEVDSASEGELIKMAVLR FYQLCWSNKRMNVKHHITVATSRRLIVHNKPEVAIDLLAAIYMSRYTRTLPFDGVCMK MFLRAFAAMDNLPGIRWCILSGLARGSALNHDLVVEVSRVMGVLNRKFNPDGLSKREA SKRAEQLEYLGHIADMLEKKSGGDPLLWELKSNSNVKRSFRRMLKRPLDERRIYKVSD IPETIERWDEEYELEVLLGRIDINPKSIAARWNERVALGQIRAEDLP AOR_1_1218114 MATAVPNGHANATQSADTAEERRRVHHDADVVIVGAGVLGCALA VTLGKQGRSVLLLEASMKEPDRIVGELLQPGGVQALERLGLAECLEGIDSIPSYGFYV SYFDKAVTMPYPKETPSSLPPRGRSFHHGRFIMKLREAALACPNVTVVETKATDLITC SHTKQVLGVECQTKGKKDCYFGHLTVVADGYASKFRKQYHTNTPTVKSRFWALELIDT KLPAPNHGHVLLSANPPILLYQIGTHETRILVDIPENLPSASVKNGGVKNHLWNVTLP SLPESVQPAFRAALEKGPLRSMPNSFLPAAQNKTPGLVILGDALNMRHPLTGGGMTVA FNDVLVFRDLLSPEKVPDFADTERVLKQLKSFHWKRKNGSSVINILAMALYALFSAND ENLRVLQRGCFHYFDMGMYSEPMGLLGGLIKKPFVLFYHFFTVAFISLWVLLREAPLY QLPWSLIRCVTVFWTACVVIFPYMLIEAFC AOR_1_1220114 MPWNLSTDTSSEAPTRPPLNSQQSNSLPSTPYQHARNLSFHSRS PSPPHGSTSPRSTHSESTHLPPSLRKPFSGCKYETAMAFFRRRIPYSLGADLLPEERE GLKERLEPEEEKRLTDNMLEVYDRLLPSAESDDRRRQLVRKLERLFNEQWPGRDIKVH VFGSSGNKLCSSDSDVDICITTTYKELEQVCLLAEVLARHGMERVVCVSHAKVPIVKI WDPELQLACDMNVNNTLALDNTRMVRTYVEIDERVRPLAMIIKHWTKRRILCDAGLGG TLSSYTWICLIINFLQTRNPPILPSLQARPHEKKISPEGLVCSFDDDLGNLTGYGRKN KQSLGDLFFQFFKYYGHELDYEKYVVSVREGKLISKEAKGWHLLQNNRLCVEEPFNTS RNLGNTADDTSFRGVHLELRRAFKAASQGDLELCCEQYEYPPEEERSWERPPPQPRPV LTAPPSSRGGRGGGRGGRNSHQYPRGGHNGSRRSSNTPHKSNNFRQASNGMSASELSL QAQQAQYLLHDHLYQQIQILQAQEQELRLQLQNQALLTGRPPPVLIRQPFIQFPMPQQ QESTGDDNSRSRSGTANHAPHGTQLRQHVYYGTPYLPVAITGLQGSSTTNPPSPSAPT AMPELRRNPRRSSVANGSPGGSLRAQSQPARSVHSLPSFAPIYSMTQDPPQGSKQRNT PVSPDGAPNEEDNNFMPSSMPNVSRSPYLDESRPTEYMGYYLATSPQLQTYHQNAMLS PLQTPVGLALPNGGIMPFVANPQEYLSTFGPQDAFASSPDNGSTQASKAGSTHQRATA RPMASGGPLIVDGSVPLSEPRNTAPSAENYDPYGAMSHCTSTSDDHNTDTPASVSDSF SQDYQDTSSVETDQTPYFGRQGIDTRKPADALTNGHGGKPSLLSSRLQSLHLSNSEKM AEPPSKLGPEKHKAAFSHQETSEKDSSRLRHTTAEKVMSGPELQGASNGKRRTNGVDV PEKVNGNSHKSKPKNRSDTSHPTGGPGDKERNGGHPRRTNGAGSTTHDSHGNHTSSGW QTTKKKQKKNARSNAESRHGFHGGAEPLPADESLRKGG AOR_1_1222114 MSYYEPQGWQAPAARQVSWEQPVPPSRSGSSSVSQRDEVPAFSS QFDEVDRAIDNLVKSGKLWSAPRRDSMPMMMGRPFPEYDPRVSNTMSQRHHSISDFDG ARMHPNPNLQGFYASQRFQGRPNEVEHMMQAKRRMAAQRERELRNYHQEQQYNRSLLA EMSGNKSDRSLSPAAMSEESRRELLARQHRALYGNESPAFFPPGSFADDNPRSESQGG GTPTSATGVRGPSPRGVDPFGMAQTPVQGSTDNVAQAAASLQSPSRATSTSSPSSGIN PVFGKYDNAEQPMTSTSSPGRADSPSARQAHSKPTGGPIGSVGPIGSRPVQPAGQAQA ANPALNKRSTTPLPSPLGFGFTPGDAGATNDRSASSASNPSTSASAGTPGMKESTGGV ALGWGNGGGVWGSKNGLGVQASVWG AOR_1_1224114 MSDVKDQITVQASAATPHDNSSLAQFPKDDTSAGAGANAREVDS ASEEAPADLIDKKKKGFLAYFTTKEFYIILILGTLLSNEGTSIPAFQTFFNYVLLNIM FTPYTMYRYGIKGWAQMVWKTGWKYIILAFCDVEGNYFIVLAYRYTTMLSAQLINFWA IAVVVIISFLFLRVRYHITQVLGILVCIGGMGVLIASDHITGTNGGDVSSGNQLKGDL FALLGATFYGLANTGEEYFVSTAPVYEVLGQMAFWGMIINGAQAGIFDRASFRTATWN SQVGGYLAGYTLCLTFFYCMAPLLFRLSSAAFFNISMLTMNFWGVIIGIKVFHYTIHF MYPIAFVLIIVGQLIYFLGRRVLGEARKPWLGKNQERGVSGLFTAKRMIDTEAVAPNH NPNSTTHDSATANNDSLTSHTSPV AOR_1_1736114 MALEVQPPSDRKRVKVYELRDNDWFDRGTGFCTGQILDDEPRIF VESEDEPDRLLLETKISKDDGYQKQQETLIVWTEPNGTDMALSFQEPEGCAVIWNFVN GVQQHLTNLAAADDAFSDDLETYQSIMLPAPELGNLPEIDHVMRAASMTQAGRDALSK FVIREEYITKLIPLVTVAEDLESLPDLHRLCNIMKSLILLNDNTIIETVVTDPIILGV VGALEYDPEFPTHKANHRQYLADQSRYKEVVPIKDTLIRRKIRCTWRLQYLKDVVLAR ILDDPTFSVLNSLIFFNQVEIVNHIQSNGPFLKELFSVFDPRNADAKRKEDAVQFLHQ CASIAKNLQAPARASLFANFISHGLFAVIAFAIKHPNPAMRTTGIDILVALLDHDPLM MRGYMLKAVNEKKTPLTDTLIDLLHLESDLGVKNQLADAVKVLLDPQILLQDTMGRAG PEQYSKPRPNILSDAFVQNHFDESAKRLFMPLKRLENRASLSDLKFQEVALHAHLVDI LTFFVRQHLYRSRAVIHNEALAPRVAQLLTVPQKHLKLIALKFFRTLISLQDTFYQAL MTHNNTFGLILDIVYETMPRDNLLNSACLELFEFIKRENIKPIVLHVVEKYGEKLKNI TYVNTFQDLILRYEQMQGYGTEAESTIYSQDEGTPARRVPPNGQRWQGVREMDAAEEE YFNTSDDEEEWQHETAANATMAPQMQNGSASPVVKPLVDYPDDDEDDDAMDTKPEGSE EQKQQQLVRQEGTPTPDAATESTADAPSTPGSSTVQTPPERLSEKRRREEEDDDELVK LSSGPKRRTSTSGSPGGAGMLRKKRSVSIGSLSATAEKGTTQSILGTVTGSTAPKRIA INLSSKPLSETDSIDPAASTSSSEKENRDENHGESG AOR_1_1228114 MSSTGQPHISADSFFHTSSACDPSLSPITIYMISGNPGLIGYYH TFLSVLSDRLNTQSAQRTRKNHAFQIYGHSLGGFELTKTPGPKPRYYDLEEQICFVQN KLNDFLTSSSNASNGVPSPKPKVILIGHSVGSYIAMEILRRHRERSTSGTSPSVDFDI IGGVMLFPTVVDIARSPSGQKLTRMLSFIPQLAVVVGFLVRILTVLLPGSLLRSLIRF YMGSPRDNMVETTAAFLESGYGVQQALHMAADEMQTITSDKWSDDVWGMSDVKDPVTR LFFYFGRNDHWVAEQTRDEIIELRGRTESGPKMVVCEEGLPHAFVLKHSDVVAKKVAD MVLDIVKD AOR_1_1230114 MTGRRPRRQNNNNNHRHNQPQPSDYDSDYQNYFSDTQQQVISMP PPPVRSNEELNISVLRRHNPAITSILSLAPYAVIYIFSPTTRQWEKSGVEGSLFVCQL SQGSLGEERYNAFVLNRRGLQNFDVPLTDGDNVEITEEYVILKVDDDSGLGVDNNNGM NGKSADLRIYGLWIYSEPPPNSTAETRSINAQVIRECAIHAGQSLKLARERLEATRQN GLHAAAAAAASTADPVEEVQSSVAMGRQVSLRDLFGQQRAQDDGWSTTAHHAGPQGWP QPGMGVPMAQPQPQPQPQQDVLGDLFRRAGLAYQGGP AOR_1_1232114 MVSETEQRASQSPVGTGAGDSHSDGSSPDTSIDPSSLPQAVKAR KSEYTSQQTIRVKVGTWNVAAIPGTEEDIGKWFVQREGICEQLAGLRVSGLEEAPGKT DDDTPNETGSESDQVGLYVLGLQEIVDISSPAEALRPYVDPAPANRWKAAMQNALPSG YQLVAESQLVGLLLLIYASPSVAETVSSVSCTNVGTGLFGYMGNKGAAVTRLLLGDTT CFVFVNCHLAAGSDKNSLERRNWDASQILQRAKFDPIDTESALRDEPTESIGKEDFAF WFGDLNYRLEDIPGEDVRQVLARHTENEYDKTHNSTHVADEDDSEESSKPTDETSQAP PPVSDEDVDPHTDPASLQTTISSLLPHDQLRLQQSKQKAFHEGWREGSISFLPTYKYD VGSVAKFDSSEKQRGPSWCDRILYRTRRDMLRHEQLVKEAAEARKRDEEMKARGLDKA AADDNVLFDYDPDVDGADSADEYDPDKDDASDSASFNSQSDPDQSLRLDYYISHQGIL SSDHKPLAAGFTLTYESVDPQLKAKVHQEVVRELDKAENESRPGLTVVVDSHGHEPSK DKTKDPNALDFGDVPFDISVTRSLTVANTSGVPATFSFEKPEQAEGCHYHPSWLEYQI EPPHRDNPEDQVSTLPLQECTLLPGELTTIEVTACVKDIQLARLLNDGKLKLEEVLVL RVTNGRDHFIPVYGEWLPTCFGRSLEELTVMPEAGARTLPVTEIMRRQKDEVGIPLSA PRELFRLTESISELSERAIAEWSMIRGESEDSPPWVREPHGFGWPFEPESWTLTDKEE RSSLLASVREALDTNKPFNHVISPEVSSLHRLEILSETLLVFLRSLKDGIVTAPVWSD LDQQILAREKTKAPPLSWEESQAWVLESLAYSPAHSVSFTFVTFMLARIANEVAPVIS MPPRQSSEKPSDEQVNPNKQPTSPTATAAAAAVAAAGNIRRRTLTFTSNVPEPTANPL AIRRQAVETALAGIFSTVLISANVPVPAKDKERRAQEDRKRSIIEPFLKTIGVDNKGP SGGWS AOR_1_1234114 MRPSTSPFQNNQTSNPRAHSPGSMNLRHRGPARSATFAEGCSSN LKNERRNSTFSDSVSEARNSIRSSTDDLFFPRAAKGSYDAADAPNEESHWHSAPLGLA LLPAIAGVFFQNGSAVVTDVTLLVLAAIFLNWDWYRSAQAIRQDKFYDANEIPVDIEI DHSQDAKEDSVPAKKRITDTASAASRELQIHEILALASCFIFPLIGTWLLHAIRSKLS RPSEGLVSNYNLTIFLLASEIRPFAHLLRMVQARTLHLQRVVASSTEAPKDRIDASKI IDLAKRLEELEAHVAETAAARLASSQDQQSQPQAHDSLVSQSTAEVRKSVQPDIDALN RAVRRYEKRTALTSFQTDSRLEALEGQVRDAISLAAAAQRSSIRKPRSSVFVFLEWLY ALAMLPAQVFMSLAVLPFHVARRCLRFFQGVLFSKPHPQPKPASGKMPQDRKARSPKR PRRVPQQDQAETKGLKSIREYT AOR_1_1236114 MRQSSCRQNHTVHVIIIGCSVAGLTLAHALSKRRIDYTILEAHD RLPLPFTGNAFTLLPNGSRILAQLGVWEEITAASDTIHSHSTFLGNGRLLKRIDVGRL LSMRHGYKLAVIPRWRFLQILYNNLKDKHRVRFGKRVMTVDQSSSEAKVECADGSIIS GDLVVGADGTHSVSRGEILRWNGSLQAPQDLRKVAQSFQMTPNLAQVDQYKSCARSDK PEKDMDLAAWEESRKQRMRRFYTYSWILARSEAFSGPWFKALGLYIGFFHGEQVISYI SDISSESECLDYLPQPDYHLKSQSKMDQGGLNQMNYLYVKLAFWLLDVLITIWSFCFV AOR_1_1238114 MDLHNATVRQISALNRVISIDRTRKVALVEPNVPMDMLVSAILP HGFIPPVVMEYPGITVGCGFAGTSGESSSYRHGFFDRTISWIEIVVGNGEILHASPNE NSDLFFGAACSFGTLGITTLLELQLIELPASPVVELTYFPISGIDEAIRKIEELTPNP TYQYLDGIMFTKTKGCICAGLITSLVEEDQVQTFNRPTDPWFYMHAEDMVSSRSSHEK GTASKELIPLPDYLFRYDRGGFWVGKYAFEYFLFPQTKFMRWMLDGISHTRVMYHAVH KSGLFKEYTIQDVAVPYNGAKELINFLDDSFGKYPLWLCPVRTTTTHVSGLMAQQRDQ PDPDRHDMMLSVGVWGPGPKGKKNFVDFNRKLEKVVHMVGGQKWLYARTYYTEEEFWS IYDRDTMDGLRQKYHTSYLPSLYQKVKIQPEEVVRQRPWTSKLAGDWVWNRWPISGVY GLMHTFWHKDYLLVDCKKLLN AOR_1_1240114 MSAPSTTPYAQAVIIPSFSILCMLLTIPPLILHWKNRNLPVVSL ICWLLCLNLFNIINAFIWPSDDMDNWWNGAGLCDVEVKVMIASYVAVPGNLLCIFRTL ASMIDTRRAMLVPSKQQRWWNIGIDMLFCVIVPVVAIATHIVYQASRYILVGISGCVN SFDESWVSLILAWIWPLVICLIAGYYCSLVVYRLHRYRNQFGDILQASNSNLNKSRFM RLFLLSFIVLLAILPVQTYVVYKNIELSLPWHAYSWRVAHGPHWNKIQKIPSGGDAFF DRWFPIASGFMLFILFGCGRDASRMYGSYLRLLRLDRCFVRTQDSSSDASRSNTSGFS NSRFGLLFHKAWTSTAE AOR_1_1242114 MSAFASSLRPMMRTATGGALSARSFSSSSSRSVARMIITGRLAA APELQATSSGQDVIRYTVATSTGARENRQTSWFKVASFDQGAQRDYVLGLQKGTLVYL EGSASLRDWEDSEGKKQTTLNIVQRNLEVLKRPHNSNETESA AOR_1_1738114 MRLLPLLALLAYLVPCLFVLAVRIPHNEGNKYGRIAIIEARATD QGTATTADATTATTASATSHATATANSTSASNTTTSATTATATLATPVPTGNETNPIA PGSLPLQPTITPALGIGGFLLIVAGAVLAIIGIRNLWIQVFLSTTFLAAIGVTVLIVY VMNPPVRVAIQGAYLVAIFFTGVTFGALSLVFKELTEGLGCLLGGFCSSMWLLSLKPG GLLTQTDSKSGFIGAISVAFYALSFSHYTRPYGLMVSTGISGGTAVALGIDCFSRAGW KEFWLYIWALNDDIFPLGTSTYPVTRNIKVELAATVIIAVLGVISQLRLWKVIRQRRQ KENEVREKELKENEEAELEIGRRFEEKNMEERLEWEARHGNPDSGIPELADNSKNGCP ADHAVEVEKGGTLDTSSVASSTQESYRCSDCLERRANGESAYAASHASEDSGDSQSRQ LEDSTVGVDTEDQDSSTARGKVPLKVFDGAAAANIKDDNSSDMTAIVGSEAGTIRSKR LSGRDLLDKLSAKNSARLMSQSQEALVSCDGSSVQDTIDGSSTSASDSHSNVEGNNVE EEDGPASNPEDAPDPSAIQEANGKETSRSEKEVEKSVGAPSVYSRGVDEQKFGEQESA PTKERASERRPSLSSALEKEMPEPKRGEQSTEMPQETGVTAETTGSADQNDGKPQVVG SNVNGSVPDDQVEKPVEVSQSRGSSSKGSSPKTKKPAPVKQRSSKSGSETKTKPRKES PPRLDIETVKRLPQRTSRVVQSYRTNEWAKHLDDAEAPEPEPIEPAEEEHEVPDEVKE AAAPVKVEELLQTPLNAQPPPAVERRVSIVEPPVINETSQMANDSQLQISSRTHKRAA SGPAVFPETKIAHAARPETQGQPVQGVPDAVNMYDAVPPTVDPGVQQREEVEVARPQW KGPPPLIAVREGMMRNRLSSISLSADPWPSRFAQGAEVSPRQSTFPIPEEADDMPLSR RRTMLHQQMAVQSTGSEENLCLETHRDAYPLLSIPEQRRNRLTPSPNSLVVERSQGET DSGRSSIAVPRAQRRSGTFNEDWPLQEMPESAGNHGSPEAQSIRPPDRAHLSQDPVVD RSNPLDERPRHIQSQASLRSQAQIASIPSHTGQHPGGENDVAEELAWGPAHPCYPHIN PHVSVRSQEYHTTRIIRIRRDWMVRGDLAPTFSNLYPEILDPLLPEQEFRRIIATVND KLVKAFDPFSLRNWIDGALALLTGWIWEDIGATGVKSQLKQIEDWVDNWNREVGAKDG VYIWSLRRTAYMSLDIQIPDPKVGIIPSERGPSLPGTRPSSGVV AOR_1_1740114 MLSGILIFNQKGENLIFRAFRNDCRPRLADIFRIQVISNPQVRS PILTLGSTTFSHVKHENIYLVAVTKSNANAALVFEFLYRLVMLGKSYFGKFDEEAVKN NFVLVYELLDEILDFGYPQNTETDTLKMYITTEGVKSAIVNNPTDSSRITMQATGALS WRRSDIKYRKNEAFVDVIEDVNLLMSATGTVLRADVNGQIVMRAYLSGTPECKFGLND RLLLDTDAAGGSESGQRGMTTKGTRAAAGSVTLEDCQFHQCVKLGRFDADRIISFVPP DGEFELMRYRATENVNLPFKVHPIVREVGTTKVEYSVAIKANYSSKLFATNVVIRIPT PLNTAKTTERTSQGRAKYEPEHNNIVWKIARFSGGSEYVLTAEATLTSMTHQKAWSRP PLSLSFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTRAGSYEIRF AOR_1_1248114 MLLPSALPCDMRRPATQARLDPSRQFTTPPPSDDDFPCSNGLLG TCRALQSLLNASPAPSPRCAKPERLQSPLHIRPMPSVRSRWQKDPKPSPKPSRGVNKR KRDRSEVIEDTSDTEIITRGIRFSTPKRTRHAPYELPLGLSQSDFYALHSPPISQSPP SPAHCRQLELSPEQSAQLFNPDAVLPSIEVTQETLSTETWNADDDQRLVELVLQNFQL SQRDLEDCARRMGKDDAIVGRRWQALVGEGNVGLRSRRVTRPRWL AOR_1_1250114 MSFLSTPIHLVGTVSVWDAQLKRDIDSFPIYSNAEVYVGRDQRR CNHVVKDPVISNIHLRIYTIIFDRENPDEVAPLVYAQDLSMNGTSWNGYPMGKGRGSF LLSDGDVLQLSPRLSLHIRCAAQGKEDCFDMLQMIEMKVFEDQYKVTPQKLGSGAYGQ VHMAFKKSTGQQLACKIVDLRALKNKVIREAEDQQSRHFKESTFPHSKNRLLVIRAFK ESLQRKIQGRLDVYSREARILESLCHPNIIRIEKVIESSNTIYLFQELVTAGDLFSYI QYKGGKLDDIEAAVIVRQVLMALDYLHQRGIVHRDLKPDNILMTSLADGCRVVLTDFG CARLVRPTIERMSTLIGTFDYSAPEMLKSKQGYTKAVDLWSLGCVAAVLLTGDIPFKN SLTAHPTDLSRERDLEKLEADMDWNRIGQRARDFVRKLLVFDETKRIDVKQALNHNWF TNPAHRVEFEALYRRAIRGWQPHKGFANTVRLTGI AOR_1_1252114 MRGLRSLASLTILGLVNAQDNIVFGPAFSLGPTKSWIREATTTL VLPEAPSPQEDRLALWPGMGTSGGDLIQALAVSFSDPASNCGASSGQWCTWASTLQGT QLGGKQVPASAGDKLVMHYKYNDSTGKYDQTVSINGEVVSSLSTSSGQAQGWGTAVEA QDNASKSTVAAHQYLDTTIVLDSADLTFRDTLGLTDADSSGLTTSDNGKTWKVTTINI HEHSF AOR_1_1254114 MATEASIAEGILKRPLYVYDLPQELLATLSTKSEDDAISTPDNA VNTPERSEDTVQEHAVATSTSCALCNVSFLNVQEQRGHVRSDHHRYNLKAQLRGNPTL NEVEFNKAVGELDESISGSESSSEEDEDDGTQLTALLKRQAKISQTGEEEDAPSNKRT GKNPLFWLSSSLLPSNTSLGVYRALFSDAEQEEPMYLVDSLRKKQLAPINTPRNNDQT QGPSASSSPNVFLCMIGGGHFAAMIVSLAPEIHRKQGGVVERQARVIAHKTFHRYTTR RKQGGSQSASDAARGAAHSAGSSLRRYNEAALEKEIRELLSDWRKMIDDAQLLFVRAT GSTNRRILFGQYDGQVLRQNDPRLRGFPFSTRRATQGELMRCFKELTRVKVSQIDEAA LAAAETKQREETSKPSTPRPQQQKPKVSKEDEAAMLHTTQIQALIRRSKIPALMSYLS KNSIPSSFTFQPSDSQQNFRCPTALHLASNLNSPAMVSALLTKAEADPTAINGEGRTP FELAGDRATRDAFRVARHELGESKWNWDVAKVPSPISKADVDSRAERERKAAEEEEKN RRKAELHRLKMEDAAKEALQAKRSGGRALGSVEKTASEKREEEMRGMTPEMRMRLERE RRARAAEERIKRMQGK AOR_1_1256114 MLEGNSQAILGDSAPGSSTLKSALQSPKLEPFCGNFEGWGPLSK FRFDLTPCFLDLGVAIVAAWGLIMGAGAIWFLLKKRIPQPVSKNWHFYAKLIVLSALI FTTALQAAIQVETFADNWLADVRFWSSVVVFASLCVIFTVQYYEHWRSRQPNGVVLFY WLFFTIAYGIKLRSLVAQKTYEDNLPYFVCFNISLGLALLEFGLEYLVPKKQSAYDAL GDEDECPYEYADIFSVLTFSWMTPMMKFGYKNFLTQDDLWNLRRRDTTRVTGETLAEN WEQELQKDKPSLWIALFKSFGSSYTRGAIIKCGSDILAFVQPQLLRVLIDFIGSYETD NPQPIIRGVAIALAMFFVSVSQTMCLHQYFQRAFDTGMRVKSSLTAMIYAKSLRLSSE GRTSKTTGDIVNHMAVDQQRLSDLTQFGTQLWSAPFQITLCMISLYQLVGVSMFAGIG VMILMIPLNGVIARMMKKLQLVQMKNKDSRSRLMTEILNNIKSIKLYAWNTAFMNKLS HIRNDLELNTLRKIGATQSIANFTWQSTPFLVSCSTFTVYALTDPRPLTTSVVFPALT LFNLLTFPLSILPMVITSIIEASVAVKRLTDYFTAEELQTNAVKHEDPVSHVGDESVR IRDASFTWNRYDGTHVVENINFSARKGELSCIVGRVGAGKSSLLQSLLGDLWRTEGEV IIRGRIAYVAQSPWVMNASVRENIVFGHRWDPDFYELTVEACALLDDFKNLPDGDQTE VGERGISLSGGQKARLTLARAVYARADIYLLDDVLSAVDQHVGRHLINKVLGPNGVLS SKTRILATNAIPVLKEADFIGLLRDKTLVEKGTYEQLLAMKGEIANLIRTTMNDSDDD TSSDNGLASPESSDSTTIIDNADSDELSDTDEAEQQIGSLLPIRSGANRRTSTVTLRR ASTVSWKGPRRKLGDEENILKSKQTQETSQQGKVKWSVYGEYAKNSNIVAVCFYLAAL LGAQTAQVAGSYWLEYWSEAAETQKNPNVGRFIGVYLAFGLGSSVLVIVQNLILWIFC SIEASRKLHERMAFAIFRSPMSFFETTPSGRILNRFSSDVYRIDEVLARTFNMLFGNS AKALFTMGVIASSTPAFLILVVPLGYIYLSYQKYYLRTSRELKRLDSVTRSPIYAHFQ ESLGGISTIRAYKQQNRFTLENEWRMDENLRAYFPSISANRWLAVRLEFIGSIIILAS ATLSIISVATGSRISPGRVGLAMSYALQITQSLNWIVRQTVEVETNIVSVERVLEYAS LPSEAPEVIFKHRPAIGWPAQGAVSFKNYSTRYRPGLDLVLKDINLDIKPHEKIGVVG RTGAGKSSLTLALFRIIEPDGGSISIDGLNVSTIGLFDLRGRLAIIPQDPAMFEGTVR DNLDPRHVHDDTELWSVLEHARLKDHVVSMDGQLDARIQEGGSNLSQGQRQLVSLARA LLTPSNILVLDEATAAVDVETDALLQRTLRSSIFQDRTIITIAHRINTIIDSDRIVVL DKGRVAEFDTPANLIKSGGKFYELVKEAGLLDNEGHALVQ AOR_1_1258114 MVVSASVSGTGAVKRPASSMHDDYTGWETAPAMDVTMRTSFNLP YTYYAMIYLDNLGRLKVQESSSIRETNGTVFTPDVQDKFLEILGAKIGYRRPTMRKFS GMGASLYGYPRPEDYSRHVKRRKASFQEHAIEPHFPEPVEEVPASTSMVGIEIGDTEK ILEYYENALKHFQQLNCRQIAKAFIKFIEPRKQVKHPYNGGKPRAGAASGEKGDPEKT KPEWWPAGVVHKEPDHLRKEQRIRLLIHIVRKLGKFGITPDKLQEVAHDSKRQLRPTS KIEVLDEVFKVRRMEERYELGEVDANCLVYVQNRDASSKDKDSDTISEPEQKFEPEDL EEADDEFLTPPSSAEQASSFTSSVDMTMGGHGRPMHMGGDRGQLFPLPESLSFGEQST HERSYYGNSEYPDEYSHPILKTPVTSGLVTPNEQPNAFDYLQAPFSASTTGEPIISHQ RPTALPLQQSVSQFDSWTSSYRQSMFNPMEYSSAPAQNIPQHMPYHMPVATPSHAAEL AHTPHGLPKGNPFRTGSLSHPHMIPHHA AOR_1_1260114 MAGPPNPFAGLSQKDGTTGAAGRGRGGTLGRSAPYQAKNGNAPH NPRARGRGRGAMTAPRATRGHGRGSGAVGNTWRKPDSNDGPSANSASPFAQLKHNVNQ PSTSPFAGKTAPQKPSLSGFGKAPSFGAPSTFDGTQVDSSRDPRKRPSSVRPNGATMS SVPVEDATASNNYNDRYEQLKLDRAKQREKAIREGQMADPNQPTSLNQAITPVGTCTS MCPEFERVERIVQKMVDKSEKLLHPSTNSLQNLETKMLKRFRRSAAGYDEQLPSDIRT PKTLLQTMNYLIRHVIGGPEPLGLIHNPANEEPFDHHQEREQLNNTMLSLMYYYDDNR GRISFPNEDEFRAYYIIFSILDQRPDLEARVQKWPAELRNSPRVQLALELLAAAGNGW EYQGTLDSKRQNAIAQGFYERFFSLVDSPAVSYLMACVAEIYFNNVRLTAIRSIWKGY CRYPSSQQHKNEEWTVDELKKVLYFDDAEQTIKFCEEQDLEFAENANGDLYLNWGSRN FDSVETYVESKRAGRTLVAIILGLNIKQASQLGMVDSSVLQERPLALPAPTTGSTADN DALFVSDDDNETPQPRGDFAQDGLVQDLPTSADSFRGVFEESSQTSTSAEPTQSAGFS QMPSVFQPSEGAKPLSSLFATGPSAGAPTASAPSNPFAGLSSLASSKTPGTNNSSSPF SFGLNTSQSASIFSAAPSPAEQDKPDASNTISTAPTFKFPSIFQPSTTPTTSPFSFPT TSSDAKPHQAVGLTAGQPSGILDTTNALTPSGAPSAMFNPAAPSTVTGDTGSPLQTSS PKAPQGPFAQPPAPFLSPFASGNNEISKPSLPESTLGQPNSVLEEPTDSNAGQEHSAK YDAPTFTKVTTEEPASNDGLSSLHSNDATQQQQEMNLSSLEETKPAEPTAPTTSVQPD NLNPSLPLPTEPIEPTVDEATPVAPDVNDRRLAWIETLREAAAKRRRTSSTSRKRGHE DPEEDLPIETGSKAAKLPKAEPPALHKKSMALSSIKPLPKLPILEQIESMTRKPAAEP KPEPPKPSQVDEDELLLSAARIAAESLRSGPRLIDSWSTRPSEPRRSSFSPRGSFSSS YSFSRSQSPQSLVNGHDLALAPDTDLGLGRTLSRTEQRLRMTGGKGLAYKPLDFTPKK KRKSK AOR_1_1262114 MRIATLQFAPILGDVEGNIRRANELLQNGKVLGGVGIGVDVLKP EILILPELALTGYNFPSLEAIKPYLESAGKGPSATWARDTARRYQCKVCVGYPEVEEA GTSQADGSNSQQETYYNSLLVVDENGEVLHNYRKTFLYYTDETWAAEGDVKRGFRKLT FRNSQEQNSPSTNVATSFGICMDINPYKFQTPFTAWEFANRVLDSKSQLVVLSMAWLT SLGREELDALAGEPEMDTFNYWIQRFWPLIKKRLRHEVNLDGDDADSGKKTVIVFANR AGEEPGPEGTNPVLYAGTSAIIAVTQRPRRPSADGETSAADKGSGNGSDEDESGDKDA SPFDVKILCWDMMAATAEGICFADTTMDPKMVFGLVKASR AOR_1_1264114 MSDPSLGATDGMNNKRKRESTDSTGPDAQRLNRSSNGSNGSIPA PDTQPNFGHASLGSYDTHGLPGAASELNIDQQILQHVGPQNGISDENALTAKAALAAH QPQNKYPPPPDATFDSSLPHGLTFGDEMGQAIGGAHGHNSTAAAVYAAREAQSMNQKP SVGSPEWHQIRKNNHKEVERRRREAINEGINQIARLVPNCDKNKGAILQRAIEYICQL HDEKKAMSERWEQNNMTTSHAINEISAQNSKLKVEVNRRGDIALKWLQRCRDAGLEFD DYEEAKELEPLEVDQGQV AOR_1_1266114 MKYQRLASLGLAALSVTGSVSASPLIRHEGESVCPSGYTQSVYY VTVTASSTPASTSSVEPTTTIESTSTVTETTVITPEIPAQSPTSTPVEIPAPVETPAP VETPSPAETPAPVETNTPVEPTTSSSTTETPVVAPTIATPSTADVQPTEVVAEPSTSS SSTEEPTATPIAAETPSTTVDAQPTTAAAAPTTKQLKLSTTSTAAPAASVTSSSTGSS SSSSSSSESNSGEATFYGGNLSGGACSFTGYTLPSNLFGTALGSPRWDNAAECGACVA VTGPNGNTIKAMIVDKCPECDSNHLDLFQSAFTELADISKGVIDITWNYVSCDIDTPL KLKNKEGTSAYWFSMQVVNANEAVTSLEVSTDGGSTWQSTTRSDYNYFENSSGFGTAT VDVRVTGKSGKVVTVNNVSVSSGVEVTAGGNV AOR_1_1268114 MSGRGGYANGYGYPDTSRYDRTDGGYGNSSNLAVNSYGGGRERR PGGYGGFYPETPQQPGLSPSQSPDRRRERPDWDRDHEYSSSRSRTRERDGNPERRLQS SSRDGRPRGDNIRLPDSSREKDPNIPSNNSAGSQAVEEVLQSIQQEWGLVASDECVPV QVALQLMDTSTLGKADREPEFLDVHNRIQKTLKSVVNEHHQGFNSSIGTYHKIQSSIQ SSQGRVRNLKHALEQAKSGLLSTKPELKDLATSSQKYDDIIQLFSQIQEIQSLPEKLE SRISDKRFLAAVEVLHDAFRLLRRSELDNIGALADIRAYFTNQEISLTDILVEELHDH LYLKSPYCSNRWKPPTPEGENNSASQSGWAGASWDRPVYTFLAKLDASAPMVEDASRN PEADTFYYIRLLIEALNKMGHLDIAVDRIEQRLPVELFAVVDKTNAEVDTRYPNLTRG FAAKESKTHLPTETIENRGHVLSEFLWTLYAKFESIAEGHRVVHDVIAAIVEREGIPK SSALAGGFKELWKLYQSEIRSLMHDYLATDGESSLRPGGEDDSKRHLYSGYRDKSKKM FKLSETDGSTEMKTEQNELDEILRSSVPGLVSKTRQKTTPNGNPDSKQGNSGTGHKIL IEPSVFNMSILLPPSLSFIQRLKEIVPVDSDIAMSTLTSFLDDFMVNVFLPQLDETVT DLCMLSYITPDAFTEDPQWLAVSPRPVFKGTVKFMSIIREFSKMLSSIPHDQAFTQLL ITQIVNYYDKCCGWYRAIVTKISPRDNGEFRLKAAAQYAKSGDIHDVVSELWKTEANN KEGLVDKETELLLEHTSKVPLEPYDIISDPKTVMALSLLYNSTQWLASHLWKLRQVTQ PSSESRQPQESGPPNRRWTLISAMAPKREGSNQPVYLPLNQETATAFDTTIESLRDLS STALFALHIDIRCGIIHMLTKTMAGPNPRNNRDSEPATPSPNSNIAWWHIVLNQPTSA SPTVLELNNDLISFDTNISSYLGSAERCYITSGLARFIDHVFIARAHLIGAMNENGAL RLQLDVLVLQQNLKNIIVDPAVDTDEEESARRAYEQHREIVALPRSAKFLDWFLEGAE KALDYAKDEKELFATHPEKALAAGNGEPFTYDELRILVDLCFSDILKGPRGAENREEF MAAKKASADALLRLNEIMWDSR AOR_1_1270114 MLFSRPPIRSPWISAFRSASQLPLSRPRFFSISLSRYSVDMETV NTSERLSRLRELMQEHKVDVYIVPSEDSHQSEYIAPCDGRREFISGFSGSAGTAIVSL SKAALSTDGRYFNQASKQLDNNWQLLKRGVEGFPTWQEWTTEQAEGGKVVGVDPALIT ASGARSLSETLKKNGSTLVGVQQNLVDLVWGKDRPAPPREKVRVHPEKYAGKSFQEKI SELRKELESRKSAGFIVSMLDEIAWLFNLRGSDIPYNPVFFSFATITPTTTELYVDAD KLTPEVTAHLGQDVVIKPYDAIYADAKALSETRKQEAGETASKFLLSNKASWALSLSL GGEGQVEEVRSPIGDAKAVKNDVELAGMRACHIRDGAALTEYFAWLENELVNKKSTLD EVDAADKLEQIRSKHDLFVGLSFDTISSTGPNGAVIHYKPEKGSCSIIDPNAIYLCDS GAQYLDGTTDVTRTFHFGQPTELEKKAFTLVLKGVIGLDTAVFPKGTSGFALDVLARQ YLWKEGLDYLHGTGHGIGSYLNVHEGPIGVGTRVQYTEVPIAPGNVISDEPGFYEDGK FGIRIENVIMAREVQTTHKFGDKPWLGFEHVTMAPIGRNLIEPSLLSDAELKWVNDYH REIWEKTHHFFENDEYTRSWLQRETQPISK AOR_1_1272114 MSVDVPGQSSDVQVLINEARTLVSQLYDPANTGNPAKIKAIQEH LQILQKGPQAWLIANNLLSDESTDLRFFGALTFTVKINQDWQQLNEDEARELLGRLID HYVLLVNGGERPLVVRKLASSLATIFLKPNAPWNQALWNLAASLANGKHLSEEQCQSF DLQDAVLPAMSERWSPESRRNGDSNRASENIKHAFLLVEFVLRHMLQQESSGHSISDG APGVEAINSYQSWALVRNALQLRDTIRATQLAPATGYVIQSLKVPSLSKTAMQVLVEL IDWRDSIFSQDHLYSILEYIISDLGTAHIASIMDADFEDENMTFLELLLAYATLKQRE LLIQPLNSEHEKVLALLHTLFQAPGYAAVDDSASPLVLEWWTEVADDLQEIYLDTEEE EEEGLDPAKRNLARAAMDCFEKLKYPSPEELQEWGDDDRSEFGAFRRDVCDFLLAIYP MLGLELVQVFQERAKSSLVQQDWRTFEAAIFCMAQLSEAVDENQHADACLNAIFFCDE FAQLCTGDVAMIPDKPRQTLVDMLGKYQSYFERTHALLPRVLTFLFASLDVASCASVA SKSISHLCKSCRNALTFELPAFMDQFERFRFKPTATASTMEKVLEGIAAIVQTLPTDN EKAQFLERILKFFQEQAELARDEASRGLVEPARCRGQLVLRCVASIGKGLRTDGEIIL DTLDGRNGDPYPPTFWNTGNGAVSQNLIMQCMQLLMTDFPLDVTIIEAACDILKAGFT ENSGPYVFPPMVTVNFVKSIPLGSAGTDMVMGTASAFLASHSAHPQRIREETVALIVN VYETFCWMHEKPEFYDPEVANSGIDFLTRLLPKYHPFLFALTAVPQDSNQAGAGHVDG APQRPPVLQAILNFTLLSLQGPEPLPLRSASQFWVGVLNLPYEEEAVPSSAQRPQKGG WIPPTLSPQASSALTEELAKPLSEKDEPGYIYIFWITPADHSSRSMPPPTDVGSSLFS KHDDRGNNAIQKARDLNALTTKPTEFSPGAIRLKIGRANNVQRRMNEWTKQCNHHITL IRYYPYTPSSPGPSSGPALEVGRKVPYVHRVERLIHLELDDYRVRDMGKCSECGREHQ EWFEIKAQKEAIRSVDECIRRWVRWAESQ AOR_1_1274114 MTEHHSLTLPQFKPDNASETTTAQNLLTPPPEEQPDQEGDQEPP LSQTENQYQYQDQDQNQDQDHDPQPTTFILEDVKTYLTTNLFRASVRASSHISTSLDF LHAHPVIATLLLAQFVCSCVPIGLFVAGAVIAASLAVALYSCVAVLVLAPVVIGTSIL GFCVWGWGWVVFVVGRWVLGVVVDGERGGSLNGDLDEDGGRD AOR_1_1276114 MASQQNGTNGASASNDFTVKAGLAQMLKGGVIMDVVNAEQARIA EEAGAAAVMALERVPADIRAQGGVARMSDPSMIKEIMEAVTIPVMAKARIGHFVECQI LEAIGIDYIDESEVLTPADDIYHVTKHNFKAPFVCGCRNLGEALRRISEGAAMIRTKG EAGTGDVVEAVKHMRTVNAQIARARAILQSSPDYEPELRAFARELEVPYELLRETAEK GRLPVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDAKKRAKAIVQAVTHYKD PKVLAEVSEGLGEAMVGINVSQMAESDKLAKRGW AOR_1_1278114 MSFLLTRTSAVLGVGLGLSLSPLSPFRSAPMQCQYSAPYYRPES QASPDSGWTVDRNDPVLLKQGATKSGWLTASNMRQVSLGSVLGLVVGVGLRAFSRVLV VLLGMGVVFVEWAAAKGYNVIPVDRLQRLVKGVNLQKAVSQHKPFKISFGATMALAAF AQF AOR_1_1280114 MKRKQTDSGDERPVSTPKRQRTAAFNGSTNGHEDTETPVGASPS KRIKSTPQKPSTATPAALKESGLKTPTQKSKAKALFSTPTKSTAVSTPSRARNADRSA KKKSARLLLEQNDDEEDWDGADRLAEEILQDENDTTAAENVNGVVETVEGEDEANKTA QTPKRRAGRPKGAKNKRSPTPEGELPAHERYFFQNRAGPPRTSNNTLNKISLLTHEEY FEKMAQYADPCKDEKAFLLDVHHRSFPQWNFEFEQGYNICLYGYGSKRPLLQNFAEWL YQKNSSAPPSIVVVNGHTPNISIRSIFATIVTAVLGADIPSKMGSQPIEVLELLQSVL KSRSSQRPITVLINSIDAPPLRRAANQALLARLAATPKIHLLVTADTPNYLLMWDISL REQFNFVFHDCTTFAPFDTEFDVVEEVHNLLGRKGRRVGGKEGVEFVLKSLPENARNL YRLLLTEIISMFDEGHNSDDEMDGGAGRDGDGKDEVGIEFRALYQKATEEFIASSEMM FRTLLKEFHDHQMITSRLDPSGMEILGVPLPRDEMEGVLEDLVLS AOR_1_1282114 MASPAQPAATMAAFARMVKGQVRSYSAPVDMAIPASKRKFIPSS GSYPKGFVVSGTHVGVKASNTKFPDLALISSETPCSAAAVFTTNKFQAAPVQVSRDII KTRQGQGIRSVVINSGCANAVTGKGGLEDAVSMGKKVDECDGLNEPSTLVMSTGVIGQ RLPISKILKKVPVAHANLSSTHDAWLTTARAICTTDTFPKLLSRTFTLPSSPGRTYSL AGMTKGAGMIHPNMATLLGVLCTDAPIEPSALQSLLKHSVNRSFNSISVDGDTSTNDT IAILANGAAGGAPISSSSSDDYAAMQDILTSFAQSLSQLVVRDGEGATKFVTVRVQNS PDYESGRLIASTIARSPLVKTALYGKDANWGRILCAIGYTQGVAPGTVVPEHTSVSFK PVDGSPVLNLLVNGEPEQVDEERASVILQEEDLEIVVDLGGGEKGEQGLGGEEAVYWF CDFSHEYVTINGDYRT AOR_1_1284114 MSGLRFLDLIKPFTPLLPEVAAPETKVPFNQKLMWTGLTLLIFL VMSQMPLYGIVSSDTSDPLYWLRMMLASNRGTLMELGITPIISSGMVFQLLAGTHLID VNLDLKTDRELYQTAQKLFAIILSFGQACVYVLTGLYGQPSDLGAGICVLLIVQLVVA GLVVILLDELLQKGYGLGSGISLFIATNICESIVWKAFSPTTINTGRGPEFEGAIIAL FHLLLTWSDKQRALREAFYRQNLPNVMNLLATLLVFAAVIYLQGFRVEIPVKSSRQRG MRGSYPVRLFYTSNMPIMLQSALCSNIFLISQMLYSRFSDNLLVKLLGVWEPREGSAQ LYASSGIAYYMSPPLNFKEALLDPIHTAVYITFMLVACALFSKTWIEVSGSAPRDVAK QLKDQGLVMAGHREQSMYKELKRVIPTAAAFGGACIGALSVASDLLGALGSGTGILLA VTIIYGYFEIAAREGDIGSGLKGLVPGN AOR_1_1286114 MLQNADHTLTTATSVINAPGSPSIISPTERNGSSQPCNDPQVSH QEVSPTSLVQNSHAALSEKQFLPHQSFHRRANTEVFVRRQSHLPARQLFADQSKTLED LDNRGRPSNPPTENLTRRKLEEGAKLFTGWFQGKSEPVNLGVMSQPESAGTSTMDARD FYGSPRVPTSRAQKRMTAPSPLKQVTSTGPFSFFGLKRQEPKLELPEPADDELLNLDV AAALFPTTTTSLSEQEAFDTLRNNAENVIKRLQAAYKQRTFALHEALADKNEKQEELE ETRTRVGNLKIQLDGMAEKVDQQEKAMNAMAEELDQERQLRQREDEARLRSIRLIRSS DDESISDLGADLQTPTRSMKRASNATYASDSGFDSGDESLAESVFSRREGLESPASTV APSPSISHITLPAPVSTPLKEKELKPLQQTPTPRQSAYDRVLKGITSSWTSNSKCGIC HGVPSSEAWSVLGILKEENKGLKERLGELEIVIDDCLLLVGP AOR_1_1288114 MARSSADGLKYLSNALATPEQLSNSSSAIDGVAPELEASIRFAG TQLTQAAGVLLRLSQDIIAQAIVTFTRFWIGAEGGSLRFYSVKDVSAAALYMTAKLSF QPTSPRSVLNVYNFLVSKDASPLWFINPKGVSEKPSPETYCLSEGGYQSQRMVLLRTE SIILRTLGFNTHVALPHTIALTYLQTLGVSSSAVAQRVFEHLNAALLSPQLLYVTHQP NALAVSSIYLAAREVGVKLVDGEWWEVFDVDREELGFLVVGMRSMEGFARAEIEKWKG RAIPIVVDEVEAEIERRRMMAEGE AOR_1_1290114 MNILHSTLSTWRDRLAPVSRTSTFRNTGQITPEEFVLAGDYLVY KFPSWSWADASNPAKRVSYLPPGKQFLVTRGVPCHRRLNDNFAGDAGHDDELVRDMLS GGTGGVDDDGWLRTGGGQDSADRQENRIKDVRTVDESGNMGEREEEEDEIPDMEDEDD DEEAIIRDPASGTTQPTRTYNLYITYSNFYRTPRLYMSGYLSPSEPLPPHLMMEDVVG DYKDKTVTLEDFPWYDGNVKMASVHPCRHASVMKTLLDRADAALKLRREKLKQAQSDP SKAPSVGESGLEGLVDDIKALSLSDQQQHGSDKSGGDEWEVLQHDEEEQVAIRVDQYL VVFLKFIASVTPGIEHDFTMGV AOR_1_1292114 MYDAKSLSALLVLLLAAGPASVVSVPVAADQNIVARQINSEAEA GSNLVASIPEGPYYQSGSYASSDYHEGPGKNGGSSFSSGADASDDTIVSIPDGPSVNL GSFAHSSYEQTEPEPEPEPTPTPTPTPQEPQPSSPSTQVPVQPILPPSPTPFVPTPPL TSAPAPEPPAPSPPAPAPETPAPAPPSPAPPAPVEEPEQPVEECPAPEPEPQPEPKPQ PVVVPEPAYTCKCAA AOR_1_1294114 MKSSIAAKVPNGHGSVYKEVRFSPTATPIRRTQSVPNAHAVHHP VTLTTKRDASALSASYMEQAQSLLVRQRASFENERLLFAEERLLWEKERELLRLRIAE LESLLKSNGHAITSSRASTDYSSKSILTFKYPFDPQPPAGLSEENYQHCGAQVWEGSS PGSRPTRVFPELEKPDSHGQHSEQGGVLSISGPSLDAALSPRAHAADSAITSVPVPIE KLDSKLDGITLKSSALPPEIVARVMTPPSPSPQDASPASVSEKTLERRNSLKLRLSEL GSLERRLTRDAGHTPMVVIDGDADADVEIEQPSPSEGRSKEGESLVPAAPRQPAENSD SYFSDLPEDPALKGPLSLLNDEEHDSGFLKELDQKLLDQAKQALGYSEESKAPQVEVE AASRASQEPELKFKNSTNFGTAFGISNLGGV AOR_1_1296114 MSILPDRSYRSLLLIVGSWKALLLLLVVFSPGPAYDTSTALREL GRNAANTDRHGLLTPVLGLLATNLTRWDAIYFTEIARRGCLFEQEWAFNLGFASLIRA FADVLRRTAGINHALIESIIGIAVAHAAHGMSVFVLYSLARAVFPGRKGRNLAFIAAC LHILSPAGLFLSAPYGESTHALLSFMGSLLFVLSFNHAGASTSLRDALIPLSGILYGL ATAARSNGLLNGMILLEEAVRLLYSMTEGVTFAKTRRLIAVGMAGICTGLGFVIPQYI AYKQFCMNNKDPRIWCLRTIPSIYSFVQDHYWNNGFLRYWTLSNMPLFALAGPMLAIM TYSAIWTLGVGSGGQERGNGKSRLLRSLAAPQIILAILTFLKHHVQIITRMSSGYPMW YLWLAHALVEGHSLASSEKTHILKQYRYARMTIFYMIVYSLVQGVLFASFLPPA AOR_1_1298114 MAQISQSPLLRLPLEIRLMIYEYALDVPNEYMDRPLIVVNDRGN SFTARGRYRALSMCPSWVGENGKVRSLLSVNRQIHDEVEDFLYSHNTLFFLNAFSLDR LGAFLDTLSETARRRIRSVGFEIFFFVHSQTGVPKRTLKEYERAGKILSEKLPNWSSV VFYLDPRFYFPSAAVGGRELSARGVWYLATKFGAMRKEMQFFALPSIHRHVMDEAKRK IQMGRRGSQERAFL AOR_1_1300114 MSQESWTEVCAQCTELLSSVPPSILLTALIATLLGAFVLAYIAL FFVAPVPREPFPEEKKYRTLSKDGSITEPQQLPCWQDSLSNRPNRTPDQSTIEKADLF MSVVIPAYNEEKRLGGMLEEAVNYLERSYGTLHNTTGENGIAKEGKAARQRKPANGHM NGHAATGPGNEKGWEIIIVSDGSRDKTEEMALNFARDHQLSLHPKGYAGPWTPGTQEG VHIPPGTIRVVTLSENRGKGGAVTHGMRHVRGQYVVFADADGASDFQDLGKLVTACQD IEDAEGRGVAVGSRAHMVGSEAVVKRSKLRNFLMHSFHLILWLLTPAKTATIKDTQCG FKLFSRTSLPYIIPYMHSEGWIFDVEMLMLAEFSGIPVAEVPVGWREVTGSKLNVLRD SIGMAWGLAVLRAAWSLGVYRRA AOR_1_1302114 MSDDNDDREAFPLRIRDSVRDQHFGSPEVGIEADFSKKPVVPIV SDAGSPAGSSSTNQARHSTSSSLLKRLESTGDVPTGYIADGLSDPDSEWVSPNKRRCQ SRQSRRGPSSVSPDHPIRRPITENPMAKARINGTDTHSRSASIKSPGDLSGEFSQTHH QSDSNQILNESPQIPNANLTQGAMASEPVSNESRESLSHEQKMVGGHSAVAGGIESTG IILQPETHPITEDQLANEVRGIYAGLVMVEKKCIDIVKQQFVQESELSHQQWQALIAL HRTLLQEHHDFFMASQHPSANLALRKSSEKYNVPAQTVPAFEETWIECLGDLSRYGVV IEERGLLDHEIWVGIARYWYNKAVDKNPDVGRIQHHLGVLARPDIVQQLFYYTKSLVS VRPFPRTRVSILRFFSPLLQGPRVIGYPHVTTAFVSAHGFLFAQATAVHFIKSADEFL SSLEKYIPRVGVTFRMQGVYLTSSNFAAMLEYSNSDSLLCAEYHQVATENPKPFESLS AAEDPVTSARQAVTSLLTLGAQKDPSQLAYGSCLAFETFSVMLEHVNNMNLFPALHTS LAFLWCLSYTASGMKCVEAVVPWNRIVIFLNMIIQPSFLQRLVQGWLTSLDEFDFNLI EGTEFPISNETSWLPEDFLIRGQLWSQGYYPSSFFENCPSDEDGRNVERESLSISRMY RCLWLGVRLAKFNRWITYDPDSRQFSVTPFALELETALKSETISELEKMDETKSGSTL YLVRHRFQLPGLQDGVPCPPSTG AOR_1_1304114 MSVAKELGVANAEYAASFNKGDLQLPPKRKVAIVACMDARLDPA RALGLEEGDAHVIRNAGGRVADALRSIIISQQLLATREIVIVHHTDCGMLTFTDEVIR GKIRSDLGQDADHIAFLPLGDLKQSVLDDTKVLRASPLILDVPITGFLYEVETGKVAR VEESL AOR_1_1306114 MSYNNRDNDFGSSQSGGYGGNDSYGSRQGNDSYGSSGVGSDSYG SKNDNYGSSSRSGNSGLGGSDSYNSSSRSGGNDSYGSSGNNNSSYGSSDRDNYGSSND SYGSSNRKDNDSYGSSHNTSSSKQHGNDSYGSKKDSYGSSTDSYGSGSKNDSYGSSRD NDNDSYGSKKDNYGSSSGSYGSKNDNSYGSSGDSYGSKNDNSYGSKNESSYGSKSDSY GSSRRDNDNDNSYSSNKDSYGSSNDSYGSKNDSYGSKNDNSYGSKNESSYGSNNDSYG SSRRDNDNSYGSSGSRGSNRDNDNSYGSSGDSYGSKNDSYGSSRRDNDSSSYGSSGNN YGSKNDNYGSSGSNNRSDSYESSGRSGYGNSGY AOR_1_1308114 MSNKGEEKTTTRRAAKTVEEKYADVTLRIIEDHGDEFGPLSPEK EKKLRRKLYLNIMVLLSAINIVLFIDKSTLGYAAILGLFEETGISKKQYNDLNTVFYI GYLAAQWPGHYLMQRLPFGKFVSVIVFLWAAVIFLHCVATKFAGLVVLRLALGAVEAV IVPAMEMTIGMFFNRQEQSFLQPILWVTCQGAPIVAGFIAYGLLYSHSAVLPWKLFMI VTGGVTFFLSIWVWFCYPSNPAEARFLTLEEKVHVIRRVHDSSQSSIEQKRFKRSQFV ETLRDPVSWLFALQAFTLMYSNNLTYGQQNLLTTSLGVSQLGSTLVAVAGGGFGVVLC IVATFVLKWFPKYLAIHGLFWCIPAIAGGIGMVAIPWDNKLALLACMLLAGHTYGITY IIALGWTTSSAAGYTKKLTRNVMFMLGYSVGNLVSPQIWVPSAAPRYYGAWVSMIVIS WAGTPAILCIIWFILARRNEERRKWIAELSDSEREEGCVEQLDENGQIVRRKVDLAML DLTDLENKFFIYPL AOR_1_1310114 MAQIPHTASTQSASLGAMSRDAPVAPSLNRQTSFRSRPYGQFPQ AGVSPTSTSIHHRRQHRTHSIASSSPSVQQQHSRSNSQNLHWRTRSIREPNTSNGHSP HAHRSHTTMAGTSHVLPNTGSETQLPPPLTQQEQVELARRLQMRELLRSARSNETHAL QLYEENYLRRHRRQQEEAASHAKGLDDQKDGRPEPKDDAELTVNLECKICMSQLVDTV LIPCGHAILCRWCAEQHARPDRSRPKAAVLCPLCRTPVKQKLRIYLS AOR_1_1314114 MSTFTIPCDHGQQSLSVDCTPDLNKDDLLRFPAFQIWLSTLQQS LKRQQDPSHEFHKDPYVLRKIDIQAVDFFKGGRLGFVKFKADVSNGNGESLPGSVFLR GGSVGMLLLLQPDDVHPSVEDEKRAILTIQPRIPAGSLTFAEIPAGMLDDAGSFAGAA AKEIQEETGLTIPQDELIDMTSLALQSAASPEDVETLQKAVYPSAGGSDEFIPLFLCQ KRMPRKDIESLQGRLTGLREHGEKITLKLIPLKELWKEGLRDGKTLASWALYKGLKDE GKI AOR_1_1312114 MSDPKALRSEIIARGPLDPKEARWTRLVKTTYRDPTGVERTWES AERQTRPANCAIDGVGIVTILNKSTGPELLLQKQYRPPIDKVVIEVPAGLIDAGETVE ECAVRELKEETGYVGVAEQTSTVMYNDPGFCNTNLNMVHVRVDMSLPENQNPQPQLEE NEFIESFTVPLASLFEEMKKLEAEGYAIDARVGTIAEGIELAQKWKL AOR_1_1316114 MPKITEIFFDCDNTLVLSEELAFEACADLTNEILESRGIPDRYT GEQLIQDFVGQNFRGMMVSLQAKYGFEMPQEELEAFVKKEEDKVIAKLEAKAQPCVGA NEELEKLYKAKKYHLAVVSSSALRRVQASIKKVGQDKFFDEDMVFSAATSLPKPTSKP DPAIYLHALEKCNKKPEETVTIEDSKSGALSAIRAGIHVIGYVGSYPGDEKKVEMAKL LKELGAEVIMKDWSEFQDCLSQIERLEQASQPTRHRLS AOR_1_1320114 MGGDSDPFPVSVSSLHFPSKEQTISQTLSSLRRSALSITNRLQS IESDANFVREVADYYDLPLVANERCGSWYIPPEAKAGSAYFKSTDGHTGQWDFSFRRL NLQILPISRKHGGCIIVDSTRRGKLMPDALSKTVPIWCAVINRALFPSDTAYHPVQFP PNFLGASEESQIEHRIDDFVKSLKDLKLDLDDLKQKLGKPIRVAWANRSYFHPTDLRK GDAYNLFVLCSASKRVHGAEMSEGGYIQGAGDDSEGWAHGLTPPVFWEHKSTLAATGE EDLPELIVELVEEHRKQDGRQQATLITPTRNLYISPTDPQLNGGGTYDLVIDCNGNPE ASEGNSKRLNLGCGVSKLGSRDLRKHLHQVLAFTSSQLESNPSQSMLVTCESGKDLSA GALLAILCLCYDDEGNFAGSHAKNKIDKQFIRQRLAWIVSSKHDVNPSRATLQSVNAF LMERPDY AOR_1_1318114 MAAKLAITDLVPLPNSAVKIPRLGFGVYRSPPTQCVQSTLKALE TGYRHVDTAQFYANEKEVGDAIRASGIPRSDIFVTTKILVPGGSPEATYSKLLASVEK IGGQDGYVDLFLIHSANAGASGRKEMWQALERLLEEGKTKSIGVSNWGVKHIEEMKSY AKVWPPHVNQIELHPWCQQRVVNAYCKKHGIVVEAYSPIVRNYKASDPTLVDLANKYG KTTQQVLVRYALQKEWVPLPKSDNPDRIVANANVFDFEISEEDMAVLNALDQGSAGAI VEAVENE AOR_1_1322114 MGYSSEDISDLDKANNKAMEAGAEKLGVPPHYDKDLVGEMDERE RDIVEDGIHKFSRLGWKRLTVVLIVEAVALGSLSIPSSFAKLGMVAGVICTVGLGLVA VYTSHIIGQVKLKFPHVAHYPDAGQLMFGRFGKELINIMLILELLFLTGSHCLTGTIA FVNITSSDVCSVVWGVVSAVILLLLAVPPSFTEMAILGYVDFVSIIAAIGITIIGTGI KSTKEIGLSNVNWSAWPHEGLTFTDGFIAISNIIFAYSFALCQFSFMDEMHTPKDYVK SIWALGITEIIIYTLTGALIYAFVGVDVGSPALLSAGNLLSKVAFGIALPVIFISGSI NTVVLGRLVHGRIFKNSPIRFINTKMGWITWLAVITVATVVAFVIAEVIPFFNDLLSI CSALFVSGFTFYFPALMWFILIREGNWNEPKNLALGAINVAVLLIGLVTLVGGTYSSV DDIINNYREGSVRGVFSCSPPA AOR_1_1324114 MLTVEKSWVNVQQKTFTKWLNDKLKVRRLFIEDLVSDLSNGIIL IHLLEILGGEPLGKYASNPRLRVQKFENVNKSLDTIKGRGIQMTNIGAEDVVDGNRKI ILGLIWTLILRFTISDINEEGMTAKEGLLLWCQRKTACYEEVEVRDFSTSWNDGLAFC ALLDIHRPDLIDFDALDKKDHRGNMKLAFEIAANEIGIPDLLDVDDVCDVPRPDERSL MTYIAYWFHAFSQLERVENAGRRVEKFINNMHGAWEMQNSYERRMKELLRLIRAQREE WKNASFEGTYKDAKDQAFQFSLYKKKQKRQWVAEKSDLAALLGNIKTKLSTYRLRPYD PPAELSLEVCDQEWECLTRDEHERSQLINETIRDIKNALRRSFADKANDFALTLKTLS LAISGLDGDVEDQLAHVKRLNDNLPPLDAFLDTIAEIDEQCEEANIEENDYTTYTLDE LSYELSLVKSSISKKLAFLDNQLVARNMTNLTPIQLEEFESVFRHFDRDSSNTLHELE FSAALASLGLVYDEDEMHEVYVETCGPARLAQNAGVSFEQFIRFMVSVTEDQNTAEQV LQSFREVADGKPYVTELDLRHSLIPDEVIDHLVQTMPRHEVFDRGEDQNEPKYDYYSF MQKMMESGNRGQSDAASNARPSRAPRRPRGDRYSPPPDHAPTGAKLRIENLHYDITES DLEDLFTRIGPISNLSLVYDRAGRSEGVAFVTYERPSDARTAISEFDGANAKGQPIRV TLVSTGGGRRDRNPFDNVERPKGSLFDRVERPRDRDSRSLSPGSGHESADDGARRRRG RRGGGGRYRRSDVSKPAPEHIDRYVPGQRSPARRPANGRRQGQGESRRAPAARPKKTQ EELDQEMDDYWGTANTGADKETVPVEPQQVAPATAAATAGDDDVDMIE AOR_1_1326114 MASDLTKVVPLTCHGHSRPVPHIDFSSTVEDDQYYLISACKDNN PMLRDGITGDWIGTFLGHKGAVWQARLSTDATIAATAAADFSAKVWDTHTGECLHTLQ HSHIVRAVAFPMQTSPQVLATGGYEKKLRIFDLSRSNSGSNSSSPTFPSSTGENGTGV TSYEIGPGVHGGTIKSIVWNQDYNILTTAAEDRKIRWWDLRSRHPVIEYTVEGTIGSC ELNTLAVRPNDPGILTVAAGKSVYLFDGSSPGRLIKKSDFRYEVASAAVNNETGRLVT GSADDTWARVYDLRTDEELEVQKGHHGPIWSVSFSPDGKLYGTGSEDGTIKLWKACRE PYGLWR AOR_1_1328114 MEQSTTQPSVLGGLLLATSALAAPTLKIPANEVSVDKSNKHTEN NLTLVDKTNNDGNARRQENDVYPSDANVSSGSIA AOR_1_1330114 MPDFKISASLEGHGDDVRAVAFPNPNAVFSASRDATVRLWKLVS TPPPTYDYTITSSGSGFINSIAYYPPTPEFPEGLVFSGGQDTIIEARQPGKASDDNAD AMLLGHAHNICALDVSPEGGWVVSGSWDSTAKLWKFGKWECDVTFEGHQGSVWAVLAY DKDTIITGCADKAIRIFNSSGTLLKTIQDSRDVVRALCKVPASNPTGAQFASAGNDGV IRLYTIQGQVVAELQGHESFIYSLDALPSGELVSSGEDRTVRVWSGTQCVQTITHPAI SVWGVAACKENGDIVTGASDRVTRIFTRSEERVASAEVVEQFEKSVKESSIPEQQVGK INKEKLPGPEFLQQKSGTKDGQVQMIRQNDGSVTAHTWSVASQEWVAVGTVVDSAASS GRKTDYLGQDYDYVFDVDVEDGKPPLKLPYNVAQNPYEAATKFIQDNELSMNYLDQVA QFIVQNTQGATIGQSTQGSTPAGADPWGQENRYRPGEASAQPTQPPAQPESRPKVLPQ KTYLSIKTANLKVIAKKLQEINEQLVSSGSKELSLSPPEVDTVVSLCNHLETPESLKQ FPTLETGLGLLFKVATGWPVPNRLPGLDLLRLSAAATPVTATANYNGQDLVTGILSSG TFDTSSNVNNAMLSVRMLANLFETDPGRQLVMSRFDQIIPAVKSALSSSGATPNRNLT IAVATLYINVAVYLTSEGRGSAPESSERGLVLLAELVQMIVGEKDSEAVYRSLVALGT LIKGLSEEVKNAATDVYDINQVIRDVLSSGPGKEPRVKGVVGEIRESLQ AOR_1_1332114 MFRSSLTALKRYPLPASIRYYSRTARMSLKAISSKDAASLDKDL MEIGGWSLDQLMELAGLSVSQAVHRVHPPSAGKNILVVCGPGNNGGDGLVAARHLAQY GYEPSVYYPKEGKNELYQRLKTQLDNLSVPFITDFPVAIKSADLLVDAIFGFSFGGPL RDPFPTIISQIEAASVPVLSVDAPSSWDIEGGPPKEGPGSKFMPEYLISLTAPKPCVK YYQGRHFLGGRFLTKSITEKYGLDLPNYPGIDQIVEVGVDAEGRL AOR_1_1334114 MVVRIRLARFGNKHQPFYNIVVAQARSARNSKPLEVIGTYNPIP QRPTNLSDEEARSARPYKEVSLDRSRAKYWIGVGAQPSDGVWRLLSLAGIGDGRTKTK N AOR_1_1336114 MSGSSNQSTRTSPGSLSTTHRTPSVSSGASPPHQSLQSSQAPLT AQNAMSTDVYTSSTSQASTSLGPTFTYPFTPLGPPSAFDNMPSATMRLSDADRSAQGL NGFIRPGAGGAILMRKLPKSTSLELLRSMLLFAKDLVDIEFEHNIPPEDNGYVSAVAR FSTVAAAEEARALLDGKPNSNNDANMIVEMYNGPVGSALGGPRRNTIDHTATRSLLGG VTSNGHLSRHSSRFNGTFQSLEKLTVANASNSSASDALPPESSSRMHSIFSPQSPIGN GVHDLPRVSGKSMIDQDLDEDTGELLKDPVGYAENGHSGSVSIPRRSTNPQFPTNQFA NMSLATNLSSPPLSNYTPGGSAHMGIPPPSTAYPQSINNMSGNHGLPYANPHTPRHSL PAANPNDMNPPCNTLYVGNLPPDASEEELKALFMKQRGYKRLCFRNKQNGPMCFVEFE DVGTAGKSLNELYGYKLSNSIKTGIRLSFSKNPLGVRSGQPGSMSASNNIASQGAVSG GSSLGGMHNHMFSTVSGPPPGLSAPPGLVPPLQLRNNGAVHPSGHPHAPVPNNGSFHP NTGLGIRTNHMNSMMSPTTPLSGGVPGGGSGPNMGGFNSYYPDYMMGR AOR_1_1338114 MPPRVQNQLVTNTLLPYLSSSSTSTSTISKIPTQCSSSSITSTS RRTCRPFSSTVASQTKLRNEMFTWLNGEGAALKNHIPGSTNYLTQIKERDEQPVGRTR PFPLNQNFVSESILSEELRNEIYDRVVNQKKSVRAVSVDLGVDMRRVGAVVRLVELEK RQKQQGKSLALPYARAIHEMVPTTPLYEDTRDNRNRPHESINDLPVHKLTDPQIFYPV SESRQFNRVDAGRVFSAAPALENKQVNDAADPAEAVSRITQNPSHIEKVGKGEAEQQV LQPADARIPHPHLVAHERFRQSRPTEYREIFKAYNERLRQEETAEKERKRLAKERQDQ QLTKVQPESSRFEFRFKDVVVSKETTGTDGRGSKAPGLRYGVPSYERKKGQVKIPTRV EV AOR_1_1340114 MSTTNSWSKFKAKVLRRSSTVKAATGAEPFLVQNSSTANTNSLS PVPLRSPLTSDKGFAQPRSYNSVSSLSTAQRSISDDTSAPPASPSAERGRYYGSVDEG SVGRSQSPREEEPSRLRKKTRAPGPLNQNLIASTPGSQERQLSDSNLDCVRAVADRHS LPPDFLKSPPRLLGSNELLQQLPFAPTATPPLPTSGSGASQTAYNQGRDRTGSTVGEP QLPTPLTAVAENPSAAPHNQAPVSSAVSIPKRPSLGSRRQSLFAPSHQHLISDLLEQP RTSLENDGSGRLATGTAGMLQRKIWVRRPGGSATLVPTAHDALVDELRDQVIMKYANS LGKTFDAPDIVVRIAAREGSNKQATPDRMLSPEEPLVTVVDSYFPGGQTIEEALIIEI PPRRTPKPSPRHSIYYEQTEPGEHNEYFPLMPIHPNIPTPPAHASNSSGSASAHPAPS ISILTTGMAPPLPSPGSRGNRHTRRPPLTRHTTNSPTVLGQSTSSKEAGAQQTAIPPQ PAPQVPTPPVPPPESPQNKSMTPPARGASPRPRQSVKPKKSPAQSLSAAFGGLIEGTV PPINVLIVEDNVINQRLLEAFMKRLSVRWKCAANGEEAVKKWREGGFHLVLMDIQLPV MNGLDATKEIRRLERLNGIGVFPKTASGRSSAASATAMTPPEIDPETHDSLEEEDVLH DLSLFKSPVIIVALTASSLQSDRHEALAAGCNDFLTKPVRFEWLEQKVTEWGCMQALI DFEGWRKWRGYANDNQSSATPTHSQLENGRVTKKYSPALPDSSFPDTASQFLHLPKPS DLLPEDSSGGEAPDSPASPLTTVPVEETSTHHDASADAI AOR_1_1342114 MVAYSTPSSSLHKPSPYNESRRMARFRPSNILGDPFALATISIS ILAWVIAFISSIVSAINARGYPTYSWWGVAYSLCIILGMTAVFGTDTGSVYGVAIVGY LSAGMVITTLGVNSLVYRSDSASQAAGAGFILMSMVIVIWIFYFGSTPQASHRGFIDS FALQKEHPGAYGNGRPMSTAFGNRPETTSSQAPQMYTSAQLNGFETSSPVSGYPGGAP GSENRSSSQPRFGNPSNANLTANGNENEVPQPTEYPYRAKAIYSYDANPEDANEISFT KHEILEVSDVSGRWWQARKSNGDTGIAPSNYLILL AOR_1_1344114 MTLENEAVAGATIELLESRLRRLTYLLTGDANWTGIPTAPAKPA SLDESVSRRLLSLERELERLSRNIPAVRDVLLLHDRFPDLFRPTPPQSLPESLTTQNL ASIVLSYASAFPETASRLTSLNDLPIPDTQTSASLIQLQPRLDQLAQVQEEQAKQISK LRVRTARALQRWYEIALVGGGECWAEWEGRLEDVEREVKREEVVRERRAKEL AOR_1_1346114 MASEPTEEAIANFVSFTSTSREQAISFLKANDLNSNKAINAYFE DPTGPQTEASNYLNDPNIPSFHIEHSDPVPSSIPPSRPPTTPGEPAQGPEYQQATPPP SQNTTDPNKGLSLAEQEERELQQAVAMSLNQNLGQQETGVTTSNKSNFGRATRDFYDE GAWAMTLFNSSAREIIISPNPGDRKRVEGEPAFLRPSEDSLYLGGLLTILHSIPLARE ALLQRNKILSNYGHDPQWWNGQPINLPKIVTIQDAHDGDTDWDDIIYETQRLVAFLDI TERSFGSVDALASLKSMSTCDSEGSIGRYLETWQDAAVRADPGNQLATVFSSKAYKRP LTVYDTPIHKDFFILESFVDPEHGQTLYDVLDSAIWSDRPGEELDDVWLEHVGEIFTV RLESTDNTKPIDVKIPAVFYPDRYLADSRDFSREFRAQRLQEYDGIFKLDNLINRFSA SKSAINSKGMTLKETLEKAASAVSVTLPKSLANGANGLTLSPEAANVEAKRLADELRE VSNKIDDKLKELVQRRERAIETLRGYTKILTEPSDVSGKTPHYKYTLRGVCTEPHVTY VLRPSGHTEMADTEPTAVDEWQWWRISFSTDDAKARQAELQQGDASTSKNADVIGYTA RKVREIEVLKAAREESKNVLLIYANGNAMNFREEPIPPPLQEFINADNAAFGTEFKQQ ESAEDAAGRQNEDSSSASRQDENIEMNELTQDGQRETTSAAANVNVFDYQVSSFDDAA DSSPEMQEREGRALLGQSSTAGPVQYSPSTNAAWNQ AOR_1_1348114 MAAAFDDEDLSVPLPSYDHDRRRERASGATSPNSNFAGMTMPPP SRPIGRGGDPSMQSPATTRDMQRLDQYQTVKVLGEGSFGKVKLAIHQPSGRQVALKII SRRKLLSRDMVGRVEREIQYLQLLRHPHIIKLYTVIATKTDIVMVLEYAERELFDYLV KRGRCNDAEARKFFQQIICAVEYCHRHKIVHRDLKPENLLIDSEKNVKIADFGLSNIM TDGNFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYVLLVGRLPFDDDYIPAL FKKIAAGNFHMPPYISSGAARLIRSMLQVHPVHRLTIPEIRQDPWFQKDLPKYLQPPP EEFIATGVDPNKAIDPRKIAPGKPISVQHKIHQVAIAKLERNMGYGREDIEDALKNPE PSAIKDAFFIIVENEMMQTNSPTDENLMPPTPLPPSDRTSLSSAAAGRTTAAHSQGTR AGPPTRTRSGSQRQPFQLHQPSESDDLETSRVSHVRILPTSLPYVHDQLMEQRERERR ARAADRLAEEQARSGSDALEDPHQSGLDGRSLEEQEATAKALKPHSRSIVDLDKLRLE PPEARNAPHQPRKTRKWQFGIRSRNQPYEAMLYLYKAIAAQRGRWEILPAESGTQIGS QSPDEPTPLQSKYPDLPSDYYIPKDPWFIRARLLKEGVKAPGSSGSVHSSRSDISELR RRFNLTGGASSVDEKSHSPSEHWSTSGPSSASQHHSIPRVAYGVWVFVDIQLYQLEEN NYMVDFKCDGYQNVIRAESDTEWHPISKRFRNKEKEITSPYPFLDVASDLVAQLAVAS AOR_1_1350114 MSPVQDPVDHDDVPILIIGSGPCGLLLAFMLARLGVRSLIVERY PTRLDAPKAHALSPRSLELCRQFGLDVNKIRNIGAAREDAHWVNFVTSLSGKLVGRLP YERMDAEVLNDTPTMIHNIPQPEFEDLIARELPNHDLVEVRKNHSFVRLENRNDCVLA SIEDRSTQRVYTVRCTYLVGCDGAKSAVRRFLGIESEGEDSYETMMTIHINANMSPVI KERVGMLHWVIDPEVSGFIIGYDLSGNQVLICNFDSIKHPVESWNEALCRKVVSAAIG TNVPYDVLSYRPWILSRKVAKSYRVNRVFLAGDAAHSFPPTGGLGLNSGLGDVHNLAY KLAAVLRGLGGDSLLDSYEFDRRNVAMVNSQQSVKNGKQIFGLLKAFGTTDSNMEVAR RNLYRNIEDRHAMKDINQGIENQREHFDNLGLHIGYIYGDHRIPENASIYRPVCIRGG RLPHAWIRLYSQEETALPPIDSSYVAELSPEEVEMKRYSTLDLCTFDAFTLIADQGTA LHWKQALKEMCECLPAGMSYKLKIRLAIRGSDFDLQPGKNGEDWVQLTKLYDGNAILV RPDQHILECFKFPAGYSELLRVLREHLGWDTVVPDWHKVDSVL AOR_1_1352114 MLPWHLFCMLLLFTRHVFSAEDPEKPLQDIVTWDEYSILVRGER ILFFSGEFHPFRLPSPGLWLDVFQKIRALGYSGVSFYLMWGLLEGEPGHVRTEGVFAL DGFFNAASQAGIYLLARPGPYINAEVSGGGFPSWVQRIEGSVRTTDPTFLNATKNYIS TIGEIISKVQITNGGPVILFQLENEYSICEGAPSHEELNFCLEKDYMAAIEQQFRDAE IVVPFVNNDAVALGDWAPGTGQGAIDIYGFDNYPFGWGNGYSMSPGTPFSIIEFQGGA PDPWGGTGADVCAEMVSNIFARVFYKINYDFRITIFNLYMMLGGTNWGNLGYSSGYTS YDVGAAIIEDRQITREKYSEIKLEAQFLQGSITVPQPGGSSTLHGRDSKFHVTDCDLG GINLIYPTAETFTWRRHGSKSVLVLYGGEDEIHEFAVDSNLGNATTIEGSNVRLGKRG ATFVVQWDVIHSR AOR_1_1354114 MDLPAPEPLDLHASPSRTNSSVIVKAGYLLRNASISENTLHLTG DVNATSTVELISAPPGCCSDVLGHFTAKGEEKSLYLSTQGGYAYGHSVWLDSTYLGSW QGNPAIQNYNQTLQFPQSLQGDEHYVLTILIDNLGLDLNFELNTNTMKSPRGLLDYDL SGHNSWKITGNLGGEQYREHSRGPLNKGSTFVERQGYHLSGALNSTKAEWQTRTPQEG LSAAGVGLFATTFSLDYPQGYDIPTSVVQ AOR_1_1356114 MADPLAITGLILQVIETAGQVYRYCKEVQNADNEIRELFGELFA LKAVLEQMSREKQGPLDIQDPKSAQAISSESFRHALLSANGVLKDILDDLMKRHIKGK SFIKQLGWPGKKEKLQDSIVQLERLKSYFILVMVNENSAMDKEVLSSINYLTDLGTLM RQDSQRLSSLFRFSEHYLTASVIEDLRQKIREWICPFDVEEESRLLYLEGKSGSGKTV LCSTAIEEARKATQSTDYSLALFTYCSFQLSASQKLIHVLGALLAQLSDRFPQTLDVL EPDFRKKSLPSPNTLLQILRSHARELKRLYIFVDAVNESDECEDILSTLLTLAESEYQ IHVMVTSTAASVTLSKDDLLRAQMKPSSNQNDIQDFVNAQLETRPSLRYLPPHTKRDI TSVLITKANGMFRYVQCQIDLLSAQATGRDVRKALSTLPESLNGTYEVILGQIPPYNR ELARDVLLWLTFSRQPMTLSALSEAVVIVKGDKFLDDECRLYSPTVILKICQGLVAYD EVTSIITLAHASVKAFLTSDAIRQGPAAYYSLQEIEATRCIFQKCLTYLMFDAFQKPC RSRHSLRRRLKEFPLLNYASMTWGQYCGLQAPAGFVLGNSELDEIMDFFATCALHSGG NFRSWVQVLIPEAATEEAWSTEPLYYAASFGMTLVVERLIKSGINLDSPGGRHDATAL TVASYRGQLAVVKMLLEAGADPNLKDCHGITSLGWAKRKGHREVETLLLASGAQAHLG HSKVVVSRNEQQAGNEYSDSEGHEE AOR_1_1358114 MTRAPSDKPTGEYRQYLPDLSLKRFQVMRTQDAHEYAHDFKTIH NPPWLHALYMHWLDLLQEPFKGVTTDGNVRPGLFTLQDEDIPIGKIVSAVESLLSIVD NKQRQALSYHIDSPEWRTWSNPEFLLSHKGLRLDEVNTETRDAILNVLKATLSPEGYD KAIKAMRINHFLGELVESPKVMNEFSYNFVLFGRPSTTRPWGWSFYGHHLCLNVFLYK GQIVASPWFTGAEPNEIDSGPYAGTRIMQVEEELGLRLMQSLSPDLQREARVYAEMHD PAMPPGRWNKDDQRHVCGAYRDNREVPYEGILASSLNEEQKKLLYGILEQYLLYLPER SRKMKLDHIRQFESETYFSWIGGFGDEDPFYYRIQSPVILVEFDHHSSVFLNNEEPKK FHIHTLLRTPNGGDYGYALRPLIPAVEGIVGEDIKWEKSAL AOR_1_1360114 MFDYIFRLLTRLSGGKSRREETAMIPEDAQLHIIIVGAGLSGLA TAISCATSGHTVEVIEQAKELAEVGAGLQITPNASRLFHHWRLPSSLWKSAAEPTALT VHKYTGQVLAHEDQFHTNIRSKYQAPFIDLHRVDLQQALFARAQDLGVKFHLNERVDR LDFDSTTVYTLSGKTYSGDLIVAADGLWSKCRECFEGKKDDPLPTGDLAYRIVLRAEE ITDPKLRAWVENPECHFWVGPGAHAVAYSLRGGNMFNIVLLVPDNLPPGVSRQEGSVE EMRALFEGWDPVLNQFLGYVDTVDKWKLMHHGEMESWINDKSNLVFIGDSCHPMLPYL AQGANSSLEDGAVLGGLLGHMKSKSQLPKILRLYESLRKSRGEAIVKETFKQRNDFHM HNGPEQEKRDEIFLSQLGKEIKGAFPSRWTCPEVQPWLYGYDALQEVEKAVGRNPELF SKKKANL AOR_1_1362114 MQRQTQRSSSIRTTVDDSGPPRAKRPRAAQACDRCRLKKYKCDE SYPCSHCKKSGINCVYQGNYRQRENDRSASYVSDLEKKVDELSAKLRVAESEIASQRS PQQMPHSIIEATPCSMPRHGSTPREEIPLSYDNHDEAGDSVEDEISELNHHTNGIEFH GSTSSTALIGHLQKRHEPRRTQERHSLAGVPEYSIVSTLHNSSFSPSYTAGPAQPAAL HEHNYYFEQAHAFMNGYFENIHFIHPLIDKEDFCLRAHDLWFNRTTQPEPSFVALYLS ILSFGALVRVWDEERLGGLTRFEWSRKLFGEAQVYLNYLQFSNNLDTVQCLYLMAKIC QNELNPNLAYMYLGLAIRTCLAAGFNREVRNSTEQRAGWISKTWWGLFSLEIEMSFSV GRPDTLGMDEYHNRALPERDDSEYAIIPWMVDFAQMIRKVSVQIYHSRITLQDKLQVA LQIEAELDRWMLRLPERIKPDILRQGASGGALRDPKWARRQRLVLGIRYYNVKMLLFR PFLSHFTRKLRHPPIELEQTIGKCLDAAMKTIEVIYDIYRIHTFFRCWWYNTTYVMFA TSTLLLPMIKLGMCPETIPLRRSVEMALEILEAMEESVVARKSVDLIKRYLREFSLSD AQSSMVNIEGSVPAYAAESPSQGTFDIPEWAHGFGFPDCSFEGIARLFDDIGGLPMLD N AOR_1_1364114 MAISWTKLPPPSRVPYAIPQLEGERITIPGSKGVFRILASSKQT NGLMAVFQSGAVLSDAPGFHYHNHAHDVFLVAKGYLKLWNGDKCRIMGPGDFAYVPPT VIHNPEMLGPHTEIYGLITPGDWVDFFRHVSEPYEGLLVPESDDRDLKSLLIPKVMAA KEKFDVVFQPNYQPPDVGDWKKDDERLPDSSQPFYLRANTGPRWMLGGVMSRPFITTT QSNGVCAISSIESSCEYGPSLLSKNMTFYDVDHCLCIQEGTLVVRLKDLADAVFREGE TVVIPAGQTFALAFDSRYVRVWSFTDGNGIESLVHRLGKPFEGVVLPDRELEWDSAHV ESVAKELNVDISL AOR_1_1366114 MPGTKAIVTLYPLNVSDVLPYRSLTFTSDYDHIEVGRASKRERK NLIPTNHNGLFDSRVMSRNHATLRTVYLSDGNSMHGTWVNGEKIRAGEDTIVRSGDEV VFGTEVVRGHDSGDEVVLDVSNNHQPKRATNTFCVPDDDDDYGNEVIYDSIPAPVVTA VESSSESADSDPGLDSDDDSVMEISSPITSPPKGGDIVGSQQSPIDIDREQAEQPLAT PRMTPPSAVDVAEETSNKVQEQTSYILHNPESTIVLDSGEQSVAEPSDWESEDDDQPG SMDDSMSESQSAYDEEESDPERFSVRLSSAPYMDISQGIQEDTACGDYDTSSREFYSK GKEAEGRTPDLTRQTAASCGIGMPLFDTTSRSLNEPNGPFVNSLSQFVESNNRDDAII PAATAPGNENMQSRSTDIEIRGTEAGGDINPSNVGVQSSSLPEKTRAPECDTFASSHN NVDPTSSKATAIPRQGLKRKAMDAEINSQDDDSVVIQDTYPCTENNRSVDERPTEEED DSCFPDAQPQITVPELGNVPSQLTELPATHASQKPDSHCLSIPDNGRPSKRLKTTATA NLKSHAATAALGAIVGAVGTIAILASLPADYFA AOR_1_1368114 MSNESPLPQPVKNVVVDTASLPASSSASVWDRVSKWVSENKALV YTIAGVAVVVTSAGVVYYLSDSSRPAQAPQPTEKKKSKNQRRKEKEKEKKKAEEKEKA KAAAVQDEKKKAEEAPEELPEVDEATVGQLSEETRKAYAAKLKAAGNKAYGSKDYNKA IELYGKAILCKPDPVFYSNRAACYNVLSEWEKVVEDTTAALAMDSEYVKALNRRAIAY EHLEKFSEALLDFTASCIIDGFSNEVSRVALERLLKKVAEQKGKAILEAKGKKLPSPT FVSNYLQSFRPKPLPEGLAESVDLSEDSGKGQLRKGLLAMALKTGDGYDEAATAFEKA LELGDLGEFEALALNLRATFTYLEGNAQAALADLNKSVELQPSLVQSYIKRASLHLEL GNKDAAADDFELAISHNKDDADIYYHRAQLHFILGEFAEAAKDYQKSIDLDRTFIYSH IQLGVTQYKMGSVASAMATFRRSVKNFEDVPDVYNYYGELLLDQQNFSEAIEKFDKAV EMEKQSKPMGINVLPLINKALALFQWKHDFQEAENLCQKALIIDPECDIAVGTMAQLL LQQGKVSQALKYFERAAELARTEAEIINAISYAEATRTQLEVQEKYPQLAARLQTMGA GLGGPPGL AOR_1_1370114 MLPLEQSERLVDEGQNVNSHGLALLLHLHGLIELLNGLGEILLV KEKFTIVVVDIRHILEVLHGSSESGHGGCDRSHLVLCYTQLDVRVDEGTVKVDGLLVV LSGFGKFAEDEVKLGAVVVDISVVLVVGDSEFKVVRSGILVSYSLSVRSDTTTNGAAG FRGVYQVQGAD AOR_1_1372114 MASTPSTASSDSFQIISSLRYDPSIPRAIGGRTAEPRLLATPYY LLPYHQDRLLNAAICFNWTKAIEFLRQDLGQFTQILDTFIPDKSESWRLRIVIDSSGA CKVEANPTASMNPLNLLYPSQEMSQPDTWRVYVDSEPTTPSDFTMHKTTARGDYTAAR HRSGILSPQEQAEVLVVNPKGEVMEGSITTPYFRRRRPSSEKDGASKDAGPEWVTPPL LSGGNAGTTRRYALTEGFCTEEVVTVADLVDGEECWLSNGVRGFIPGRIVLMDPKGPG RVPPPR AOR_1_1374114 MADEPGQPPALTTLSLAEKAPDSKAVGQKITPFDVSGGVDESGK LLPVDYDKLVREFGATPITPALLERFEKVTGHRPHRFMRRGIVFSHRELTTILDRYEK GQPFYLYTGRGPSSDSMHVGHTIPFEFTKWLQDVFDCPLVIMLTDDEKFMHSQKIDVE DAKRYTKANAMDIIAVGFDMKKTFIFSDFDFVGGAFYENMCRMAKRITINSIKGTFGF NDSNNIGEFHFCATQSASAFATSFPHIFGTDRKKVSSIPCLIPCAIDQDPYFRQCREN AEKMKYKKPSLIHAIFLPALQGPGSKMSASVDTSAIFMNDTPARIKNKINKYAFSGGQ DTAELQRQLGGNSKVDVPFQYLTFFLEDDEELERIRTAYEKGEMLTGEMKQRCIAELQ TYVKGFQERRAQVTEEMLKEYMSTRPLEWKGNPNPIVVEKK AOR_1_1376114 MKFFENVFTYDYSFPAVSLAYFLRYPNPYSRHVLTTDVIDRYVD PKTQRLHTIRLHLKKSKVPAGILKLLPKGMGGSDNSGQSYILETTVVDPKEGWMESES RNMEWTGILSVVEKQVYQRQPIEGALDSLEGLALDDKRGEQTTVKTTVTFRSRFGQGK LLGRRKSDPIGDHNVEAEEDAPKRGFFSSLSTAGIQRTIELIGVKRTRDAVLKSKQGM NVVLERLRSGGIVGVLEGMRQDREAAFGPEGPWKRVWARGHAHIEDDD AOR_1_1378114 MESRLPTRALKRESDSHEQSSSSKRPHGATMDPLALKDLGENLK MLPVPTDTDDLETTSRKMQDLARVVERGNSLALWTGLKLASHSKRRDLESSARAQMTE SEWELYERWKGMQDTRNYGDEHNNQTEQQKPLNIPEFNWDENKAPVPTGANSVRKFTQ RAAVMDMIWGHQGATPEHASWLTFNMPAMLPLIKAITKVSNIQKHIQDTDPLAGKLTD MEAAEIETVRRIVAIAEGNRLRELERIRKLAKSICESAAIIKSRAEGLEKLER AOR_1_1380114 MADPWGMRSTFRPCLVLLAMLSTILQPGLAQICSFWDGGCVDPY AQTAVSFDFSPLFLDDLTLYYAYDANSRGKGNEPMTKASFWMGYAAHHVDSSAITTNR TLEVGLRVGNLTGTPSGGTNGCDGVWGPQCSMNLKETFKEAIYQLSAKGEYYTFPLDT VLHEMLVNPPSLPNCPPPFFDVQRIPVKPFASETVNDKVAMMSTSGSSDDPWKTWYID NMTAKQQADQVAVAIISRSPSYDSPPLKSKDDVQVELVCLQAPSTGSSSSNQDST AOR_1_1382114 MPVLPSLQGSTFDEDIRDRHLIYDYAAQDTEGNPEKWRYEMWFY NEDRINYAIHGGPMAGRSAFQSATYQCIRPGELWQCNWLEETGTVCSLVFDISRKHIT TLIAFSKGHWEKNTTARGDKRNPEDLARMRSLAQIGTQVDRILLSEQAEILEDFRGPG NLKPIQMDWPTL AOR_1_1384114 MQAVLAGVSTNLADALAASSLPGAPSYVAPAGFPTSAFSSYYYL PAEPTQEPQPIIHDPILNITFPYDLTNPDTIPKENNDPVFFPEPSVNLSEPQQQALVH GVVANVTRIIAANNTNSNCTTCKAALAAAKPAALFAPTIVPETLISLCKKFKFASDDA CEKKYTASAFGAIWTQVLAYADVQGLDGDYICNSLSSTFCSQPVTNPLNVSDWFPKPK PANPRVPSPSGERIKVLHLSDFHLDPRYSVNSEANCSSGMCCRSNLFNSYSENQVLLP ASVYGSYKCDTPYDLGLAALEAVGPLTGTDKDKNPLAFTLYTGDLVSHDDPATQVDRA YTQYTETSVYGMLKSYLSGPVFAALGNHDTSPENIESPHSLPGPLGQQQSWNYDHVAG LWRHEGWIDEAAVQEAKLHYGAYSIKTHHGLRIITFNTDFWYKSNYLNFINITNPDNS GIFAWMISELQEAEDRGERVWLVGHVLSGWDGSNPLPDPTNLFYQIVDRYSPHVIANI FFGHTHEDQFMVYYANNGTVQNAENALTTGWIGPSVTPLTNLNSGFRLYEVDTGDFNI YEAYTFFSNVSDFSSLTETGPVYQFEYSTRETYGSAAGWDKEAPLNATFWHQVTEAME RDGDLVTQQNELQGKMSVKSPKCTTAECWKAKICYMRSGSVALGKQCTQGYGSVQSAF TPSTK AOR_1_1386114 MAMNAIQLGLRLWEFLWTLLVMALVGNMIADSFSGNPATVNYAM FVSAFSMFSLFYLVPASFNLDWAIHPIIMIVLDTLNAIFFLTAGIALAARLEAHSCSN NEYTLNNEITNGSVHREKRCREAQASTAFLWLAWAGYTASIALSSLAARRSVNLRPRT GPARGARPSMAQV AOR_1_1388114 MEIPRLSDELILPMGKNARRDAHVSVRLPNMFVLFLSEKPATNT YYERVKEESELWIARICAFGDRTRRVITNCDFSYFCSIVVPDASRLEFQTICDWGNWV FPFDDMFDNGGLKDDPIQAQQLVNSLLNGMQDDRTVSDDSEEHPLVQVHNSVWRRLAK ATPIGVRRRFAKAMRDYCNGAIEQVHSCSRGEYPTLDEMLALRRQSAGVSPLFALVEY GHKLHIPDSVFETRSIKEIERIGIDLVLLQNDILSYCKEEKEGVAHNMVAICRRAGMP AQMAFDHIGGMLLSCYHDWYLALADLPSWGQSVDSEVQQYIRGVQNVVKANLHWSFRS GRYFGEANEEVRKTGIVTVQPQSADVELSIL AOR_1_1744114 MNTTLPPNSSPGDHVRKWGYSFTWTDSHLAREKTEPLRQQFDTL GAAALERLQFIRSSLLEDSKAKGTSPPSNDLYTILRDHHRKDAVLTRFWNETHTVPDW VNWEQLERGQRFLHRYIIAASSVVEVLVRTGSFSTRMLLKRLLETFQWLIQVTHNLAT IQPGGEGHIATVRVRLLHSSVRQRILHLCRTKPHYFDIDHYGAPVNTLDSIHSISTFC CNPMWLQLPRFKINPSPDEVKDYIALFRYLGYLLGTPTSYFETVEKSKHTMESMVAHE LHTTETSRVVAYNFVECVSNLPAPFHVSRKFIEAGSRWINGDEICDELELGKPGFLYY FMFAGYSVLVLGLAWLQRTIPMFDGFMIKLSRDLLYQGIVLRERTRFDFKHIPRVGKT IGREKYSSGEDNRPRKACKYPPYLNDTFYDITDIGHLELVCLSVALFAGGLLTGCVIK SGLWAYSQIQDLTM AOR_1_1392114 MAIHYLILLSRQGKVRLAKWFTTLSPKEKAKIIKDVTQLVLSRR TKMCNFLEYKDSKVVYRRYASLFFIAGCASTDNELITLEVVHRYVEQMDKYYGNVCEL DIIFNFQKAYFILDELLLAGEMQESSKKNVLRCISQQDSLEDIEVEEDVVTKIM AOR_1_1394114 MKFLPLPEIEDVTSSLNFDTGDCHILGGCDLYTTKAARADRKLY KNIEQSLEAQYESTLRLSASLSPPNASDAAASLNLSRSSPFGPLSDHSSRRTFAYLIA TLNASHPDYDFSHVLRPSDFHRERNIKRVMNTIDSTLFNLRPREAIDLAPPSPVTISG SYNAGASATWGPRMWQIIDEQLSLNECSIYSYSPEEDPSDADDGAIWSLHYFFFNRTR KRVCYLYLRAIPILSHTPSDGVATPTAKRTYDDGYLTPDLGSSKRARYWLGDVVHLED ESESDEEHASKPARPVVDEYDNYVLSDEEFRSRSGSKGTVRAMSEEIADSMEV AOR_1_1396114 MADDEERTKAEKLAAAKKRVAQLQKQKKKANKKTSGSEASTAKE TKESSPSTEDKQQVEEGQPQATEESKEESKPTDDAQEKPEDQQQTQDEPEQLPTEQPT SPSPSTEPQPAVKADTPSRHGRQPSLSIQSKMRSSSFRKGSVSQGSASPSPSAMLKSP SLPPLTADGESVHEVYRKQAGRIEEVEKENRRLEKELEEATGRWKKTEEQLEEFREAS VDVAELKEKLAKAEEKVGEVEELKAEIASLQRQNSHLQKTHRSTASPASSESPPADLV QQLESKSATIEAMELEISNLRAQLTDQSSSSSAHEAQIKALEQKLSSTEATLESTQSE LTETKNALTRASEKAVKEGMDKTSTETQIKNLERELSEVKESKFESEKKIETLEKKIE AMGNLHKESETRHQARLRESEKTEQEVAQLRSRLASAENENLRLKEEQETLRKRNAVG ADDDGIDELEDEERSRLERRIRDLEGEIFDLRRGVWKEKREEIAHGREPSVAYASNAN QAANAFDDVDLVGGAPEHARRRSMQQHSSFSTVLSSGLAAFTGGNTYGNRARAPSNKQ HPPAARGSLELLSEENFDDEFDEAEFARAQAEEEARKRVEWVREIKKKLKDWHGWRLD LVDSRAGAEGAGVGMGEIFEI AOR_1_1398114 MNGFASHDLDEGAFGEKSGLQGGLKTFDAFPKTKPDYTAPSRRG GQWTVLILLICSVFSISEFKTWFKGSENHHFSVEKGVSHDLQLNLDIVVQMPCDALHV NIQDASGDRILAGELLKKDPTSWKLWTDKRNYDHEYQTLSREEPSRLEAQEEDAHVRH VLGEVRHNPRRKFPKGPKLRRGDAVDSCRIYGSLEGNKVQGDFHITARGHGYRDMGGH LDHSTFNFSHMITELSFGTHYPTLLNPLDKTIAATESHYYKYQYFLSVVPTIYSKGHQ AALDSTLYTSKPSHSKNVIFTNQYAATSQGAELPENPYYIPGIFFKYNIEPILLMISE ERSSFLSLLIRLVNTVSGVMVTGGWLYQIAGWGGELLRRGRKKRSEGVLDGKLADE AOR_1_1746114 MGNLLKTDLNQTPPENAEFLAPINFDDFHNSIIAESTSVKGTSR SLSDDLWICGSFQIFVNQCRPNASQECTSTAYDPIIYIKKGFCNAPARDRLRGSYYKS DGCTTAEAYVNGTSGASFALYSSDSDRFITCPSSLMRPVAVLHPSEECDTFLQQNYPG SFPLPTPKPRVEHTVPADEEVPPVPAIPKAYESPKGEPEQPNCTAPRKSHIPVDIAVL KGKSDTESDTNPTASATEDDTMDLPAKRALKTPEAKHKPTASLGRRNLQPLKLPPLNL LPLGTPMTNKIQAMKDREEDAKSHTPTGQVVAKTPSTPLTASKANFFAVEDDAVPRTQ ARSSTAHFVVSTSSGATLRTASSSSALASFENTSVGARTNSPYVSYSLPKSNSDVNNL RQKASADYSSRVSQSHKLTGPRPQTQSSAFSSNAETISQISTPSDPDNNSTSGSSFMN KITITRHRSNSRPQQVTDANTDPTKLEPMPPPKLPASATWNNLSTAKNTSPTLKPSYF KSKRQVSVSNAAASPIRKPSFSSEQSLSLEHSISNESRHSDAPSHRSASSILSPVHRI LNSAKSNAAMNSTSSDPNVDPDIQIADDEMRKLNGRRKDFENAAKELDELRRKAGPKE RVSPAQALKMANLNIFERGEIIDFKDIFFCGTQHAKKHVGDLNAQAANFGYDDDRGDY NIVIGDHLAYRYEVIDVLGKGSFGQVLRCVDHKTGGLVAVKIIRNKKRFHQQALIEVN LLQKLKEWDPHRRHSVVNFTQSFYFRGHLCISTELLGMNLYEFIKAHDFKGFSVKLIR RFTKQILSTLVLLHTKKVIHCDLKPENILLVHPMSSEIRVIDFGSSCFENEKVYTYIQ SRFYRSPEVILGMSYGMPIDMWSLGCILAELYTGYPIFPGENEQEQLACIMEVFGPPE KHLIEKSTRKKLFFDSLGKPRLTVSSKGRRRRPSSKELRQVLKCDDEAFLDFISRCLR WDPSRRLSPHDALRHEFLTGLKMPSRSRTYTTSLNSPAKRGNTLSTPSSGRPLPEPPG TSLKHGTFLRSRDVSGSSPVKPIAGKRHSTVSGVQPSTPKRSTTTSTTTPGSALPRVA GRSISGKPDLATAAAATSLRTK AOR_1_1402114 MEEYTPDAIVNRDEPVPVISVGKQRDEARDSKPSAGHQRSTSGA GRSLQDKLFAKLLQQVIPAEDVNDDSVVVGDKRPIDPKRPAFSLPLMANNFRRFNARI GIVFSFQTRVERLLTWRKSSHTFSFLFVYSFVCLDPHLLVIIPIASILLFVMVPAFLA RHPPPPSTSTSSITPYYSYQGPALAPAKTIKPAPETSKDFFRNMRDLQNCMADFSDIH DATVSAFAPLTNFSNEKVSSVVFLVCTIITALLFLTAHLLPWRYILLVGGNAAILSSH PSLQELFQNIAGDFTNEPARKAPINPNNGKKNTMDVLGVSLPSSPSATMSSLRSLADI SLDTYPEEREVEIFEIQYRSLAPYSDSQWDHFIFSPMPYDPLSPLRIAGDRPKGCRFF EDVQPPSGWAWKSKKWELDLDCREWVVERMITGVGFEVSESVSEESMANDEIGGWVWD LPSVTSYRDSSGVNAALGYEEFDSDLKSSVRGEIKKVKRKGKGRASQDYEEKGGTGPS VMGEWRRRRWVRIVHRTSMPTESDKGYTASEDRD AOR_1_1748114 MATSAVHVPLRDSFHSKDFKAIDRYRLNIIRKAAACPPGKLDPL IQEFRQLIESNETLKNLAENMLQEVPPTPTYDFDPSGQFARINNIDDMLKCLNAVLYR APHWNDDAHKAGLIGVPFNAILNWPMATPSGYNFFRYDNVNRCLEKILNAHGEFLKSP QSRDALPSWFEPGPLSILTEKASPYWPDGKKKNFDQIYITDAGDRCRGFQSWDAFFSR KFRDGIRPVEYPDRNHDMADRNKNVIANACESVAYHCASKVPRSAEFSLKEQPYSLQD MLDGNFVEDFVGGTVYQAWLAAECYHRWHSPVSGEIKKAVRIPGTYFSELRAYGFPEV EGHREIPDPSSPNLSQRYITAVATRALIFIEADNPVIGLMCFIAVGMDEIASCTFSVK EGQKVMKGQELGSFHLGGSSHCLVFGPQVSLSWTRDAQGPFSDTEFDKHTIIPVNKWL AYASPASM AOR_1_1406114 MSTHSHTHVSKPYSLLQYCVIAPCLFLAGICFSSFSLADAKQTG YTYGSSYDATRKVPFEVHIESRCPDARDCLQKLVAPAYWQVKDKVDFRISYVGEVWEK PRRDVTCQHGMNECKGNKLLVCSEKHAESISDALDFNTCVLSDYERVPDEGLIEECAQ EHNIDYQKISDCANSEEGLELLISSVERSVAVNANASCTVRVDDKEWCFRDNYEWKCP SGHGVVENLVQEIEKLSGDGEDGTEYL AOR_1_1408114 MSTDIPYPFAPGEWLPPLSRVFHYVNTLRDECLKDSPYPKHEPH SLDPRRKYPKVITDFKDLVENDPGLKELSKKMFDEIPRFDTDQSKFYNVRSFDEALRI FDAILFQSPSVMTLNSGISGITVPFNAVLNWPANTPSGNEFFLNKEVNEKIKNIVNQW GAFLQSPASREYLNADPDNGWFSPAYVTKMKEYAQWDGPIEDLYVCDPTKDYWGFGSW DAFFIRRFRPGLRPVREDDEPDIYIVSPCEAGPQVEEGKQYPKDVRKKVQARDTFWLK GQPYSIFDMLNYDGLSRRFVFGTVYQGWLSSACYHRWHAPISGTIKKVALVPGTYYST VMSHNYPEPDPSGPNLSQLYLASVATRALIFIESNYKPLGLVCFIAIGMNEISSCEVT VKAGDSVTKGEEIGMFHMGGSAFCLLFENGVDLKFEDLPTGSTLFKLNSRLAEVLV AOR_1_1410114 MDPRCYRSGRRQKLGYVWYYHKGQEGWTEFQHQFEDILTTQMFA IVGWDQIEHSNVAEFVKFEAKYSEERELDFLRDCRNRRDRGELKAGTLPNIFFLMTDE ARVSYSQWRHSFAWAIDPDWTRSGADEDGYDGRLKISATQIYFRFYEFISTKKYTLKD IWRDFHQVNKTQTYVPGPLPAWPSTDLDKPVWPDS AOR_1_1412114 MASIPVYIQRNTETLLDIATDVIHMLNQAGQTLGVAESLTAGGV MAALTSVPGSSAVFRGGVVSYATPLKQQLLGVDPDLIATMGVIDPDVATQMAEGARKV TTCDNSPTVWGIGTTGVAGPASQDGKPVGTVYIGIASPTGTRAWGPFNFPGTREHIRE ATVLEALSRLREELIAYQGGGRK AOR_1_1414114 MKPQSFIFFALATGVLAQTSPENDYKRICFALDGQEEDILPGYR VKYTCDFYGQHNGSPVEGVESAKDCAQLCQTAGSSCAGSSWFMKGRKCLLSGSNSEAK REFTVYMEKVGEASDPFGEDEDDPFGGDEPEEDDPFGGDEPEEDDPFGADEPEEDDPF GADEPEVDDPFGADEPEEDDPFGADEPEEDDPFGADEPEDNDTACGCDNCEVDVACGY PDEASPALKGKAYKVFCQKLDDVGGKNIRPPFKVNSVKECIEACSDVPNSQCKRAIWT NSRDANGQRSCWLREWNGVKKVPTKVGSWSSAHVQE AOR_1_1416114 MKFQAPLAMLSLAGVAYANAPHARPEYKRICDTPSSGEVEVQPG LHATFSCGKYTTDGSRTGLNVANPEACIGECASSDGCVGAIWTAKTTLPYPCYLVQHG SEPSIKTGSDNMWITYRKDEEEAGEDPFGGSGCEADLETMEAELKKCKGLAARTCTNG LQVQTYSTGSKKYKIACGHTVSAAVGSGANMNIVKDVDSFQECADLCASTAGCARATV DTKADKNTCHLYRNPSSQIRASNVDRSVIYLV AOR_1_1418114 MLLNKEIDALYSCPSVWDSANARLSACAMRYVSVIPGIIVLALA LSRALTRFSLVQCPKWMRPFVAEKPPCAELPSERPRQRTGWVIALLVFSTVGFAAELT KIVPYVPTLSNIVLLVSWTVAIVLIAAERPRSCPVSMLFFFAVELAVETAVILNRDAY AVSRVYAHCIAASAAAAACATILFMPFRGPSLPRVDIGVVGQPPSSKFRSPEDNLRLW QFLTVSWMSPLMTAGKHKQLNEDDVWFLGFEFQHRRLHEKFRQLRGSVISRLLQANGI DVLIITGISTVQMLCDFSTPVLLQQLLSAMGNPYAPKRVALTYAMLSLVLRLVAAQSQ VLNLWYGRRCYERSRGEMIMMVYEKALSRKNIFDQQIPERGSQNESQESEDNNGEVSP SAKQRKLCGLFPQRQATSKGKVKTAASMGKIFNLLRGDVYEVAQRFWEVDTLVDKPLG LVIATVLVWKLLGPSCFLGISAILVAQVLNVFITSMLLRWERVRRLATDTRLQISSQF VEALRHLRWYGWQNHWLRQVMEARQSELNLRIVTSLFNVLIRFVNTFASGLFPVVALY AYTLLAGNPLRIDIIFPALQLFTMLELRLREIPGLITVLINASIAMERIEDFMAEPDK EKQEALASNAGASLVLDSCYFAWPGKASPVLSDINLRVSGGLTVICGKVGAGKTALLQ ALLGELDRLSGVSETPNEMVGYCSQTPWLQSMSIRDNILFSSPYDEPRYKQVLEACAL LPDLANFKHGDLSFVGENGIGLSGGQKARVALARAVYSTARILYLDDPLSALDHNTAE TIVRRCFSGPLMQNRTVVLVTHRTTLDDKAAVPDKFIEEEHRAEWGVKAKVYWNYIRA GKYKWWLVLAVFITMYRLAAVGQSWFIKEWGEAYDHDQTVVLFGFSPSYKKASSGGLG EPLVLPQVLSWKPGNPFDKFPAPVEDVRPWLVAFFAITVFQSLTVLVSQLMMIVIVYC AGQTLFQEVMLRVSHATFRFFDVTPIGRLMNRLTSDIGVVDGNISEQFQRIIFQAIVW VSSLLVIASVTPTFLAFSFLLTAAFIFTFLRFLPTSQSLRRLEMVSLSPLISNFGELL HGLTTVRAFHAESRFQDRVIAVVDKFQGMDHFYWSLQSWLMYRFEGLSALSTFCLAAI ALYTNASPGLAAFVLIAGNNFVDSTHALCKQYGQLQMDFVSVERVDELLHIEQESPGT LEPPASWPKFGSDIVFEDVTIRYAPHLDPSLNNISLRIPGGSTTAVIGRTGSGKSTLA VSLLNVIRPDGGRILVDDHDIAQVSTQALRTRVTFVAQDPVLFPGSIRRNLDPTGEYT DAECADVLKRICSRHGWNLETYVEAGGRNLSQGERQLIGLSRAVLRRSSIVILDEATA SIDHESSLEIQQVLREEMKESTVITIAHRLEAIKDADYYVVLDQGRVSKQGYVKDM AOR_1_1420114 MSTILLILYLYTITTLLNPGWAQTNQKTPQNLCSTPGTHYCLHG SLQSPEMLSCVSRTKAELRSCNIELARIIPKGYEGWALCYESSLAAGDAICAFNGTGY TRMRGTVEVPGARICDVESGSIDGLERVDIVSLEKGVGSMMGSSQSYGLESGSSHAVT SSLSAVWGSFQPVTVSVAGEMGEPVQRKRELWFCFDRGRSRSMDPGDRVVVTVFGSGS SWMSSVVRSQETTMDSEGVVVSRLGLGMGMGSTRALSSTYVSTSTGSLAALNGTSTVG FPVATGSVGGCGALVVEGTWYVCVLFVIWALEWAW AOR_1_1422114 MGNPRQKRKLKSSLPKQKPKRSGILKNGNKKINVLGNAIIAENW DRNLTLTQNYRRLGLSHRLNAPTGGSEKRVTKNGIETVPEDSLHIKSSAQAATKNITL GETKVERDPETGKIIRVIQPDEHEMIVVAGRKVRKSNPLNDPLNDLSDDDMEDAGSQK KTPASAIVEQLERQADKESSAVKAKKPRHMSEREVEWITRLIERHGDNVAAMVRDRKL NPMQQTEGDIKRRIRKFKESQQ AOR_1_1424114 MARLFSTPRRLAFALAAGLVLFSVLAVAKDVIPVGELSVSQIED ELQNCPLVESLNEHKRATNPQTTSLTSKIFAVLFPGSPAVNAILATAYISGPPNFLLA LCPPNIDPSSLSVMVAFAVGGLMGDTLFHLLPEIFLGEDSPDHVRFVMVEPNRNLLLG LGIMVGFFTFVAMDKTLRIATGGEGHDHSHGHSHSHEPSTAVTTSTSPDNKSSGDLKQ RKPTKEQAVADPSPEKEINPSVKLGGYLNLIADFTHNITDGLAMSSSFYASPTIGATT TVAVFFHEIPHEVGDFALLVQSGFSKKKAMGAQFVTAIGAFLGTFIGIAVQEFGGSHG AAAADAAEGVVSGLWGTSLQWGDMLLPFTAGTFLYVGTVSVIPELLETGKDKKVEIKK TIVQFLAVALGAGIMLAISWD AOR_1_1426114 MAVARPMRRTSPITFFLAAFLAFGFLFFLLSPSSSATTAGDTSQ QRREDAADHPLSPPTKPFLKSQPVRNDGLKAPPPVVHYDLNKLTTTSDSADKGERVLI LTPLARFYPEFWDNLVHLDYPHELISLGFIIPNTKEGSAALSALESAISKTQNGPIPS RFASISILRQDFEPPLQSQDEKERHKLENQKARRESMSRARNSLLFTTLGPATSWVLW LDADIIETPATLIQDLTGHNYPVIVPNCYQRYYNNDHKQMEVRPYDFNSWIDSSNAQS LAESMGPDEILLEGYAEMPTYRTLMAYLADIESPNPRRVIELDGVGGTALMVKADVHR DGAMFPAFPFYHLVETEGFAKMAKRLGYQVFGLPDYFVYHYNE AOR_1_1428114 MSTASTAFESSTTPSIHEHQTSKKMGGSAAGGGNAPPVTSSKRD YKGFVAGVFSGIAKLSVGHPFDTVKVRLQTSKDGHFKGPLDCVLQTVRKEGVSGLYKG ATPPLVGWMVMDSVMLGSLTLYRRLLLENVFSRPEIRRITPFAKYQDQATLPSFGHGI AGIMAGTTVSFIAAPVEHVKARLQIQYAADKSKRMYSGPIDCIRKILRTHGLGGLYRG LCATIFFRSFFFFWWGSYDVLSRWMRERTNLSTPAINFWAGGISAQIFWITSYPSDVV KQRLMTDPMGGALGDGQRQFRWWKDAAVAVYRERGWRGYWRGFVPCFLRAFPANAMAL VAFEGVMRWLP AOR_1_1430114 MGDAARPGDDIFSQVLQESLKTWSSYLDAGSEGHLLPPHAIELK SLVSQTPAFLGAGLSSSGEKISFACEEKQSAQGKGSLFINGQSTWKTSRGICDLEPRV LPKQLQLAVGSRVTVKLSEDSPFSDWPGIHGLSGYDNGNYLSVLYLAWAYILSARWVE LLSRSADHECYMGYTAQEGEDSQQSDNHTLIQIDLSDDACEEEALWWRAILYSENGWN ATTKYNGHEYLSPWSVSAKCTGLTVATKASGGKSHPPSSMTALQYLTNFCVHHRLYAQ CSVALAGALYIPFLRGGTVSLPFPKQIPRVDIKEGAGDSTVSISGLLNEYSELIPKYQ LESLIARHGHPTRVSLSSLEELTATSSTYNPPSSRSPSPLSDTTDSLETLSLSTSASR PIPIPKPSFHTHQDDLPVTPLTGRFDKGYYFAHRDQADNKTREKPTHHRSRPHPHRVP RSSARMRSDSSTFYSPVVSSSMSPAARPSSPQPPRSRSQNPTKSVPAFHLSNLPRFHP AVYSAAGSQGQPTSPRQPRPSAYRTTSGSRDAMWQYQELVEGVTLSKTPSRPLSPSPS APRLDPLRSPGPVTPLALEEASGYLISGTSNASAFASRDAPSGPAPDLIDRLIVRETE RARQNAKKGTKTRY AOR_1_1432114 MATQKAVVITTPKHAQVVCDRAIPKLRDDSILVKTVSVALNPTD WKHIDFLAPAGVLVGCDYAGIVEAVGKGVKKPFTKGDRICGFVHGSNAVQPEDGAFAE YIIVDGDLQYKIPEGMGFEEAATLGLGVTTAALGLYQSLKLALPIAPIETKIPILIYG GSSATGTLAIQFAKLSGYEVLTTCSPRHFDQVKNLGVDAVFDYNDPASASAIREQTKD SLTLAFDTISVESSATYCDHALSTKGGDYSSLLPIKTERENIRDRSTMAYTAFGRSFK FGPRDIPAQPGDRAFMEQFTGIFQDLLASGKIKTHSPRVSNAGLDGILDGLQLLRNGK VRGEKLVYNIVDSS AOR_1_1750114 MLDWRVAQLIWERKDPGVNGGFDTQVRRNTDSAGEISIDSLKVH DFIRNGAEAEFHVYPKLFFRGLPFRLWDTDFTKCTIAEDVTEKTLKAGFRHIDSAAVY GNERECVSAVEKAGLKRSEVFLTTKILPQATGYEAAKQSIEDSLKKAKTDYFDLILLH APFGGKEGRRGAWRALAEAQKAGKARSIGVSNFGLHHLEDLEEYIKGGDRGVVIEAYS PLARGTRMDEPVLRAISEKHKKSPAQILIRWSLQKGFVPLPKSATPERILENANVFDF ELDEDDMQSLHTDDYAPSTWDPTIQQDYRCYSS AOR_1_1434114 MPQQFMAYSPDEDWSGVTNPAARRRLQNRLNQRAYRLRRQGQDK RATQTDGAHIESAGHHHPTPVPTPAKALAHHDSITCGLSELQHLECTFAPPNIHDLMA QFERRAMARYAEGSPKTDLLLNLSRLNVLRAAYQNVVAIGMTVEWMCQDTNRATPPMA RYLPNSTNAG AOR_1_1436114 MATHPAIQITGIKQPLKLVQVPTPEPQQNEVRVRIEWVPSAPLD VYQVDAGLMVQFPQGLGDSGVGTVVAIGPGVEHLRVGDQVFGFFFHNEKEKGQQIYVT APEHLFGKVPPNMPLAAVATVPTNFCTAFLTLSDKLGLELPWPRSTDFLPQNQHTPIL IWGAATSVGQFAVQILKHWGYTNIIATASSKHHEKIKKHGAKHVLDYQEPNAVDSILN ILNTESPAIPIRAFDCVTSKSGSLQHIAKIATLPGSIVAAVLPVVIRPPSHKEGVQLS ADVNGEASWVPGVQVHSVVSYTFEANAFLRDHLFPEIVPALLESGAIEPNQYREIEGE SLLQRASTALDTLRSGAVSGERLVWRVWKKEEYPQYK AOR_1_1438114 MTNQAAILTQTHGPLKIKDLPIPQCHPAEVLVKVKAVATNAADW KIYEGHFPQDLPILLGCDIVGEISEVGSSVTGFSIGDRVAGYTQQQLTGMQSMLKTGR VASDLRHGGYQKYVPMLPRMIFKVPECISDEIAATFGCSFFTATAAVFKSLGFPYPIL ASAPPGELEKVLVWGGASAVGAFAIQLLKASHAEVTAVCSAKSFDYVRSLGASHVIDY GAGDVVNQVKEQGLGFRIAFDAISSKETCNACLDIVGEGGKVANVQFLEALRRPNIDL VHTNVVDILGESDAEFLSSLIGAWIPKVLSEGTLKGMQFMKYSGGLGDIDRAIRDHRD GKIAGKGVVSGI AOR_1_1440114 MNGDYDNGEVLETYPEGYIYECCDRRGDKEPCTVDRHKDINSTT MKYVRLGTSGLKVSPICVGCMSFGSPGKPLEWTIPEEEALPVLDHCYRSGLNFYDTAN VYSNGESEVILGKAIKQYNWSRESIVIATKVWAPVGRNSERPLGMSQEERDNNGYLNQ YGLSRKHIFDSIDASLKRLDLPYVDLLQIHRFDPNTSPKETMEALHDVVKSGKVRYIG ASSMFAHQLLEYQYTARMNGWTEFITMQNLYNAAYREEEREMFPALEKFGMGCIPWSP IAMGLLARPWNSNDTARGESMKGGLLGNPLTDADKKINEKIGEIAASRGVSMATVALA WVLSKPFITSPIVGMSKKERVDEAIQAIEFKLSEEEIKSIDDLYEPRRIMGHS AOR_1_1442114 MALSIAELAPQDEKLQLLLEAIDSASRGTLQVVLKAIYQDDQSI RDRVSNSLLITEDQVRIPSKDDEESDEEGSEDEKDEDDEDDEQSESEDENPRSERRRS NQQATGSKRLRHRYAYCENCDKGFDVTKNTKPAEDIIQLSLLASLLSDTGAIQDYISY RRYLLSR AOR_1_1444114 MSCKAGLSLSQVAGSNTSVFAGTFFHDYRDALVRDEDNLPRSFI TGIGSAMASNRISHFFDLRGASMTIDTGCSTTLVALCQAVENLRSRGSDMSIVGGANV LLNPDNFKALGSFGFLSPDGKCFAFDERANGYGRGEGVATIVIKRLEDAVAAGDPIRA IIRESVLNQDGKTESLTSPSQDAQEALMRHCYAKAGIDPGQTQYFEAHGTGTATGDPI EARAITSVFQSHRRREDEALRIGSVKTNIGHTEATSGLASVIKVVMAMEKGVLPPSIN FEKPNPQLALDDWRLKVVTELEKWPVAPGQAMRASVNNFGYGGSNAHIIMEDANGLLR NRSVNGHINGHVNGHANGNINGVDAHANGATGDKYRLLVISAKDEHVHQNMVARLAEF LRQKERDGSKDTEDFLQNLVYTLGQRRTVFPWVAAYPVPITQGLDGVAKVLETPKFRP SRPSQRPRIGMVFTGQGAQWYAMGRELITTYPVFKASLEEADGHLRDLGADWSLMEEL GRDAKASRVNQTAFSIPICAAVQIALVRLLETWGVTPAAVTSHSSGEIAAAYTVGAIS LRLAMAIAYYRSKLAAEMTSSGPIKGGMLAVGLGHVDVEQYLERLTCDARAVVACVNS PSSTTMAGDVEAIEELETLLKAEDVFARRLRVDTAYHSHHMEPVAENYRQALRKMPKE EPKTKRLESIAFASPVTGYRMTSTRAIANPEHWVGSLMQPVQFVDAFIEMVQGDLSAE AGSNSVDIIVEVGPHTALGGPIQEILTMEEFEGVRLPYYGTLVRHAHAVESLQTLASN LLKEGYPINIESVNFPQGRSQNVRVLTDLPSYPWNHQTRHWLEPRLNLGYRQRDQRPH ELLGSLVPGTNPEAPVWRHILRASESPWVQDHVIQSMMLYPGCGFICLAIEAATQQLL IAEEQEDREISGYKIQDVNVQQALVIPDTAEGIEIQTALRPVSDKAIGLQGWKEFEVF SITSENRWMRHAQGLIMVEFNNVQKDVCSTEQEIRHARLIDANDMWSTLEPLGIKYGP TFRNIGDIHQSKTELRSTSTITVPDTSVPNDLPRNHIIHPATLDAVAQAAFTALPGVA FHQESSRVFQSIERLWVSSKISRETGQVFKCHTKLDYADVQGIRAGVVLFEQDRPVVE VHGLQLRSLGGNGTQSLQGGLCAKVVWERDLDLNFESDGASHTGEGADLRRLSLYFME DALADISPLEADKLQGHYRDAYAWMKDKLQSTTLDSIRPDRATLTRQVAEASPRGEML CRVGPCLAAILRGQKALQDLTNEGGLLEKWSSDNGDGVAQGAALLRQIVHKRPRARVL EIGARLDGTTRAMLEALGQLGSLYHFSNATDDEFKHAGQELAAWSNILMFDTLDVAKE PSLQGFELGSYDVVIVSGIVQPLAQSLANLQALIKPGGKLLMVQTPHYELDRELLLGL LPEWWDAKSNGPNASLDAVSWAELLKDAGFSGVDVAVSDSKGETAHQTSTIISTVPPM DVAPLPRSEDIILITSNRAGVPPPQWLEGLQSLISRLDPDTAPTPLPDICVLESTSAE SYTGKICLFLGEINQPILRDMDATAFEAIKAMTTTCKGLLWVTRGGSVDCERPDLGLA AGYLRTARSEYLGRSYVTLDLDPSATPWSDNDVKAIVQVLRVSFDSSSPGEFEYAMRD GVLKIPRVLDDKPRNRLVSPTDTGGADAITLGPLRQADRELSLHIAVPGRLDTLSFTE DASLSDSGDLSPEIVEIEPHAYGINARDVRVATGQLRGEFMGLECAGIIGRVGAEAAA QGYAIGDKVFGLLPQGQFGSIARTPWTSIMHMPPSISFEEAASLPVAYCTAYICLTGL AHLQPDQTVLIHAAAGSVGQAAIMIARHLGAEVFVTVGTPEKRELMTQKYGIPEDHLF NSRDTSFVEGVLSATHGRGVDIVLNSLSGSLSQESFNLLAPFGHLVDIGQGDLEANSH LAMRPSNHPTTFSAFSLLALAQHNPRQLNRAMVEITKLIGEQQLSPVQPLTSYSMRDV SEAFRHVQTESHAGKTVLSIESDMKVPLLQRSLSPKFSLDASYLLVGGVGGIGCSIAR WMADQGAKNIIILSRSAGRSEQAVALIDELSEVGCRVKAASCDVSSESDLADALRQCQ EDGLPPVRGVIQGAMVLKDTLLERMTLTDYETAIHPKVHGTWNLHTQFAQKDSLDFVM LSSAVAVAGNASQANYAAGGSYQDALARWRVSQGLPGVSIDLGAVKGIGVAANTGVLG HLQRVGFAPINEEQVLSILGTAILQPYDPQVVVGLDSRPGSHWDAKGESQLGRDMRFA ALKPREADGAGGANPTGGANSLASKLAATKSLEQAVECVGAAIAEKISDIFMISLDEI DLAHKPAQYGIDSLVAVELRNMLVQQAAAEVSIFDVMQSVTLSALAATVAAKSAYISQ SN AOR_1_1446114 MPHSDTPPTSNSTGGKAPNVAIVGGGLIGVMLGLGLSHRNIPFT IYERASDWHEIGAGVALTSVAQSSMQRLHPEVLPALHRVAKANHFGFWDGFTPSTKEA AQASEALHFLLDVPGTDYWCCMRSQFLRELVALLPKGSTRFNKELESYVDDPSREQVL LRFTDGTTAEADTLLGADGIHSRTRQLLLGDDNPAAHASFTHQVGYRTVLPISESIEV LGEAKGGSDFCIHTGPNAYVPSYPMLDGTEKVLNLTTVIYTPEPWSHGEKMVAPATHD EVAKVFHNWSPPIRDLIAKFPEKLMKWGIFDMADHPAPTYASGRVAILGDAAHASTPF LGAGGAMGIEDALAMASAMQIVRDAETSAATIPAALQAFSAVRLERSQWLVQSSREMG AIFQCRDPSAGSDGNRWRVEAEQRTKKIWEFDVDGMVEQIRLEFEQRMAKAA AOR_1_1448114 MDARNQEYHKNTLHLPRILCLHGGGSNARIFKTQCRVISQRLEP YFRFAYAEAPFDSGPGPDVLSVYAEYGPFVRWFPDPEHNIDGRAAIKAINNSVKTAMD EDDRSGATGPWVGLLGFSQGAKLAASLMFRQQVRAEKLGRAQAGSDWKFAVVMAGRAP IVNLDPDVFRSSMLSNTSQIDLSGLPDVDDLMGEEHVLKLPTIHVHGLADPGLYFHRE LLDDYCSIDSVRVLEWDGAHRVPVKSADVNPLIDQILRLAKEIGAL AOR_1_1450114 MVPAMSRKNRVWSRREIEGLIADGRKIIILGDQVLKVDTWIPYH PGGNKSILHMVGRDATDEITALHSKEAQAHMQKYVIGTINGRWENFLPPIQGGIFRPL ASKGVDDETLDAEEDISSSGKSTPPSPVFDPVEKGDGVRRRRTGTETPISRASSVYSS ELELAPFGSAEASIAHAISSDLSKYPRLDQKSQDEVVTKYRQLDAKLQSEGLYDCPYS SYAVEFIRYSVLCVLFLTSLHYSYYALSGLFLGLLWHLLAFTVHDAGHLSITHGFHTD SCIGIFVADFLGGLSVGWWKRNHNVHHIATNSPEHDPDIQHMPFFAISSRFFTSLRST YYDRDMNLDAAARFFIKYQHYLYYPILLFGRFNLYRLAWSYLLDPAQAPRKGSAWWHR YLEMAGQVFFWYWYGYRTLYLSIPTWSSRITFLLISHMVTAPLHVQLTLSHFAMSSAD VGVHESFAQKMVRTTMDVDCPSWLDFIHGGLNFQVVHHLFPRLPRHNLRRAQPYVKEF CQDVGMPYVIFGFTRGNKEVISRLGEVAEQLRVLEECRKVAARDLVEGRHGH AOR_1_1452114 MANITESLFIFGSQIAYSEKAVSDIRTTLRNKLSRQWVLNTVAE LPRYWDALTKELPEIAESIGSEGRQLLENLGPWLKSNRSKALGVQGDALPGVVFVPLI VVGQLAEYQQYLQACNNGGNFDAQAALVASKTPALGFCMGLLSAYAVASAQNLEELNR YSAVAVRLAMLAGAWSDAQETWNPHKTYAVGWTSEKQLQDLRRVIESISPEAYISVLF DEARATVTVSERAAARLMRKARAAGVVLSDTGFRGELHSPKVQAKEKVQDLIAFCNKR HDLQFAKTDHLPLTTFTNAGDGKPLVSGDGSLQGHALETLFARQCDWYGTFKAVKAAY LNGSETSVITFGPDRCLPPTLVRHMGSRAVHFADVYEQPSSADDDLVNDNNPVDDKNP INDNNTINGNTPVNSDKPVNNDEPVNNDDPVNDDDAIAIVGMSIKVAGADDLDEFSQL LRKGTSQHEKVTRERLNFDSLFREPDTRDYFCNFVRDVDAFDHKFFKRSPRESAAMDP QHRLLLQAAYQAVEQAGMFTEATRAGQEDKDRNHVGVYIGTPSVDYEHNVATHPLNAF MATGNLQSYLPGRVANYFGWTGPAIAFDTACSSSAVAIHSACNSIRNGECYAALAGGV CILTNPHWFQNLTAASFLSPTGQCKPFDEKGDGYCRAEGIACVFLKRMADARADGNPI LGRLASSAVYQNQNHTPIFVPNSPSLAQLFGDVVKKAHLSPRDIALVEAHGTGTAVGD PAEWAALRKAVGGPIRPQPLPVGSVKGHVGHTEGASGIISLIKVLMMIHEGFIPPQAS FNKLNPTIKATAASDMMEIVTSLRSWDSRNKVVLINNYGASGSNAAMVVAAPRTPNER QDAASIKKLEGRFPFCISGSDARAVKEYAAKLATIVNRQSKSTLADLSFNINRQTNPD LPQRLLLRCRSVDELKDRLASVSEEELTNTQAERPVVLCFGGQVSTFVGLDRKLYDNI AVFRHHLDECDNVLQTELGLPSIFPDIFSRTALPDPVRLQVALFAMQYASARSWIDCG LSGKVVSVIGHSFGELTALCISGVLSLKDALTLIARRAQLVRDAWGDEKGSMMAVEAD ESLVHSLLEHAGRQLGGLDSPASIACYNGPRSFTLAGSVKAIDAVAEAISNGDKFSAV RSKRLNVTNAFHSSLVDPLIDRLEDVGKQLEFHEPSIPLERATETAFAGPLTPKFVPN HMRNPVFFSHAIQRLAKKYPSAIFLEAGSSSTITVMARRALAGQVSKSQHFESVSVTN EKGLDGLTDATVSLWEQGLRVAFWAHHTLQTKDYTHILLPPYQFEKARHWMDYKSASD LVAGMTQRAPVSDSKSMPLWEFVGYQNNDTKHPRFRVNIISDKFKKLVSGHIFAHTAP VLSGTVQSDMAVEALFSIHPDWKEQGMIPTMIEANIPTPICVDSSRKVWIDYEALDAE HTLWQLNISSTSAEGTLPRRHLHGRLHMRSPNDPAFISQFARFERLVPYEQCARVLAE QGGPDVDVLQGRQVYKAWSEFIEYSDQYNAVHAVVGKKNECAGRVHRRHAGESYLDIA LHDSFKQVSGLFLNCMSDSKPGEIHIGSDVELVMRSPRGILQPGQTQDIWHVYARHSQ VSARVYMADAFVFNPANGELVEVILGLQYTRMSIDSMKRLLMNLTVDEWALNLNKSGA AVAKPTPRAPQVAVSSSPKKAQEKQKETKGPSSQPAKSNLTVEVKRVLASVVGAEVDS ITLDSETADIGIDSLMGIELLREINSAFHCKVDLPELLAATTVGHIVTLVAGALSEGD AIELTDDDDDMSESSQDDRSPTPTTASEIDTATSEDELPPIKDVHSEELTKRDAAVRE AEAEKYVAKYTAGWTPAQPAYTSEAIADSNDAVVIVTGATGSLGVHVVAALASHPSVA TVVCINRPRPTPVETRQDEAFSSRGITLTPEARSKLRILSTDTSQPQLGLEASEYEWL TQHGSHIVHSAWPLSGSRPISAFAPQFQTMRNLLDLARDMAVHRRVGFQMVSSLSVVG HAKEPLVSEDRVHMDSVLPPGYGEAKWVCERMLDETLHKHPTLFRAMAVRPAQIAGSS RSGVWNSVEQVPFIVKSAQSLGVWPNFRGTVRWIPVDAVAAILVDLLYIGDEETAPDP YPIYHIDDPVGRPWEQISSVLTDALDIPASQSVPFKEWLGIVAHSSKPEIENPAGRLA DFLEQNFERLSCGGLVLDTTKAQEHSATMRALGPVSDEVARGYIRAWKEMGFLSS AOR_1_1454114 MAHNKILDWACSYKGGSSTFSYRIGIADGLVAMANREKKIDLAM AIKKELDMIAAKEREEAMERERELERLRNMPSLAVDPAESDDESQDEGPGFLDINDMS DQPFSSLDSREHGNLNSGEGMADFDEYDENVIDLTGDVDDNIDKIIKREPLETLGFNS TPAALIKQESSIENPSSVKSELLSGFPWVSEM AOR_1_1456114 MATLSGKTCLVTGGAGGLGKAIATKFLEAGANVVICDINDDRLQ ETSAELSVKGPLKAVNADITSASAVQGLFDTIVSEFGKVDILINNAGIMDRFDPVGDL DEELWDRVMAVNLTAPFLLSRLAVRNMLEQPNPNGYIMNVVSLAGKAGWTAGAAYTAS KHGLVGLTKNTAAFYGNKGIRCNALMIGGMDTNITEAFMRGVNEEGKDKVVGIMGAVP SPLCDIDEVAEVCVSMTCGKGSRLINGACIPIDHGFSGTVG AOR_1_1458114 MQLLPAFIAALTLSSGVLAQGWHGCAGGFECHGDEECRNQPDCQ QLAHHNPDKIHCGQANHPYACWAYTN AOR_1_1460114 MARRYMIPWLYDLGIWIFTLCLDIFFREVYSRGAWRIPRRGPVI IVAAPHANQFVDSILLMRILKHAANRRSSFLIAEKSMREPYIGTMAGCMGALPVVRAQ DNVKPAEGKIYLPDPDNDPTLVRGKGTDFTNKLFMEGGTIILPRVGKTSPEQQAIAQI IGPEELRLRKPFKQFDQDHPLYDALRTGTTFKVAPHIDQREMFDAVYSELIAGGCIGI FPEGGSHDRPSLLPLKAGVAIIALGALAQDPNCGLSIIPCGMNYFHPNKFRSRAVVEF GNPVHVHPDQVEAFRAGGTSKRNAVGSLLETINEALTAVTQQAPDHETLMLVQATRRL YRPLRMKLPLPIVIEVNRRLLKGYTQFKDDPKVIQLKKAISDYNRRLRALGIRDHQVE WGDVKHRPWWIVFCTLLYRVGELLTLAVGTLPSVALFWPVFVTAKVISVKKQRAALAG SVVKLEGRDVVGTWKILVAMGLAPALYTWYTVVVTTWLHYCRHEGHYCAVSPWWTNPR TYVPDSIPLWLFSMFFFGLMISVSFAGLRIGEIGMDVLKSLPPLLVALNPISANSLAK LREERQALSTKVVDTIDTFAPEIFPDFEHEKLVPHGYPHDDTYQSRLKSMPPSEPESR NTSKTRGSRSRSRSAGFLQDTLLKPLTIGSKDDLGEVNRRIRDSMKERGRERVRAQYD SDADAVLVDGSGGSDSGDAVEEKKTR AOR_1_1754114 MASAAPANIQKGGQSDPLNGDDPNGVGETEVLSGSQKLHRKLRG REVQLFAVGGAIGTSLFVQMGAALPKGGPAGLFIGFMAYGTIALAVNECFAEIVCYMP IPSPFVRLAGHWVDDALSFAMGWNYFLAMALNIPYEIVAINVLLTYWTDKVPAAAVVV IVMAIFGILNVLTVRYFGVAEFYLSFFKIFLMLGLFLYTIVTMVGGNPHRDAIGFRYW NDPGAFVSHLVPGDTGRFLGILSCMIQGAFTIVGPEFISMAAGESERLRRVMRKAFAS FGWRLMFFFCMGALCVGIVIPSNDPTLAAMLDGTKQGRGTGAASPYVISMNHFGIQVL PDIVNVLIMTSVLSAGNNVVFSASRTLYGMSLENKAPKWVSKTNRAGLPYNAVVIAMA FCLLGFLQVSNSSATVLNWLVSCIAGSYLLNYFGTCITYLHFYASLRRQGISRDNFPY RGRFQPYTAWYALCGTGVMVLVLGYNVFLSGGWDLKSFFLNYVIIGFYVLTFVFWKIF RRTRYVGIGKADLQLGSIKREIDEYEEVEYARRRGKPVALLDRLFE AOR_1_1464114 MTTSNEQPSSLPYHGSCHCGFIRYIAIIPMPPAVALGADATKGP HLRFYKCNCTTCQKMGLFHMRLPDAPNQFFLLCPQDRDSLANYKCQNGHINWFFCPTC GVRCFATVPHWKQDQIDIEKISAAVPKLDLPGVEESTKTVTIWRMDPDTFQEDVTGYL TINALTIDQDQAHGKNIDLRQLVDNKWVQYSDWNLRKHESRYDYPQERGTW AOR_1_1466114 MLLRLLQAFLGLWAIFPIASAYTNPIRNPGGSDPFMVYTGGYYY LLTTTWNDVQVSRATTVDGLKTAEKKVVYTTTEESHCCNVWAPEVHYLGDKWYIYYTA GNSADLDGQRMHVLEGGATPWDDYTYAGQLTTTWGIDGTIVRFNDFGNFMVWSCFDGV TYQSLCIQQLGSDYTSLTGDISVISEPTEDFETHGTPVNEGPAALYLNGKTYIGYSAS YCWTAYYCVGLLTWDGSTNPTDKNAWSKHDGCLLSSANGNYGTGHNSFFQSPDASQTW IAYHATTNASGACDDSRYTMVQEISSGSNGIPDLGEALAWTVEISEPSS AOR_1_1468114 MEVCVDDNQFGPRVNPRCRSFDFTLLFEDVFFIALPAAVLLVLL PLRLRWLHRTSVKVKTYRLAIWKLSLLVVLFVLQILFVALRLRTPAIRTNASLAAGIL NIAATFAAACASFLEDQRSIRPSDLLVIYLTMVAMCAIAPLRSLWSISPTNACTGLWT ALFVITVACLCMESVHKTGLLRLQSYNPTKEQICGFWSRSLFIWILSLFRVGYSHILR MEDIPEVDHDLRGEVTGEKLQKAWEKSRGKHRLVRATFAAYQFSCLSAILPRLALSAF TFAQPFLITATVNYINIPSSSESQKYGQAIVGAYVLVYAGYAPTKLLLANVPMEFSPF ATFAIYAIISVVKQDTTLLSAQAFTSLALISLLTGPLLNFCQAMPALAQAVSCFDRID EYLTVDPQSPNRSMSTNSIARPSDDGVELQQKPSGALPEGLLASFHAATISKSPDAEA VFDRLTLNVRRGVTMITGPVGCGKTTLLESILGASFVKTGSVVASLSRAAYCSQVPWI QNQTIRQNIVGPNGFDEKWFKYTCWACGLENDLQTISDGDSHMAGSNGISLSGGQKQR IALARVLYSRPKVIILDDPFSGLDLKTIALISKRLFGIEGYFRAEGVSVVLSTNSYYL LAHADEVILLDNGQVVEQGTYQYILERSPEITAELQEHASVSDIADSKVDDFEHRSEL PSSSGPDTTTHQDVDWSRQRGTWSVYQYYFHRAGYVSLFIFLIFAIIYSFSSVFSTLW LQWWVEENERKPNSRLGIMLDDFRSDDDRYLIKLAFGLAQGRTRVRISNVVLALKLTM SITVLFSAPFGFFQTTDTGSTTNRFGQDMELIDMNLPLYAVNFVESVLACFFRLIVLC IIGRYLASSIPVLGLVLFCVQVYYLRTSRQIRLLDIEAKAPLYSHFLETIQGITTIRA FGWETHFQKETQLRVNSSQKPVYMLFCVQQWLTLVLDLVVGGIAVLLAAVVTSLKGNF SAASIGVALNLLLTFNQTITRTIKMWTMVEISIGAVSRVRNFVQDTPSNKRDFNPESG RLPDVACNGAIDFEDVSAGHSFNEAPILKDITLSIKPGQKIAVCGPSGSGKTSLIMAL LGMVEIKQGRVLLDGNDLSSERQALRGNINVIPQDPLFLPGTARFNLDPHQRASDEKI ADAVKAVGLWSRFCAKGGLDADFVPSDWSVGQKQLFALARTLVHKAPILLLDEATSSV DWETETIMQDIIDREFASQTVIAVCHRFRFIDRFDRVAVIQQGELVEYDEPTALLERD TQFRRLFRALQESSAIGA AOR_1_1470114 MKVLSPLASIALAQGTLCAAQGYTNESEVPYYGRSPAVYPSPVG DGATSSIWESAYQRAKALVSRLTDEEKSNLTRGFDGQCVGNTGAIPRLSIPSLCFSDA PDGVRGQEFVSAFPAGIHVAATWDRSLIYRYGHALGQEYQGKGINVALGPVAGPLGRL ARGGRNWEGLGADPYLAGGGMGAITKGIQDAGVIASAKHWLLNEEEWRRNPGDMGESL SSNADDRTIHELYVFPFMDALREGVGSVMCSYQRLNHSYGCQNSKLLNGILKTELGFE GFVVSDWAAQHSGVASANAGLDVVMPDGGFWGRNLTDAVANGSVSSERLDDMATRVLA TWFYTGQDDGYPAPGVYSESEKHDPIDVQADHATLIREIGSAGTVLVKNVNNALPFTN ATRYLSVYGYDATVSAAPWANPSRYGGGYEVNFGWTTFNGTLITGGGSGGSTPPYVVS PFQALQERASKNKGILRWDFYSENPTPAYVNSDACLVFINAYASEAFDRTSLTDEFSD NLVRNVAANCTNTIVVIHSTGIRTVDAWIDHPNVTAVLFAGLPGQESGHSLVDILYGD VSPSGRLPFTVAKNESDYGNLLNSTVSFDAFPEVNFTEGLYIDYRAFDRDDIEPRFEF GFGLSYTTFEYSDLAITATGNSTSPLVDANIAIVQGGHPQLWDVLFEVTCSITNTGDV SSSEVAQLYVSIPDAPVRQLRGFERVPLAPGETKQISFPLTRRDLSIWDVVDQQWRLQ AATYTASVGASSRILHLNGTIRIE AOR_1_1472114 MSDTREANPTAMDTAESGSSQFHLQKERQSTFHAENGAVASLPQ REAHIHTVSQVPLPPYQKLANPGPLGLLAFALTTFVLGLYECGAGLPHSNPQGKVGPN QAAFGLAIFFGGGAQFVAGIFEFRVGNTFGCTVHCSYSAFWLSYAMFLIPSLDIKGQY NGDERAYTFAIGIYLIVWCFLTVLFLLAALRTNLSIILVFFFLIIAYLLLSIANFIAT EHPAQSVKVNKAGGAFTVICAFVAFYAGASGLMVPETTWVRFPLGEIP AOR_1_1758114 MEAMVSDIVTMLPDIQDQPNNKVQEFNNFLGGRVDSDDYTTALG MAGGGTAVHFDTSNPSNMTPPRAAFASDDTVDGLNETLALSENRVEPAANGTKRIRLV MTRFKCHKRSSEWGKDEIYWTRSAVSDTGDKFSGDPITREYGSIRSGDIRQMDAGTVL FDGQVKDALAIFIQCWEADNSSTKWYEDLRKAMDAISKGFKAWLEQYGQVIAEFQKQL PIVGNAYKILGYISTATQIFAWLLDKFRNHDDLVAERTIAFSQQALTWFLEFPNCEAS FMFDGGKGGKHELWIRREYGFDPNDTSIGSLKTMTGQPGNYSMQSSVPGPGRSFWGMS LVDYKGELWSFFSRSHNSLLCYSIWNSETGWGPIIEITGNYTNAKPAVATLDDTVHVL YKGGDGRLLHVEYLPQNRTWTGAVPVGSGTATEYSGALAGFHDMLVSVHRGNDQRLYC TVKWSGQNWQDWTKMYSPAGADYKLAPALCSYDGSLYVWACINRNYQLHCYRVNMDTN PWTLVDERLEDTAAHNAKSAPAVMVYPEYSSGDVMWAFYRYISVNATMFYDPVRRREG LFTPSNPESVGDPSVCNYDGKVWYGYSDRLS AOR_1_1474114 MHILTPPRLCTALTVLTSLIISSFAIDTPATKDSTIFRSTVSCP ACPDRNCYKCTLGHETTLQANTGGLAYIRSLIAFQLPVPAASITACTVQFPAFTKPLE APVNVTAAQALSSGWDEGTVTGENAPDSGDAFTEIEVPAYANMGPIDVTPACQGADED GNFSIFLGTRFGRIEVWSKDSGNPAILHITSSA AOR_1_1476114 MNIKTILALCAMASMATAAPAEEFEKRADPCDGKNRFCCETFFP VNIFFVRAVGSNCIVKSGNCVAPRKEYCCTSNLPLSNGNLACTQL AOR_1_1478114 MKEIINLHDCRTKLIESAIPEINDDQVLIRVVVSGSNPKDWKVP DLAHSEEKPYFERYEKVREGVNQGDDIAGVVEKVGKNVVEFQPGDRVGAFHEMLMPGG SYAEYAVAWSHTTFHLPEKISFEEAATIPLAGLTAVVSLYHHLGFQFPWSPAAKGKSP NTTTPFIVYGGSTAVGSYAIKFARLSNIHPIIAIAGKGAHYIRKFLDESKGDVVVDYR EGPEKTIAGIRNALRSSTSGNDLPANHALDTIVTEDSTTVLRSVMNPGGNINYVLMSP PDVSPAVASNTWVSSAHQIGGVDDCRDLCFVFCRWFTRALQSGEFEGHPFEVRKDGLL GVEGAMRDLRDGKASAVKYVFRISETPGQ AOR_1_1480114 MAPSTFKNSLVSLAVHLLGVSAGTCDLDSFNATVGGRVQPLKPF SLPCFSNYNGTEVAVNDAACSTIQSNYGDPYLRANSPNGYMNNQVEMCASEPSDQCLL DSSDPTDPLAFTNTVCQQGNVPSYYLEVQQASDAVEAFKFASCSGTRLSIKNSGHDYL GRSSGKGTLALWTRNLQSKVYHADFKPEGCDACSAPGTAVQAITIGAGVNFNEVYSFA NSHNVTFLGGYAPTVGASGGFLQTVGHSILSPVYGLAIDRVIQFKVVTPDGQYRIANE CQNQDLFWALRGGGGGTFGVVIESTHRVEPQLSFVAAIIKFTSNSTNLLPFMDIVVNN TLKWASEGWGGHISGSSLINVTPLLSVAQAEESLADVIAYAESQGGSATVEQFSSWYA FYEKYVTSNAVSVGVTHFAGSRLVPKAVFETAEGRKNLMDFFSLLQSQGQSPYIPIVG PVLYNYTTNSTSATPAWRSAIWELGSGTAWAWNSTLETREQKIAGLQNMTATLEEITP GSGAYSCEANPFTKDWREAWWGENYEPLLNIKNKYDPNRLLNCWKCIGWEESDAKSSC FSAFS AOR_1_1760114 MAIENTYWKNVLTVVPIVGAAIATLTYLLRLVSCRISTVGLRLE DLLMGIGLILSYCATAFVIYTAFNGVGVRTTLLPPDERHRIQFGSWMIQKFWAPSMAF VKISIIVFLQRILGTVPAFRIVSNCLIVFIALWAVVALLVNIFQCHPVQFYYDKTLQG GHCMHGQTKFFQAMGSIALVEDVIILLMPVPIVWRLKITLRQKIAVTIVFSLGGLVCI FSLMRLIEFRNFVVTDLASSSAKESIWTVLELDVAIICGCLPLLKPLLAGFLGTVKSI SKGQSNSGTKLYFHTSGTHNHDGFHKISDPHGATASQSREVTTQSTRRGSSEIELQGI EVHTAIETYEDL AOR_1_1762114 MPKRPRSRKFSQRLTSDDDAVSSREESANTRSSRVSANPSQSSG IETALKELKKTIAGQRSAATFACGGTITISRAGTGGGSGAVSTSPPVKVYWSVQEEMN AKKIVLPLNHASADSSPAALQQLASDCSPVTFRRGSQDILDPSFRQAGKMEPANFATT FHPADLRIITLIERILLPSVSNDKTITEGDRLTLTYNLYVTKPEPASKVIPSSLVEPW TLTIYGQLDALFHNPQFLPNGGVLGIYYARAYAHISKVANTNLPLTFKGSDLGLYSVL HALGETVSIQPVLEVDKGDYNWGHGLETEDGELVGEQLHPYHQSSSSTEYDPLDQIIR DEWPHSKMTGITWLTSQKHWEQALRYVAYGNEPSVETSYSTAAIFATIPNWNKRSSSQ AOR_1_1484114 MELNQPQIGWYGLGSMGRPMAENLQRHLANNHERPLIYSNRTLS AGDTLKSLGAVPTTEFIDLVRRCDIVFTMIPNDDVLQRLMKLAFAGGSDLSNKIFVDC STVHPDTIKKLSSDLAKHEAVLLSAPVFGGPSVAATGGLVFAISGPESACLTVEKYIT DVMGRKLINCGENASNASLLKIGGNIITLSLMEAVGEAQVFAEKTGLGTEAMEQLITE SFGPIAGGYSKRLTSGIYAPPLDTRPGFGISLAIKDAKHALSLAGEHDFKLPGLELVD QHMKAAREYGGECLDSSAMYGILRQQANLSFWNENCRQGGE AOR_1_1486114 MAAQRPLYAVDTFVSSTKNTDTQLNVFVSDKLFKIDLFATNFKS SPALLAEYLRQIQRQDPEFIPDPVDDEWGDPLEEMSEWILQPFLPIFRKVAPLDTSRQ YTLDDCFFAEEFSYTVQVVEETLVPVYLGKGLKLQDIGACLPSVQHLDYSMFPVYRPS EVQVPIDPDSTALSGSPRKVFIPGRSNPSFLKLVYTGHTRSVLKELIAYSKIHMAKLD NTVRTCRLDGLVQDGHGQVMGLLLSYINRLGSTLECFHPQYDGSRQKWFDQIAHTVKQ LHTHNIIWGDAKAANVLIDPNGDAYLIDFGGSYTKGWVPKELADTIDGDLHGLENIRR FLLE AOR_1_1488114 MPTPPPAYDFQPHERQASYSGPVPPPLFSNRPPSKQRRSPTTQC RQEDLPSASASIDCNTVRSSYPDPEHRDQTRSDVPVSRPSMQYNPPAKFTLRKCPSTN YNLMCSGRWYILPEAPEFRICTYCYEKNIRGSSLQASFHPWVSPAGAGIHCLFSSPRI ENHLWPRALQSGSVQELLWFFRHRAAIRNCDGTKGVGRSENVKWYSPKGNSRLPSFIA CEACYEDVVTGTALQGQFEQHRETQPQGQIWACDIAIDFIRRFLTNTPAWPQFSAEAA RHLALPECEKNGGVMSGSSRQWYELRDRALGIAVCERCYRDFASKTDFESHFQPLRQP PRQQQCILGFWQARVIWHEALERKDFSLWRRTIIEYVQAPPCSSQTKPGAQMYQLNQG IDNFDVCQSCYKWYGTDDCRICLACYEEVVRDSELAQQLPLSPQIISGESHCDLYSPR MRRKWAEACDKRDLASFMAFAAYRRTIYEQTVPEMRNIVSMARFNLDMQKMYNVSSSF YYNMNGMTASMYNPHISYGAAGIPHRFETPWGVEGAQLGQRAQGYAQGINADTARVAQ LQATWSLVE AOR_1_1490114 MLTAFEAKAAVAVLHDMERENGSLVGGWPLVDMEAAEDTLPPDV ERCFASYLATSEEAMVEDDCLQEVAEAELAGLFYATDESKVEVRQLAT AOR_1_1492114 MTDERIKWHGNFYAGQLVRCQAHQRATARPLIGQILFPLLDGID PGASDRIMGTIEGTRSAATMVFPEPFQMSKDMLTEYHAYTEKHVDPDDRVDGHVGPCM GSFYVIG AOR_1_1494114 MNHPERRRKDPDPREQGRNDAGTQFEFVLEKDQPGIRSHAMRQF WRQKQAIAKEDPSDVTSAGRRLYPRTLMPNDGIQTYSQFEGLKEFRQQMSALQPKDHG AGSANAGKGNAETLGIPAQILSGIRHALEFVNCDPFHTFPVTLTAQHRKLLYHWLSTH TSGMSVALPHAAFDPIREVWLPLDLSNSASFNATMAHAAAHLAYLHGELASPEALRYK TEAISIITKWLDDPEQALRNETLVSVVRLLMFEKYWGIDGQWEVHRDGLQRLINARGG LSALRGDWRVELVVFL AOR_1_1496114 MAREVQHASVVIPRALIITVLINGVLGFGMLIGVLFCMGDLDAA TSTPTGYAYMESFLRATNSLSSTVSMICISLVIGICSAIGMIAATSRQFWSFARDRGV PGWRLWSKVSPTTNIPIYSVCFTMVVSCLLGLINIGSDVALKDILSMAVSGLYLSYLA VGSLLLYRRVCGHIRPSSECEDTTVNVPNAPLVWGPFRVPGILGMVNNGFAVCYMIIV IFFSFWPTKAAVDYKSMNYSVVGTFGTVLIAVIYYVIRARHVYHGPVVEV AOR_1_1498114 MEKSTLAEDAIQPTGYYNEYDAPPKDELQLNVGGRGATQRRLRN YHVTMIGFCSGIGTGLFVGTGAAYAKAGPAGLLLAYIVVGLVLWCVMQSIGELATLLP TAGSFPHWATRFIDPAVGFSLAISYGYCYTIAIASEVSAAAVIVSYWTDLTPAVVITV GLVLILASNLMSVRFYGETEVFGGAVKVLCFLGLVIVSIVITAGGANNDSIGFRYWND PGPWTNYNGITGPTGHFLGFLSSFVNASFSFIGVETVVITAAESVNPHHAIPKAARRV TYRIAFFYILGALLIGIIVDPRNPALVSGSDNANSSPFVIAIKEAGINALPSIVNACI LVAAWSAGNSYCWVGSRMIVAMTTDHQLPQVFGRVTKKGVPYVAVITAWLFGPLAYLS LGSGGAAQAFTWLLNLSTVAGLIAWATLSFCYIRFHSAMKAQGVSRDTLPWKAPLQPY AAWVGFIGSTIIVLVAGFPVFLKGNWSSSDFVASYIGIPIFIVPIIGWKLFKRTKFVR SAEIDLWSGRLQEGEILVQQRVPRNLWERFVDWLV AOR_1_1500114 MHCIHDFSPEQVDQGIALRQVVSEATENIKRERTGGCRPEDAQI RKEWRELTQEERQQFTDAVRCLQSIPTTLADDLRQIYPGVQTRYDEFLATHINLTSVI HQTADFLAWHRYFIHTFEQDLKSKCQYTGSLPYWDWGLDAENPHLSVLFNGDEYSMGS NGAFIPNRDPAYWPAIHEYIPVGTGGGCVYEGPFSNYTINMGPIDGAGQKAVNYRFEH HPHCLKRDINPTVTRASVTFRHITELILSYDTIEWFQGVMQKDPRFSVPSVPYGVHRG GHVGVGMVMGDAAGSPGDPMFYLHHAQIDRVWTIWQGLDPDTRRHAIWGTHTIVDTPP TANMTLDDMIDFGFVSEPVQFRDLMDTLDGPFCYYYT AOR_1_1766114 MATSERGKLFVSSGVEINHPAVGVSEPRRPVDDFEVEDNRVVQE GPTADADSFPDGGYRSWLVVLGSFLLLMSSYGLMNSVGVLQSYLESHQLANYSSQNVG WISGLFVFVSLGLGVFVGPLFDTYGPRELVSAGSAFYVLSLFLTAECTQYWHFIVCFG IMAGIGGAFTSTIGMSCVPHWFQARAGMAIGTAMAGAGLGGVVFPFILKGAFANLGFQ WGMRILALVILVLCGLASFLVKSRLPKGQLKAAIDIRSFKDSRFTLLSFGIFTLELEV FALIGLFPTYVTKQGFNTSASVYTLVVLNVCSCIGRLIAGRIADRYGRLNVLIILIFS AVLTMFAILYPFSGHLSALYVFSALYGLCSGSFISLAPVCIRQVSNAKEIGMRFGTCY CLVSFATLICIPIGGEMLEKVGSRIVVIWLGCVLLFSMFLFMTARWACLDYKWHWRIR I AOR_1_1504114 MRILEVGILAMSILCFVDAHGNLSNADLEALLHPDGDTNIQTIL APLLRPRVPGTPGSELVRNYIIQFFNSALPLWTTELQVSSSKTPVSGSQRIPFVNIIA YRSPPGLNETDVGWLTLVAHYDSLKDPEGFIGAIDSAAPCSIIMSAVRSIDAALTRKW DNMSSIPGGTHEQYGIQVIFTDGEESFGNTLTANDGLYGSRSLAAHWAVDKYPSTAKY ETRLSSISLLVLLDLLGAKNPQIASYYPVTHFDYQRLAALESRLRELGQLKSSGIHGK SWFVDRTTDVRSLKRQPVEDDQVPFSGLGVKVLHVIDADPTTGEFPSVWHTPDDDENH LDFDTIRDWSLLITAFAAEWLGLQGFMDN AOR_1_1506114 MKLSIVISVLATAVAAAPTPHGGHPKRNDAGTTVDDISEQLYGA WGGIKRDQEGTTVDDISEALYGAWGGIKRDQKGTTVDDISEQLYGAWGGIKRDQGGTT VDDISEALYGAWGGISKRDEEATQGTTVDDISEALYGAWGGISKRDEEATQGTTVDDI SEALYGAWGGISKRDDDATQGTTVDDISEQLYGAWGAI AOR_1_1768114 MPPSTESDFESSPRPSIEIEKQNLLEQGLLKRESKRRPVFSHIG TLYIVNGFLIFMVIVLARELWKKPVDPSLQVYSPANDVVEYIPQQHFRAALFNQTEYM GFPTDETDKLWSDLYNFGISTITEDEAKKLHSPTIPIFGTKKYLIQLDVWHELHCLND LRKTLYPERYTMDSLDSLKFPNGTINRDTDMFRHWDHCIDSIRQALMCHADVSPIPFH INVPARKGIFPRLATTHTCRNFTKIQEWARERFAGEWRVELEPEEAQYVIDTAGFSQD PEEDIQFLYELFPGDKFFKYWREHPYNGGDGV AOR_1_1510114 MNSDRKRNPIYFTLTSVFLVASTLILLDAVRFHPTNAQCVQRMF TWSPVKDIIEYEWTMFPEFGFLVHSKWFDAALPEREAAWEEFLPNWIRSPLNADNILA LPEVFVQLECLNLLRLHAQKDETDNRHLPSFRGSEDKVYHRVEQCFDRLRTSVLCWSD IVPVLQEYADDDLHTHVVKYDFATKHNCRNFAGIRDWTLRNGVKEVEMNNAWWGGFAG V AOR_1_1512114 MAIWTTIRSRCKSFTDRAVAYHKLDDGETKEVDRQKWESVSLSE SIAISQHQVQSAKSLPVVLLFTSTVFFAMCLIFRALMRGYASIDMACTKKLSAWAPMM AAVDYQWTQYNSDQLPADYAGPPTVTRETNWLNQYDHGFIPYPYDQIEKLNKSTDRDW WVQDGNLVTLTECRGPSSALSWSY AOR_1_1514114 MAYFGKIKAIFARRTDIKYAEVDIDDDEDKITLKEATRGLRRPD GRLKSITTSYRRLSQHLGDRSTLLLWLNIILFTVSSFLFWSSIQDNRDSLREQTAMPS PVLKGEDLSFSIRNMDATLFPDDNPSIFRQGPSPEVDRAWTVISDTRPIALSREDVLS IGKDPAMAVKLSAEFGLGDDMYAGRIDVLHQLHCLNALRMEIYFDYYYGKKYPGGFNQ TDEKHRHHVSHCIYMLLQNVLCHANTDVYTHFWTDAVDHPWPDFNIPHKCTNFRAILE WQREHAVNEHMFVDMRRPADQEAHVMSSKFKKIYNPERFGHLGDNRYDGENA AOR_1_1516114 MPYAYIADIPPLSAIVYVVTARQGLGMSNQFLNPKARFSSMGAV MSPVAQRKYLPMYPEHAHDMPDKKSVSLSEVKARLWLPIVVIVLLVVFMIASLTAVTS DAVNLPTTRHKAKSPTPEFQIVNTPFLESPRFENLRTKRQITEAWGRYGLPSYGFVTV PDPEAYDLKPNKDVVPGTNNTYMVSVYHQLHCLKIMHLALLPIISRQEGRGPGTRDDH DFEHNHLEHCLDYLRQSVMCSGDVTLEPPDEMPQKNRSPLQGWGVTHACRSWEQIEGW RGDWGVI AOR_1_1518114 MSSQSWKPVRPDGAFWESLDPVISSTVAECLSPAVLDDINNHST LSNPAKFELLEQALTRKLLSLEESANPSSLHDTDYPTWQRLNFALFHVLRGAGDAARQ KETLLKLVNNPGPSGQDVAALQNLATLYEETGEHAQAEKLAKETLPLLRQHPALGQDS PQSLGSLRILIKALWKQGKEKEAEQVIQEASASIDRLAGGKFSDVQQEEKEALETVVA DLKK AOR_1_1520114 MDARERRKLQNRVAQRNFRRRQALKEKQQRNQQQGSENPFPPET SGINVEQQPNDSRKVAAPPHEVQSSSQASSFLDQSISFYGDAKYSEGKTTLHICAEKG HTNVLRFLLDHGAELDATDFAGRTALHYATTRGHTDSVSVLLEQGADTELADEFGRTP LHVAVELGYEAVVRLLVREGADPNARIAGSTQPQS AOR_1_1522114 MGSTQLAQTLLQSARVAPEIFQLRPDYRALLMVVEGIPPGPSDE ASEAFLQEAEATVKELLSKSAVTELPHIAAWREAYKAFGAKPQKTRNSLEALTRRAAT GLPRVNRLTDIYNAISVKHQIPFGGEDLDKYDGAPFLVRATGEEPFQTFSGGEPQTEL AAHGEPIWCDNTGITCRRWNWRQGPRTALTDDTTRVLFILDALEPLSDDTLQQAADEL ASALKGLSPEVQTSQRIIDASSV AOR_1_1524114 MALSPKAEDLQPTRSPFLVVEVWYMITDILCDAENEEQDEGRTR AKCSSPLRDLISLSSTCTWLRTILVPRIFAVIYLRNTTKSALSIKAIADSNFSGFVKE VQYVASCESDPQKSPLEDVYPPEVDTVLSSLASFKNLRTISVEFPSTMTTEVEERKNA WRGLMAASFRAILSSYSAPRYPGAQIPLSLTIHELNIVPISVFSTREFHDFLSHLTSF NMSLMHGAGWMLNTFKVFYGFPDCLGPWFFNHLTSAEEFSFDPQESAPLGDAGQRHVF NISLRKANMPRLRKLSLGNIYLCLELKDFLLRHLDMLESISLHECYSCKDLDGVEDKS PTRLTCFSLHWDDDQEDLPDLDDDMGDPMLVAQVRRKLEIESDAMAFPFGYCDVFEKY GNATHDWDANRAAFVQGDDYRSYQDFMAIVESNATGNH AOR_1_1770114 MSSKFEVAPDVDPGTQIDTYPDHEDDTLSDTSSSVSSDEKQERQ SLSRIASSRLGQVLSATHAKNEPQLDPNSPEFDHRRWAQFVLRRMHECGIEPPQQGVV FKDLQVSGSGSALQYQETVLSTFAIPFRTAARAIAGQKRMPRRQILRGFDGLLEGGEL LLVLGRPGSGCSTLLKTICGRMGGLTLEPESTIHYNGVGYEDMIKHHRGEIAYNKEVD EHFPHLTVGQTLSFAAHARAPRRRIEGVTRTEFADTMTKVVMSVYGLSHTVNTKVGDN FIRGVSGGERKRVSIAEMFLSNCRIGAWDNSTRGLDAASALKFVRALRLSADMSNSCH AVAAYQASQSMYDLFEKVVVLYEGYEIYFGRCDAAVEYFQRMGWERPEKQVSPDFLTA ITNPLERRARPGMEDKVPRSAKEFSEYWKRSVEYQNLRTEIGRYVQEHAPNSEAAQIL RQVHEEKQARHTRTNSPYLLSIPMQIRLCVRRANQRLRNDLPTAMSTVVVQTILSLII GSVFYNSPNSSNAFFQKGAVLYFSVLMNALITIGEIMQLYAQRPIVEKQAAYAFVHPF TEALASALVDLPIKILRCSVFSIILYFLANLRREPSQFFIFYLLLICTILTMSGMFRS LASLTQTVGQAMALAGILVLCIVVYTGFTLPQPYMHPWLSWIRWINPVYYAFEALVSN EFHGRNFECTSYIPSYGTETSFICSVVGAVAGERFVSGDAFIQQNYQYSYSHLWRNFG ILIAFLIFFHVLYLTATEFISADKSTAEALVFRPGHAPSHLQDGDGIEAEKTNPTTEV RADADSIRLPEQKDILSWKSVCYDIPVKDGTRRLLDNVNGWVKPGTLTALMGVSGAGK TTLLDVLAQRVSIGVVTGDIFVNGKGLAANFPRRTGYVQQQDLHLETTTVREALRFSA MLRQPRSVSQQEKYDYVEQVIQVLGMEDYAEAVVGRLGEGLNVEQRKLLSIGVELAAK PTLLVFLDEPTSGLDSQSSWTICAFLKKLTNQGQAVLATIHQPSAMLFQTFDRLLFLA KGGKTVYFGDIGKDSRTLLDYFERNGGRICKEHENPAEYILETVSGDVDNNTPDWVKV WDESPEHKDVLAELDRLHSSRENDSASSNENAESKDEFAMPLHSQLYHVLRRVFQQYL RQPEYIFSKFILGIVSGLFIGFSFWKTDNTQQGFQNSLFSIFLLCTIFNTLVNQIMPK FVAQRALYEVRERPSRVYSWKVFILSQMIVEIPFQFGLGVCSWASFYWSVFGANQDSE RRALIMLFIVQFFIYSASMAQFVVCAVGEPALASMIATLMFGLSFVFSGVMQPPPALP GFWIFMYRVSPFTYYIGGIGSTALHGRPVQCSSTELSVFDPPDGQTCGEYMAKYLNSA GGQLYNMNATFGCEYCAMDSADQYLAQRWIYWEDRWRNYGIFWAYFVFNIVGAITLYY LFRVRKISLKKS AOR_1_1528114 MSSPSDTIIITDDEELPSLEEVSSRLRRASRRDYSYRAFQAVNN EADTTPSRKRKSTETEPSTLNNAFEELRKEVSRISNKLQCLQDE AOR_1_1530114 MIEDFSDFQNRFFNGSEYSMKASRWLYTKINQTVTASNATGVAV EVFDRAGWDQPSIIVSIPGMSAKTIVVGAHQDSIIRPCYQVPRDYAPGADDNASGVAT LIEALRAILRDPDFAQGHVPNTLEFHFYAAEEVGLQGSKQIFDSYSSQGREVKAMLN AOR_1_1532114 MQGRIHLVRHAEGLHNLRNDPKIPNAPLSERGFDFAEELGHRFI GEYSNCVGAIISSPLRRTIQTSLTAFRRILDSTQYAKNSGSGVNNGVTLALDANLQEI TDLPCNTGSTVDDLTIEFPGLKSEIQKLHKDWHIKAGPRSPLPQPLTQRRDEILDRLQ KIQADLQNKKMSDDIIVVTHQGVIALLAPTANIPVGQWQTFHLVRKNGLLFLE AOR_1_1534114 MSFDRDPNVEVVRKYDGAVQSLDHAQQPWSPEAGLQGPSDPISA AHQYLLKVADDYEIPQGVLGETPTRLSEPASPTGAPFGLILAQERPRFRSTAVVYQQT LGGLPVWDAKLSVTVNEENQIVNSSSSIDTEASKPETPPDDAKYMQNDVTAEDLGQLL RLPVSRGGIYFKQEQEQKQQRLLVYRYRKDDRQEIAGDSAPTLVLPEVPETIREGHYY VVREVLFSLPISGYGDLNWRALVEVKTGSIVYLQALTAGVTGWVFARDPATKLGHKAP TTSGSIADLNLLRDKVFLPETVVTTPQALTGRYAEIKDTELPTSLPPTTSTGEFNDSV ETDNFAAANAYYHITKLFRLLAELGFPTKTFFDNTKFPVSVDHRGFNNEVNAQAPGNA TGTGSGGFIFGRADPNPNSHIGIAADFRVAAHEFGHALLWDAIHWPGFGFAHSPGDSL AAIYCDPGSDAQDRFDTFPWNVLVRRRHDREVKDGWAWDGPQYRGDGWGPMYLREQIL STTLFRLYRAIGGDAIGDFHKQNWASRYTLYLIIGGIATIATTASMPYQYVSAMISAD RDTVLGYPGGAVRKIIRWSFEKQGLYHPLNTPSPGVMTRGPPPAVDAYIDDGRDGEYD YAPMSGYPPGIWNRQAPDGGLVNQAPVVGVTNYCYVAVKNRGTENAQNVQVTVYESPI PEPTVWPAHFQTPGTVVPISGPISGNRQQTAIAGPFPWTPRADASFDRYSLLAAVRAD GDLSNIDASSGLACASGPTDIDNLIPFDNNLAMRHFDR AOR_1_1536114 MASQVHQSGSLPSFKPYVLSPLDHAMACFYLTSTITFSLQDPTR GIPVLEAGVSQLVSKLPFLGGNLIWTTHPDSTKVTGEIHPPTAATWDTYPMLKVKYHA GKYTSMSYGRINGPCHCGVISYDHILREEFVPLPFDIVLAETCPVLRFQANVFEDGII LCASFHHNVIDGKGMNTVMEALATCCRNPTNVQQDELPTDPISEAICRTRLAALASPT PDAFVVRRGTNCPIPAAPEQGLQAPITRLLVLSGEKVIQLKRMCKAFVRNEARGELPS SELGRPTPALSSNDIISALLWLCVLRSRVRSDPRFPQRAKSYFSFPTDARMIIPQDLR STYIGNSVVSATVESPFSIEDAIQSSSATISAPDEFNLSLMVRLALAVRSKFMSIGRK HVQDMISSLGTGDHAVLTAGNADFALSSLRHMNFYELKFGPVLGRAAHMDLADPRMKN QAWILSDRHPGHSGMSSWEIRITLDPMVMEYLLEDTLFQWMKVTDLCKL AOR_1_1538114 MMNSLLLELYTIHDGRQNPADTITVFKLDEGLINGTRDLSDELQ LDAPLNRQFSVRRRLAIVSRVRFFHARMLLLGPILAQFCLSYHTAQPVEKPTQQRAYN APALVSKRIIG AOR_1_1540114 MANFEERVNLLRLSFENGKKPLPRVTLGAVNKDGIERHPTLFSD SSLHYAKAFGEASVESTDTDAVHWVASSTKLVTTVAVMQCVERGLLDLDADIANVLPE WKNPRILTGFDENDNPTFRPATKPITLRRMLTHSSGMAYFFMDPLMARYHELQGKPPV LQTLFQFQFLLFEPGERWMYSPGIDWAGKAVERVTSMKLGEYLQRHVFDVVSVKDATF HLDQREDLRARKVKAWVRTDQGLQEEKNPLCQDPIAEDFGGGGLYTTVNEMLKICHGI LTEKLLRPETVKEMFQPQLENVLGLDKPHDYALASRNAIWNTVPDDMFVDFGIGGLVN TSRVPGRREAYSLTWSGKPNCYWWIDIKKGVAGVYLSQLLPTGDQSAIELLNEFERWV YSQLDDLEGLAKQSVDTSSR AOR_1_1542114 MTTLPPIKGAESLPSRWSLTEDLGGYDMPIRLEGEIGDVMVRGT IPDSIDGTFYRVGSDHFTPTLPGHSPLLGHGVVSASRIHKGQVDFKIRYVQNDRYKLE RNLKESVWGDMRDHPLSQHPCVKAVLTSTSNTNVIYWAGRLLALQEMDPPYAMDPDTL ETTGVDPFGNQILSPTFTAHPKIDSNVNELVTWGIDHGANEIISYSIDRHGIVKNEHQ IKRAIGGLIHDIAMTENWIVFCQWPTSFVDGTTVWDTSRPAIFVVAPRHPKHPLEGSG WEPYEHRIYTHDFNSEIVHTAGAWEEGGKIFFEGTWPHESLFPFWPKTDGKKPSEKTV VDLVRLEIDVSQPSNTRIPDPVTLVDIPNEFPRIDERFYCRKYDHIFMNIYYSETEKF LVNKHVFEGLNATAMLTKSTGELKVYYPGPNCRCQEPVFIPRSDSAPEGDGHVIFAVD RLDINLTNLVILDTKDFEHPVAVIELPLRMRAQIHGNWVDARELNGQPLVVPPPLHHM TWRHRPGQSVPNGRGFVAESSGV AOR_1_1772114 MAPTPVTEPSLVLFWCWILPSGDLTPAKSFLEQTAQAGRFLGNT VTEPIPAAYGLGDSSSGTFFCSPNVDRIYQNVGTILARPPPPHPLPAIIFHNNHDKGI RHRVADTVGKGALRIDTNMSFSASMGALG AOR_1_1546114 MFFPRVLFKEVLVGSSLGPSTQQEHKSLRGRRRNKSKLGCRECK AKRVKCDEAYPTCKRCQRHGLVCSSAPRPTQWQVETPWLSLQPKTHVNPRLLQYWLEK VSQASVIDPENNPFSFPLLEHIAQSPALLHAIQSVSASHEQYFPANTPILALEERGKA IACLRKEINQIQRASYTHLLTIMLLALTQIADSDPKDYGKQHLFGARAVINSMLRDTS TSTTNDPVVRLCLGIYLYWDMCSSFLVDTCEPQDLNLLNISVASRSQVQEAILEAQLR TWATSPPNPDLGHLYEAFRNHGLVFLYRSRAHAQRGYATDPDVTEAQESLIQQYAEET VRHLLIIPDSSCSLNFQSLPLFAAGSELTESNHFLRDEVRGRLRAIYSLNRLPANLMA LQLVEELWDARDSGTPSFWLSHTLQKDWRLLLT AOR_1_1548114 MTGMMNSADFGITEVGLSNPGETQSSFFTKRAIQYVLLPRKTTD ELVDAYWKLVYPPHPFLDRYALGNCYESVWTGSGTTADDIALLCSMNVIFALCAQLSD IIEPERRREKAHIYFVRAESIRENRRKYEGLVLCALGGKGINEPWENGGRTAKGLIA AOR_1_1550114 MRPTLASFCVAAAELAINAAAASSSGCGTNPSLSNGLNYMDVNG QSREYIIQAPDNYDASTPHKLVIGYHWRDGTMNNVVENGFYGLSSLAGDVPTVFIAPQ GLSNGWANANGDDITFTDQLLEAIESSFCIDQDRRFATGWSWGGGMSYSVACSRADVF RAVAVLSGAEISGCDGGSVPIAYFGQHGISDSVLNVELGRTLRDHYAQVNGCDAATPP EPGVGSGTHVVTDYTGCAEGYPVQWVAFDGDHEPLPSDGGSSDSFTPGLIWDFFSQF AOR_1_1552114 MKVTKKVLDQSLCCTALLALVGGAAAQCALPSSYSWTSTGALAE PKAGWAALKDFTNVVFNGQHIVYGSVADTSGNYGSMNFGPFSDWSEMASASQNAMNQG TVAPTLFYFAPKDVWILAYQWGPTSFSYKTSSDPTDANGWSAAQPLFSGTISDSDTGV IDQTVIGDDTNMYLFFAGDNGKIYRASMPIDNFPGDFGTQSEIILSDTKENLFEAVQV YTVDGQNKYLMIVEAMGANGRYFRSFTADSLDGEWTVQAGTESQPFAGKANSGATWTN DISHGDLVRNNPDQTMTVDPCNLQLLYQGRDPNASGDYNLLPWKPGVLTLQV AOR_1_1554114 MKVNPFLLGPLMSMATRTVSQITNPTFWEDFADLDIIRVDDTFY YSASNMHYSPGAPLLKSYDLLNWEFAGHSIPELTFGSEYYLEGGNHAYIRGTWASTLN YRPSTSTFYWMGCIDGTTYIYTAPNADGPWTQASTINKCYYDCGLLITDDDNMYVAYG NSGISVAELSDDGLSEVSSQMVFPTDDAGYLEGSRFYQHNGNFYIFVVHPANEEHVLM STSGVFGPYERRLLISDAGNPVSGAGYPHQGGIVDTPNGDWYYMAFVDAYPGGRIPVL APLVWGSDGWPSVELVDGGWSTTYDAVNITPPSTPISSFEPYTDQFTGDTLSPQWEWN HNPDNDKWSIDHGVTLTTASVTDDLYAAKNTLTHRILGPISTATIHLNTSSMFSGDRA GLSLLRHYSAWIGVVNDGGTSHIGVTTGLEMDSDWNTVSTGTESARAEFSGSEIWLRV EADITPYAGSGQFSYSLDGVTFTNLGDTYELNNNWEFFMGYRFGIFNFATTGTGGSVV LNSFVLS AOR_1_1556114 MVQFSSIVQCTLLATAASAYVTSRQAAESLHDAFTAAGKKYFGN IAEQALLENPQNEPIIAADFGALTCENSMKWDATEPTQGGYNFDGADYVVNYAVEKGK LLRGHTLLWHSQLPSWVSQISDPATLTGVIQDHVTTLVSRWKGQIYAWDVVNEIFAED GSLRESVFSNVLGEDFVRIAFEAARAADPDCKLYINDYNLDDASYSKTQGFVSKVGEW IAAGVPIDGIGSQSHFGAGGFPTSGAQAALEALASTGASEVAVTELDIGGATSDDWVN VVNACLNVKKCIGITVWGVSDKDSWRADECPLLFDVNYQPKDAYGAIIAAL AOR_1_1558114 MTTTSQNSPWVLNKAVIVYTPDFDVSCRASLRIFHDETANRGSI TLSITADLANPCVRSQVRLNIPPERVEKCVLHRTSNDGLCSPGLLPTIPALVTNVSAV STLSLTLRTIGIVVCPSETESLSPATPGDLNFQSFAKICRSEFLRIHFARRQCGDKEL HKLERFSQALRGKSLEAEPFDHARHRTVQKDWHVFCLSPDPPPYCQESVSEQVKQVDP PPYCEQSVSEQGVRKRRRDPMPLDNKTRKTTLLSSPQSRGSPTEPDTPSTLPPSPPSP SSIRPTCFTHASSPGRTERNRLAYLVHEFSSLSDGQVRKVLIGSRHDHLLAIPNDVDN GLASESEKVSFAKDEPITRRSLEQYIETIIKRHISPIVDEIVDSAVSMSRDQFFDECK TNEAEFREQVDDGTSEVRTMANECIKEMQEEAQKHIHEMEEQAQQWMNDIQNQGIQVE MSAEKNTAKLKYWFNASSRFLLDSKSGSHELGTDVRRSSI AOR_1_1560114 MWQFLGFSSKQPEKEPPRGLPASWYRSEAMYQLERRAIFSKRWM LLTHSSRFTEQGDFLSFTVANFSFFLIRDRDSNINGFHNVCRHRAFPVVQTRSGSTSI LSCKYHGWSYGLKGNLAKAPRFETVPEFDKSQHGLLPVHVHIDKAGFIWVNLQAGSPD VRWEDDFQRIDEQPRMQDFDFAGEYTFDHYWEMDLDANWKGVIENYNECYHCATSHPL ISGVSDLPRYRVEPTAGYMEHHIFNKEQTDAQFKRAITYFFPTTSVTVTDKFFYIQRM IPVSATKSKIENEVYRHRDATDKEFDDINAFYRQVLDEDKELCVGAQGNLGTGVFVNG ELHPDKEKGPIHFQENVKEMLMEHRKKEEQQGGREIWPAIPKLSEHMTEKLAGEERFC SQLEATTCLNRPELTW AOR_1_1562114 MAPRIHIKQQQTRSQSCRLDILIVGAGLAGLGSAISCALVGHAV HILEAAQEIKEVGAGIQVLPNSSRVLQHWGLEEALTPYMTFPSVCNFIGWKGNKISHM DFHESESNYPGTWYRDFHRADLQRCLVDRALELGVRMTCNARIATVHVSDDGATATVV AADGRQWEGDLVIGADGVFGKLTEELLGRSDPPVKTGDLAYRLLLSTEEMRKDPELAP FVNHPQVNYWLGPDAHAVNYVLRGGDLFNMVLLVPDDIPEDSLASTIEGNVQEMCALF EGWDPRIQKLLKLCQSVQKWRLCIRFGEFDWSHPSGSWIMLGDAVHATLPYLASGAGM AFEDGAVQGECLSRLPDRDDVAKTSPDFLQAKRHALSVFQQCRKERTKMVVDRGNIQQ YLYHLHDGPEQEERDRKMQMTPTPEGEALAWRDPGLAPKLLGYDHIADVDRHWSIRQE SNLVESRL AOR_1_1564114 MSRLTDQFSLQGRTALVTGGARGCGLAFARGLAEAGANVAVFDV VDPDTAFYTIEKEYNVRTAYYRVDVSSQESLESGFSQFQKDFNNALDICVPCAGINRH LPFLEFTYKDHHDLVSINVLGLYFTAQLAAKQMIANGTKHGSIVLVASMASHIAVRSQ LCSAYCGTKGAVRAMCPAIAKELAEYDIRVNSISPGYVRTEMTAAFPHLVQGWESEAM NGRIAEPEDIMGACVFLASDASAYMTGQDIIVDGGVTRW AOR_1_1566114 MAPSVDNEGYSSRGPLDASTTVVVVGAGPSGLMLACNLVRFGID VTILDDRPDKTSTGKADGMQPKTIETFKQMRLADPLLRNAARVYDISFWQSTADKPLH RVGRQEHYPERLVGASDPYILLAHQGMVEEVLIDDMEARGAFVMRNSRFTSCSRMAGT GQLDIVYEDLASKTIKTIRAGYLVGCDGARSKVRECIPDAQLEGEVTNASWGVLDGVI DTDFPDLWSKVAVRSDQAGSILWIPRERNMTRLYVQLSETDGERVDRSKATPEYVMRR ARDAMHPFRIEWKTIEWFGNYVVGQRVAKRFMDSEARIFIAGDAGHCHSALAAQGANT SMHDSFNLAWKLNLVIRGLAKPSLLATYEQERRKIAYDLINFDAEHCKAFSQGEAALA KNFDENIRFISGVGAEYSHGLLNRGRTAISTPLQPGTLQLPAKVTRYIDANPVSIQLD IPMLSQFRIYFFIPDVPKALGFLGTICKGLDNATGMGKVPSRASQSYATQPQGAAPSD AFIQPQRYTSVSSVFTYAMVTQSSKSEFEIADLPKVLQDSRWTLYLDDVDSPRCTDKW FGSLQGEQVGIVIVRPDGYVGSIDTWELTAGTEAGKWIDDYFAFMM AOR_1_1568114 MGSIDTVGPLFQPLRLGAVSLSHRVIQAPCTRMRSTKESDGVFV PNELNVEYYAQRASPGGLMLTEATPISRLAAGYPGVPGIFTPSQIAGWKKVTDAVHAK GAYIFCQLWHVGRATVPSFIEGKQALSASDIPISGKALDGSEYSATPPRPMTVEEIQE TVQEYAAASKRAMEAGFDGVEIHGANGYLLDQFLHDNVNNRTDDYGGSIEKRSRIVLE VLKAASEAIGADRVGIRLSPYNYFQDTRDSNPNVHWLSLCSQIANLPAEVRPAYVHMI EPRFDEVLDEDAKIDSLSLERPSLDVFRPTLKKGGIAFLAAGSFNPQNAGPKLIDDGA DAVVFGRWFISNPDLPRRLKEGLPLNPYDRSTFYGADPAEKGYTDYPFYSK AOR_1_1570114 MKNHPCEYPGCDKSFTRAEHLRRHALNHEQPRKGFTCKRCTVHF QRPDLLARHMLRHEKRDEEAGGPGLGVLNTRKRTRRARDGTIIVRPSQREMRSGARST TSSSTGQDLVVEEEEDPMDEAPISPPISGTDPSSLSIVEADPFLAPMMPGGPFEPYVE PIPGQFDAADGSFNVGLGGMGDFFSMDTATDFNLPFAATCNYNWLFDVASLDDAFHQF DFPLGFDTEPFPGTLNTEYNQAVDKYDGPSALLEVASMMNKEETSQSTLSSIMPDILE TDWMSGASFLGPGPTPHLPRLSENCRRGILSLVMQVSPIGIDGRPRTLDSPLLTLGAL QGYCDLFFTRFNVTYPLVHQPTFNPDTIDPIFLAAVLFMGATYSTREAHQLAVGIHDK LRSQLLCHEEFSPQPEFWVLQTMLLIDCFGKMRAGPKQRERAQLFHCVLIKLIRRSNC CSIQDTPHLARSEDLDQAWRQAMDAEQRKRLAMLCFMWDTQHAVLFSQSLCMSAFEIR SCLPCSAAAWEASSAREWAHFAARETNRPFLTVLKGYITPGSVSRPRDLNVFARTVIL HGLMSVSADLKRRDQTTLRSETPERVGAWTPRMSRSYVLWKVDFDADCLAMKLGQTAD PRRFTGLKMAAHALYHASCLALNVEILDLQIVAGAMQILGRTVTPADQSRSQQNISRW LHEDSGPSTTVARHASHLLQDAVLSLHDWDQADAFHFPWCLYLATLACWVFHRGMDPA SSEPRMNTDLSSLIVMMTNCPSTTELAALSGKYDPKPLVAAMAQQLATVRWAVVHDAM KVLVGLS AOR_1_1572114 MSELKQSRTVSPNAEANMGQSSTYADRDAERAYRRKVDLWVLPM LCLMYFFDCMDRSNLANAKTDGLDEDLHFQGNDYSLLILVFYIPFGLFDLPWNLLIKR YSGRIMLSSMSVVWGILALCQCAAKNFGSLLAIRIILGIFEAGFFAGATFYLTLFYTR GEMGFRLAIVQSFAVLASAFSGLISFGVFQINSPSVKGWQYLFIIEGGMTLLIGVLGF LILPDNPQTAWFLNSRERGAATARLLRDSSSEVETEFNLKACFQSWGDWQFPIWCIIT FTYPVAYATAMNFFPLIVQRLGYSVVKTNLWTVAPNLVGAVVLLCVAKSSDYFRERTF HIVFSLTLSLVGMVILAAIDVLQHKGVAYFACFLMASGAYIPSCLVHAWHNNNNVHEN SRAANTGFFVGLGNLAGVVSAATFRTEYAPKYLPTLIATCCCNTVCIIFVTGLGLWMR LENRRRDRKQGQRLREDGVDTSQVKNGSRSMEWRYFL AOR_1_1774114 MAAPTAPPILDFSPFYGGDNEAKAKLVEEVRKCCHYNGFFQITG HRVPLDLQRRVMNCSKRFFDLPLEEKMQIDKNLNTFNRGYELLRSQMLEAGTGPELKE GLYIGEEIPEDHPYYVQKKLNSGPNQWPQTVPDKAEFQKTTMEYYHAVFELAKDVLSV VALTLGVDSTFFEPLTDGAVATMRYLHYPAQPKDQDEKLNRGIGAHTDFGCVTLLLQD DVDGLQVLDVPTGQWLDVKPVEGAYVVNLGDLFMRMANDKYKSNIHRVINKSGRERYS IPFFFSGNPDYLCECLPNCREPGESAKYPPITVQDRVTEAYKESYGRAEKYKKELEMQ SLASTPAVASVGG AOR_1_1576114 MDTAHLEKKHSQAGHDASPDKAAGNVIALDDNEAEQFYGSSTTH AYRLKSELVGRCMEEIGMGKFQWKLFIVTGFGWIVDNFASQGIGSVQPPIEQELSGIV HVSYSSIAYYIGLILGASCWGISSDLIGRRPAFNGTVLIAGIFLCAAAGSMNFVAFSA LWAVIGTAAGGNVPVGSMMFLEFIPMSHQYLLTALTAWWSLGQLIVSLVAWVFLANFS CPTNATPATCPRRENMGWRYTLVTLGGMSLVFTLIRLLAFKLPETPRYLLSQGRDQDA VEVVNYVARQNGRPEPLTIGMLREIDTRLGTTPSEDGAHARISTKDTIAENMRAFKGK HYRALFATSKLSRHTIIIWVIWLTIGIGYPLYFNFLPSYLETKFTDGSSLYLTYRNYC ITSAVGIVGPLSAAVGVNTTLGRRYMMGISSIVTAVFLFAYVGVNNSTASLAFSCVTS ILANFEYAVMFAFTPESFPAPHRGTGTGTAAALLRLGGLVAGLVSSQTGFTSAPIYAS AAMWVAVGILSFGLPFETHGHDAL AOR_1_1578114 MNKIFTSAEVAHHNKENSCWVVLYGKVYDVTHFLSSHPGGAQAI LRVSGRDATDDFDPIHPPETMDSIQSARIGSLSLDEKSPCATQTKDTSDEIDVSTLLN LDEIEKAATNVISKRAWAYYYSAADDKITKDFNTQVYRSLLLRPRVFVDCRKCDVETE LLGWKVGLPIYVSPTAMARLGHPRGEAGIAEACGALGALQIIANNSSLSPEQVVAKAL PTQVFGWQLYVQLDRRASEAMLARVNRLDEIKFVILTLDAPVSGKREDDERINVKSHP AGSVSAQLFAGTDPSLTWNETLEWLSRHTKKPIIFKGLQTHEDVAIAARYTPLVQAVI LSNHGGRSLDTAPPAVHTLLEVRKFCPHVFKKMEVWVDGGIRRDSSACLDSSQPHFDP NEQKKFAKPRIVIPPRKMGKKRILVGYGVDIDAVAGWLGSYGGEDSVSDISRGLWAGH IGTPRLLKLFEKYNIKASWFIPGHTLETFPEECAQVRDAGHEIGLHGYSHENPASMTL EQQRDILDKTYKMLRDFCGKPPRGIVAPWWEASAEMVELLLAYGIEYDHSMSHEDCQM YWLRTGDSWTKIDYSQKAETWMKPLVRGQTTGLVEIPGSWYIDDLPPMMFIKNSANSH GWVNPRDVEAIWKDHFDYFYREYDEFVFPMTIHPDVSGRPHVLLMHERIIEHINKHEG VEWVTFEQMCDEFKKKNQPPPGAPMPVAAESVL AOR_1_1580114 MKPGYPLFLTDIHDSHHNRWIDCIEVFKDIGVFLFSVLDHQDLW LIITDNDIPAWSETPFIGSGAMIFNHSNDQGVYDFISNCISECEKAHASCQAVRPLPL PKRLLYVNEPKHSCRLVEAPQDQPGTYTALSYCWGKGNPDPLLLTQDNYHSFKDNISW DRLPRLFQDAIKITSHLGVDYIWIDSLCIVQDDKQDWEIESQNMASIFENAHITIVAA SAPNPETPILRRRAQSEEKVKFHFTEKDHSQSYLFSRLITPEDMFNLYPIKVKQVSSR GWREVLYQRGWTFQEDLLARRTIHYLPQRVVWECRMLHDDERQLLGNRRFPKSLDQTV WTWREFVKAYTYRDLTYISDKLPAISGIANMVSQQTGDEYLAGLWRRSLVSDLGWQFA YSSTAERTCPPRQYIAPSWSWASVATRQAIGYSGETILNTLATVIDADVTLKGRNRFG EVSDGFVQLRGFIREIKLYRKDITQTWEYVLETDSWNQAL AOR_1_1582114 MRVDRGMHHMVHLCIIGLALLACTLALPSPTEQQQTSTLVDGVP GLEARDAKPFALRIMPLGASITTGLKSSDKNGYRIWIREQLRHAGWEVNMVGSLKSGT MRDNDNEGWSGWIIDEVAKEAEKTIPKAPNLILINAGTNDAVRGVDVDRAGERMNVLL TRLYEGIPGTTIILSTLLSNADPKAQANVLKINEQYRNIAAARRKNGDKIVLAEMSDF IKVSELVDGTHPTDFGYKKMASVWWAAIQEAESAKFLSKPKDIGESDWAYTTCEKKFG SGNDGGKIQTQRGSGWDDGDYKHNSQSMGRIMQIGSSDSKDDTYGGINYAQLVNLGGA HREGALDELVWTEDGVGTWMYLNNNNGNFGKRVAIDVKDNCLARGVRWGDLNNDGLDD FICISREGAMYASINQGGNPPQFKYIGLVREAPGGGLAQANVRLGDIDGDGRIDYCLV KGNGDIQCWRNGGQKDAPTKEFNGYWQDLGTVFTGKGMGDIAGVRLVDINGDFRSDWL WLDDEGKVTTYINQRGTGKGSLAPDWRRIGVTHAGMGVKGARDRIKFGQVYPHGGADY VYVESLENGKSYNHHTAVWKNIGKGGTTLKGDGDFYCDWRGTGADDYIWISPTGRALL YGNIHKPPTWVPEGPQIFDLGRERKGIHLADFNGDGKCDVWAVNRETGAAEVWINHWN EDTSSGFLDYRGVVTGDVKCTEGWGVGYRDIGVRMADLDGDGRADYLCMEPNGRTVGW LNKGENKFEYKSQVKRTHGYDRANHVWADVDGDGLVDFLWVDKFNGNTKVWINKGAIP TAGSSYKWELLDGPRYQGSDRGANLYFPNLGGLGRADMHNVIPRNNIAYTWFNTCPGS DSTAVDDVDPSIDPDLPPYTAPGQPTPTGTPPVSPTATGVPIGEAPDGYYEHWPLNDK DRMESVCNHLDELDKALWNKNDMGNWVTTTAGWYQKLSLNPDLNLDPENPFEWPGGLA NALAKYNGSREEVPAPYSWECVNVRADCEVKHLEDVDPCKQGRMYRVQALTAVHNLAQ YLKVMYSMMAEIWTNAGFENAGLVLRYSHKGDDNIEFGEDAGMTIGAGFASIMGAFFL TPMAGVAAGAMTIGAGAVAALGGPTPADLKFNTYADLGRKSAAMHDAMTKSLDTFYNS LFFKKPPTDPNWVTSPNALPRILNTGNFASHKSVVAPTDEETRKYSMVPEKDGLVKYV VAPLINMLWEKDLYFIVKIDNNSIRSSDGRKYHPCDKNGDMSENTDTTDRKWCNPDGT AFFFYRLGSNDHVPKGMDKLPDDYGFNGYDVARSSYLVQRTTNEWQSKVNTDSYFSWV PQTSGTDIPAWQMMRWNLPVCDLAEYGVEEDCGSGLLWDVCMYVAVKEVCGRRDGWPK DYDFPNGISGRPLPHEEEGTPYSKASSAAEVVQSLMG AOR_1_1584114 MTTMFRFLPFLALVTTSVAVNSDATCYFVNGNIATADVPCNTSA EITTCCNKNDICLSNGLCYLQSQGNHPVMSRGSCTDQNWGGACSSAEPCARWNQGTGY RVVNAIDDQYCCGNVVSIDNTSIECAVDRAFTVPIGTVMPGVAALANYTKSSTSSSSS PGSSSSGKSSSDASGDTPGDHNDQSARLAIGLGLGIPLGLIAGSALIWGAWERKQRSA SMRELEGLKASLAAAGPPNVMGYNYQHGHGYAPVPAAPPTELGQYPVPVSELDSAGAK DSTRR AOR_1_1776114 MTSLTSLITIVAFLPFAVSDISFKPNVTHTIGISPADVPRPGKR FVDATYQSFSIEFSFMADYAGNDSQMLQNLYDISGAYPIIRVGGSTQNAAVYYPNQTE AIIAPFSSVAADQPSHSFLGPSWLESFRQFPNGTQYIYGLNFFNPVNETYLEVGNGLD QCVLEANAAHKAMGDSLYAFEIGNEVDGWGNDTHRPGDWTVQSYVDQWNEYATAISRN LTGKDAAKLFQGCAFQAPRHLNDRTDWNVQNAELDGMGSDKTKAVADHEYMGANCHYT GAGPTIETTLFDRTNMLSRVWYHDYLGNATASSGIDYVIGETNSISCQGAFNISDVMA SAVWDVDYVMYLSSLKPIFYNDSAAHVKPLYYGNLFNAAVFAGGNKQTEVLVNETNFG AYAVYTSGKLDAIVAVNLNMWNSTFDPISRPYTALALPDGWNDAKVSRLTSPGVDIAD NITFAGQYIDDQAQVVGRKTYDKVTGGKVLVGAGEAVLVHK AOR_1_1778114 KLSAGEHRKYHHWDRLEDFVLDRLGDDTPDGYNQRTRRNANESK ECSVLSALARELQILNDKPSPGVADRPAKRQRVDDYAGPSRSSLPDVDRRLVLDLLNP DTIEDVLQTYFKCIHPWIPLIHENSLRRRLPDPKHRSKLDVLVRAMILVSARFIQRHE AVSDLSLAGLTTEQARSVVVSTSMDCLCVENLQALVICVFDDIGNGCGEKAWSLVGSL TRTVEYLKLTVEDEDCRGRTSISRPFISLSPPESWVEAEERRRVFWTVFNLDRFCSVA MGWNTSLTSDDVHRRLPCDGVYWRRDKPNVTAFFGIWDKSAVRIGNPIAFHPAHYVSP QSTMPNETPDQSPVDADGSASSPEDPLMEAAGAFAYRIEATESLSRVTTYFLQQKVDT HRPENVTSWLTRFKELDLRLVHWKMLLPKKWKAANPTNTTVSAYDTINQDGPPSSSGG RTGLDVDTTRQTVVMDPNLTLAHITHNASMILLHQPIAFPPHDWAFRSRLPSSCSAET CQQAAFEIATITEQYLKVCPATFPIYPQFSFCVYVAARLLLAYRIHYSGESTALYSGS DVDDRFWTLVRSLDEMSRRWNGNVIVMPETTTLTEDLAAKYASKLREMQDMCMQGLGY KINVLDYTQDIDHCNRKIDPIIEDQAPGSTPNDATRQSYLNLQTQSEHNSQGARKPVE NQTNGNLINAAPAMALSCPVTSIQLPKNPNNQHQTMYGQEIYSPGGDQAPCDLGAISQ VLLGHQFMDMDRIISFDNGMFSANLDQSW AOR_1_104 MPGAEAIKCQYKAEGKNVYVDGLWQLNIPAPGEDLHRTLDVTLD LLSIGNNPALIPTSSALNALQLRSDDRASNFLIRHLHQPLASSVLAIKFILPVKSGFT VRSDFLERRLEGYEHALNVESFLTPREEIKARDFRRLDSESSLSLLDLLPHAVGAVQV QSEQHLASLEAELVNRLSFAWISPEPIEEKRIAWIKGKEDLESGRRIWEAARALGIKV VILDHDGHWFQKDDDRWNHLREAFIPTDITADQGFVDRIVAAVRSYDKPIHALVTVNN AGAIGTARACQILGFWSAPPESYIIAGDKFKTREMEPDNGGAFKIFNIDELHTRLRSE VHSPIQYPVIVKPCMGWGSECVSKVQTEEELIQAVGRASSRHSEGPNPRSDVMIEPYI EGPEVDANFVLIEGNIIFFEVADDFPKAGEKAGNALNGSFMETDMVLPTGLSPKEIQV TKDSILQTLLRQGFRTGVFHCEGRVRYASKAYDTRDGIVDLYPSNRVQGKEPSFYLHE INARPGGYFVSSATLLTYGVDYYAIHILAALGDFDRCRALSVPFCHGPQWWVQVIIIP EDKSGVMKSPDAGKEMLERHEDLRLAVVDYKTMKKKGDKLLGPKAKGQSATLALYVAI GQDKHQNEQE AOR_1_2104 MTKLSNTFQIHDERFHSILGPTPTLRLLAGNESYPFAHEAGVFM ASTNHLFITSSRIKDAQGEQSVRITRVHLNETPVKCEEIPTSIPLANGGPSGLYLMST TPPYTTKLLKEDFYGRPFSSVNDVVVHSDGSIWFTDPTYGFEQGYRPKPSLPSQVYRW NTVNGNTRVMADGFGKPNGICFSPDEKTVYVTDTHWLHGDGSTDDQRVSSIYAFDVSI YHGEPFLTNRRLFAMADKGIPDGIKCDLEGNVYSGCGDGINVWSPGGVLLGRILIDSG VANFCFGRRGEIIALNEHRLWRVRLGGDVKGALLGI AOR_1_4104 MSASTKTEAASASQASTVPQTQVTLGSKVIAITGANRGIGLGIA ECCLSNGAERVYSIDIGETGEEFLALSQRYPGKLHALNANVTEEDTITAAVEKIIEEA GALHGMVVNAGRTHHKAALDFTKEEIENLFNVNLFGAFYTARAAARAFIKLGIKGSIV FTASMASYRPNKRVPSTPYGASKAGVRNMTHTLAMEWAQYGIRVNSVSPGLVKTAMTY WVPQQPDWEQQLKYYGGFPRLAEVQELGGAYVYLLSDANLNVPPVENLQPSLG AOR_1_176104 MGERKHRYTTFSGCWTCKARKVKCDENPLGCGSCLRLGLTCGGY DIQLQWMSSDAGRRPNRNLSARVGRRRIGAGWTSILQFKADEIDEFLTRVNEEASRGV STTHGPFTAFSIDRNRSNHRTSPVSPAEQALSGDSNGAVTAEGCPEGTPNCESNPHEL NNQSPSLPPNSRLTPIDNLSLPSRTEGSQDDEHPEPGFQSHFAQDNQGLQTDSSEGVN DLAPCDTDILPNVEVSLLQQPQMFLSSSWKANELFHHYVTNVADILLPVTHPGNPYRS MYAPAALEGSLYFESGAFNAAPNIGLCIFHSLISTSAFHLHRCYNFKSEYYRLGIIHR QLALESLQMVLMKDVPLLNYKTILVALLSMVTIGVMEGSIIDFRVHLQGISNFQGPRR KWQVITAETRQLNTQSAFLNLLARTTSSFTPSPWLPNRELRQREQDSLTSNDNRSYCY EFTFGITADIAAAIQEITDLYECLQFYRQAQAPIPEGLLEACEDLGDRLLSWTLSADK VPSFNDRGTDELDIFKHHSHAWHGAALIFYLNCIQGAKPQDLVDEVATVASHMLAVEE IKSKHIANQMAPIRNMV AOR_1_8104 MITVTKDGELMTNYVTINPVPADEVFGAFTDHEKQPAVMSLSED SILYLVISDGGKVTRIDFGRSSGIVKGERKVLAFAVQQSLDGTLDICMAVDKPTSGCR FYLLHAIRPEDLLQPIPPSKIIDAVNFPIVAHIYMGNKSTAMSQSLPLVMVAFVRPDR ITKTEELNFVEFKGKEAKLNGNWTVPADPERILAVELGTVSTGDGAFILYEGQSGKKH LLFQNFTGSVPFAVQPVCPEGAVGLTTFLDTQTQETTLIVAGDKIAYFLPRDYLSPKG TGTVIDNLEHVPGMKDIHCSQSQEDLRLWYTTVDDALYYYTTTTSDLSEGVNIPLLPE GQGGRASGLLCAQARDDDSDLLVSSLLSVDQDANIYLLQQDSASKAWQRYPFWFSSEK NVKEVEGYMLRFQVVQNNDPEDPNNDDEGEMIVGSWLYVTCSGLVRCFINGKPATMSP AGDWYQTDVKGVLNVLLQTEDATCHQFAVQRYRPVEIPGGKRQRNSERLIEDPVLDPS EKVVGRLKNIQTEDDLRALRRQDGTPLIGPGVPDGDVIRAAQAFQQLSERADEIHLDQ KQKLAAYKLAVRELKGQASGAWNWVEDKVKDAIDWGVKLVGDVWKFIVKIGEEIFEIA LTTITSIVKGIVWVFKKVGAFIKDVIEFLGFLFGWGDILDTTDSIVAGLNAALDHGKS VLESQHDTVHGWLEDLRETLKEQLPILHDTDYTAVLKNQELAKLLSGSSKLSDDDETK QSVVYNWSAYNFTYGGGTTSAVLHDDSMSRTGTEDELLRLWDTVVDQLEAITKTVVKV AKEFVDFFKPGHLNVNTLINKVGTILIDELVDALERLADIIFYALSTGISIIKDIGNK KIDIPIISWVWEKIISRGRPLTLLNFYAVLIAIPTTILYKATTKSAPPKLSGRVTKDT FAQHVTGKGSATLSKDITNFALSASSGLELVSEEFSTLGLLADGTFEGLGLEGISVGF IGEFMNVFDSATLAFEAVGGFVEWPVIPDETTAEDTRAFDLAKFNKYSGWALKGAGMG SSVIIKVVAKAKKAPRPVVKRWKATVGAAMSVPKLCLSLTNGIQDAKEGEKSDILIVN GFLKGGFTFGKQWGESVAAWNNQVENELMYAGLVVKQICAIALYGLKIVDFGVGIIE AOR_1_10104 MATTGDNFIDKTNPNPYAQAIFLSQKIINNGFSAMWDAAQREDD EDNPLKYFSYTVRGGDFLKFKVGRPTVSLQVTTEDPMLYFQLRMTEGEVLLYLTDDPD DDSKINWDIKNWIFAFSTARKEVTKDSKEYQEFKERAGLPNSNFTLAALFIDASSTTK WEPDLSEFGDKNDAFRNLTPEARATFDSFIQRWLNVMKEKGKNILGYSAERQEDDERK VLAVSLPTSQYSSSISSSSDGSQAPKDNIEFNALSYLMMCNFDSPPAGGAIEYTGPWV DNGDREGTFVMNCDLFWPWMQGLMRKLVIDMVPYPDTPMCYWDDSNDPDHPFRSRIEY HTGDDAAEDSQYQFSPQWWKPNTWWLIGPSRHSEIQVANPNDSRDTMKLQEDTKNTTA SLGFRPGGQVVDLSGSTTFVFRADHSTRKFSTWTEMTFGISWSMSIAMASVEDGGLQF KIVQGSDKVNVSQNSSGNMSWSPPPQQIAETFKNRVQGGMESALSGVGNYLLYGLADQ QRLFLPGKGSYLMKNPIFNSRGDFADPPKQRKRHLCSV AOR_1_12104 MPHGKVVEVDNPVIFKALTSSGPVVVDFFATWCGPCKAVAPVVG KLSETYENVRFIQVDVDKNRQVAQDMKVRAMPTFIVFKDGKPHGEPIVGGNMKALEDR IKEVA AOR_1_14104 MQSKTFSVLSSCLLLIATVQGQLSGSVGPSTSISDKKAVKTCNV LDYGATNDNKTDVGQPIMNAFEDCGSGGVIYIPEGDYLIQEWVSLRNGTAFAIQLDGV IYRNGTTTSQGYMFGISGGSDFELYSSTSKGAIQGSGYLYHMNGEFTAPRLLHISDVS HWSVHDIALVDAPMFHFVIDDASNGEVYNMAIRGGNSGGLDGIDVSGDNIWIHDVMVT NKDECVTVKTGSHNFQIENIYCNWSGGCAMDSLGSGTNVSNIVYRNIYTWNSNQMYMI KSNGGDGEVSNLLFENFIGHGNAYSLDLDSEWSSMDTVDGDDGESRPPIRVICPEAIP CTDITIEDVDLWTEEGDSETYVCKNAFGSGACLKSDSSSTATYATTTTVTSAPSGYSA TTMAADLTSAFGTDASIPIPTIPTSFYPGATPYSALAGSS AOR_1_16104 MLANGFTVRGVQDTQGTDLPVEPETTKLKRRQRQIKSLEERLVS LEQELKTQRSISEHRRDDTPDEVSAGSEKVVPQPTCIAPVYEGISSFTGQSILASDVA QKTANSEGAGEQSDLKTSLVHLKKLLQTPTRSSFGTGYRMSRVPAARSLPTLDHLLPL DLIIAILQEIKTSMHGILLSLLKEFTILGSPLCQKFDLKAHMSTCEQNFNTLVESYDV LAIPSFESIFALIMGFIKAQDEAKPLLCSTFISAAASHCQMLGYHREITYRSDNTGNS KNMRRLFWTTYVFEKHMSLYFGRASSMQDFDIDAQYPAITRDPAVRPWDESFVMGIRL AKLQGEIYDKLYSAEAAKSSHPERMRRVHGLALDIQQWYAEFKEIDASKVNNHHIFKI SRDSWDILYYSTFTSLLRAPITSGSACGELSSQCFRVARLSLQSHLRCFGNFQTSGFH TKADYANWVLLFSSFTPFIVIFLHAIAATSSDDIQLLNEVVESLQHIRYVSPSSERLY QICSTFLQIARELVKTRQSCVGAYNQLEDSLQFATDAGPMSIFEPDCLQSLFGTDTSE YAPFLADHDMCAIFDSWATGVPAGVNLFGGDVGGI AOR_1_18104 MNPNSSSLRRAINVTKGLLPGDVIAHLRYFIYNVDRLYLYSKLP DSNANVTHELTDETAAIVIDNGSGMCKAGFAGDDAPRAVFPSLIGRPRHHGIIVGMGQ KDSYVGDEAQSKRGVLSLHYPIEHGIVNNWDDMEKIWHHTYFNELRVASEEHPVLLTE APINPKSNREKMTQIMFETFNVPAFYVSIQAVLSLYASGRTTGIVLDSGDGVTHVVPI YEGFSMPHAIARMDLAGRDLTEYLVRILAERGHSFTTSAEHEIVRDIKERLCYVALDF EQELETAAKSSSIEKSYELPDGQVIAIGNERFRAPEALFQPSLLGIEQGGIHETTFNS IQKCDVDVRKDLYGNIVMSGGTTLYPGIADRLHKELVNLSPSSMKIKTIAPPERKYSV WIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF AOR_1_18104 MDDETAAIVIDNGSGMCKAGFAGDDAPRAVFPSLIGRPRHHGII VGMGQKDSYVGDEAQSKRGVLSLHYPIEHGIVNNWDDMEKIWHHTYFNELRVASEEHP VLLTEAPINPKSNREKMTQIMFETFNVPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFSMPHAIARMDLAGRDLTEYLVRILAERGHSFTTSAEHEIVRDIKERLCY VALDFEQELETAAKSSSIEKSYELPDGQVIAIGNERFRAPEALFQPSLLGIEQGGIHE TTFNSIQKCDVDVRKDLYGNIVMSGGTTLYPGIADRLHKELVNLSPSSMKIKTIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF AOR_1_20104 MAIATTVSIADECITTYKQLRSGRGAKKPTFVIYRISDDQTAVV VEDSSAEQDFKAFRHKLCSTVDSRGNPAPRYAVYDVEYDLGDDGKRCKTVFISWVPAS TPLKLCMLYASTKEQLRSALDVKLSIHADTPDEIEWKTVLSVASGGKA AOR_1_20104 MSMPSGVSIADECITTYKQLRSGRGAKKPTFVIYRISDDQTAVV VEDSSAEQDFKAFRHKLCSTVDSRGNPAPRYAVYDVEYDLGDDGKRCKTVFISWVPAS TPLKLCMLYASTKEQLRSALDVKLSIHADTPDEIEWKTVLSVASGGKA AOR_1_22104 MELETRHPARDEDPTRSGLLTDEPHDELQLPPVDGGKDAWLFLA AGFVMEALVWGFAFAYGIFQDFYSTHEPFKSSGNTAVIGTCMMGVNYMISPLTFALLQ GFPVLKRWCSPAGLLIMCLALVLSSFASNTTHLILTQGIAYGIGGNLAYSPMIIFMNE WFVHKRGLAFGTMWAGTGVSGVVLPLVLQWLLNAYGHKTTLRIWAVTLFLLAAPLLYY VKPRLPISRASSVRAFDLSFLWSHTFLIFQMGNIVEALGYFLPTIYLPTIARTLGASS ILASLTVILCNLASVFGCIAMGHLVDRYHATTCILVSTIGSTLAVFFLWGFSVSLAPL YMFCVVYGLFAGSFTSTWPAIMNEVVKKSQLADPSIVFGFLATGRGIGNIVSGPLSDA LIKGSWSYDPSAFAYGTTYGTLIIFTGITALFGGLSVVGRPFKLI AOR_1_24104 MASPSYERTPFGAPMLKHFLFDPKYKNLNHGSFGTYPVHVKHAL RKYQDEIEARPDAFIRYTHNELLDESRLATAKILNVPVQELVFVKNATTGVNTILRNL SYKEGDVIIYFATIYGAIEKTITSLTETTPLQARKVDYTCPITHETLVQMFKDVVEQA RSEGLNVKVAVFDTVASLPGMRFPFEELTRVCKDEGIFSVIDGAHGIGHIPLDLKELQ PDFFTSNLHKWLYVPRGCAALYVPVRHQHLIRTTLPTSWGFIADPTSSTADKPNILTP VGSQRSAFEELFQFVATSDDAAYLTVPDAVRFRTDVCGGHDAIFKYLEELAIQGGDIV AAALGTDVLQEPDLRAGDKSKLRRCGMSTVRLPIPVGGQEDGKLSSPYPPVAAEDVSK VVHFLQVTLNDEFGTFVPVFQHGDWLWTRLCAQVYLEPKDFEWLGGVLRGLVERVRKG EYLA AOR_1_28104 MLSPVRLLPLIGSLLSLVSGLPTTNPSSVVLPPSADPFYSAPNG YESTRPGAILRLRPVPGNLTALVGNASAAYNIVYRTTDSQYRPSWAVTTVFVPSNPRP GNTSVLSYQIAYDSHSIDASPSYAMYSSPPIDVTLALSQGWYVNVPDYEGPLASFTAG VQSGHATLDSIRSILNSNTTAFNIKTSSSRTALWGYSGGALASEWATELQVQYAPELS LAGAALGGLTPNITNVMNTVTGNLNAGLIPEAVLGLASQYPATYKYLVDQLNPEGKYN RTTFLLSQNMTIAQAEAFFAGQNIYNYFINGSDTFKAPVVQYALNRDGYMGYHGVPQI PIYAYKAIHDEVSPVGDTDALLERYCGVGVNILYERNSIGGHSAEGTNGHARALQFVS SVLDGSYASVYQTQGCTFRDVAVNITDSAL AOR_1_30104 MGESFTKFSRDLAIETWILYVVGILIIACRLISQRIAKGSWKSL QIDDYIMCFTAITYTGAMVSINQVGWVNSRPEVHADEITPHAEELLIWGNIMCFAMEQ FCTATTWLVKCCLLIIYSRLTRLLREHTIVQIVAIYVGLSYILIEILLCAVWCRPIEA YWAPNVNDDFQCSTYFNHMIVSAVFNISSDLVMLCIPLPLFIRSHLRLGKKLAVCGVF GLGFVVILMAVLNRYYSLSTVGSMVFMRWYAAEISTAVYVANLPLMWPLIRVVFHLQT PNPSSYPERGQATPRSAPQRARAAIRTITGFTTHQGSSVESIIRDTDGQMHGTADAHE LSLTTAGERDRRASISPDVELGQRQRRLEDITVQRTVEITYQ AOR_1_32104 MANDTKAIVDSLHEAAKDAVNTVYSDCTEVIERLREHESDTNWD AQLGEINTLKRETGDLWENKKQFGLDQIDKLSGDVADTATELYQAMLGLLQRFIVTAV KWLQQAQDNVSDWSQNSQSHIHDFEMKADEWSEDVLKKIDWWAGK AOR_1_34104 MDKRSTSRTTSSAQEPTHLDLAEYQAEWARIHENTVRQLEQLKA NGQPGKVTGAGSQRSPSNQSPS AOR_1_36104 MTNSDNNKKQIILNAFVMNTPGHLAPGLWKHPRNKTDQYKKLSF WTELAQLLDNAGFHAMFIADTLGPYDVYKGPANVVPTLASGAQFPVNDPLYLVPAMAA VTKNLIFGVTASVTYEKPYALARRLSTVDHLSEGRLAWNIVTSYLDSAARNHGLREQI PHDERYAIAHEYMEVLYKLWEGSFRDDAVVDDREQGVYIASDAVRQIHHKGKYFEVPG PHFCEPSPQRTPFLFQAGVSEAGNGFGGKHGEAIFVGGQTPEGVRVTVDNIRKVAAEE GRDANHIKIIVGINAIVAATDEEAKAKREEYLRYADEEGALALFGGWTGVDLSGYTDD EDFRFSESPRVQSIVRRWSATVPGTENLPWTKRRIVEYLSVGGLGAKVVGSPTTVADE LERWVEVAGVDGFNLAHITNPGTFEDIIEYLLPELRRRGRFRSVVGKEGATAREVFIG SRRLPEDHPGSKYGWHAGEKLPKYQLEEENREEA AOR_1_38104 MTVATLGVAGIDLIPQGTAYGLLIGLGVLFCGVILVAIKVQKAY LSEDSATSEMFMVANRSVGTGLTASAVFSSWMWINETVLSAAMCYRYGLAVPLWWGSG LCFQIALMAALGVMAKIRVPYAHTSLEIIKMRYGWIGHLVFIVLNLTNNVFGCASMIL TGSQLIYGVSGMHFVAATILIPLGVVLYTAVGGLKATFLTDFLHTTVALILIIYFTLS VLTHPAVGGLGGLYDKVVATASENYISGNFEGSLLTMKSKDAIIWGLVLKFGNLALVV MDTAFWQKSFASEVNSTVPAYNLAAVAVFGIPWGLGTVIGLSARALHNTPIFPTYPGP LTSAEVNAGMVMPYLVKALIGDSGIVAFFILLFMALTSTVSSSMIAVSSILSFDLYKT YFNPKASDKRLLHVSHISVVFHAVFITGISIALNYGGANMTWIGYFRPILSCPGIIPL ALTLFWSGQTRLAAIVSPVLGFFTGLAIWLASAKSLYGAVNMTTTGEGLPALYGAIGS FFSPALYSVIISLYKPYKFDWREFLRIELADEAQLHASDPSITTTSQENQSSEKAIEQ STSPTPESLPSETASTNDPERIGADEKAMTVSATPAITSPTQLSLDDIRHPFSDETLK ELYRWSRIAWAIFVVLVLITFILWPMPLYRNYIFTKSFFSGWVTVAIIWQFFAFLAVV VYPLYDGRFEIEKGVKGIWKTANDYLAKRRS AOR_1_40104 MAKEKSITHRTRQPRIPPLPPPIQRSSHLVLHVVTRISHGKVPG EVPENGGIGNEDFNAGEKVSKYGYEILDLWKLREGISLESAISKGFLKGAPQKYCWVP VALLESCPLDKQDHIISSVPEERPVEKKKQHDPEEPKVMNHYGSPPFVPPQ AOR_1_42104 MAELWETLHRWYRGTLYQAIALGLISFTQPGIWDALNGLGAGGL ATPYFVNASNVITYVIMIVTCPLFAIAGNRFSLKWVLVAGTIGYVPYFAALYCNSVYG TQWFLLLGSVTCGFSAAALWVSEAAIAVGYPEPENRGTYIGIWMALNKLGSVIGNAVQ LALNIDASSKGSISPKTYLVLIGLSCGGLPLALTVAPAHKLIRKDGTKPTFSSDENRI SLKEGLKGFWRATKQKYMLLLIPIFMTVRWSQTYQGNYLTQYFSVRGRTLAGFVQTLV GIVATVLWGWLLDSNKIFKTRRGTALAGWLTMVAVFIPQWVLNFVMQTDLQKQSPTPS LDIYDPGYGKAIAAYCLFGVGSQASVVWTYWILGTYDIHVDVLAYTTGILRSFESLGF AIAFGIGASSNVSLMANLIVAFVVFWLSVPFTTYASCLVREPNPASADPINKDIQDDP ERDLPPKLPQIQEHADDLDYHPESRA AOR_1_44104 MEQENEPHMIFHLIEILGQILLETHTRTLLTSAQLVCHKWHKVI KWSPRIQSALFFNPSMNLHHPRIKNPFVSEILFQFAAHTAEPCLLRPEASWRRMLPQQ PPVSFIKIRHAHSEDDNDVVETTPVEELRMQHLADAMGSYEARVLYGIRKFSSTVLHR PVYPVILLNDGSVSDAGEKCDLMVYDPEYNPRQLSWVEGVILGGGGDHDS AOR_1_46104 MVALSTLSGLSALPFLFSLVQNVYGVSLEVSTEKGNSSSPILYG FMFEDINHSGDGGIYGQLLRNNGLQGSKPGLTAWAAVGDATIAVDAQNPLTEAIPHSL KLDVKQGASGAVGFTNEGYWGVPVDGSEFLNTFWIKGNFSGDITVRLVGNNTGTEYGS TKISQSSNSSNFTKVLAKIPTKKAPDGAVLYELTVDGASVGGSSLNFGLFELFPQTYK SRSNGLKPQVAQPLADMKGSFLRFPGGNNLEGASEARRWKWNETIGPVENRPGRQGDW SYYNTDGLGLDEYFYWCEDMGLTPVLGVWAGFALESGGNTPITGDALKPYIDDVLNEL EYVLGDASTKYGSLRASYGRKEPWKLTMVEIGNEDMLGGGCESYVERFTAFSDAIHAA YPDLTIIASTDQSSCLPSKLPEGAWVDYHNYNTADNLVKQFSQFDNKDRSVPYFIGEY SCQQDNAWPFMQGSVAEAVYMIGIERNSDVVKMAAYAPLLQLVNSTQWTPNLIAFTQN PSTVIETTSYYVQQMFSVNRGDTIHNVTSDSAFGPVYWVASSADDKYYVKLANYGADT QEITVTISGKTGGKLTVLADSDPKAFNSDTQTLVTPSESDMKATNGKFTFTLPAWSVG VLAAH AOR_1_178104 MDTHPSSGTSAEAASYYELSWSILSSIGLFTTLLSIWAMLITRP SPLSFIPIIVSAAGAVANGLCYFSFYTSYPTGDRAAASAIADILWLVQEAGLSFYSYQ ILLHTLRDSTRIIFLSLFWFFMVAIGAIRMTILASRVLEITQEGVSSHSTGPLQHRID YLHVGYFASIALVETCSSFFLIRLLHKAYRASPKLSCTRLVFRHMLRTTEMRVASLCV IGITRAVTYSLQVTSQTATTVAGQFDRFAYTMECLFPLVMVTDILASKKFHIGDRNTM TTMEASPIAPYRDLGSPVNNNSPRDLERPRSPL AOR_1_50104 MDSEAQARFNLISENLAEILNPEIIENILIEKRNPRIYWGTATT GRPHIGYFLAALKIAQLLRAQCDVVVLLADVHAFLDNLKAPLELVENRAQYYRKIITA ILESVGVPTDKLEFVLGSSYQKSPEYVMDVYRLSSLISEGDAKKAGAEVVKQTENAPL SGLLYPVLQVLDEEHLKVDVQLGGMDQRKLFTAATEWLPKIGYRKRAHLINPMISGLK GAKMSSSVEDSKIDLLDPAESISKKIRKAEAAPKVVEDNGVIALVEYVLLPAADLKGK KEFRVERRDEELLIYTDIKQLEEDYKNDILTPQLLKPAVAQGLIDLMAPIQAAYQASP EWQEITLKAYPPPVVEKKQKKVKSKGTRYPGAKAQEAQTNGADSTEK AOR_1_52104 MPQTLVLITGANQGLGYSTAEQLAKTGKYHILLGARTKSKAESA IQRLASDPTIDSSTLTPLVIDANDDTSITAAAKSVSDQFGSLDILINNAAISKPQDPN LSLRDNFRAVFETNVFGVAVIVDTFLPLLRASKYHDRRIVNVTTGLAQMSVAYSPTSE YGARRTPLPVYRSSKAALNMLTAVEGVTLEKENILVVSTSPGYCRTNFTGGHGVKDAS QGAMNIVRAATEGDPKTLLGTIVAEEYRVEDIGW AOR_1_54104 MSSSSTIAKRLEGKTIVITGASSGIGKSIAKEFARTSPGNLKLI LTARRINNLREVAAEIHQEAGDGVKVLPVQLDVSKPEEIEQFVPSLPDEFKDVDILVN NAGLVKGMAQAPDIKPGDMAVMFDTNVTGLINMTQTILPIFKTRPDGGRGDIINIGSI AGRDPYQGGSIYCATKAAVRSFTDALRKELIATRIRVIEIDPGQVETEFSLVRFDGDK AKADAVYKGVEPLTGDDIAEIVVFAAGRRENVVIADTLVFPNHQAAAGIMHRKV AOR_1_56104 MPSASETSTDLTSRNPYGHGNGVSDFLSNVSRFKIIESTLREGE QFANAFFDTQKKIEIARALDEFGVDYIELTNPCASEQSRLDCEAICKLGLKAKILTHI RCHMDDARIAVATGVDGVDVMIGTSSLMRQHSHGKDIEYIKKTAIEVINFVKSQGIEV RFSTEDSFRSDLVDLLSIYSAVDQVGVNRVGVADTIGCASPRQVYELIRVLRGVVSCD IETHFHDDAGCAIANAYCALEAGATHIDTSVLGIGERNGITPLGGLMARMVATHPEYV KSKYRLDKIKDIEDLVAEAVEINIPFNNYITGFCAFTHKAGIHAKAILNDPSTYEIIN PADFGMTRYVHFASRLTGWNAIRSRAQQLNISMTDKQYKECTAKIKALADIRPIAIDD ADSIIRAYYRNIQSGEDNPLMELTADEAAQLAAKEKELGEVVTA AOR_1_58104 MRVSQRLDQSTLEYTLFSNGMFMDYVTSPRVPTPLTISVPVWID LENNFAAIPGDGEGVVAMIHTSDIGRFVAAVLDLSQWEKRYHLMGDSLSINDMLNSSD RELISDAREELQRLLNKDDFRDATLLVMSNKNDISGAMNTAEITSHLSLSGLTHRNWY IQNTCATTGDGLEEGLEWLNANIHRKH AOR_1_60104 MHNANHIFNAIHASMRLWGSSLHHNGMSFFLAAVPEGIQLYHGN ARSDPIEKIGWMAFEPDHAMVFARPSRRPSPSMPSDQHLQHTMAAEDSPADDSGFLHT FLTAKELRLVYIDGTSAGKSRIGTLDSQDRILFNDTLHGGVGMEDQRAKAVCRIAQTE WEGRVDGVIRMAAGFEIILCDPEVNLVPVQVTQVRHPGKPKGKPGELLRAVTSRFHGI GGERVRVHYDHFVTAYSYDLDLFTGDSKLPRLAHLSSEELQPIRDNLTQLVLTHDAND RSVNWQAVADLIVEKYGRFLHGLLSRKHHHGDSDLRESIVAQIDRLMAPFIDVRSGDE EQAIKLCSTQFVPLPTGNSPLAQRALYAVNHRICSALIAVRNETEPRTMVSTIRELMD YLDWTVWKECRGYRDDEFCAIPIWPQGSQRDYHHPRPQKYDEAYQGENDYWGPVWD AOR_1_62104 MLSPLFFTAALAAPAVNNSSSSVCGAGSSIGTYTISANDTIYSI ATTLNRGVCPLARYNHLSDPELLYPGEVLYIPPEACNTNAADTSCLLSLQNSTTNDCI FGGPHTYRTFEGDTLRKIALGKFNITLEALNSSVGRMAGVSSPDETIEPNTFIKLPQC NPSSCGIQPLEYVWGTYQDLAEEYGTTPGQIFALNPTFNHSSTGPGVGGWITLPVNCG LDGETYTVVS AOR_1_64104 MVFPGRRSTGCYVCRKRKVKCDGARPACARCTKFGRKCIGYPDS FKFRFYDSTSFQNESSTPLRPEHVRVNPATVQEGKRRDPSNGTAQTTGHDRPKVPSKL QSISVPQCLSITSWESWPLSYFFHQHVLIVHRSPCGGHLAFLPELYREKGSEPCLKHA VLSVAYLALFNSNRSRFLWTQARNNYSTALVALAASLNTPESAARDEVFAASLFLSMF IDLSNERKNSLNAHIPGIHALMQLRGVASLRGKYGRVLLAWAFMRSQIQAIASNDFGY GCLPAPLSTMENPDSVCRAGIVISMISKFCESVKYLKECMQFQALNGYASTTEPSYEL LEQAGSIMNHIDSWHARLPRHWKAKLKDATPGGLPGERVSASKDSWTTCFVAIISATH LFFYLQFLEYCECVTPNLEALKALPDENSVYYPLYGIGSRIQDSVNIICLSVSYALGS MNVHGDFEPFHDTKHGIGYNLLWPMSLVANCQFSMTEQARLCRRALEYTWSTMGRVDN SS AOR_1_66104 MHLRAAFLALCWAGVPALAGQGESHNAADSFDEYCFYSIYTALS DYAFAGSTILASSQDSQGGTSHGGSDTSGDGQSSSSMGQTSSSNQSASSTQSSAASEP SHGKRSLRRRGHKGSSGTSTGPCNSTIEVTSMYASAKAWCTKAELKATIPYWESLCEQ NSLTLMDLSEVEANVTDTYLASLPTIDPEMNSTSTTATIESPVLLSHSYYKRAHKSYV THDFALDKDKRFGWGLMGYWGGILVLGMMAKLMGSVSSQRRAPSCRDAEPNIALQSMH RKQTKPKAMTSVLHYLRTYFVVPASFAPILPHHQQLYYWHTVPRRLDLLIVLGFWALC IILACVDYQSFSGNIEMSSVFQQNWQYSSDRTGILSYACLPFLWLFAGRNNIFLWATN FSVQSFNIFHRHVAWACTILAIVHSINYSVVFAYYDGRFQSVWLQEYWYMGVVATILM SFMLVQSLTMLRRIGYETFLIIHIVFAIVVVYALFRHTSFDGTKWNGYLWPMVAIWGF DRTVRLVRIAYCNFNVRFGKQFVSTTQSTVHYCEDSDLIKVELWPASTTLTPQPGQHY YLYQPVSLKGWENHPFTLGAYVPTQDKNPEQGNKLIFYIRPYDGWTRRLRDLCRKSQM NIHLPLLLEGPYGSRAALHTCESILMIVGGTGIAAAVPYIIEHVSRAKEGKTRTVRVQ LVWSARSTEMYSQVFSDELSTLLHHQDITTTYFCTNKSSLDPEMKTGSGSDVPGESPV SSTLGDKEGNVTSSVRNGTVQFLSGRPDVGGIIKAEAQEAKVSSGRLAVLTCGPAQMA DDCRQTVYEVMKDGFQDIEYHEEAFGW AOR_1_68104 MNLLSLLSLSAISAIAWAETHVFDWNITWVTANPDGMQPRPVIG INNEWPLPLLNFTKGDRIIANVRNQLGNESTSVHFHGFFQNGTNEMDGPPGVTQCNIP PNETMVYNFTLDQSGTYWYHSHTKGQYPDGWRQALVIHDEEDPYIGKYYEERVITLSD WYHDEMPGLLKEFINVANPTGAEPVPKSALMNDTQNLTVPVEPGKTYLFRVVNIGAFA SQYFWIEDHDMQIVEVDGVWTEPATASMIYIASAQRYSVLVTMKNETNANYAMVGSMD TDLFDTLPSDLNYNSTGWLVYDSSVEKPAAKSVSEFDFYDDFELVPYDGLERYGDADI TVTLDLTMDNLGDGANYAFFNGISYVAPKVPILYSTLTTGSAATDAAIYGTDTNAFVL NKGDIVDIVLNNDDTGKHPFHLHGHNFQVIWRSGDYEGHFNPDNVTFSSVPVRRDTLI AKPMGNFVVRFKADNPGIWLFHCHIEWHMDAGLAAVMVEAPLYLQENLTIPQNHYDVC SASGTPTEGNAAGNTEDFYDLTGENKAVAPLPAGFTARGIVALVFSCVAAFVGLASIV WYGVAPIKNSRMLV AOR_1_70104 MANQVFAVTVFFICFRECLESSIIVSVLLAFLTQTLGAEGDKAA LKRLRIQVWCGVGLGLFLCLCIGAGMIGAFYGLEKDTFTNTEDIWEGIFGFIASIIIS IMGAGLLRVNKMREKWRVKLSRALEKKEKSTTIMGRLKDWSEKYVMFILPFVTVLREG LEAIVYVGGVGLGLSASSFPLAVFCGLLAGVAVGYVMYRGGSSTSLQYFLIISTCFLY LVAAGLFSRAVWYLENNTWNHVIGGDASETGSGPGSYDIRQSVWHVNCCNPELGGGGG WGIFNALFGWTNSATYGSVLSYNLYWVVIITSYVCMRYNEKHGYIPVLTPIARKLKLG RFKKGSEEEHVPEVVEERKEVNHLARQIVTRTMSEA AOR_1_72104 MMSFTKFILFILSLLSVVSSESVEEHGSAAGLGNVAIVNRMGTT LYLWSVDQNEGPMHAVVPGASYQETYRLRPDGGGISIKVSTSQDVNGDIIQFEYTQAG EKVFWDVSCVNTKAGSPFYDKGLMLIPSSSKDCPHAFVCLPGDSNCKYVYHKSSDDDA SHGCPVSTSFHLKLGF AOR_1_74104 MGTAVKRVSQVRVEDVQPPTSKEKLSMANTAQDSLDMQRLGKTQ QLKRNFRFVSILGFNCTLMATWESILLTSDYGLINGGRAGMVYVYIGTFIGFFASVAS LAEIASRAPTAGGQYHWVSEYAPPSCQRFLSYITGWLAVLGWQAAFASVCFLAGTLIQ GLLVTVDPVPTIHHIYTFERWHGTLLAIAIAVIATVLNGWGTHWLASLERFLLFIHIF GFFVVLIPLWVKADRASSAEVFQTFSNTGGWPNIGLACLVGQLTPIFSFTGPDAATYM AEEVQDASRTVPWCMISTALVNGTLGFVMLITFLFTMGDIESVLQAPSGFPFITAFQN ATGSSNMAMGLACIILVMEVCSALGVLATTSRQIFAFARDKGLPFSSTLAYVHPKSKT PIWSILSSTVATVLLSLINIGSTAAFNAIASLAIASMLTTYIIAIGCFFIARWQSRTL PSPRFSLGPYGPAINLISLFYLSFQIIFTFFPTTKDVVPRTMNWAVLMVGSVTLFAVF QYVFYARKVYQAPVDQTLRNQ AOR_1_76104 MAPLIHGTSPPEIRNHSDDSLSKRAVSVVGTGAHYPPHELRSDE LEKLISAFHDPNDPAVRKTLYVNEKSRIQTRRAAVPFDDPFWSDPKLPDIAECDVLFR KYGVPVAEEAARKALADWNGSFNDLTHVVVVTCLRKNVQRTLLHGVGCAGGAAALRTA NELLLGAAFQGKPGRALVVACEICMIFFRSMLEDIVKAQEANVAMTLFGDGAGAMVLS NGICPKTSERAPLWNILNCRTTLLEDSASSIQFNIRPHGYDPVITKEVPGQTSAALPS GFQDLISSTPSLYSDKSNFDPSSYDWALHPGGYSIAVLAQNALGITEHHLRKTYEVYR SRGNTSSSTVISVINELAREQGTSESGRDKVIVAAFGPGITMELAVMARPA AOR_1_180104 MLFAHAELDVDTDMIFAHVELDSVTTPSDIVSIPEGKLKIKRVT SCAISFCARNYNISVSHGTLTEQDWGRIFFDDGGVVCWIPDRALRKASTQEAPLPKPT HGSEYSICYVVPEPRLIYDNVVSGFSGQTFWEWSVGHLGGDWIFKDAGEKSVHNDDSI PMTSRIMELRFEEVTKNVAASLTKDILDNSTDTVNGRVFATEEHICFNSLWTIFPALV LTSGVAFYITTVWAGQLEGVGVWKATILPVLYHGIEPSISNSHRDLETVSKMGSVSQM TEVQLQSDDSRGGMVLR AOR_1_182104 MNGRRLPGAFLRICLIVLLYGAEIAIAQGDGASNNRFINPPAAN SAENPVWVLGEQQVISWMTTWTTFNISIWHQSLGEETAYSLGDIYTQIQDIGVTNFTW KVQTYGAKLEDSPIFFFWINANPTSSGFRLTSNYFNITDKPRATSTSTSFTATSTSES KSTSSSTSTTTSAPSETSATETSQASSSNSGLDPSAKVGLGIGVGIGVPAIALLAVLA YLKYRQSQSRNRSIPAIEPAFAQVPPPWSQPPPRPPPAPKELPGSEVTEWRSELPDRA Y AOR_1_82104 MVFKALLYITRKPGTTPTEFKTHYETVHLPLIQKLAGADFPLSH RRLYLARPAPGEDNSFPAAVLIGNQDDFAFDAIVELTFTDEAAFKVFFTRRQEAGTKE LVDADEEKFLDQTKFKAVVLGEVHETTS AOR_1_84104 MLRGKAIKGVAAGIGLASESISAYNANRREKKAQSSDGPETNNA NTTTTDDDLARHERVVEEQHEEEWELDEAQDELNSTLETDKAATNQTPEQLAESFLRN YPQPPPYTPTSNPRLPYPVVLPQRRPKSRKRGFIRAYAPALEEFGIDQAMFLDFLETS NRACQATPWLHAINLAGIGTMFLPSAIGIAVSIAIQLTTDVAIAMDARRKTNSYFDKI NEEVFRPRGLYCLLMTWKPESSSTVTSFDLNSTVATSLDHGGSGAYNKMKHMFKSSHG NTYGDMPFPETAPLIFPDLDELAAQGVDGEARIKSAKSSRREFVADYLDRRSQAQFEM EHPDNALNKAPKPQFTSRYADPSHPASSGSALGLITGGYITGDQLRDLRGDRRRDRFG RGLEYEPRGMRGSPIGPVGALAATVRFIKNGRSPDEPHQNPGEGPHDEEYRRRSGGRV GSRNPRERLQNRGGPIGGIQKFLKSNVLYMMIVNLPSEEEMAQARAALRS AOR_1_86104 MANPTRKIVCFSDFDGTIFMQDTGHVLFDNLGCGEERRQMLDEQ IKSGERSFREVSEEMWGSLRVPFEDGFEVMKKELEIDQGFKEFHQFCIDNGIIFNVIS AGLKPILRKVLDTFLGEDESSQIGIVANDAQIKSDGSEWKPIWRHETELGHDKALSVK EGRAQAEELSDENEVPLIIFIGDGVSDLAAAREADVLFARKGLRLEEYCIEHQIPYIG FDSFTDVKREVENIMKEDQEKTGGVGKPVRFNPRANMWRRVSSKQAVPKFVAATPSKE EKMFLWPENFSQYQPKPVPENMAS AOR_1_88104 MTQTSTSKGTIKVADYLFARLCQLGIQSIFGVPGDYNLRLLDFV EPSGLHWVGTCNELNGAYAADGYARINGLGALITTFGVGELSAINGIAGAYAEKAPVI HIVGTPSRALQDARTLVHHTFADGEYNRFAAMHAQVTVAQANLIDPRTAAEQIDWVLQ QCLVHSRPVYIQVPDDMVDVMIPASNLETRKIELPATPSTKNETSVLTTVLERIYSAK RPLIFVDGESRALNILPQVDELIQTTHWPTWTSAYGKGLVNEQYNNVYGFYGASYGTE QEKAYFDSADLVLVLGPHYSNTNTLGFATIPKQEVSISFSGTSIQIGKDLHRDYSIKQ FLIQVLESLDRSRIPKIDGAPPKPKGDLSHINKSDPITQDDFYRFVDRLFREGDIVAT ETGTASYGGRTFTLPPNTRMFCAVTWLSIGYMLPACFGAALAQQETNSFKPSNSTGTG KGRLFLFIGDGSLQMTVQEISSIIREKLDVTIFVINNAGYTIERAIHGRNQAYNDIAP WRHLQALSFFGGSEEDAAKNNFSARTFGELEEVLQSDRIQKGNGLRIVEVHMGREDCQ GLLRTLMNNQIAQDAKQ AOR_1_90104 MAYRTAIDSVLSATSSIHHHTNAATAVVYNKLARTAMHFNSVFS VLLSCGLAAAHMQMSWPYPIRSPLDPQNRGSDKDYDMANPLSPSGSDFPCKGYHKNTP WRATAEYNAGESYNMTVAGAATHSGGSCQLSLSYDDGKTFKVIKSKVGGCPLDFKYDF TMPSDVVNGHALFAWSWFNLVGNREMYMNCANVEVSGGSGSKQSFENNYPDMFVANVG NGCSTVEGKHTVFAHPGKQVTYAGGLDASSPPFPNCS AOR_1_92104 MSTDIFKVTEHTAPASHIREYPGSTARSQEEVLSLHVKQYTPLD QDHITSSDAITVIAAHACGYPKELYEPLWDEVYRRLKHNGVHIRSIWIADAPNMGMSG ILNEDKISLDYSWIDSARDLLLMINHFRDQMPRPLVGIGHSFGGNILANLALMHPRLF TTLVFLDPTIFPKPVSRGVGIDPPGAVNYTLWRPDIWPNRKAAASAHAKAWRHWDRRC FDLMVKFGFRDLPTALYPTIPAGANRSEPPVTLTTTKYQEIAGLYRSNFSARASGGID RSVHPDMDPIHANRIVYRPEPRNTFSKIPHLRPPVLWILGAKTFLGIDNLREAIKVCG VGVGGSGGISHGQVKEVTLPERGHLFPFEDVNQTAGYCAAWLVQEMGKYRESELVWNK KRSSMTQRDHLVYGDDWFEAIPMPKRHQRKAKL AOR_1_94104 MITVHSLLLFLPLGVIAALLNWNSVLVSVFNFLAILPLSAIVSD ASDTLAEYFGDLVGGLINATFGNAVELSTGILAVTSGDTYFAQSVMIGSILSDILLIM GGCLISASYSTHILYFNMAQTGSLSSLMVVTAVGLILPSVLYATFTSVDLEDQVLSFS RGTSAVLLVLYVGYLYFQLGTHKHLFQQEPNSPQEGESDQDENEQKPDLSKTVLTLIA AGISIILCSHFFLASVPATSATTGISKTFIATILIPITSNCPEGVAVIAASFGGGDVN FAISVIVSSILQIGLFAIPFLVMLGWLIGVPMTLFFETFHTLALFFAILVVNQVLKDA KYTYLHGSMLVGLYVILALSFWVR AOR_1_96104 MSAASPNNSLLSPIPSTPMRVSRQQWRPFHRAIVSIGSRQYVKS MLATVPIGIITAILGGPPQMVFFLNLTALIPLITLLTISIADLSITTGRVVDELLKAT VGNAIELILGIVAMNRGYMHMIHSTLIGSMLCYMLLVPGSCFCFTGYDKEHLYFDRTL ISIMSSLMVVACMSLLIPTIMVTFPSLDITSPQASVTRLEIVFVSRGAALVLFILLGV FLLFQLKSHASIFHLAEASSEGSPDRHSLNDRGIAQDRPARIFTPRSAMIALAAGIAC LTMCIICIVESANRVAQELGLSAAFPTLVLVPLIGNSARYASIVMVSRQGHVESAVRA IINSILRITLLVTPFLIILGWVLNLPITLQMDTFDATMLFLATMVLIHVIQDGRSNYF EGLMLVGMYIISAAAFYMRPGITGATKPMP AOR_1_98104 MAGAVQKSAWHAIQVTLFSSYANVLLVFVFLGIWSGARGWDPSA VFMLNFLAIFPLASLLSFATEELSKSVGQTVGGLINATFGNAVEMIVGITAVTQGEIN IVQSSMVGSILSGTLLVLGCCFLGGGYGKETLSFNVDVTQIMSSLMIVASTSLIIPSA LYSTTLCELPDGDDYILTLSHITSIFLLVFYLVYLYFQLKSHAHLFASTEEESDEKRE LEPLPASIILIFATLGVTVCSDYLVEGVDGFVEVYGVSRAFLGMIVVPIVGNAGEFAI TVNAAMGGKLDLAIGVIVGSTLQIALFVTPFLVLCGWALGQPMSLRFNTFQTACFSLA VVVMNSLTREGKSNYFEGLLLIGTYLIIAIAFYVHPDVTDHLAIA AOR_1_100104 MVRAMFFPPNANTGDTPIFDRPRNTFDITAETLSELIESRSLET FHALGGLAGLEKGLRTDRNSGLSIDESTIADSAETTEIATGQQNDRYTDRTKAFGNNH LPVKKQPSIFQLMWMAYNDHVLFFLTGAAIVSLALGLYQALATEHSARNPPVEWVEGV SILVAIIVIVLVGAANDFQKQIKFQKLNKKKIDRNVTVVRSGHAREIPISDLVVGDIV HVEPGDVIPADGVLIQGYHIRCDEASTTGESDLLRKHSGDEVIDAIRRNSDTQSLDPF MISGSSVAEGVGSYLVIATGTNSSYGKILLTLNDDPGFTPLQTRLNVLAKYIANFGGL AALVLFIILFIKFLTSLPHSSLTPTEKGQQFLDLFIISLTVVVIAVPEGLPLTVTLAL AFATTRMLKDHNLVRMLSACETMGNATDICSDKTGTLTQNKMTVVAGMIGTTGKFIDP QQADNDATDPCASPTAVDYTRCLAPDTRSLLRQSISLNSTAFESIEAGIKSYVGSKTE AALLAFARDHLGMSQLDVERSNAKVVEVFPFENARQCMVTVAQLENGRYRAYVKGAPE VLLDKCTEAVEDPSKGLSARPINADMAQGLRQIIADYAGRSLRTIIVLFRDFDVWPPF GQLDDQVEEIRIENILQNLTFLSIMGIRDPLRNGARDAVQSCHKAGVTVRIVTGDNLL TAKAIAEECGIITNPNDLAMEGREFRQLGDSQQLEVIPRLRVLARSSPEDKRTLVRRL KEMGSTVAVTGDGTNDAPALTAADVGFSMGISGTEVAREASSIVLMDDNFSSIVRAIM WGRAVSDAVKKFLQFQITITLTSVGLAFVSSVASSNEQSVLTAVQLMWVNLFQDTLAA LALATDPPSRKVLDRKPEPRSSPLITIPMWKMIIGQSIYQLAVTLVLHFAGSSIFSYT PDDKDGLQTAVFNTYVWMQIFNMYNNRQLENSINLLEGLSRNWLFICVTLLMMGCQIL IIFVGGRVFSVVRLTGTQWAYSLVLGALSILVGFVIRLVPDEPVEWVFDGLGVVWSFI LLKLKTFRRRRDDDVGV AOR_1_102104 MVEAKAESPADVQLPEYTAAEVASHNRKDDIWIIVHNKVFDITN YLQDHPGGAEILIETAGTDATEAFEDVGHSEDSVEIMEEFLIGTLKGAREYVPPKKVQ LVAQKPESLPQSSGSRPVGTIAGVLGALASVLYVYQRGTFGSLVPRNQFSQLIPQYFN GLRMPRGGFTNGFLTAAAISTIVGTAVARQAAKFTKIDSGFLRYPPHIKARTVMKIDP HLAKGFLDAKEFKSLPLVEKDQLSPNVYRFVFALPNSKGVIGLPIGQHVAIRAVVDGA TVSRSYTPVSNNLDLGRLELVIKCYPEGLLTGRYLANLNVGDEVEFRGPKGAMRYSKG LCTKLGMVAGGTGITPMYQLIRAICEDERDTTEISLIYANRTEADILLRDELETFARK YPKNFKLWYMLDSAPDGWAYGTGFVNQEVLSQQLPGPSEDTKVLLCGPPGMVNATKKT LAAMGFQKAGAVSKMSDQVFCF AOR_1_104104 MPIRLFYLSGEPSSTAREIELESTLDYDGLRHLIAAHFAIVEPN GIGFQSQDAILSDVAEVLANEDSISITIDGNHQRLFEQYGPIIKTTNMGRTVYQTNDP QLSSIIFSETDFFTKKINEAHPLHAIKNQQAGVFLGDTDTPEWRAAHKFLPPALGPKA VRHYAPTMQQTVEDAFKVFDHFDENGEAWNVYQYMLKLGSQAVGKLVLGIDFNHFSSP DAPPHELIQIIAESLSLNKKVTARGDWYAKLPFGDPQRLRNMRYRIFDIVDQSIQNAS RNGVEDLPLQDAALKASNMIDYAVRATDNKGEKLPKTSLIQSLVVATGAGFTTTSSLL SWLIYSLVTYPGVQDRLLQELIDNDITEDTQITADLTDRLTFMDKLIKETQRRHNPSY QPARTAKVDMILPGGYKLPEESVVVGALHHLHNNPEVWSNPTRFDPDRWDTEEVKNRH KAAYIPFATGPRMCIGFNFALQEVKVFLPKLVYRYKFTKENDGPIEYDPMFQLIRPNN LYVRAERRVKWPPKSESATTRASL AOR_1_106104 MQSSLCPPCLAALVGSLHISFGLLAVASILFFLTVLTSFTMLAF LAMFVSVTVLASLTLLTFLTVLTSLTMLASLTVPAFLTVLVSMTVLAAVWTLMALFTF SIITFSTITTLTLLVIALLIITFFIFFTLSAFFTFFILSASVASSALLATLTTTAMSS TVCGRFTAKNQIDFLAPDTRDSGTSGDIAVLILTRQRGFCINDSLTLIGT AOR_1_108104 MSLIIVAMLLCAGLSSPLVIRQSCHVQDAAHTFYGFPDNDPPGC VIAYDCGRGLTAGGAGTFNDPLTFASAPGEFQICEIIYDPYLRKYLRMEDSCDSCNRD WANKVWHIDIWTGSSTVNGGNDQVNCENRLTPAPQHKPIIRGPGPNLPVDGYESAGDM DFPNAPKNEPTQEPDRLFNGIYIPHTIEWKIPPHRRSEAETDLSSGGAKETEDDIED AOR_1_110104 MTTTTEYAPPTRKPGNYISATASQNIDMEDNYKNGEEMGTRYDQ SDMTRMGKIQELKRNLRPLAALSFASVLQATWEFLLIGNTEALVNGGRAGLFWTYMWT FAGFGLIILTMSEMASMSPTSGGQYHWVSELASPNYQRVLSYITGWMSVLAWQAGAAS GSFLTGTIIQGLISVKDPSYEPENWQGTLFVFAMILVIYFFNVYAASWMPRIQNLLLA LHILCFVVVITVLWAMAPRQPASAVLLEFSNTGGWSSIGLALMVGQISAIYAGLSSDA TAHMSEEVRDAGRYVPIAIVWGFFTNGAMAIVLVITYLFAIPSLEDALDDPTGFPFIY VFKNAVGTAGVNGLTSIILIPVIFSNILFNASTARQTYAFARDKGLPFAKWICKVNPK RKLPVNAIGLSCVISGLLALINIGSDTAFHAIISLNVAALMWTYVVSIGCLLYRRLSC PETLPPQRWSMGKYGIWVNAAALVYVAFAFFFSFWPTSTPVTLTTFNWSVVIFSAVFI ISVAMYIFKGQKEYAGPVISVRRDAMPQARVHRHSIRRET AOR_1_112104 MPARSSPLKSEIAPPLAADNDYDHSGLLVVITSLVLFLTLASLS IRAFAASKRSSMLNDDYVLLTVVICACVQVSVTLASVHYGWGKAQEMVSDEDFIPLLK TVYVADLFYVLVIGLSKICSMVFYRNLSIRRFMRTNNVILAACSVWTVLAIAILGARC SKSPWKDIDNHCVGLLLRWKVICALDVVLEAFILAYPVGIIYKVQISPLKKFVVLTIL SCRIILIPLSAVHVHFIQKQIQSPNPTLTGTYATIVAQIHLGVGVLVLTVSSLKMFVA VYEDEQGLAYTEDVSKSLGIGDNDNSRQSKMRSWILSRQTKEPSTSSTGCDDGPGIPL ASGARGSGNTIIKSVHISVTHEAREDVALGERGPHGHSGSIM AOR_1_184104 MPRLFECESAMYSNRGVNELSPRSPPNPGVSLGGHDTSRGPSSS TLEGARQVRHKTTLPIEAIRSPAYVYQANEVNIIRRELDSNSSLSTSRRHVLESALSL IGDFSNPSHPAESSSYDQELDNGAPDNVVLPELFFMMMNPCPDYSTPWKLHWPDHISS ERFETMCLAVVNGQATGQIASQYKVCIFARAVTYINRWLRICTSRELAQALEASKKKY ITAALRSIQELDILQKPSLLMLQSLLLAVNLMQTLGDTTQAWTLTAFASRLVVALGYH SVDARMLEECDRNHEIRRCIRWCYYYDKVLSMLLVRPPSLPALSVEPASLLLPRQADP LDMKGNILIKLAHVLDGALSVLTPGDGIPDNQALGAITRLEVELQDIWEELCEAKAKS SDTIELRLEWDAVDFTYHSIITTVLRLNSVSLHDHRVRERCLSHARRALRSMNVLQYD ILRGEQIYHDFVFWTVLLYPLTPFFIIFCNVIATSNREDYNLITQITAALSRIKECNP SIFRLHGLFSQFTALCDQLYETIAQDASQQYQQTTGTIGSQPTAVTDSNAVNVAVYPQ PHESLTARAHSSDSTQLGTSEKPVLYSHALDPGSSAEGLSQ AOR_1_116104 MESSTATSSTTNWPSEEHKNSMAEAETSPLASKFEDILHRSLKS GYKPRRLGVTWTDLTVKAKSAEATINENVFSQLNILRRLQQHRQSMPLKTILHQSHGC VKPGEMLLVLGRPGSGCTTLLKMLANRRTGYEEIEGDVWYGSMHHEEAAENYAGQIIM NTEEEIFFPTLTVGQTLDFATRLKVPAHLPSNVVNAEAYRAEMKEFLLESLRIPHTAE TKVGNEYVRGVSGGERKRVSILECLASGASVYCWDNSTRGLDAASALDWAKMMRTMAD VHGSSIIATLYQAGNDIFRLFDKVLVLDEGKQIYYGPANEAEQFMESLGFECSEGANI GDYLTSVTVPLERRIRSGYESTYPRNAEAIATSYCKSSAKAQMTSEYDYPTSELSQQR TKDFKESVTLEKCRPRSANTVNFATQVRACIIRQYQVLLGDKKTFAMKQGSTLIQALV AGSMYYQVKPDTSGLFLKAGALFWSILYNSMSAMSEVVDSFSGRPIVVKHDAFAYCKP AAFCIGQIAADIPITIFQITLWSVILYFMVGLKMSASAFFTYFVVLFACAMCSTALFR AVGAVFRTFDGASKVSGYVVTIMAMYAGFQIQYTQMRPWFGWLYWLNPVAYAFDGLMS NEFRDREIDCTGGNLIPHGESYASVSMSYRSCAGVRGATPGFASLTEEQYLGALSYSY THLWRNFGILWAWWVFYVVVTIGATMMWKSPSESGAQLLIPRERLAHHLQLGLDDEES QTPEKYCHGHHSQEKMDGSTPLPTPGAEAHLAKNTSIFTWKNLTYTVKTPSGPRVLLD NVHGWVKPGMLGALMGASGAGKTTLLDVLAQRKTDGKIEGSIMVDGRPLSVSFQRSAG YCEQLDVHEPYATVREALEFSALLRQPHNTSEKEKLGYVDVIIDLLELGDIADTLIGK PNAGGLNIEQRKRVTIGVELVAKPSILIFLDEPTSGLDGQSAFNTMRFLRKLANQGQA ILVTIHQPSAQLFYQFDTLLLLAPGGKTVYFGEIGENASTLKEYFERYGSPCPNHMNP ADHMIDVVSGRASTIDWRRVWLESPEYQQSLVELDRLIRDTASRESVDNPSSDDNEYA TPLWYQTKIVLRRMNIALFRNTNYVNNKIYLHIGLALFNGFSYWMIGNTVNDMQLRMF TIFVFMFVAPGVVNQLQPLFIERRDIYDAREKKSRMYSWKAFVTALIVSEFPYLCVCG VLYFLCWYYTVGFPAASEKAGAALFVVVLYEFSYTGIGQFVAAYSPNAVFAALVNPLL VGIMVSFCGILVPYDQIIPFWRYWMYYMNPLTYLVGSLLVFNIFDVDVKCADSEFAVF NPPSNMTCLDYLSSYLEESDANLVNPEARSECRVCPYTSGGGYLKAINLNDYYFGWRD VGIFVIFIFSSYGLVYLFMKLRTKASKKAES AOR_1_118104 MAWTVALEGWTRLQARDSLSHEAYESINQALVIFGLVTGTLSLV VHTYTSVFITRQFGIHNVFTILAWIILAIVVTFIPLVCVAKLSLIMLYYRLSPHVKLW RFCIYGIATLITLPSLILVFLYLFGCQPVAKAWDSTITEGHCVDRLSIMLASSVLNVI TDFLMIIAPIPLIWKLNMRVWQKLGVTLMFFLGGITIITSIFRAITVDNLLYEGDHPY RMAVPILWANAETVLVIICDCLPSLRPFLHRHCPGGCFTGSEPSGVPTSEAHNTRQHG RSRYFDDDIELIQNGSNPPRSMVITRTVEMELQYHKAEVDAKLTKPSLP AOR_1_120104 MKNLTFGVYLTALAGVGSAAPHFSSSSSGPASAPTGATYASGFD MTKSWANLSPYKNADTFGVPKGMPQGCELSQVHVLHRHAERFPTSNLLDGRGMGDFAS KLVNYTKAKPGKMVAKGPLKFLNDWEYVIGENTLMENGAATEDASGADFWIKYGRLLY RPGRDNVAAWNESLNVYPNGTARPKPVFRTTSQGRILESARWWLSGFFGNSGANSSYD QYDLVVILETTGFFNNTLASYVSCLGDKTAGDESAQVFIPRYTKNALSRLASYLPEDF NLTAYDVLAMQNLCVYENTSLGGSFFCSLFTEQEWKDFAYNVDIQYYGNFAFGSPTGR AQGIGYVLELAARLEGKLIHSSDTSINSTYDDNTAQFPLGQPFYMDMSHDDIILSVIS ALGLEHFKYGPHGLPINIDHAPSNRTFALNDLTPFGARFMSEVWTCPSNVSFDSLDPI LYVNPRLESTRNTKRYIRFVLNNAPLPLDGLVGCEDSQNGFCAVDGFLSGVPTLKENA KYQEACFGEYPTGKQVEDGVPYS AOR_1_122104 MTAATASRGTKASSAVSKTRPRSLGGCATCRSRHVKCDESRPSC LVCRNLGLTCDKYEIRLVFDAIDTQGSRCRRPLFTEDMRQRMSKELVDSVNPDDAATL LLQIDDQCEEQEQHPDSYFDTYLGPFGAFRAPRPELEPTEGLTCPSIKPPSYQHVPIA DDFNTAFEEALINDPLMECLFGGIDVNATGDFQTESFFNITGTENHERSSQQSVTESS EMLMDLPSLSQHIQYTPTIPNLAPADAPFLLSVYKSQVVTSFSPVQSTKSPWHILHVP SAMGTFAQLTMGEQPGNAQLCIFYAILATGAYMQRAVSTSQGHREYWQRQSESYAVSA QNYLKVALQDMSATLKKSKYKDILISLMCMNTVFAYQGNEDRIRRCLLDCENWIRFRG IPKTRKSRKVRLLHHCYVYLRIFYESTSVSHVRGSSMQQEMNESPDTITSVASSPSFR LRQWEGRLDQRMNELKEQHLGENDIHLEIPGRWDSTMYPHVFGMPETLLFLLSQVTRL GNERDMSDLDGGSSILDFRQFSSRARSLERYICTWRPSSSPFHGEDNEDDDLNGNGAF KANTILSSHMVLALHKALLVFFYRRIYDVDPTILQDRVWQVQDSLSRCDRGDIPTAPL LWAAFVAACEALDPTLRDWFTNWFNRSFKASGLQNFKFALNIAKQVWEKQGRSLSSDN STSWPQVMRDSGMSMFYV AOR_1_186104 MASKSASSLMTLYLTLASFCLGKTADMSAFNNPSHGPPASDFAA ADSLPTSVLRAAAAAASVVPKDATYPFSLGNSETSTIHSDWVSFKEGAALSWVADMDV DCDGVNHKCEGNRDGQSQTNWGTLSAYAVPYIVIPDRFLAANKDILPGNNLAAVICNG KMYYGILGDSNGDDPQITGEASWLMARTCFPKEDLQGNNAHSSKDVTWKELLTNRKDI LFTGKDAVLPDNAMDKTYITDFDTLRSMGDKLLQAYGQAGEVQ AOR_1_126104 MSEESSQTRVRLPTKILSELGLLSLWKSSLDVKLLCAQRFVRLF AYGGSTLILASYLSSLGISDARIGLFMTLTLVGDVVISFFLTLFADTMGRKAVLLLGS VLMVGSGVIFALFDNFWILLAAAVLGVISPSGNEIGPFRAVEESTLAHLTPHELLSDI FAWYSLIGTAGTALGMMACGWAINLLQVNRGWQFIAACQMIFFAYAAIGALKFILAAI LSPHVEAEKQKPVERQGQQGGNGETQPLLGGGEQPPKKTGFFSFLGDRDLVALVVRLS ILFALDSFASGLASMSWMTYFFKRKFSLPEGELGSIFFTTSIIAAASMLVASSIAKRI GNVKTMVFTHLPSAICLALIPVPNILPLALTFLVLRACSQNMDVAPRSAFLAAALPSD KRTAIMGAINVVKTSSQSLGPLITGVLSNHGLFGLSFTIAGILKAIYDIGMLLSFAGT EPVRRQRSGQDDASA AOR_1_128104 MWFSAAAYFAILSGLYSFGLFLPTIIDESGFATDPNAVQLWTVV PYAVAAALTVFVAFISDRLKLRGPIMLFTLPIAIAGYGAIANIQSAKVKYGMTFLMAT GMYSSVPCILVWNTNNSAGHYKRATTSAMQLTIANCGGFVATFNYPDKDKPQYHRGHT IILALLVFAWFMVLLNILYCAKVNRDKKKGRYAAPSATTALRHDTRTSVWYAVGLLAR NQDDDVAQAMAIIQNVIEMQFKDPADQWYGDYPVYPEEPTVGTSAYQSSLYDTWDPNW RGFIGTAFIIALEEFPHLINPSVTQLMLESLYNSTIGDAYRVGGVDGDNLYPSYTNPA LMRAIVSGWTGEKYADANMTLAGENYANEVIGLFDRANTLSEFNSATYTGVSLIALTM WTKYAAESSVMKAKGKTILQATWSNIAQLYHAELKNLAGPWDRSYGFDMQKYFGIMSA HIWTLVGKETSPVIDKVYMMSHNADFAISPLVAILSSFHNSLVPATAVDALRTFPGEH MVSTSAQSIPYDYVPRNISAWLGEKISIGAESFNETVIGGPAMNPSTFNSAVVQWDTG AGVGWITLYATEQALDAVVGPGYLNLTYPQGTSDSQFQFLVSPFTQKKDVAGWEDLVG LNVRVSGTFDPKLRVSYSASDATINDFMYWNLTYSMPANSTVIPNILLEVNLV AOR_1_130104 MESIKQSTELVEDETPTLFTGAVSGDAAQEMLVRSILFKLDTRI LPILAMLFLCSFLDRTNVGNAKILGLEDDLNITGRQYDIGLAVFYLTYICSIVWGVIT MCLGFVRNFAGFVAVRAILGVAEGGLLPGMVLYLSFFYRRGDLALRIGLFYTAASLSG AFGGLLARGLAEIGPRGGLEGWRWILIIEGLLVGTVIHEKDGTGPF AOR_1_132104 MSKLPNSLKALINAPKARPNTVPAPPNIRSVYQKIQQTAQSNNV SQPSWLALSTAATMTMNSPESLAILFQLATASQSTDEGVSVAELMREVGLKCISFNGI PRTINCLNVFKASLPDSVAEKLSRSPARAPTPENIDEIAHRGRALWDSIYRPFESKLY SKLADSHPDLPVHILNSHYGALLSDPANRRTGATAGRISTSIVAVACLRAQSGVGPQV TSHVFGLRKALEDGTWVGDAESEEGAKWLASDEGNTWILKSVDDIVESISEGTGSNFA PSRGSKL AOR_1_134104 MSAGRKESKSTNLKRKGLEKALHQIEQAIKRPKPDASESDAAQN IISSLQDLLNRTQGNQLYSETEELSEDTDRLHNPHSPHGVDTGDSLSLDDAENPLQLL ARASDLQLPPAENNNAEDDSSTAKLFFVPVKANLDLGSDMDPIELGLVTPDEAESLFT FLAFIKTLLIPDGALTPSFILRLLSVEIVLAFMVNVPWMAHGDYLGDDDTCSYIAMAL AIALDLSLNKIVLPSTSFDNGVIRRLAKADCIDAKRAMHMDGFDNVDPNSEWGQRLLR RRERTWIALFVLERGSWEKLHSSPNFSHHARDGPMNSMAALRRNLDDLFKKVKSSCDN YQITDAGSEAAQSIKTMIESFYDRWYETWGPAIGEGHFTAKQPARMPTIDRAASKLFR NADEAVADLESGSTILSSGFGLCGVAETIISAIHRRGPEDLHSLTAVSNNAGAPGKGG LSTLTQAGQVNRLILSYLGNNKALEKKYLTGDIAIELCPQGTLAERLRAGGAGIPAFF TPTGAHTFLQDGQIPVRLDESGRVLEHGEPRETRIFNGKTYLMENALTGDVAILRAWK VDEAGNCVFRYTTKAFSPLVAKAATLTIVEAENIVPVGSIDPNDVDLPGIFVDRIVPA TAPKSIEIKKLRSADDASNLQPTKDAAMAQRNRIAKRAAKELRQGYYVNLGIGIPTLA PSFLPEGVKVWVQSENGLLGMGSYPTEDEVDPDIINAGKETVTLVPGAATFDSSESFG MIRGGHVDVSILGALQVSAKGDLANYMIPGKVFKGMGGAMDLISNPDQTKIVVATSHT SKDGSPKIVSECELPLTGANCVSTIITDLCVFQVDREKGELLLTELAPGVEVEEVQSK TGANFTVAKQLELME AOR_1_136104 MVRKDPIFEARTNVKLHSNRLKKEAARAESTFKSEKAKADKAMK SREFQIARIHAASAVREKRRQVTLKEEAARADVIINELKAAQSTRDTSRTLALASRGL DAASKSVNLEALVSHANNFLARSEDFKIASSAIEDVAQGVSMREYGAEGEADVDRLME QLADDAGVDLRMALDADAAPKEDVKNQKEAETDLEDGLGARLRALRAAS AOR_1_138104 MSCDMEKTMSSVDSLPATPASEVPVLTVSPADTSLNSADVKTQE VKPEEKKPAKKRKSWGQELPVPKTNLPPRKRAKTEDEKEQRRIERVLRNRAAAQTSRE RKRLEMEKLENEKIQMEQQNQFLLQRLSQMEAENNRLSQQLAQLAAEVRGSRANTPMP GSPATASPTLTPTLFKQERDELPLERIPFPTPSLSDYSPTLKPSTLAESSDVAQHPAA VLCDLQCPSLDSKEMEAPSHFSTSAQTLNITLQMTLQLLFLTMTSTAYSTVIHPLNQI LLSLKTGLPLMFSKEEIYQHFHLILWLISTPSLSPSKASRWPTGFRMRLLARLLACNP ALARPLRDATGRALQLAVSENFSQGSMSVTDTQRSRWSWESLLTLSWAIDRLENPRRR RRILHGLRTSQIDRRNNLGKRQRSIRSTWSSNNTETLTSPLTGKDC AOR_1_140104 MPKATSSRSAAVGRRHNPLAEDIVATGHLRTHSSKNSKRKSQSD EDQDDGERFIDAKMSRKILQIGQELADEDAAEQRTSLGNIAAKDNTAFDFESRFEDDE AFSDDEKFQDDPWGDEEEIEQVEVDPNDLDMFHKFVPGGDEDPIFNPSEQGAGGQSTN LADLILEKIAEHEAKQNGDNGPFIQGGGLPEDAVQIPAKAVEVYEKVGMILSRYKSGP LPKPFKILPSVPNWPTLLSITRPESWTANAVYAGTRIFISSKPAVAQEFISTVLLDRV REEIHETKKLNVHTYNSLRKALYKPACFFKGLLFPLVSSGTCTLREAHIVSSVIARVS IPVLHSAAALLRMCDLAAEQSLRSLESTGAVNMFIRVFLEKKYALPYKVIDALVFHFL RFRASDNDEDSMMTNGRSRDTNKAYKLPVLWHQSLLVFAQRYRNDITEDQREALLDLL LVCGHKDIGPEVRRELLAGRGRGVVVPDPEKQGALDAGDDTMDVTM AOR_1_142104 MASIQPAGSSAPSSNINSPILPPSGTPFFNGPLSDTNTRSSPAP ASNASAQTDGPRSKRNKRDSRKKREAKGLDQEIVPAKKRATAVQNTALPSSDLNILRP LLLAEPRPSDLLPPQPRQLNLVSRKTSEVIGQSWSFYEVVDKLTNKNGFRYSYAIADT SFPHIKYRQTDVRPYNARFSFEDSPAAILFNEDALAVTTNGPWHTARANVCAREGTFY YEARIISGVLSDPQTAPANGKSCLPSRGHVRLGFARREADLDVNVGVDCYGYGIRDVN GEVVNRMRCEYFFPKGESIREGDVIGMLITLPPLSLHKRIVEGTYDPAVDGHASTSGI ELSMATNVIRDRIPFHYKSDFCWQQSNVFPTKQLRDYAFNLKETPTFGPPSPMNTEDA SLRTLPGSSITIFKNGIKMGTPFKELYAFLPPASRLANGTNNLGLGERENADDGMIGY YPAVSCYGGGAVECRFEGPWWIGPPQAENGEPIRGIGERFNEQIVEDVVADIVDEVEA MLVWGGVDGDVVGNAQMDGTGTGAVGGSEVLKGGVGAAYESAVSAVSAGPGTGPSTVE NSVGNVGSPDVGTGHSTFEDAASVGVVGTPNTEEPAARPENITVGHDVEMS AOR_1_144104 MSLLREAEKCIANQKSHAALNALITALQPSGQWREQVKDADLRT ERGIPRSKVDGRLIAIKDNICTRDLPTTCASGTLEKFVSPFNSTVVGQLQDAGAVVAG KTNLDEFGMGSHSVYSRFGPVRSFWQGRDAEPLSAGGSSGGSAVAVATGQCYAALGTD TGGSVRLPAAYTGTVGFKPSYGLISRWGVVAYANSLDTVGILGRSTASVRDVFNVVNQ HDPRDPTNLSPSSRSRILSTLQSPFCASRLTSAPLRIGVPTEYNILELHPAVRHAWSR TLAYLQRQGHSIHAVSLPTTKLALSAYYVLAPAEASSNLAKYDGVRYGTRCGVLDRDG RPQGNLYASTRGHGFGSEVKRRIVLGAFSLSAHAMDNYFIQAQRVRRLVQRDFDYIFQ MKQPLASPGTDSERVPEHTGVDILICPTAPSPPPGISDLIDSDAGASPLDAYMNDVFT VPASLAGLPAISVPVTVSGEKQDSSEAGLAGIQIVGQYGDDQLVLKVGELVEDQK AOR_1_146104 MFSHGVVRSLSRSHGPLIWKAYGAAPVPAVTRQCIGTPPRSWTG SKRYISVYGYTQSKALVYSRYGEPKDVLRLHKHSISPPHGSQVTLRLLAAPLNPADVN QIQGVYPSKPPFQTTLGTQDPCAVGGNEGAFEVIATGSNVKNLSKGDWVVMKQTGQGT WRTHAQMDESQLIKIENKDGLSPLQISTVSVNPVTAYRMIKDFCEWDWMRSGEEWLIQ NGANSGVGRAAIQLAREWGIKTINVIRERKTPEDTEVLKAELHELGATAVVTEAELLS GDFKNVVSGLTRNGREPIRLALNCVGGRNATALAKTLAPGSHLVTYGAMSKQPVSLPS GLLIFKNLVFDGFWVSKWGDKHPQLKENTINDVLQLTRAGKFKDIPVEEIKWAWDTDG AALTSGVQETLSGYRSGKGLLKYEGGD AOR_1_150104 MIVKSMIYDRSYSYVLSFFALVTPILARQPSAPEAIPAPLRDLK WGQLNFLHTTDTHGWLAGHLQEPSYSADWGDYVSFATRMREKAEAQGQDLLVIDTGDR VEGNGLYDSSEPKGVYISEILRQQHIDLLSAGNHELYKQNTSEAELFTTVPNFRGNYL ASNIDIIHPLTKETVPLAPRFKKFTTKKQGIRIIAFGFLFDFTKNYNNTIVHPVEETI KEEWFQEAIRDKEVDLFLVVGHVPVHSKEYDAVFKEIRMVRWDTPIQFFGGHYHIRDF ARFDSKAFGLASGRFMETIGFMSIDGLTSSKQLVKPASTTPSFHRRYIDNNLYSFYHH TGLDEETFSTEEGRNVSRLIEESRSTLRLDEVHGCAPRDLWMSRVKYPSEDSIYTWLE QQALPNSLQDKSRTGKPALAIVNTGAIRFDIFKGPFTQDSTFIVSPFTSGFRYIKDIP YGKATLIVEILNKQPQILTDADNRSAITEGLAPPEQSVYSSDLIITDSFALGSTNYLR DQTPLLRNDHSEPSIIPGYTTTDDEGSDGDDTIHSPISFYRVPKCIQALISPKESAAP KTVDLVYIDFIESHVAQAAKFAGLSIDFTQDSDVYMPATTLTDLILDWVKDNWSCKEV AOR_1_148104 MSLSPAMAAPRLFRPAARLLSSRLSAPRRPAFPQSACAPSILRS RGYATEGGAKEVTVRDALNEALAEELETNPKTFILGEEVAQYNGAYKVTRGLLDRFGP KRVIDTPITEAGFCGLAVGAALAGLHPICEFMTFNFAMQAIDQIINSAAKTHYMSGGI QPCNVTFRGPNGFAAGVAAQHSQDYSAWYGSIPGLKVVSPWSSEDAKGLLKAAIRDPN PVVVLENELLYGQAFPMSEAAQKDDFVLPIGKAKIERPGKDLTIVSLSRCVGLSLNAA AELKEKYGVDAEVINLRSVKPLDVETIVQSLKKTGRIMCVESGFPMFGVSSEILALAM EYGFDYLTAPAVRVTGAEVPTPYAVGLEQMSFPQVDTILSQATKLLRL AOR_1_152104 MAPRGFTNPAPKTESARSALSSFTCTLCNKSYSRHPEYEAHISS YDHQHRKRLQDLKQLSRDPNAAEKARRAERRADAEAGLRVIDTKASAAVGTGGGGGGG FKKGGFKSSFTTVKGPVVPTAPTKKNVLGDDDDEDEIPESTYISQRAQATQDPSDQPD YAESDTDAEYSSDTMGSAYYDPLKPTGCFAGCVSTKNIPIGTAAVKG AOR_1_154104 MTSKESISPKPEDIDTHSIISMQELDPSPVQDVFPDLESGQYIF QSQGRPSKLGDISKAGQPPFSVGLRGHNWDSWLSALQRYSTYPPTLFFSLHFANTSLF PLVTQSVPDSETYLLLTRPIYQSPGLEHVVLTVPILVHIASGIVLRNIRSSRRARLYG AETRAQRYSLNFWPRMSLQARLGYCFVPFLGAHVLVNRVTPLIVDGGSSGIGLGYVAH GIARNPVFWNVYYVVLAAVGMWHIIGGWATWMGWRVTTAQKRRGCKKGSLEGYLGYAE SEEQVKRKRKMRWIVNGVAAYDGKEYHAVKEGNAFILNPPSQAAASTGTRRNLKAEDE SQSVFYNPIQQFNRDLSVLAIKAYGENVLASKKRRAERRQRGGAVDGKSTGKKRKRED GDEEEPNGKRSNFDNQTPSSDHLDLELHAGDNTTPSFTILDALSATGLRALRYASEIP FATCVVANDLSPSAIKSMKLNIEYNGLGKLIQPNTGDARTYMYSTLNSANTQASRPHT GKFDVIDLDPYGTAAPFMDSAVQAVKDGGLLCVTCTDAGVWASNGYPEKSYALYGGVP TKGTHSHEGGLRLILHALALSAAKYGLAIEPLLSLSIDFYARVFVRVHRSPAEVKFAS GNTMVVYNCDSGCGAWSTQPLTQTKPRLDKKGNPFYHYGFAQGPLANTTCAHCGMKTH IGGPMWAGPLHNPQFIRRILDMLLEADRSVYQTVDRIEGMLTTALEEDLTPNASIRSG SSEPTISKTKDVALSEDPAIIPRMDPALREPYPFYFSLSALSKVLHTSTISSDAFRGA VRHLGYQCTRSHTKPNSIRTDAPWDVIWEIMREWVRQKSPVKENALKPGTAGAAIMAK SRENLQKQKEGDKDLRLLKQEIVFAAENGKDISDLVTKVEAALYRSGFRQGLNLSEFD SRPANMQNEAQSTNQALAIKSNTSTLDVVFDESLGREVTKKRLVRYQINPRANWGPLN RASGRGQG AOR_1_156104 MRPEIEQELAHTLLVELLAYQFASPVRWIETQDVILAEKRTERI VEIGPADTLGGMARRTLASKYEAYDAATSVQRQILCYNKDAKEIYYDVDPVEEEPEAS PTSSTPAPAAPVAATAAPAAAAPPPSAGPAAAVEDVPVTAVDVLRTLVAQKLKKGLSD VPLSKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGASMQATFNGQLGK QSSSLIARLVSSKMPGGFNITAVRKYLETRWGLGSGRQDGVLLLALTMEPASRIGSEA DAKAYLDDVTNKYAASAGINLSAPAAGGDSGAGAGGMLMDPAAIDALTKDQRALFKQQ LEIIARYLKMDLRAGQKAFITSQETQRALQAQIDLWQAEHGDFYASGIEPSFDPLKAR VYDSSWNWARQDALSMYYDIIFGRLRVVDREIVSQCIRIMNRSNPLLLDFMQYHIDNC PTDRGETYQLAKELGEQLIENCKEVLGVAPVYKDVAIPTGPQTTVDARGNIGYKEVPR ASARKLEHYVKQMAEGGPISEYSNRAKVQNDLRSVYKLIRRQHRLSKSSQLQFNALYK EVIRALSMNENQIMPPENGNGKRSNRSSQKHNGSPRAGKVETIPFLHLKKKTEHGWEY NKKLTGTYLDVMESAARSGLTFQGKNVLMTGAGAGSIGAEVLQGLISGGAKVVVTTSR YSREVTEYYQAMYARYGARGSQLVVVPFNQGSKQDVEALVNYIYDTKKGLGWDLDYVV PFAAIPENGREIDSIDSKSELAHRIMLTNLLRLLGCIKSQKQSNGFETRPAQVILPLS PNHGTFGNDGLYSESKLALETLFNRWYSESWSNYLTICGAVIGWTRGTGLMGGNNMVA EGVEKLGVRTFSQQEMAFNLLGLMAPAIVNLCQLDPVWADLNGGLQFIPDLKSLMTKL RTDIMETSDIRQAVIKETAIENKVVNGEDSEALYKRVVAEPRANIKFEFPKLPSWDEE IQPLNETLKGMVNLDKVVVVTGFAEVGPWGNSRTRWEMEAHGKFSLEGCVEMAWIMGL IKHHNGPLKGKSYSGWVDAKTGEPVDDKDVKPKYEKYILEHSGIRLIEPELFKGYDPK KKQLLQEIVIEEDLDPFEASKETAEEFKREHGDKVEIFEVPESGEYTVRLKKGANLLI PKALQFDRLVAGQVPTGWDAKRYGIPDDIIEQVDPVTLFVLVCTAEAMLSAGITDPYE FYKYVHLSEVGNCIGSGIGGTHALRGMYKDRYLDKPLQKDILQESFINTMSAWVNMLL LSSTGPIKTPVGACATAVESVDIGYETIVEGKARVCFVGGFDDFQEEGSYEFANMKAT SNAEDEFAHGRTPQEMSRPTTTTRAGFMESQGCGMQLIMSAQLALDMGVPIYGIIALT TTATDKIGRSVPAPGQGVLTTARENPGKFPSPLLDIKYRRRQLDLRKKQIKEWQESEL LYLQEEVEAMEAQNSESLNVSEYMHERAQHIEREAVRQEKDAQFSLGNNFWKQDSRIA PLRGALATWGLTVDDIGVASFHGTSTVANDKNESDVICQQMKHLGRKKGNAVLGIFQK YLTGHPKGAAGAWMFNGCLQVLDSGLVPGNRNADNVDKVLEKFDYIVYPSRSIQTDGV KAFSVTSFGFGQKGAQVIGIHPKYLYAALDRTQFEAYKGKVEARQKRAYRFFHNGLIN NSIFVAKNKAPYEDELQSKVFLNPDYRVTVDKKSSELKFPAAPPKVAGKGVESTRQVV ESLAKAHAVENSKVGVDVENLESLNIENETFIERNFTAQEQQYCRKAASPQASFAGRW SAKEAVFKSLGVSSKGAGAPLKDIEITNDATGAPVVNLHGAAAEAARQAGVKQVSVSI SHSDSQAVAVAVSTF AOR_1_158104 MYGTSTGPQTGINTPRSSQSLRPLILSHGSLEFSFLVPTSLHFQ ASQLKDTFTASLPEPTDELAQDDEPSSVAELVARYIGHIATEVEEGEDDAHGTNLEVL KLALNEFERAFMRGNDVHAVASALPGITAKKTSVVKAYYAGRAAAGRPTKPYDSALFR AASDEKANIYTVFGGQGNIEEYFDELREIYTTYPTFVDELISSSAQLLLSLSREPEAS KLYPKGMDIIQWLQDPDSQPDTDYLVSAPVSLPLIGLVQLAHFVVTCKALGREPSEIL ERFSGTTGHSQGVVTAAAIASATTWESFEKVAKDALTMLFWIGLRSQQAYPRTSIAPS VLQDSIENGEGTPTPMLSIRDLPRSAVQEHIDMTNQHLPEDRHISISLVNSARNFVVT GPPLSLYGLNLRLRKVKAPTGLDQNRVPFTQRKVRFVNRFLPITAPFHSQYLYSAYDR ILEDLEDLEIPANSLAIPVFGTKTGEDLRESGNANIVPALVRMITHDAVNWEQATVFP KATHIVDFGPGGISGLGVLTNRNKDGTGVRVVLAGAMDGTNAEVGYKPELFDRDEHAV KYAIDWVKEYGPRLVKNAAGQTFVDTKMSRLLGIPPIMVAGMTPTTVPWDFVAATMNA GYHIELAGGGYYNAKTMTEAVSKIEKTIPPGRGITINLIYVNPRAMAWQIPLIGKLRA DGVPVEGLTIGAGVPSIEVANEYIETLGIKHIAFKPGSVDAIQQVINIAKANPKFPVI LQWTGGRGGGHHSFEDFHQPVLQMYSRIRRCENIVLVAGSGFGGSDDTYPYLSGTWSS RFGYPPMPFDGCLFGSRIMIAKEAHTSKNAKKAIADAPGLDDQDWEKTYKGSAGGVVT VLSEMGEPIHKLATRGVLFWHEMDQKIFKLDKTKRVPELKKQRNYIIKKLNDDFQKVW FGRKASGETADLEDMTYAEVVHRMVDLMYVKHESRWIDESLKKLTGDFIRRVEERFTT AQGQPSLLQNYSELNTPYPAVDNILVAYPEAATQLINAQDVQHFLLLCQRRGQKPVPF VPALDENFEYWFKKDSLWQSEDLEAVVDQDVGRTCILQGPMAAKFSTITDEPVQDILN GIHQGHIKSLLEDVYNGDETNVPVIEYLGGRLDESIDEPEIDGLTISEDANKISYRLS SSPSSDLPGLERWLRLLAGTSYSWRHAIFLADIFVQGHRFQTNPLKRVVAPTAGMYVE IAHPSDPSKTAISVREPYQSGKLVKTVEVKMNEKNQISLTLFEGRTAEGGVVPLTFLF TYHPESGYAPIREVMEGRNDRIKEFYYRVWFGNSDVPFDTPTTATFNGGRETITSQAV ADFVHAVGNTGEAFVDRPGKEVFAPMDFAIVAGWKAITKPIFPRTIDGDLLKLVHLSN GFKMVPGAQPLKVGDVLDTTAQINAVINQDSGKMVEVCGTIERDGKPIMHVTSQFLYR GAYLDFENTFQRKDEVPMQVHLASSRDVAILRSKEWFRIDEPDVELLGQTLTFRLQSL IRFKNKSVFSHVQTIGQVLLELPTKEIIQVATVDYEAGDSHGNPVVDYLQRNGTSIEQ PVYFENPIPLSGKTPLELRAPTSNETYARVSGDYNPIHVSRVFSSYANLPGTITHGMY TSAAVRSYVETWAAENNIGRVRGFHVSLVGMVLPNDMITVKLQHVGMIAGRKIIKVEA SNKETEDKVLLGEAEVEQPVTSYVFTGQGSQEQGMGMELYSSSPVAREVWDRADRHFM ENYGLSIIDIVKNNPKELTVYFGGPRGKAIRQNYMSMTFESVNADGSIKSEKIFKEID ENTTSYTYRSPSGLLSATQFTQPALTLMEKASFEDMRSKGLVQRDSSFAGHSLGEYSA LAALADVMPIESLVSVVFYRGLTMQVAVERDEQGRSNYSMCAVNPSRISKTFNEQALQ YVVENISEQTGWLLEIVNYNVANMQYVAAGDLRALDCLTNLLNYLKAQNIDIPALMQS MSLEDVKAHLVKIIHECVKQTESKPKPITLERGFATIPLRGIDVPFHSTFLRSGVKPF RSFLLKKINKNTIDPSKLVGKYIPNVTARPFELTKEYFEDVYRLTNSPRIANILANWE KYEEESENVSRGGGGTSA AOR_1_160104 MATPTNDLNARTPTGSNGPPPMRIRRPKAADPLVRPKKKLAAKP GISVSGNGPALKSVSSRPATSNPLSMPHPDRGKPSSSGADFSANGFSGPLLSETYTDY PLVTTKRALREGLKHHIARFASKKTVDPRDESQFTRPVRLQRRDPRARSHEMTSEKSQ GMQKTMSESSHQMDEVEREELEARKAAREKERAENLAQIAPSTGSAPKRANVPKQKTQ QVSKTDMTPEEMAKTRIKYEEALPWHLEDFDNKNIWVGNYEAALSETHAVFVLEATGK MRMIPVEKWYRFNAKHQFKALTIEEAEKFMAKKVKDPRWFMEKQQELAQRKELEQFAK QRKVYAGKQGTPSGVEGLEADEMDFEEDRFADDEEHDDLFNEDEEAKAAEKRIKQDQL KANVFDLKDEKDYEQEELREKKEKEARRVLGKKVRKALQKREKNYDYSSGSDVNPYSD DESSDDSESERLKEEERKAEEEKNKKDTTASSKGNNTPSGRPKHTDPLKKGTAAAPRK RLGSPNVSDASGTDTSRKKGKSKDLSSQPTPQPSSRNMSPVASSQMPLGKKRVRNVPL GGAGSGSDADGGAGSGGEMSESGKTKKLKLNPPAVSQGGTPQGSRAGSPTPLAGRSFS GSRASSPESFRGILSSDLLKIFRPRIGESKENHRKFIAIVKDVGVYGKEDRLLRPGTL KES AOR_1_162104 MAIVCSPFPPDSWDFLDSTYNDLSAGELCSDSLQALVCDSFAYI MVVFEGVLNCYEEPESTSMFVSPRGVPTFLQLGKDATLRANRNNVLDPIESAWGCSFA SASIGTADPEAED AOR_1_164104 MPTELEELVEFLHHGNTQIRQIACENLLGFSIAQPNLFKRHQLL PVRDLKLLVRDYTPIAKNALTMLINLSADQDVLANLADDDAFLETLFTKVTNVKEPNA DDVSMLLANLVKSEKMKKLLTIKRRVPEQVSTSPNVMDQLMDCFVKGAEGALNKNATY DYLSYVFADMSKSKEGRAYFTSRQEYDGVVPVTKLTVFTEHQSSIRRRGVASTIKNVA FDIAFHPTLFSEDEANLLPYILLPIAGPEEFGEEETLTMLPDLQLLPPDKKRDSENSI IVTHLETLLLLTTTREGRDRMREVQVYPIIRECHLNVDDEDVREACDRLVQILMRDEE GENAGQPGSIQQEHDDQQVVELF AOR_1_166104 MTPETSRTDGGLPDLNHIHDSLIEIAYKAGEIIMGALPTTDGIG SKKNSADLVTQYDRAVEEMIRTALKEKYPDYQFHGEETYDPGHPLTTAPTFVIDPIDG TINFVHGFPHACVSLGFAVDRVPVVGVVYNPFDNTLYSAIRGQGAFLNRSVKLPLKGT DLEPLQGLQNSLIGVEWGSDRKGRNWETKVRTLEKLGQAKDEGGAMVRSMRSMGSAAL NLCAVAAGTLDLYWEGGCWAWDVCAGWVILTEAGGIMVDGNPGGWQAVIDGRVYLAVR ASPSQIGQRELVEEFWANIQGKLEY AOR_1_188104 MLLIHQTGSVRVGEVVRYTVTYTPAADTASSIPGELFVKVKNTS AIPLRAAYLHGPYTLYTSCYPSTFDPNVKLDQQATEGIPQFEPYLKAGGSWNAVITVP RRLRQKPEVASAEPQSHQKVTWIIEIVSQVIFSSTASVNFELLVGRDQKSVELYSSSA ASTTGFQAAQLSDHWLPNAKGKQVFATNGVYSRSITLQIDDTVSLWNTPAFPSSDKSC GAEKDKGPSEDSPTNNDEASTRPSEPVNQSHNNRRKKKIHLVVLTHGLHSNLGADMLY LKESIDTAARKAKEQAYNARQKHKSEQSSNHDADADADDADDADDADDADDADDADDA DDADDDDDDDDDDDDDDDDEQVIYLGKRLAKYVLLMTYPDQPCNPYHNAKAKTLPKSL APWRNAAAEFPKDHTASVPGPTGGGHAYRVTSISFIGHSLGGLIQTYAIAYIQKHSPE FFDVVRPVNFIALATPFLGLSNENPIPRTIFHDRVYSPEDIPPPLTPKRRTLTFGSSQ SKVSKTGPFSSDESPHRGSNESESGLKVEEKIARAYHRDLTWRKVLVRLEPDAHNNII VRRMFTNAYGWPVVKHLVDTHFGYTSAAQSKDDSAKRVERAMPPAVPTNSLGEEVQGQ VEHL AOR_1_170104 MSGSTAASSISEAEPAPPSLGKIGVCALDVKARSKPSQNILTRL QSKGGFEVIVFGDKVILDEAVENWPVCDYLIAFFSDGFPLDKAIAYARLRKPFCVNDL PMQKVLWDRRLCLRILDNMSVPTPKRLEVNRDGGPTLESPELAQHVYQLTGVKLEGPE DGTGGGLSRTKDVAMSDDGDSLIVDGKVFRKPFVEKPVNGENHNIHIYFPNDQQYGGG GRRLFRKVGNKSSEYDPNLVIPRSVTENDSSYIYEQFVRVDNSEDVKAYTVGPDFCHA ETRKSPVVDGLVRRNTHGKELRYITKLSRDEATIASKISNGFGQRICGFDMLRVGDRS YVIDVNGWSFVKDNNDYYDRCASILRDIFLHEKRRHSIDDAPPPPPASKHSWKLKGMV AVIRHADRTPKQKFKFTFHSQPFIDLLKGHQEEVVIKGEAALASVSDAVKVAMERELE DMDKLKLLRTSLEKKGGWPGTKVQIKPMFQNDLILDKLQLVIKWGGEPTHAARYQSQD LGLNMRDDLKLMNKEALNNVRIFTSSERRVSTSAQIWACSFLDQKELPDDFIQVRKDL LDDSNAAKDLMDKVKKKLKLLLREGSAPSQFTWPKDNIPEPSVVLATVVELMKFHRDV MRHNFRRLESSSSGRWCTGEDPMLFKERWEKLFAEFCDTEKVDPSKLSELYDSMKFDA LHNRQFLEWVFMPPDTDNDADGEGYSQSKMRNTPAGDIRPGNEDANHERNEEHADSST FVHRLGLKRRMHAFESMPHFRALDDTYDHYFKLYPGLSVKKAKLDSRLSKLRELYKLA KVLFDYVTPQEYGITDTEKLEIGLLTSLPLLQEIVRDLEEVQASPDAKSFFYFTKESH IYTLLNCILEGGIQTKIARRAIPELDYLSQICFELYEAKDSESSTNSYSIRISISPGC HAFDPLDVQLDSRHAIGCAPRRSLTAHQDWKNVIETLKAKFDTFGADRFKLAAEELLF PPGGAESHRYAGTISLTKWDSEANSEYVDTMEIKEHMYVLATMVPRLEREFVISPESV PLDGRLRIIHFGPVPSEKAMQLMASAYQGGQHVFDKSVLYSEIERLKIVFSETDERWR RVCIDGRIIAVECDGWMEIYKEPECLLNILTSVEFQNH AOR_1_172104 MPSLTDDAFDIPLAKRQKRIIDQDVRTGPQTAGSKVFSPFRTLG LVSPTTVPFTSVRLGKATYQISTSVGRSVQTYDLRRGLNLVFISRPQTPDTITATFAW QDKIFVAWGHLRPGSPGGIWVYKRGKKIASFEASKNATEPIVRLLVFGSWVVGCGSER IQVWKNTTYEYYTSLVPPRTKDSSGKQVYTGQVCTMPTYINKVFVGRYDGGIDIWNVK TGRMIYTMLPSSSKAGAVTVLQPTPVLSLIAIAYKNGALYIRNVETDQTALSLRMGSS EAPRVTSISFRTDGLGAGEDGRRPGVMATACIDSGDITMWDLNHGGRIVGMLRGAHRV TEGGKGLGVNHIEFLDGQPVMVSSGKDNALKTWIFDELPFSPIPRPLHSRSGHSAAVT TLEFLPSASDGSEFGGKWLLSASKDCSLWGFSIRKDSQNTEISQGAVEHKAKKLVGSR TVTGAQELKAPEVTCIACSLNRDGGMGVTTSGPIWANPKSINTDASTKTGWESVLTGH RGDKFARTWFWGKKKAGRWAFETGDKMEVKSVAISQCGTFALVGSAGGSIDMFNMQSG LYRQSFPSRGLKKASKSNGAKHSKAVTGVAIDGLNQTVVSCGLDGKVKFWDFTSGSLA DELDWYPMTSITGLRYNSTSELVAFSCDDLSIRVVDIETRKVIREFWGCVGQINDFTF SSDGRWIIAASMDSIIRVWDLPTGHLIDLFRVPSTCTALAMSSTGEFLATAHADGLGI SLWSNRSLFVPVSTRNLDEADIADVRAPTISGETGVGAIEAAFLEDYQPSDTEGPMLS TEQLSRDMVTLSVVPKSKWQTMLHLDLIKERNKPKDPPKAPEKAPFFLPTASEKGNPD EILDPNLLADITITERSRVAKLQHSMSVGIAGSRFTTLLRSGRDTGNFQPFIEYFKSM SPAKADLEIRSLDPRIHENHSELSDFVLALSTHLRSKLDFELVNAWMAMFLKIHADVV MKCSESEENEALREALASWSVAQQREGQRLAELVGYCRGVVGFLRSSR AOR_1_174104 MGIPRLTRHLRPFSDAVLLEGRLQKRQDGVGHVQSVVIDGPSLV YHVFWRLLSWSDSRIGFPDAQPTCNEVSCGVMIYLLLLTTTGVKIERICFDGALPPEK RKTRLLRLEKSRRKLELLRLKPQSALQNSSGYSGKRALALENVLQGRALPARYNDLPE NPFMVPAVFEDLKYRWNKEKIFTVARDALCMQSIEIKDFPWADITVMVPGEADAYCAY IAKYANSSVLTNDSDLLLYDLGPRGSIISLNSIEIVGWDAHRPSERQIRAMSLSPTLV ARRLGISDILRFAFELKTHPDAGTAELVQRANSSYEGPDNTSDYQAFTQEYQEGIHEF EATNLRSLPHLDARVSELFWQFEWRQAHMVQEAPHMYLAILNEDHARRCAWAEGRLYR SLAYSILNASRPVSNRVGFINEFARRGGRITVDKVVLGNEDWIETVTNVLLVRLRSVQ NKVEVDTTLPAYWIMFALCELHEADSSFVTLDHARLSCFLTLGRMNENFDWKDIQLTA QIQAVLYSLRILGQLLESSVDTCDNIVELKSILSNLPPLHMIMGPIPSMMDETLNISC VSALVHRLLQVLGKEEKCSDIPDAVGLTRQQLFSYPLASQQYESRVGNPRSPRRIDNM YDLLPME AOR_1_94 MARIVEAGSGPADINDESLSPRDATDEEIESLRHVVDKLPRKVW VSLIVSGAERFTYYTITTPWQNYIQNAPGDGAVPGSLGLGQSRATMIFNAFYLFYYLV PIPVALVSDAWLGRYAVLCISLSLYFCGTLVQFITSLPSLFHYESGLAGLVLSMILIG IGVGGTKAAITPFIGDQYPVKPAQVKTLATGERVIIDRTLTLQYVYNVYYWITNIAAL SILASTYLEKERGFWAANVLALCSSWIGVALLAIFGKELERYPAQGGVLLKAGKVLAY AIHDKFKIDAARPRYQLEKHNRAVPWTDRFVTEIKSGLRACQVMAWFVLFHLGINQMT NNLVSQAGEMQLDSFPNDGIQVLNPIACVLLGPVIQKILYPTLTRYQIPFGPLMRMTM AFFTMAATFAYAAGVQKMIYNSGPCYEAPLVCPAAQRVGQPALPNRIKVWVQTPIYVI LAVSEIFGFVTLSEYSYSKAPKDMRTVVQSMRQLSAGIGSAIGIALGPVSRDPKVLWM DVGLAVSLALSGVLFWAVMGHLEKDKEDLDTMYLSEDQDRAAHTGVEAGSGSKN AOR_1_2094 MEYARLGDSGLKVSKVILGCMGYGTPEWQGWVLNEEESLPLIEH AYNKGIRTWDTADMYSHGKSEEIVGKALKKYNIPRSRVVILTKCYFGNAGDYLNRVGL SRRHILEAVDASVERLGTYIDVLQIHRLDRETPREEIMRALNEVVESGKVRYIGASSM AAWEFQTLQNIAIRNGWHKFISMQNYHNLIAREEEREMIPYCLDSGVSLIPWSPVARG ALARPWASRSTLRENTDAGISILVRARESASDKAIIDRVEELAGKKGISMAQVAIAWS LSHPSEYPIVGLNTKDRIDEAVASVQVKLTPEEIQYLEEPYVPKAIHPGER AOR_1_1226094 MSIPSHPKLEGFDIIQATYKQIGDHAIRVDILIPQTTYSGKRPT FVRTHGGALVACDSLFMDFFPHWASDLALKHGAVIVSPNYRLMPESTSSEIFDDIDDF WKWLHSPALTDLLANHTTPTEIDLTRILTTGDSAGGLLSLYLALTYPTQIRAATAGYP WVNPSSAGFQSSRTILPFGVHTDESVIDVTVAAAASGQIVSSDLSPSRLAFMLAAVEH GRLAGFYERQKEGSSRRELFYPAKKLEEPGLSIPRGGIAIWHGRQDTVVPLEDVEEFV VQLLEATKGLPSGDKAVLALRDGDHGFDMSSRYEEEWLQNALKSAVETWLE AOR_1_6094 MFSLWRRILPQRVANTGSQLRDHQANERTFLSWTRMGLGFAAMA LALGRLDAVDHMLSSALSSSKLNLVVGSENATATVAATPAPVQSGDTSHRNSQEHPSS LLFFNHNGGFSATTFCQAISIWSFGYGIFRYLSVRKSLLKGQFTPAIWGPVLMTTGCL GVFGTMGMWVEQKNASKMAKQGS AOR_1_1228094 MKLFAILSSTVLASVALASPLTLERRARNAARLQARVAQRHSNL PFKAGTNEILHLNETTHEEYSSNWAGAVLIGSGLHVRDRDEQYCATAWVGIDGDTCQS AILQTGVDFCIDSSGTTSDAWYEWFPDYSHDFSGISISAGDKVKVTVDASSKTAGTAI VENLTTGKTVSHTFAGQDDNALCETNAEWIMEDFSSFLSLVPFANFGTVTFTDISATS GDSSVGASNATIIDIQQNNKTLTSSSASDTEVTIKYIG AOR_1_8094 MQFTKSLLLLAALTTGSLAHRVHGHARRQSPSATMSAVPSSSGS ASGGSWTATPASGSYSTAGFGASTANSGSGNTYAGNVGNPWGSNIIEVSESDASNYKY VAAISGQNTEPWTVVFWNKYGPDGKMDGWYGNSAVTFTLNAGETKYVAFDDDTNGGFG AAPGSLPTDSDGGYACTWGEFDFGSNGNSGWSGFDVSAIMAQNAGLTVQGMKMCDVLS GTCSSITTDAASVDNAYTSAETDIGGIGGNISGDGAVRIAVTIDYSG AOR_1_10094 MPQSNTPYPFTFTNPTGVQSTNGTTQTIPLTIPNVRGTIPSLQT SRLRTMMLEARRDPAKILAFPCSYDGLSSRLIEEAGFPMLFLSGFAVSSSYGLPDTGY IAMEEMCQKVQETVRVTSLPIMVDGDTGYGSPMNVRRTVEAFAAAGAAGVMIEDQTWL KRCGHTKGKSVVSRGEAYARIQAACDARNEGRDIFILARTDALILGWEEAMTRAKEFK RLGADAVFVEALPDRESMQRCVEELDMPMLANIIEGGKTENLSAKELAQLGFAAVAYP WTLVAARLKSVRDALEGLKQSMVEGTAPPMILGYSEVCEGVGFNRYWDQETRYEYDQN GLINP AOR_1_12094 MRTAASTGLFLGLASMASAVMYGYNHVPLIKDTEIVAGAFENVN DIELLSPAFLTPNVRLPGFPNGTQGPSSQDDMEAFLEQLAERNDYMTYRTANFTSEEG RSFPYAHLSAGHSVSKVRVWIQGAVHGNEPAGDEATQALLGKFDGDQEWAASILDKLE LVVLPRYNPDGVFYFQRTLATNYDPNRDHIKLARQQTRDIKQLMNEFNPHVIVDMHEY FAGSRFGDGQYVHGCDGLYSAAKNLNINEGIRKLSEELFAKNIAEDMNAAGMRAEPYV TGSSAASGSNFVADFAEAGTDGKIGRNAMGLTQAVVFLLEMRGIAIADQEFQRRTAAG LTMLGSIVQTAADNADEVLQTVEDGIKEFIASDDDVIVTDYSKTEIRPFAMVDVKNGS IVYPPVRFASTTPSFANLTRSRPEAYLIPVAWADLAERLKVSGLEVETLDKPFEGTVE ALTITSAEVDTSYYEGVIRVTVTTETSEREVRLPAGSFRVSTRQKNAALAMVALEPEN IDSYVSFNIVPVEESDEYPIFRITS AOR_1_14094 MSSEASAEDMNLVAEYLGDRRIQDLSSSPSVDCIVICASAILYQ AEHLFQVLQDRPSLSKCLVLCGGVGHSTHFMYEAVAQHPRFSQIAQDIHGLPEARVLE RILDTFFDRSAITDGGCMILVEDKSTNCGLNASLSRKILDAAGFHNLKTCIIIQDPTM MLRTKASFQKAYEDSLSSPSFISCPIIVPHMQRTEGSGLKYQTLPLGNAWWPLDRFLE LIVGEVPRLRDDENGYGPRGKRFIPHVEVPEHVEEAWSRLRVVSNACR AOR_1_16094 MSLSLCAPWRLLYAILLTIALVNAQQPSEEDFSPRDTITRDVCI LGGGATGTYAAIRLKDMNQSIVVIERNDRLGGHTETLYVENGGHVDYGVQGVFNYNIS RNFFNRLGVQYKPVTPGSLINKYVNFKTGHEVSHPSGVLDTVAALLVYRTAIQKFDYL KDGIYNLPDPVPEELLWPFSKFVEKYKFEGALNVIYTFANALGDMLASPTLYVIQLFG ISHIDIFLQGGYITPNNGTYELYRKASEELGTDVVYNSKATKATRSDTEIKIITQDTS GKKILIKAKNLLITFPPIPENLKGFDLSPEEISLSSKLFWKTYYVAVLKNTGIPNNIN VHNVDPDEKPGNLPVAPFQWALQDMGPNNYLASKLIGDMNFTDTQAQELIIGDLDRMG TAGTFDIRKDWEMAAFGNHNPTTLMVGVDDIKDGFYSRFYDLQGRRGTYWTGLTLVSD YSALLWKYTESVVERIVKGE AOR_1_18094 MGLMLLALELLRQYSDARGGLVFYDDTDDVPNHVAFAYNFVPTI LGLCLMTLWSFTVYDVFRLEPYFQLSKEQVFPADVLSANYIFGPFISTPISSARRKHW VVLGVSIINILVQLMLPATLSALLDVDSVPMSSDATLRGWPELVAVGEQAEWISSQRN MSLYSLTRGDTGETPSRLSHFAIPPVELPSDDDALFTALWKLNHTVYWADLTCSDLPI SNSLTANVTEIVGETPADYSNYSTLTCALRDLRLTSYSDSSQNCALNANYSGIFDLTA TPVQARRWELDTIPCAPFDLYGFLLDIDTTNTGRLVGQTPKAQFFSCDMQYYTAKAEV SMKANGSVVAIVVDKGSMSALDSSQLDIAGFKAYLKRGICPTGNVCPSGNGTLTNYSL DRCGLGIEAHKSPCSTSAQYSQVPISGDEFISKIRMDMKLGFARLLSRLFNTNAAYTP IQGVGTTDQVAVLVITFPAIASESRENILKSDPGSIAAMCSIVAHLFNPTGVQAFGEY TDKLTARQLNRKLRAWRCYCPITVFFRSIRSRSFVLSLVALCCIINTGLPAVLGALFT QSRTRAAWPTQAVELRYDYSTILNSSTVPTFFQNGPIESTLLNSVSLLPWTAPDYSFV PLSVHTNNMEHKYEAVTLGIGADLNCHQVPLTQDLSKDENIPYLTGRDTEGMDGTPSV NKSSSEPYSIQLLAPSGVTNNGTLTVLAINSVGSNTDSPTLALHCQPIPKIQNFTISF DPSGILQYYYPIGSTITSGNLVDNVTSNLANYHEHFIASIQDIGNGTITDGPKLFPSD WPSSLIKLVYQNVNPELTTIDAQGLTDATKRVYQWLFAAYFTLQKDMYLQPLPKPITD NDAQILRPTWSIVCSLSSFIVALIMVSSMAIALVLVFITRYGKFKGPRIPQSLGSVLV WLTDSPILPSFYGTYDWTNDARRDYLVGLDKKYVFRMITTPAGEQKWVIEEDKCEKVG AOR_1_20094 MAPATLKVAIAGLGRMGARHAHHFYALTPRAEVIAASSPVQHEL DWAKDNLGGARTYLDYDEMLEKEQANGLQAVVIASATSVHAEQAIKAIRRGLHVLCEK PLSINVDESQSVVDAKNESIKQFPNQKVMCGFSRRFDASYRDAFNKMNDGLIGTPSVF RSQTCDKLDPSGFFVEYAQFSGGIFVDCSIHDIDLALWFYGQDSVVKSVTAIGITAVS PELRKYNDRDNALGIVEFYGGKIAQLYCSRMMAAGQEDSTEIIGTKGKLAVNTQPISN LVNIYEPTGIRREIPPHFYGRFREAFITEANEFTAACLDNTELPVQLEGAVAAVRIGA ALQESLISGKKIEFDEQGNRI AOR_1_22094 MNRRQNTKQKAREYPSNQHEIKTLEAIATAFLILDSLALKTLKY KGDAEDAEKFEKLTKDYVDRIENDPLRNLRFALRVSRFVSDLELSDLLDLISRPVRTT YYWDAIYLRVNACYEAKDEEDSRYFTDDPPSDEEYAKKELRAIVEEVQKLDRHVRDAD DKRYAGEKILQLKAMLGKLDGALAIACEYLGDEHKPLANWDTTDQQ AOR_1_24094 MASPEPPRPPPVSPQGRAVPLQPPCINCRSKHLRCTYETDQCEP CKRYNRTCVRRKPRKNPIQFRPGSRAKYDQAFAPDQVWVKLGRRSAPLRFIDETVDVI SGYYSASDSENEEIDGEDVSFRAGAAVGAQSEHARFHALGPNSSTKSATQCGTQGQTP ASEMTTLISSNTAALHRGASIVPTERQREIHRPVVFVGNTDIRLQAILLRYFAEVIGP RFDLCDNERHFSRLVPQRARSSPTLLNAILTTSARHLTRLQRYRNSAGVVEWQGHLLP NLSEESAVYYHNECIKDLLRLSMDPEQIHNEALLAAAIILRTDEEMDAPLHEGEEDTE VFLGMLNMFINAQVPLVATLPHSSPPVYPSQEELYGSGVHLVHAPHPPDSPSHPLSSP TPQTNILWPYTIPHVPRPDGLRQAAFWVALRQELFTSFMKQRPLNFPMNHCDAFRNLS PAEDVIWADRLVIFCADLLEYCYGSSYIHNADQPSRRHHDPARWHKLRNYERDLTLAL PKSFEPMCYREPDTSSGQVFPEIWHLESCHVTGTTHLELARILLVAFDQSRPNLGPGS VSSQRKLATTLKNIVRRLCGIAMCNRQSPPAFIEALMGITLCGEYFNDRGEQEALLGV LRTMRQEHAFPTGKVEKMLLEAWGWD AOR_1_26094 MNDPKKASLPENAHIEVAAGSLTTETGIDDLPISMFVWLVAFTA SIAGMLFGYDTGIISAVLVYIHDGLDNRTLTSNEKELITSLCSGGAFFGSILAGLTAD KLGRKAAIYFGCALFTIGAVLQGAAYTIAQMAVGRVVVGFGVGSAAMVVPLYIAEVAP AKARGRLIGLNNMSITGGQVTSYAIGAAFANVNHGWRYMVGLGALPALILAALMPFCP ESPRHLVYNHRQEEARGVLRRIYGHPSDVQLASVLASISAACDEAREINEGATRWTKM KQLHAVPSNLRALISACGLMVISQLSGFNTLMYYSSTLFALVGFDNPTAVGIVVAGTN FIMTWVNMMVIDKMGRRRLLLSTAWGMSVGLIAIAVAFSFIPVDLDTLDLKSNSVSPP AIVVLVFIIWFVLFYGVSVGNTAWMSTDFFPLEVRAMGTMWITCSSWGSNVIVSSTFL SMMKSMTPSGAFGFYAGICGVGYVLIYFFYPEVSGLSLEEIKEVFKHGFGVRYARNLR KERRDIIQERLRIQGKTAVVGH AOR_1_28094 MESIFLKISSDVSLHARISRPSTDNQKPLLVLLHYWGGSSSTWH KLTSPGSPTSLDTTYPILAFDLRGWGQSMGPSEEHGMAYSITAMASDVALALEKLNQD ASTNHLLNHGFIFVGHSMGAKVALATLSTLNENLLRELKGLVLVAPAPPTALSLPPEM KEQQKVAYETEESVRWTVKNVLANTKNLSEDDIELVVHDSLSGSNLAKKAWPTYGMAE DVSGSVRRALGIIGHAGIRASVLVGELDVVEPRERVEAEVCRILEESGVKTSLKVVES VKHLIPLECPQMIYEEISLY AOR_1_30094 MFTSSINTCVSMVYTSYLFLDHGLIFNATGKTVRMGFEPTTFIA STSRDVCNRHCLGKVLNRFKQLDIKLDLQLYHQLVNEVSLTDQEENTLLERAILDDEP AKSQSLLALDFNKDDVTVKLYLYPQLKSLATGIPRTQLMFSAVRNVDKTRAFSESMNM IEEYFTSVRANAAPYWISCDLVEPNKTRFKIYIALFQVNFENAVSMWTLGNRITDPET MRGLAMVRDLWNSFDIQDGLREQKNRPGNPGDPSNIVPMLFNLEILPGKAYPQPKIYF PTTGMNDLDVAKVMVEFFKRHGLHEHAQSYIDNLASYVPHMDLNDCTDLQAWISFSYS DATGPYITVYYH AOR_1_32094 MLNSDDEADTNASYQCIFPRLATCGAASTDAGCVVPEQSERSAV FESEQNTASLYHTSLVAWSILLAEYTDLKNVCFGVFPCEDSDISSIQQWEAVIDSQLP ISDAVTLRSTRRWLLEGPARLEVFNTCIVFSPNDPQEASCFKSLRSIEKLSDIVLLVE PLSPTPQLSLRYGSSALNDVHAQNIASMLKQIIHHLTNSPSRPLVDSCLLSKHHQDQI ARWNSDAPAVPLESCIHTLFRVQCMLQPDAQAICAWDGTITYRELDRLSSAVQGLLQP YNPAPNSVVPILFKKSKWAVVAMLGVLKAGAAFSMLDPSYPTKRLVDICHDVDAKVLV CSEELSIDVSGNILTIGDHNIANASYSAVHPVKTASHDAAYVVYTSGSTGAPKGIIIE HGSFCTNVMASSRAQNLDRSSRILQFASYAFDVSIHECLTPLLLGGCVCIPSESQRVN SLKEAVRSLGVNWMELTPSVARLWQPEDIPTVSTLVLGGEPMLPSDVSQWKDKVRLVC AYGPAECTIVSTVQSCVQDLGNIGVSPGGTCWIASQDNHQRLMPVGCVGELIIGGPIV GRGYLKRPCLTKNAFIINPEWASLFGLDETYRLYKTGDLVQYNYDGTIAYIGRKDTQV KLNGQRVELGEVEYQARQCFHDAVIAAEIAAPAGRKPTLILFIAPRQEYSIQMDCKTL LYPPSAVFLGQAQAARSGLQNVLPRHMIPTAYIELVAMPISRTGKVDRRVLREAIENV SEDDFRAYYPTPHNNMINSPNTPVLDQLRHLFSAALDIPEDKIGPNDSFFQLGGNSVS AIKLVGDARDQGLKMTVEALFRQQTICKLETCTHQTSGSIDTPISAFSLLDPSSKATY IAQATEQCSVSPEQIEDIYPCTPLQEALMAYSSKRPGAFQATFRFRLPQQLDILRLKE AWITVIAANPILRTRIVHSETGALQVVLRPEEPLQWDLIYDVNEIPGSFMSYGAPLIN VAVVSDTGGKPDRTFCLMMHHAIFDGWSYALILSAVEGAYKHMNAVQRPFTPFIKHIM SCNYESARDFWCSEFKDTQAVPFPVPPFTSGHMVNSITTVQRQIHISEWLGGCYTPST IIQLAFAMLIAWRTSSMDVVFGLTVTGRNAPVPGVHETTGPTIATFPLRTILHGRLNV ADSLVLMQNHITRLIPFEQTGLQRIKSLSSETASACQFQSLLVIQPATNRKSQRILSE CPSNEYEQVKFSTCPLTLVCELEADKLSIKAVFDNAVVVADGMQRMLDQLEYLVDMIT KSPTSKIESIIPRPSNIYPDALRQGQSWSAYIEKKAYDYFDGEVSVVVDTIVPKGGSN QHTVMFICEADQNCESAELSDLFTRPTDQVRWQLHQLICSLQESLPCSVVPSLCLPIH SMPLDAFGQPDRSRLCEEASSKSHCFLRSLMVPANNQIDYNILPGEARLRTAVAHVLG MEPKNISPKDDFFALGGDSISAMQVVSLCRKHHLSLTASDIFDGKSIETIASSVKPLT LYTPPSTPGSDRSLGARFPLLSLKSDRDMEVLESAIMATYDFQSMDSIEDVYPCSESH QGLLQTQMLQPFNYQSYTIWEVTTGSMASPVCPIKLRNAWFNIARRHPALRTHLIESP LSVGIHQKIHIVHEDYVTDIPIISCADEQVFAELRKPFLQTSTKIYYPHAFTICQTIS GRVFCKLEGGQAFLDAASVLIILHELSEAYDGQLSPLPGPLYGPAVAWFQSLRNADDR MDYWRRQLEATRPCIFPMLRDQDSPTETLVITEHLASTATLISFCTLHGLTVTNLMQV AWGLTLRYYTESDDVCFGALMSGRDSQIVDVDKMIGPFFNVLVCQLRFGREDSLLAIL RRNQVETGNRLLNQHCSLIEILRFSKYFGQPLFNTCISVEQPLSMDSCNASLCFKELE TLEPTEYGLIATVAIGPTDVRLGLTYKSDLLTEEQAFKVANRFRVSLMEIVGSLDN AOR_1_34094 MEQHEMKPVAETDIETSPNKCLSPAASDANRQPHDDTSGQAQIK RLFNFTQMFFFSLTFMSSWETQALNLTAVLTNGGPEALAWGIVIVIFGALAQCASLAE MASMQPIAGAQYHWTHYLAPANQRRFITWMQGWVTWFAWVSLLAGVANTTATTIQGLV ITNYTDYIPERWHLTLIIFAILIVEGLMNMYTFQLIPWIELLAGILHVVLFIIFLALF VALAPRHTPEYVFLTTQAQSGWNNPFVSWNIGLLTPTWGFVGFDGAVHMSEEVRRARE AVPRSMVWSVATNAVLAYAIVICMLFTMGSVEDALNASFPIIEICQHATGSTQAATAM VCGLLVLGLSVTLASIASASRLTWAWARDGALPRWFSYIDRRHNVPIRAVWLPVFIVM VLACLNIASTAAFGAFIALSSIGLFVSYFIAISCMVHNRFRKDPMPIGNWNMGRWGLP VNIFALVYTAYVTVWLTFPSYRPVTGQNMNYALPIFASSTLFAFVYWFLYGRRHWPGL NKEVLRLVVERGELQLK AOR_1_36094 MPANGTEVLPPLLLVTPEDHGPWVIIVSTILLIVAALTTIVTLV SRVRILRSLTWSDTFLIAATLLFVSQTACVNLASSSGIGKHRDTLSDVAFQSYDKFLY ASHILSVLVLACSKVAIALLLISIKPFNTVLLACKMLLGLIGAWTITFTIALAIQYAR SPWWSLSSSRYMDQEALYTGLAVTHILLDIGLVVLPMILMWKVQMSQWKCFQICALFG LRFLVPVLTILYIVSLRPVFHSVPLDEPWYILMPTLWFQLLQSASIICTCIPSLKRAF AELQTGMMAGIVSEFFELSVSGAQGTTDGSTSISGKQSANATGHSGFHSAGDRKWHLK GLRFSNHGRIGSGMNSDLFEAIAVFTLAPLIGTAIGRITGGFVVQYVSWRWCFYVISI AIFTIQIIGLILLRETYGPVLLKRKAARLRKSTQNPDLHTEQDRTSTHLNTNLIRPFC LLTTQPIIQALSLYLAYLNGTLYLMVATFHDV AOR_1_1234094 MTVGLAIAAQFGVRFADKIYQRLRAKNNGQGKAELRLPILCAIA VVVPIGLFWYGWSARTSIHWIMPDIGAAIYSGATVLQLVCVQGCLIDTYQVYAASAMA SVMALRNLLGFGLPLLAPSLYGNLGFAWGNTLLACVAVVIGIPAPLLLWYYGEDLRGS GNYAQS AOR_1_38094 MVSFSSILLACSAAIGALATPIEPLADHPNEAFNETAFNDLVGR STPSSTGYNNGYYYSFWTDGGGDVTYTNGNGGSYSVQWSNVGNFVGGKGWNPGSSRAI TYSGSFNPSGNGYLAVYGWTTDPLIEYYIVESYGTYNPGSGGTYKGQVTSDGGTYNIY TSVRTNAPSIIGTATFTQFWSVRTSKRVGGTVTTGNHFNAWAKYGLTLGTHNYQIVAT EGYQSSGSSAITVY AOR_1_40094 MLTIQLENQLACYSGHEHLRGCVVYHCPNPIDIQEVRVSFYGRA KAKVQKVKGAAAPAATYRSKCILFQEEKILVTPNGGQLTRNTYEWPFEFTFPSQVESP AKWPEKAPFRSDEHHPLPPSFAVDVGDSQRKLHCVIEYRIEVQMFKPQKNFLSKKAPV YTEAIRVNFLPLAAQWEPTDHADKLSRKHKDEVFTVRSLLLLPENRGRSLKVGEKFQS WLSKKQLPQFDFKASFLYSTRVLQGTPVACVLDVIPCTDGSSMPFPGITLQSVSIAVM SRTSARASQSLRGSIAGDVDDRLEILSKTSLGMPVLGQLDLNETFGPLIFRHSEVSFS TFTISRSYRLCASFTFECVGKTFEFNANDLEFFIVSDVVSPTVQMTPMEQGTAITGVF ELADTTSRRSSSDEYDESPPSYSMTASTSEKPPKN AOR_1_42094 MTSSDSQNLPPPPPPPPSIGKTAPNPPSVVSEGPAGAYLVELLI FNGWPFKDHWAYWVRSHSDPDIGVQLHATGDVRNGFVFQIKRNYDFHDDNSERPSTRI PLQWIDGKFFDERAMMNNGVFTLDDVPVCGFEASVYKVKAPEKSLNTVTGTTIAEGQK GQKVNQSNCQTWIVESADQLVRDEIFNQEVATYLHAIEQ AOR_1_1236094 MVKIGDLGGAAFIRQREQRPVTPTALRAPELIHRIEWDVGIDIW TLGRLIFELATNEPLFPLGTFGLTAEQIDEEHLYLIGQVLDKNNQTGDTFTQYLTDRL PADFGAENLERLASFLSGMLQEKAQKRMSTAELLRHPFLFR AOR_1_44094 MRRFERIYDVVEPVEEYRHGCYHPAHLYDVFNDRYKVRAKLAFG QFSTVWLAYDQLRQQQVVLKILKADASKDNKELSILLQLSVQMEHTCA AOR_1_1238094 MAWAVKQGRLDILQMYLKAGVDTNFYTLYGVPMLSHAAYEGQIG SARILLRYGADPCLPTLCGGQAPLMYAVYGTLAKFRDTEGPNMVELVLRAGAKITSLK LFKFICCDIVNPEHLVQLAIMNGTEFLALKDTWGETVLHKAVRYSEGLTALISKAAPE LLHERTSDGGIVLYVAVDRGCVAAARYLIALNEITDVVNMDGETALHIAVKRDLKRVQ LLTGRQEVDINGLNASNETPLSIAISYHTISRCYFDIVDHLLRDPRIYIDPMSVLAMK HLFRPTDLWLLLLFEDVILLIRVVRSRSLLFCLIYSEAHIRGTDLKLPSDAQGALTLG LNQTNDHTVQAAMRLRQLGTTESVIFVSPLEVHQSILDARQKSVHDSIDSSDVLSWLI NQTCNNNRDLQPLYLSQGIDFCQRMQASIDHKNFLSDSDHRNDYTEHLKRPEQQTLEQ LYQPQSHEQCDLIPQVKGSMNNAALEEVEQEREVVFEIEQEREIQRPLRDSDELEEYY DSDDDDDDDDDDVVAEDVDMIEGGEDDVSDVDVDMHEED AOR_1_46094 MASRSDGDGYDDSNRAFLQAFMARSTMTFAEARPVLAAIFSVHE GEPVSAEDVTEDDLASYIAAANTAISPFDLEIRSTLRQSQVDPEGSGNQPPERVYALV NTTSDALTQLATTYSADEISFIKRILDAMFDTNNTRRSEAMVVSSMQAIQLAKASSGD ASRRESGNATQSQGGAAQSLSMSQAETVLKQLVEEGWLEKSRKGFYSLSPRGLMELRG WLVATYNDENEDGRRMDKIKFCAACRDIITMGQRCGNRDCAGRLHDHCIRNFFRMQQA EKCPVCQAPWPGDKYVGERAITSTERSTQGRRRSSNTQRQSDVGSSSQIPSEDGAADS D AOR_1_48094 MANYLASIFGTEQDKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TILMPNMYQNPAYDPKNKMNPSQLQNHFDAFYEDVWCEMCKYGEIEELVVCDNNNDHL IGNVYARFKYEEDAQKACDALNSRWYAARPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKDPSNELDRELRLSTKKWLKERGRDARSVSRSPSPEPTRRRY AOR_1_1240094 MAYGAVTPHQMYKVTAECVLIGIAGLSMAVQVYITWAIFACCLP YTPWVGIALDGVCALGWIGAIIVLSYWDRAIVYMPREGDPGDWFTCAKAHTWDKVLTD DGIGLWINILWCEVEVDGRERLVGNGAARQQLHALIGLASVSLFFTGLILWWTNKRRN DYF AOR_1_52094 MPPNNSASWLMAKQAPNSVIDVAPYTTPSANELVIKTKAVAVNP ADVGIQKLGVLLNDYPAILGCDVAGEVVEVHPTLAEVYTPGDRVIGAASPLDRKDGKY CYSAFQEYVVLKVPSIAKIPNGVAYEDAVVLPLGINTAASCLFAEKTLGLEVPSIHGV RSPRGKALLIWGASSSVGACGVQMATRAGYEVVGVASKRNHEMVKGLGASVCFDQSDP TLVDDIATYLSGKEVVGAYGAIASDSALNAMCEILDRCGGRKLVASVIPGAEQKSTKG VQIVTNFASDIGNSEVGKAIWQWLTIAMEEDWIKYMPRSEIVGKGLERIQEAIDLLAE GVSAKKLVVSI AOR_1_54094 MGIPAKNMPEGWTNDPSELEEFFSPGSGEADLAQCHGLRDIKLL VMGTRDSGDMQHIIKSGRRYYWGYLMIDYIFEITNPKTFPAILRALATKGDSGLKYRK LKQVETVEMEPREQRVVEEEGPRLFVPYNPNAPSVSDK AOR_1_56094 MPRAEAGSTKAISNKIKSKGLQRLRWYCQMCEKQCRDENGFKCH TQSESHVRNALLVGEDPRKYIEEYSKEFLNNFLTQLRTSHQEKAIHANIFYQTIVADK THIHLNATKWKSLTQFVAYLGREGLCRVEETEKGLFIAYIDRSPEAMRRREALMKKER QDRGDEEREQRQILEQVERARQNADKEEEIDPEARNLQRKEGEKVKLNIGFGSKANGD SKTESPKPQSPEEKDNAASSATPEPAAASASPDSAPTPAPAPAAAPAPAQDAPKPAVK LSMSLGDKKPKNVFAAAAKKNPLAGKKGPVMEAPKKMSEQERIMKQEMEAMEKKRMRG GFGMPNPKRPKLT AOR_1_58094 MPPFKDEHILIIAPGSQVTLAQLGLPESFTPARYRFPTRMFPAE KKGEFEPYKIRERRQDGKPSNGTSAPKEDVEMKDAETSAPEATGNNEAAPKPENGEQE DKKDPAEGQDTNGETKKEAQQIFYEEDITSDEGAIYPLENGHIVDWPCFFALLTHVHN TLSPPFHTPIMLISQPAWSARDREAITQFVFEKFKTPAFCMMDSALAICYGYGTSTAA VVDVGKGKVDVTAVTDFLVNEHGRGIALEGCGGDYMTDRLQELLGSKGFTREMCEQLK RSNITEILPAGTPLPGTAATARQNPNPAAAASTGGQDGGPNDAVPRGPGEGTQTGPEG TNGEDEDEGVLDVAAIVSGNTSEFLANREKEKAEKSSSKKGSVDQSGKPIRLPNSKKE KASFQYEEYARLEPEKDAPNAPPRYIRQTREIEVGVERFLNILEDIATQIHHTILSVP DASKRSELWDSLVVVGNGSKIKGFTQALLNTITQKYVLSPSATIFTSELPSNFSTPMP TGGTNTPAPGQTGPFNTPGHGVNPLLVAATHSNNPTAGNMPGTPSMDPSMASHYRSTG HSQTPTSVKTLKPPEYFPEWKEHGNSNVPGTSGSAGMNNGPNAGPGGPGAPSGGHGME EAVFLGAQVASKVVFVIDQGLSKGFMTRVEYNENGPSAIHEYSM AOR_1_60094 MSDREFSSNDDLSLPKATVQKIITEILPPSSGQTFSKDARDLLM ECCVEFITLISSEANDISEKEAKKTIACEHVERALRDLGFGDYIPDVLAVAEEHKEQL KSREKKQSKMEQSGLSEEELLRQQQELFRSATEKYHAAPE AOR_1_62094 MSAKTIIVTGASRGIGLAIAKYLLTSPQSHNVVVIARSVEPLQK LKEQYNKQVEVLNGDLADLSIGQKAVDLALKSFGRLDGMVLNHGVLGQVGKIAQADPE QWKHGFDVNFISFVAFIKAGLPALRETKGKLVFTSSGAAVSAYRGWGLYGATKAAMNH LALSLGEEEPDVTTISIRPGMVDTEMQRELREDHATTLEPQVHSKFTTVHKEGKLLKP EQPGHVMAKLVLDGPKELSGKFLSWNDKALEAFQA AOR_1_64094 MNALRALCLEQDLEFALSFRSHDLGSRVDHLPSHGQDTSALSDE QLLDYFCEDLEMWARLQWLTADWKTKSKELCLQLDPPNGKSPRGLSEHEYQGIELTCI ASRFQRDIIASLLWYTSENGRNLTNVMLAFYHCTNVDISLMFCDSAWISLNCELPVMT HQMSYEQATNALQHAENGLQNSYLEAIFYAPTLYTVSMTRRYKSERRRIVDFISTLKQ KGFLIADQFLSAIEEAWAAR AOR_1_66094 MTAFTILLGLAVPSLTAANAAANFNLSIATLETYGCDSTCQAVF DYAQAEDRTLFGTDFEFGFYATASNFSRSQPGDLLKFEAINPDGLDVINGMSAYRFQY TSRDLDGSPVPATGFIGIPYTSFRKDQKYPAIAYAHGTIGVFAGCPPSTTPTLYDYTS WSILIEKGYAIIAPDYAGLGNNYTEHKYLSFPAHANDLYYGMVAARKAFPGLFTDGWM GVGHSQGGGSVWKLSESKLLQTGAAGKYLGTVALAPASKIYDMTLLGVESLSQTSNYA SYDILYETIWLPFAIERVFPGMSRAPFAETLQNRTKIADMAQACNYGIMSLAYGLKPL DLFTSAIKNNTDFQEWQDMVAPANGDKAGEPMMIIQGLNDTAVLPQITVSSFQDACRY GNEAHLRLYPGMDHSDVLTASSPEWLAFIDGRFAGWKTPGNCSTITHQPFDVAHMVTE PEAAEIASI AOR_1_68094 MVADPRPQTLAGKVAIVTGATRGIGAGLAEELARRGAKVLITYT SASSEPIADKLIEKIKNFNNGSKAAKVRADLRDLSAGETIVEASIQAFGPNIDILVNN AGVEVVKPLSDLTVEDYNLVYDLNVRGAIFLTQAVLPHLRAPGRIINISSVGARAGFA NLSIYCSSKAALEGLTRCWAAELGDAGHTVNAVNPGPVQTALLENIPKELVEMQKSAT PVEHRVGTIDDVAQVVAWLASEESRWVSGQAIAASGGFAMY AOR_1_70094 MPTVILGGGIIGSAIAYYLSETHPPGDIHIIESSTALFSSASGY AAGFLAKDWFSPDVASLGELSFGLHERLAIEYGGVERWGYMKGMALSYDATIKRGSGS QGDEWMDRGGSRAEMASRSTLGSEMGAPAWLTVRSGVEVERISDVDTAQVDPLRLCRF LMDTAVSRGVQLHHPARATSLVTDSGTLTGVKMVDLVSQEESTLPCTHLVICAGAWTS RVFEDLFPSAQVSIPVSQLTGYSLVLRSLRHTLEHEQQTYGGRCHAIFTENPFSCGFS PEIFSRQGGEIYIAGLNDPDMPLPGLAEESRNLIDRDELRRLKAVSTQLMGRLVDEDA GVTDVNMDDLEVLREGLCFRPVTHRGTPVVCRVDDDFLGDGLKTDGHGGIFVASGHGP WGISLSLGTGRVVADMVEGVRPRADVSKLGL AOR_1_72094 MTTLAFIGCGNMGSAILDGLLEATRSEPQKSKIEYFIATTKSAA SAEKLSEKYRSDPSRVLVASGSNVKVMEQSDIVLLACKPFLAQSILSEPGVAKALKGK FVISVMAGKTPAEIMEYIYGDSAQAMNRPVIVTAMPNVAARLRQSMTIIEENPALSKD RAEILTWIFEQIGTVKFVAPDLVNAGSMVSGAAMALLTLAVDGIMDGAVMEGFRRPDA MEVSAQVLEGLAGLLREGVHPAVLRESISSPRGCTIQGLYALEKNGVRGAYAEALVRG VKHLRGEN AOR_1_74094 MKPKVFWPRKPETFYSASKPLADAASPAHNAALHSSPAQKRAPL ADLPTKTLLRSLFLTSAMASPLLKPSIAVLKYVVDSKSPLLSPSKNPIMNYILRATIY NHFCAGVNETEVRKTVQEMKTLGFKGVILGYARESVAKVDAAGSHVEEWKNAQAIEDR AVDEWKEGNLRTLRMVGKGDYMNIKFTGAGPAAVEALARGDPVPPPRIKQAITEICEA TAAQGSRFWIDAEQQIFQPAIDAWTIDLMRQFNRDGRVVVLNTIQAYLKSSAENVHRH LALAGKEGWALGIKLVRGAYIEHDIRERIHDTKADTDRNYNHIVESLLSRQSPFQDLQ DTKFPDARLFVATHNAESVRRAYSISRHRILNGLPTIPLEMGQLQGMADEVSCGLLAE YRPESDVPLSGKSPVEASVFPKVFKCLAWGTTEECLHFLLRRAVENQGAVVRTQDTAA AMRKEAWRRIGLRW AOR_1_76094 MNTTKPSIPRLSALFRKKPFPMPSPGPPLPPGILIDEEISPVYN SKYFYPAKPGEVLVNRYQALVKVGWGVSSTVWLARDLQGHIEEPESVVALKIANNNAS SAGHEREAEEHISTVDPSHRGHSLIRTLLDSFEVNGPEGSHSCLVYPPMREPLSMYQR RFGDRRMPLPLIKTYILHTDLKLENIMVSFEDPTVLADFLESQLEKPMAFKIDSTGRP VYQSRSDFGPLKSLRSIPQLVDFGLATRHEEDDDWGVWPIQPDHYREPEVILGIGWQM PADIWNLGVLLWDMIEGKELFQHIHDQQGRYDAKLHIAEMIALLGPPPPEIIRRYQYM REYSWPEPVRREDDIVCETAEEYFCGPFFDNNGRFLYEDLIPDRKLGDTVSFLEGEER EAFLDLAKGMLLWHPNVRETAGELAGHPFLQPKQTSP AOR_1_78094 MPSLYVAIYYPRYGNYQHWALHLHTATEDLIFEVDGEHPTFRKM ESRGKPSDSDSLIMSLLVSEIGDVDVPTVKAAVEAARVDNETLEWDCQEYVLDILEPC EQEAVLDGNDEHYVEVMKFLKSKRGPVL AOR_1_80094 MKLRNLAPWALLLTAVHGLPSQGTQNKHNPRVAKILKRHEGSSQ KAKDSNNVYETKFDGVTWDEENWLLKTTTLDQGHYQSRGSVANGYLGINVASVGPFFE LDEEVDGDVINGWPLYSRRQSFATIAGFFDSQPTTNGTNFPWLSQYGWDTAISGVPHW SGLILDLGDDVYLDSTVDDSTITDFQSTYDFKAGVLSWSYTWSPADKGSFEITYRLFA NKLNITQAVVDMEIIPSVDANATVANVIDGYSAVRTDFVESGQDDGALFSAVRPWGIS NVTAYIYTNLTGSANVDLSSRTLVTGKPYVNTNESSVAQTVNVKFTAKEPVRITKFVG GASTDAFADPKQTAKEAASAALAAGYKNSLESHASEWANIMHENSVDRFTDPTTGKLP EDQHVIDSAVIAVTNIYYLLQNTVSQNAIAAVSNATVNETSFSVGGLTSDSYGGQVFW DADVWMQPGLVASHPEAAQGVTNYRVAKYQQAKENVKTAFTSSKNQTRFDPSAAIYPW TSGRAGNCTATGACFDYQYHLNGDIGLSMIYQWVASGDTEYFQEKHFPIYDSVATLYS NLVERNGSSWTLTNMTDPDEYANHVDAGGFTMPLIAQTLENANTFRQQFNLEPNDTWT EISENVLLLRQNNVTLEYTSMNGTAVVKQADVVLVTYPLAYESNYTAEMALSDLDYYA NKQSADGPAMTWAIFSIVASDVSPSGCSAWTYHQYSYDPYTRGPFFQLSEQMLDNASI NGGTHPAYPFLTGHGGANQVVLFGYLGLRLLPEEGIYITPNLPPQIPYVKYRTFYWRG WPIAAESNYTHTTIRRDTKTAPLSTADERFRNATIPVHVGSDEAETHTLQPTGSPLII ENRQIGTIPTMQGNQIQCQPITSPDEYKAGQFPISANDGATSTKWQPASSNLSSITVT LSDTQLANAVSGFHFDWASAPPVNASVIFHEEVIDNPASVFAFGTQDQAQDEGDEKYR VVLTLTGIEPSTIYTAEEENQVRIPVGNTTTTQLKETVKASKYATLLIAGNQALSGEQ EDAGATVAEWVILSQEGGQSQSAATAQRRGMNVRDRALLERLRR AOR_1_82094 MSVTTAAPVEAKTEPQKLSGLNLYSRFAFAGAVCCSVTHGGLTP VDVVKTRIQLDPVTYNRGLIGGFRQVIQNEGAGALLTGAGPTFAGYFLQGALKFGGYE FFKQQSINTIGYENARNNRIAVYCVSSAFAEFFADIALCPLEATRIRLVSEPTFASGL VSGFGRIARQEGLAGFYSGFGPILFKQVPYTMSKFVVYEKVAEFAYANFFDKEKTSDG MQTAINLGSGLIAGFAAAIVSQPADTMLSKINKTKGLPGEGTTSRLIKIAKELGFRGS FSGIGARLVMVGALTAGQFAIYGDLKKAMGAVGGVEIAK AOR_1_1242094 MWTRLTLDRIESAGNNSGSLKKLASSKITAFGLKQRLSNSLAFI TVVTTISVTSVISLSTFSSNRRGSRPAGVEDPTGLRDLSRASSEASKAPTVWLSEELL HLPQVITRRRDSSKMYYAGLIERPSA AOR_1_84094 MATQTAQAIALSTFELLELILLQLDTQTLLTAQRTCQTWHRIIQ ESVAIQKALFFIPMNSSSANIKVQNALLAKKFPGFFNSNLTLLANVDMLQRPEKLEAY IRPEASWRRMLVQQPPIFRIGILVSCFAFSESYTFYELSTREDGLRMEELFEAFLFHR DVVGLDNPATNWWGNTDSPGWRKIEQMTGRTMETDLVISILGGSTCTDYDDDWESEDV IQGVRIRDVYQKLNLQPYIPVNEARLNGWEYSRWYD AOR_1_86094 MSPYNHNHILGKICPGDSTAERQETLSRERIGTLGNWFLKDRLT QEWINGSGLQLLWAYGPAATGKTYISSLVVDHISSKESQSGIAVFYFDDSHPQYNVAD FGVAFRSVSRQLMTQIIEPPTDILDLAEEYSAFYSLDEGESGLWSLITSSFESVFVVF DAANASEAALKDMLVCLGGNDVQNSRLHILITSRYPPPRSLLRTYKLPTIVTRASDDD LMTYIMHELGDVSGEKHLYPIPKDVREAMSDIIEMLDGIFPPLPRFGAISDTLNELAQ VLSNVPSSEKSKALSQLALSHIEKAEHEKNPIQVLHLVSTLEEIGYKPTIPQIVDFLR FLGLYKNEDNDYTTHDVANMCAHFVSFGTCTQAMRIRSPLLQSHLCEEVSKSNTKGTM LRAALSYLSQEDFQRGACTSSASLKKRFQTHPFLPFASRIISLYTSRVPHQQEALDDF LRFASHRGSIDSYLQAADAWLYQDDDSYDELESAEQRWAYFPRGYGPLHVAAHIVGGR LFVKALLQQGGDVEAQSGNGQTALHVAAGIEDESETARTLLEYGASVSAVDDDGETPL SIAVVEGDLETVKLLVEFGADIGSLDTSILVECAEERRDVVEYLTGLGVNFPDEDQMD EDDEEA AOR_1_88094 MSSTSYPPEDPTDKTNASRGLITSLEPCVVYSDTDPARAIWDND SFDFLAKEYPPHFTNPSLWRQSQLCQKQGLFGVRPGIYQVRGLDLSNITFIECPNTKG VAVIDPLTSVETAKKALELYQGQFPDRAIKALIYTHSHVDHFGGAKAIADAAGEGLKV YAPNGFLEHAVSENVYAGNAMGRRAVYMYGESLPRQRDGQIGCGLGLTVSTGVSGLIP PTTGIKRTGEKIIVDDDLEIECQLTPGTEAPAEMNMYFPQYNALCMAENATHTLHNIQ TLRGALVRDARVWAKYLDEAIVRYGKDAHVVFSSHHWPTWDNENVNEFLTKQRDLYAY LHNETLRLLNTGQTGIEIAENFHLPPSLNNTWSARGYYGSISHNVKAIYNRYMGWLDG NPAHLWEHTPVASAKRYVKCMGGIAKVIDLAKEYTDEGDLRFAATLLNHAVYADQNND QARSELASVYRKLGYGAENGVWRNFYLTGARELHPQNKPVGSQLAMSAELLMALDLEQ LFDTIAMHVDGPKAWGRSLTIDFMITDMSRGWHLNLSNGALTGHGIEYREPSNERDAS LVIWLTHKDLTALIAGDRKDLGGLTTAGDVKYWETLVSLLTTPDPAFAIVTPRAIQGS ST AOR_1_90094 MKPNLDRAEAPKPHFQFISCRNNTPDHLARALANIPAKKTVRRF NARADIALLASMRSHTLTDTLRVAPTSPVGLAAAFRGPGLSGLNVRGVSGFSFSGCRC GEGHQAGHDDEEGLELHVAVVIGVTDCSGHTMWDDIGLEITKIGEVKISLIFLGRPTA PFTIRYNVAPYGSSWHLLRALVMVIKVHHVINGQIYQSMHSVAARRFSDRLISRSPSA FASSGCPKSTYPFTDSLELLTKGTCG AOR_1_92094 MLLNLNTLQKALLWLFIQVVILPYTIARQCYWRNGASTLGEQQP CFPDKENSPCCATNKQNGDPNDVCTSNGLCVAQVEPYTGLVLQNGCTDSRWNSSDCLN ICPDSMKPDYGIHILPCPDKSLRHWCCSLSGSDCCDSAFELDMGTLMLSSNSTNPSSS ASASATVTATVTAGTSNESATCNGDCHATSTTVAVGAGVGAGLGACLVATLCLLVFQR RMYRKKVQEMKALQASAFPYMQQYGPFVPKNPVEMPLNQRPTVFEIDSERPMRPE AOR_1_94094 MESHFLSRRLLKVSTLLCLSPVLAYGKGENGNSNFDVFDYIDPF IGTSDGGHSFPGATLPFGMVKAVADTDGANQGGFASDASFVTGFSHTHDSGTGGPGSM GNFPIFIHPACPDDNLTKCSWQESDRSVRWDRESTKAQPGFFSISLNNGVHAEMTVTN HSALYRFSFPEAAPDSLNPVVLVDMADLHHSRHNGTTSVDPHTGRFTGSATFEPSYGV GTYRVHFCADFHGPSIRDTGIWLDDEVRPGKNTVSLNASGSGGAFARFTPPQANGTMD VRVGISFISATQACSNAEKEQPNFDFEDTVARANAAWKEKMGVISLDTSGVSTELQTV FWSGIYRTMISPQDYTGENPLWKSDEPYYDSFYCIWDSFRGIHQLITLVDPLSQSRMG GSNADVLIAEAYLKGIPDVDWDTAYRAVVKDAEVEPENFNVEGRGSLQSWKSLGYIPI HDSNTTAKGLRTRSISRTVEYAYDDFCIAQMAKSMGHDGDYKKYMKRATNWENVFKPN QTSSWRGSNFTGFLQPRNADGTWAYQDPMFCGPYLQPDACLMDENAKETYEGSSWLYT FYVPQDMAKLIRALGGRSKFIDRLSFFHDSGLLNMGNEQAFLPVFQFHYAGRPALSTE RAHSYIPRLFNTSVGGLPGNDDSGAMGAFAVFSMLGLYPVHGQDVYLISAPFFKEASI RNRITGNVATIRNINFDPLYKSIYIQNVTRDGKPWTRNWIGHDFFIEGGTLEITLGDK ESNWGTRIEDLPPSMSEYRW AOR_1_96094 MVSAVKAMVGVIFLFFLAVNVQMLLAAEILAGIRDIPSWSKKQS ETRFFVGFCGIPQASFRVACLFAIPKDMLENCDLAWALCDSLYDKTPSAAGYICSLSS AVHDLDVCMATWLEPSFCVFFGFLFEISSPDQLSLNPPFSLFIGN AOR_1_98094 MDEPIDINNPNDYAKIDELTAQGLLDRDAVLYKLTRRICKFGVI KSESELPHLRRTFDSLAVQENGTKTLTQTGFLSFLESTGFLPPSMRDTGALVYRSLLY LSQYPFHQPIPDSLTYMGLIRALAWTMAWRTRPIHEACRWSRTRSPADSRRQLFQSFA TGRDGKSVPFDAEYAKAQAQRRAFDFACASHDSLTCIFPKTNYDDHGDEMFHDILDVL FSIQPQIIWLAPPPRDCFRATARKLAGDERLPDLSIPQDQFRAIVKLLVMASIRGPTV PVEELIDLDHVVDCMVNRAIQRPDIGITWDMYDQAARNGMPMLMDCLTCLLTPLYKDP EDKDYALNNPRPGKVATLPIFAQMGSLGIFGLSRDLQEYKYYDLRTTSVTASTIADDL DAFPKAQLILLLSGKDLQTGKKTLFGYYVPLLEIRHAPFLFQLSDTSDSFRGNGPRPG HELDGGELIIGQRGNGAALMLRQDAKRAIVSHNVSDQFEPMYAANAWRGDCQIKFDVD EIELWMLPEDEEENEEGDGGEDGETDKEENGEKDEEEDES AOR_1_100094 MKLSKILSIVLATLSVGVSSRPTTLSNDVQQVPADIRDEPFKVP VDIEKRAGHEETERFKNTQKYLGKQKLQLGKTYSFQREMKKTQQGYGFDHTGIVVGEV VKVPVGQKYELDFKGDLYHLRAKLEGSGQKAWYKTWVESIPWDPKPTPKTVTALHLQE VSGKWEEKAVHANTAASEVSTKNGNRWQGKRNDCAEYVKAFEKAL AOR_1_102094 MPYSGAEALIFEMFGVVFRSIKEKERISAKFVAFQTTEYYRSLQ EHGKDGCFDNTIFDSVLRSFAEIQEGSNLTKVQTEKLVDEAFAIMFAGSDTTAFSLTI TLVYLCKYPEKIVKLRREVQCLRDFKVQDIQLATISQMPYLDAVIREANRLSSPLSTV LPREVPSTGCVISGHFLPKGTVVGFHLDDINRNPKFFPEPNDFIPERWSGEEGKKLQR WFVPFSKGSRRCIGMDFAFVEMKLAVAAIISRFEIWLDNPNVTLNSREMFVKIPEDDL RIRLRAITV AOR_1_1244094 MKGFRQKVKTLMPRYFGKRTQADGPSSFVRVEESIQVDIQSTTH TDDEKEKILTGPLDYLRENPGKDIRAKLIDAFNEFLEVPNDKLDVIKRIIDLLHNASL LIDDIQNSSTLRRGVPVAHSIFGVAQTINSANYAYFIAQRELTNLTNPLSFSIYTEEL IHLHRGQGMELHWRDTMQCPSEVEYIEMALDKTGGLFRLAIRLMQAESASGTDYVPLV ETLGLLFQIRDDYQNLQSDTYSTNKGFCEDIGEGKFSYPIIHSIRSRPGDLRLLSILK QRSEDITVRKYAVEYIDSTGSFDYCERKIISLMQQAREQVRRSIADTTHRGSQIEKIL NMLEIDKK AOR_1_106094 MAQNQPTILSPDIMESQLSMIDLITAMFPSPGEVEIPASTAQCI EKLRDWCQDPKIEPSGIPSSLLLAVHLPIIEGEKTIQVNISIPLQGEDSEIEQPPPLS YTLRQPDWMSKAEVAGLAAAMPQDDVLEAFEYIREEALRFLETRQTAASETVTGDSEP IVRVWFYFPSLSTREKRDDLVNHAPGYSLTGFVLAGKPGVLCLEGGSADIDAYMKFIK THSWGDIPSHQKKVSERFRETEGVQRVFPGMQEITDSLGERSGQRANRGDMQALEAWL RDRGLQEAFEKVIF AOR_1_108094 MPGMLHPFRWQIWHALWLLMSSFAQGVSSLLPLPALGWSAKEVP YDEEVLSLDLEENDFLYRIRRNSRIVYVSVLDGGILPPDYRTDGFLVLAKLQNIPKWN DKWKTLTVRNTAQGIESSPDEFPPHGLDLGQLNHPSSIFVNILDLTTVSRISYRLSRV RHGDETWVLKIARFKHEIRSLQHEVSVYSKLMESGFSFCPKFIGFVYEETKDRTIGFL MEEISGRTPGIQDLKDCTETVRLLHEHGIVHGDLNKYNFLVTEEGVKLFDFEVSAAQE DADPGSTEDELKGLAARLEDESGIGRRGSLF AOR_1_110094 MYLNHTHGYVVCDDLTISATVEDNGISAGPAYGNLKDGLKLNFQ LKDRKEFRFDFKNGSEVWVTEHGHDHMLVRL AOR_1_112094 MEDTFNLTVLGGVIVLYSLGLVIYRLYFSPLAKFPGSKLAAATG WYEFYFDYWIGGKYVFEIERMHQVYGPIIRINPHELSIRDPDFYNEIYVTENKRRSNH YDLFARGIGMDESHIVTVDHNLHRKRRKPLEPFFSRAGIARLEPALVEMSLKLESRLR QYEGKHTVVTLDHAFSAFSGDIIRRVCFNKDDFGDLFMDHPDFSPDWYNLIHNILRHF PVFTGFPLIARIVTYIPESILLKAFPQGQSLNRLKDVALQRITHVMNSKATELKDTHR EVSLFHHIVESDMPESERSPERLVQEAQVLLSAGTVTTARTIAFASFYILARSEIKAK LQAELRDAMDGWPEKVPTFMDLERLQYLQAIIKESLRLSYGIMHRLPRVSPDLPIQYK DFTIPVGTPVGMSSYFMHTDPTVYPDPMTFRPERWLGAIDPAMHRNYVPFTRGSRNCL GMNLAMAEISLILAVLYRPNGLKLELYETDETDVMIAHDFMAPMPKVTSKGVRVLIR AOR_1_114094 MRALSLASLIGIASAACPYMTGELERRDTGTDDATAATEEFLSQ YYMADNDTFLTSDVGGPIEDQNSLQVGDRGPTLLEDFIFRQKIQRFDHERVPERAVHA RGVGAHGVFTSYGDYSNITAASFLGAEGKETPVFVRFSTVAGSRGSSDLARDVHGFAT RFYTDEGNFDIVGNNIPVFFIQDAILFPDLIHAVKPRGDNEIPQAATAHDSAWDFFSQ QPSSLHTLLWAMSGHGIPRSLRHVDGFGIHTFRFVTDNGDSKLVKFHWKSLQGKASMV WEEAQQVSGKNPDFMRQDLFEAIEAGRYPEWELGVQIMDEEDQLKFGFDLFDPTKIVP EEYVPITKLGKMTLNRNPRNYFAETEQVMFQPGHIVRGVDFTEDPLLQGRLFSYLDTQ LNRHGGPNFEQLPINQPRVPVHNNNRDGAGQMFIPLNPNAYSPNTLNKGSPKQANQTV GKGFFTAPGRESTGRFTRAVSPSFEDVWSQPRLFYNSLTPAEQQFVVDAIRFENSNVK SSVVRNNVIIQLNRVSNDLARRVARAIGVEEPEADPTYYHNNKTTDVGTFGQKLKKLD GLKVGFLASVETPASIEAASELSKQLSEDGVDVVVVAERLSDGVDQTYSGSDAIQFDA VIVAPGAEGLFSTFSFTAPSNATSSSTLFPAGRPLQIVIDGFRFGKPVGAVGSAATAL KNAGIQTSRDGVYVDKSVTSGFVDGIKDGLRTFKFLDRFKLDH AOR_1_116094 MNLLLLAGLLPLAASQSVSDLYLENAPSSPRPYIIPHYANSHAV TIGSQLYRFPVTGPSSDYAFTLMSTNAPYSGSLGVLPHIHQKHYENFFNFKGRFQLWA QYGEEEQQGRLLTQGDYGSVPRNTTHTFQILDPDTEMVGVISPGGFEDLFYTLGTNYT SATNTPYVPQASNDSSATGPDASGISGLESFDVYAQLSFEPRRDFVNGSAPSDEGWHT EDASLGEPGKPYFIANNYGPKYLNSKHGAYQIIQPLVTNSQAQDTNFTLSTIILSRQR TSSSAPTWSTVGATAFEVLEGSVQIQIGDYPVAQLEMGDVAFVPATVSYSYWTEGSYA KVLSVNAGQDGLNQQLIAEGKDWGYPTFPRY AOR_1_118094 MVIYSTHTITMKSISALAAIIPLLPVTEALVGLDWSATNIPSTG LKDITFPISIANAPREEGFYFAQQFSFNGVTDIGYTGLQPRPDANGASIIHAVFSSFI PGSTSTDENCSDGADGGAGVSCAVEIPASYAPMYHLVIKNTEGTTWTGTLVDAVNNNE THIGEYTLPSGTGGIKESQVGFVEYYPWNAVASHTCGSLPYTNATFLNPISSESGVTA TVGKPYEYGDCEGQVRFDVQEVEDGFEVSVGF AOR_1_120094 MWEYYSGKVLFITGASGFLGTALVYRIISQAPVAHIYLLCRGGL PRLEEVWRQYLPSKYIECLYDTGLVTVIEGDILEPNFGINGDHLQALQEHVNIVIHTA SSINLMSSLEKLAKPVIHGSENVAHFGLQCQQLDRFVYVSTAYVNAFIYQESDDTDPY VEERIYPLGREWISDARDEWKQVQREGKSLEYSAHNFPWPYGYAKHLTERLVLYIFSA AGKAEKLLILRPSVIAPAQTFPYEGFSVPKSTPTTVFAAFFILTPTLVVRVTSRADDP ETESTIDEVPVDVVVDRLLVHLAKGTTGPVHAVGGVRTRYTFQAFWEQAMALRKLPWP LRKEWLDVDWRSKLLHPIARVYVIYAASYRFSEEKTVSLWKSLSEKESSELQLFSSGS RNGVDFAARAKQIRFVAEQFAAKGFLSRILFWLFYSSGFF AOR_1_122094 MATSRNWLVTGFIALDWVSIGFASPHFAVSTQQTYSSFTYTQVT SDAYATPLSTSVSFPTPIAPPFSQASTLLPSDLTYTTYSYDPSATITSDGQYGQSAYV NLWQNYSFVSSPPFTTTASATPVARAELVLPPALYNAPSDTGLKLPADFIWGVSSSSW QIEGGLQLEGRGPSVLDTIGNVLSPEAADRSDANVANMHYFMYEQDIARLAAAGIPYY SFSLSWPRIVPFGVAGSPVNTQGLDHYDDLINTCIKYGVTPIVTLNHVDAPTAVQADL DSLPEHFLYYAKIVMTRYADRVPYWVTFNEPNIGVGTLFQKYQDLTSALIAHADVYDW YKNTLGGTGKITMKFANNLAMPLDTQDSSHIAAASRYQDILLGIMSNPLFLGKQYPDA AIDTVDMMQPLTDDQIKHIHGKIDFWSFDPYTAQYASPLPQGTEACASNSSDPFWPTC VILSNVQANGWLMGQASNAYAYLAPQYVRQQLGYIWNTFRPSGILIAEYGFNPFLESN RTLDAQRYDLERTLYYQDFLTETLKAIHEDNVNVIGALAWSIADNNEFGSYEEQYGLQ TVNRTNGKFTRTYKRSLFDYVDFFHRHVQSA AOR_1_124094 MSYYLSMVISGEGKDPRHRSHWAFAIHQPAQVIGDLLHVRPIDL GRLWYEFEHRSDSDLILVDAIGLAKIADLDGSQRLQAISVIRDETAPKDGVRRCQDWV FSALIALEVEELVPSGTSELWKGLMGRTATEVEKAVGPKWTSFRGCHSSLR AOR_1_126094 MATAERRKIVVLGGSYAGVSAAHYLLKHVVPKLPDPEGYQVILI SASSHTLCRSACPRALISDEFFDQEKLFVSISKVFDHRNVTVSFTANDTIDTIDFHTL VIATGSSTPSPLLGLNRDIGDLRENWTAFRKALPTAKSIIISGGGPAGVETAGELGEY LNGRAWWFRSKLANPRVPITVVTSGPQILPLLRPSLASLAEQYLAQVGVTVIKSARVQ NVAPSADSKDALTAKTTVTLEDGQILGADLYIPATGTRANAGFIDRSLLTPDGRVDTN PSTLRVDKAGPRVYAIGDVSSWARPTVHFIVEAIPVLCANMKRDLLLAAGEDEGSVGE DRLFKEDTRETQLVPIGKSKGVGAAMGYRLPSFLVWLMKGRDYWLWTTEKLWSGRQWS KEL AOR_1_128094 MADEGASPRELVIEACRRDQPHLIEQVLKGMEGKSNEEVAEFFN GVTDSMGNHALHICATYGSGDTMDCLFDIQYFECDPLTRLDKDTPLHNAVRYANEKDR EIGLEMIEMMCEAGCDPRVRNKHGQKPADLVYNNPEIKSILQKTEYVLAEGLRDNSDN GSVHDSASDSE AOR_1_130094 MPLTFCPNCSNALTISRADPTPRHPLGVNRFECRTCPYQYVLEQ SYFEKTEMKQKEVEDVFGGKEEFANADSMATQCPAENCNGDRAYFFQLQIRSADEPMT TFLKCTSCGARWREN AOR_1_132094 MGKEDKQHINIVVIGHVDSGKSTTTGHLIYKCGGIDQRTIEKFE KEAAELGKGSFKYAWVLDKLKSERERGITIDIALWKFQTSKYEVTVIDAPGHRDFIKN MITGTSQADCAILIIASGTGEFEAGISKDGQTREHALLAFTLGVRQLIVALNKMDTCK WSQDRYNEIVKETSNFIKKVGYNPKSVPFVPISGFNGDNMIEASTNCPWYKGWEKETK AGKSTGKTLLEAIDAIEPPVRPTDKPLRLPLQDVYKISGIGTVPVGRVETGVIKPGMV VTFAPANVTTEVKSVEMHHQQLQAGNPGDNVGFNVKNVSVKEVRRGNVAGDSKNDPPA GCDSFNAQVIVLNHPGQVGNGYAPVLDCHTAHIACKFAELLEKIDRRTGKSVEDKPKF IKSGDAAIVKMIPSKPMCVESFTDFPPLGRFAVRDMRQTVAVGVIKSVEKNTGGSGKV TKAAQKAGKK AOR_1_134094 MDGPPPPPPPHGEKPNTTGGQEYRKASDLPEGNYDIFIIPPHSS GSGFLYLPSLQCQRNSFVAGSVCTLFVVLVWSFISPIFKTWYIAAAAGGGGAGGMGIG LLGIGVGIAGWGFGVYQAGFGGSGFGRKGPGGGAASGGAGANTGQAGAGGEYARGSGN AGGQQGNYGGNYGPPPGSQYSGNQYGAGPPPQTPPPNAGPGPNKSDSAKAEEKARAEE KAREEKAREERAREERAREERAREERAREQKVREERAREERAREERAREEKARAERER EEKAREEARRKEELRRKMEEFKRKREAERQEKQRQQEREAMEKEMRERREQFEKEMAA AREAAAKEARERAEKEAAEARAKAERDAAEARAKAEREAAEAKAKAEKEAAEKEAAAK AAAKKEADAKFAALKEAAAKKYAEKKAKDAQEAAAKEAAAAKDAAAKAAKEKSGSASV PPRSPSPKKPAPSGARTTMSADQDDAYSFRPYDRPRRPYGGTSSSSAYSESSYAPSQS TARTSPAPSNRGRYETKDPDKIVIKGVFQFNNAFIKSPAAQLVSGQGMVTDGLVLRIT TEGLFIDDDIRGVGQREWDVKAWTMKLVEVWCPQYASQKHNPPKQSSFFGRRDEGPSS AESDAYLINLLKVCKNTCRLASPGSQSEIQGLHVLRASIRDQEGRKYLFVLEETEGWK VGIGLQRLRKGALVRSLGVTNMSVNEGRSILGNLGYI AOR_1_136094 MPAVKDLMNKEEPPTFEDLLDIPWKTINELGVYLKLIFENLENP TAFSHFVYIGSAIVWYYTGGAADSMRTSQGQS AOR_1_1246094 MTEVERTAFRARRAAQTRGYRAKKKAESEPKPPRIVSAKNIRRN AMRKAQRAGDVFQSEKAKLQQRAVRARHRLKKVEAAGDAQRIEEAALALKIARVERWE FAVEHGNSVKIVPSKEDRRMYQPFICGALSQKYYFAKKRVFAVTVQLRVQARVE AOR_1_140094 MSYHSNPQSYQSHAASHRPIPSYNPLAAVNAPAGTFLPGTKVQV GNHRVVIEKYLSEGGFAHVYVVRLPQPVEGSDRAVLKRVAVPDKAALANMRTEVETMK KLKGHRHIVKYIDSHASQLRGGGYEVFLLMEFCSGGGLIDFMNTRLQHRLTEPEIIQI FSDVAEGVACMHYLKPPLLHRDLKVENVLISRHGTSSIYKLCDFGSAAPPRPAATSAA EGRLIEDDVQRHTTLQYRSPEMIDVYRKQPIDEKSDIWALGVLLYKLCYYTTPFEEVG QMAILNATYKFPSYPVFSDRLRMLIAWMLKEHPQKRPNIYEVVQEVCHMQGKEVPIRD IYANRSTSEARRYQELPPSPTETAQVGAVFSPPVQETQIIPEIAPMRRGRPTKPTSSQ HTSAKPSPSPFRGGSTDPFAVLDGGQKSRDSTDEFSNRFPSLDQFDILHEKGGKFDFE PAFAETKQEDGDLAQRLTNALADEAFAKRPSPEQAPQPPVQKQPHTSHVKSRPSESFN YREEPQQPQVPLYQPVPQKPTMVSTGTMTSPPQTPSVPELKPPSRPIYRFPSSDHQRR PSSQPWPADGDKKVSRPLNPPSPKKPSADPRTSADRISELSTSSSRPSLEGMRPSNLE LEELTSRSKSANSKTRPLSVQAASKYDFGRGSESARSSLDIPRPSYDMGAPLQHARTE ADRANISSDIDYLRAREEESNRKKEKRYSGSSKHTKRSSLSTLSLSGTKTLFAGRFGD AFRRFEQSNQESKAQSPAAEEPKQVMITSSELVEVPAEMSDAEEDDISPEMRRELERR RLSQEEKRVANAAAEYRRQVAERGEGGFRPGPDTRSSIQNRVQSFLEESNKSAPPPKT ATGYGRFTEETNPALPAKQNEPLPEPRINSRAAGHLYGSQGQSSPVKDRWETPGLPSQ ETANSGYAQSQRTGPSRPAAPPKPKSLRVRGSEVINERSQATPTTPSEDWEANFSRRF PSLSGLEMETEIEIPNIPLRTKEV AOR_1_142094 MAPITLSQVDADLKEAIQHLFEIQSAVHGYLGPETQQELVRKIK SLTQTLSTLQKNTTNTPDPTDPTQATNPEISIGNPKDPNLASIQLPPEIIDYVDAARN PDIYTREFVELVQRGNQDLKGKREAFAEFRDVLAREVRSAIPGCRGEVERVMESLGVG GEKSDNDNDVGGR AOR_1_144094 MTLDIAQVRSRFPALKQEQVFLDNAGGSQVLDTVIESIASYLTN TNVQLGATYKTSKLSTAGFANGYEAAAKFINAKPEETCLGVSTTQLLHNLSTALKFQP GDELILSKLNHEANSAAWVRIAERLGLEVKWWSASNPQNPVCDPNDLKQLISEKTRLV ACPHASNILGSIVDVKEIAKIVHQYPRALLCVDGVALAPHRQVDVKDLDVDFYAFSWY KVYGPHIAQLYASSRIHDQIDTLGHFFKGTDTLDLKLNLASANYEHVQSIPRVVEYFE PDVSASWEKIAVQEERLQQIILDFLNGNDRVTVYGERSADKNLRVPVISFTVRGTKSQ KLVEEVEKRSAYGFRSGHMYSHRLIKDIIGLEDVEDGVVRISMLHYNTEEEMTGLVKV LEEVIATL AOR_1_146094 MAHTTAIPQHVTSLLSHLTSRPGVQSTFILSRKDGTIIQSSGLL ATRPAGNSSPNVSQVDSAAEEQSVESMTPAESPTPSTPSSATTPNRQTSYQPSQAEAL AARIFAFVSSASDLSLSLSRPLDKNAHGSKTDSNGLQEGLGNGTSRDDGDGEASERED DDEVKLLRLRTKKHEIVVVPDKKYLLCVVHDAAHPAGNASAGLRSR AOR_1_146094 MAHTTASAQIPQHVTSLLSHLTSRPGVQSTFILSRKDGTIIQSS GLLATRPAGNSSPNVSQVDSAAEEQSVESMTPAESPTPSTPSSATTPNRQTSYQPSQA EALAARIFAFVSSASDLSLSLSRPLDKNAHGSKTDSNGLQEGLGNGTSRDDGDGEASE REDDDEVKLLRLRTKKHEIVVVPDKKYLLCVVHDAAHPAGNASAGLRSR AOR_1_148094 MLHLVDLQENSIAPSPIVPTCRLSPQLSRRDHSSMSNIPGDIQL PGLSNIQNRSEHVPDLVQFFQAQDSLSNTSSQSGSARDILKAGQRRLRQLAQRPKRTT DPHTKAEEASRQLLALQQEGFLPDSLTLPKPKKSAPKQSIDSTVSSTRSASDLSFQAS SRRDVESIGRPWLEDSLEKYEMKGGRLSSLDLREITSLVGAALPRPPQFEDVTPPPYQ ASTDHDSQGKSSHPSTGHGSNSTPSEPLDEIEALPKVPEIPDRTSSQPPEMSTSTLDN KQQSSHEQPAEAKPAGAKDAPEAADSKSSSAGTSNAMQSHSLKLFPDTVPPRMPNKGA WRISNGCPPPSLRSLPTKSAQRGSSAQSNDKNTKPSSSTQSCDAGLENLGCVDQMPPA QPTDLGRNNGTSQEHAKRPLARNGRRPASLPMGAIDAFPLPAPMRPLPALPEAVPGIR PSYGQDTIAGRRGLRANQQEQDPQLPSCSTEEGSMGSGARRDNHGSMNGRATPSVSIP ETAMDGGIKSARAGTTSVRASKSRADRVRALKKKDMSASRIYLQDSDDRPSEEGRQSF SRLSHRASSEKYDGTTAASRCTDEGVRSQYGRGSPDTQLFTPSSYGSKFPGQSTEAHA ISTRKGSSLGYIDAISLMNTQEPSSLPASARNSQVYPSGGTRSTSVLSERVANDVDPH GRSETPLPSSEDEGMDVGMRKQQAHPPSSRRRRPKLAPINVDESATRKTRHMKKPSSC DHSRPRTPRNRRSHGLEKASSQSPHLQDSYYYHETRGGRHRPSYVRELEKRIAHLEHQ NRTLQAALLGALGVGGKQNVEGLLGGSSTSLSTPPTSRSFSSMTNSSSSPDSHVMRNE RHAHRRQPPYHPETWIASPGSSRRSSYGSEESADIQELESIIEDFDFGWESDSERTQQ LGMRA AOR_1_150094 MEVMDIVGELQALPDNVHLLCPRQHDDDHARYDETDQVDESGKK IAELVAEATARREKFLSCMRILAYNEEGVEELQNWIWRKLDDTLEKCDLCIKQYYTGK IWLMEQLKENYDDEDIEKFSRMIDECDIKRITRNLTTATTKLKEVPPQEIGLHVLDRA SLLSIFESLSCDAMLRNDSLLQQYFDEPFRLIQTKRSLKVSDYIPAVTRFLFDPNQSR SFWAIQAWMRYSRPPTDTEFDWAIKEGLLGALRSASQQPVQVAAIQRLWRGMQLVVKR LDKDQITHHLRALEIDPCRLSVEHIGIQSPGLRFILNTIQIFLEKAPGDFWDAMQTIS PQAIIELVFYNPQLDAFLMQATEGEPYEKSAMKDMLSWITPFMSSLKGPHQPSACRSL VYQLLDRLQDARLPNLARYHCFHIGLSSLLYTLRSFTDHESSRGSVARIVLSETMEVV SANIARILEPPKFEVEQGRQREISTLCMDVIRNTLALECQSLKSDYEVILRYNTLQHG VSTYSAPIWDAVVKHLHEDNLALSTSALLGILPLVGLEKFPAKGGASQEKTHFNMIYG HLTHLSCLIIERLADFKPEHLDELFKSQDTSSALISALFAADLNTYQAAVDLIKNVSG QSARRDAISHLLQSFFTTTMYGLSWSFRRISNMKTFASAPRMIHTGTDIVDILCDSQT GMLRTRKLADRREILSLQKLWEYLWQALTTIFDETESWHLRGNDRMVMLEFCRDSIQF ADLLFDQYGVFLSAVVDADPSQETSARENFLKSPTATMSTMVKWLRLKDEYLATTLVG LVAKLLRRLGELSVTTVKEDALDYIEGVAVKSTVKTMLTLREKAELVRALEAYYKKPV VTASTASLKKQSSITAFAKPAELSATPSPSRTPDEFDDNVPDDVMLQLSRSVELNKER VAAEAKKRAEKAAKALPAIPRPAPAPLKSNVTVQAFREKREREREAKKKRDMAELARL KKGLPATGVAEQTAEQGSGLAGIGVKGKDHRPADSMMVSSGSESESESEDELDRELFG PKGGSKPDAVRAYEESKRLSLKQKGPVKKIKQVRSAKDMRARLAPDLSSLHRTILSWD FFANGDLPPNSGRTDYSLISNTFRDPIDYQKTFEPLLILEAWQGFQSSKEEGNFKPFE VKVATRLSVDSFVEVSTVMPALEVKDYGLGEADIVLLSKANSPTNNPSAPHCLARVAG INKKKGTVEIAYRVNPGNSFINALAPGSEIWGAKVTSLTPLEREYGALMALQYYDLCE EVVKAKPSPILNYSEATLSPIAENYNVNPAQAKAIKSALDNDAFTLIQGPPGSGKTKT IVALVGALLSGVLGNQGVTISRPTGVGNIKPPPRTTTSKKLLVCAPSNAAVDELVMRF KAGVKTIQGRHEKLSVIRLGRSDAINTNVLDVTLDELVNARLSQTSRKDSGERDLQKI YMEHKAADTAFKETRSKLDQCRAQGLPVPAELEREFELLKKKKTALSQEIDNARDKNH SAARDADLNRRKIQQEIIDGAHVICATLSGSGHEMFQNLSIEFETVVIDEAAQSIELS ALIPLKYGCSKCILVGDPKQLPPTVLSKVASKFQYEQSLFVRMQSNHPRDVHLLDIQY RMHPEISAYPRNAFYDGKLQDGPDMARLRARPWHQSELLSPYRFFDVQGLHQSAAKGH SLVNMAELRVAMQLYERLVSDFQGFDFSGKIGIITPYKGQLRELKTHFAAKYGNAIFN MIDFNTTDAFQGRESEVIIFSCVRASNKGIGFLSDIRRMNVGLTRAKSSLWVLGNSQS LVQGEFWNSLIKDARRRSVYTDGDVLKILQRPQFTGYKNVDMLDADGPESTKPSVDGT FGKNEPYVSPPEGPSGGASGLDDNRMCGYCGDFSHMTHNCDNIDAKEAARGNCYRCGQ PGHTRAVCTSERCLECGEAGHIARDCKSTRVLSKQEKQRIAREEYHHTQMQKQRAERQ RARQLGGHDPKVPVIQVTSGSNEKKPEQPKTDSSKGAAKRKHTDSPSSDTAKPPKRKI DQKVPPTAPKNPRRKIDANVPPKTEDLVKPSRDGPAYAPINQNKPPSGPRADAGPANQ PQRPGNGARPPPPMRKKKAVDPFIRPKRR AOR_1_152094 MASNHLAPPENVLPGSEIQEVNSNISHPTKRQRWATQRVHGAGG VRKRVSIMDRFNKRSEMKDEKRKSTSSNLPTAENPNAEGDAEASNRRIYFNIPIPESE RDEDGHPKAYYPRNKIRTAKYTPLSFVPKNIWLQFHNIANIYFLFIIILGFFSIFGVD TPALNTVPLIVIVVVTAIKDAIEDWRRTVVDNEVNNSPVYRLIDWNNVNSVEDNVSLW RRFKKACTRATIWTYRAIKGLAQKNKKQHDPEEADRHEAIQMTPVPSPTPEARPDWTH AVDEQSQYLHPDKARDSVAVPAYTATSPKKGGSVVDMSKPIIGKARFKRDYWKSVQVG DYVRLYNGDPVPADVVVLSTSDPDGACYVETKSLDGETNLKVRQALNCGRQVRHARDC ERAEFVIDSEAPHPNLYAYNGAVRWDQRDPDFPDAPRKEMIEPITINNILLRGCSLRN TEWALGVVLFTGDETKIMLNSGVTPSKRARLAKDLNWNVIYNFIILFFMCLISGIVNG VAWSSTNRSLNYFDLKSYGSTPAVTGIITFWVALILFQNLVPISLYISLEIVRTIQAV FIHSDVFMYYEKLQIYCVPKSWNISDDVGQIEYIFSDKTGTLTQNVMDFKKCTVNGIS YGEAFTEAQVGMVRREGGDADAVAARERERIAMDTTKMLELLRKIHDNPYLRDERLTF VSSNYVADLGGQSGDAQRKATEHFMLALAVCHTVITEHTPGDPPQIEFKAQSPDEAAL VGTARDCGFTLLGRSGDDLVLNVMGEERTYTVLNTLEFNSSRKRMSAIIRMPDGHIRL FCKGADSIIYSRLAPGKQQELRKKTAEHLEMFAREGLRTLCVADRVLSEEEYKAWSKE HDIAAAALTDREEKLEEVSSNIEQELMLIGGTAIEDRLQDGVPDTISLLADAGIKLWV LTGDKVETAINIGFSCNLLDNDMELIVFNIPGNESHRAAQELDQQLQRFGLTGSDEEL LAARQDHTPPEPTHAVVIDGETLKLMLDDELKQKFLLLCKQCKSVLCCRVSPAQKAAV VRMVKNGLDIMALSIGDGANDVAMIQEADVGVGIIGEEGRQAAMSSDYAIGQFRFLQR LILVHGRWSYRRMAETIANFFYKNLVWTIALFWYSIYNDFDGSYLFDYTYIVLVNVAF TSLPVILMGIFDQDVDDKVSLAVPQLYMRGIERKEWSQLKFWLYMADGLYQSLICFFM PYLLYSRATFQTANGLDIADRTRMGVLVATSAVIASNTYIMLNSYRWDWLTTLINVIS SLLIFLWTGIYSSVDASAQFYKSGAQVYGTLSFWVVLLLTVTICLLPRFTFKAFQKVF FPLDVDIIREQVTQGKFKFLEQYEAFVPPKAASAAASGQLLSDESAASSDLGKPMQPS MKQDPFSDDQQIYTPSVAPTSRTHQTHNHRSQNGSNGTNYASSLDTTQHYHTQPVDYV RGSAERTRHSFDRVRHDFEANNELTRVETGLTSGAQEPQSPHSPLKAPYDPPSHSS AOR_1_154094 MSQRSSIKNYFKRPDFSASRDSRYQDPSETPAESSQSSPLTEPP SSFVTNGGSPQTPDGPALQLKQSLLLSVTDSTENSQHQPSSQNTEPAASDPTLPPSFN LAQRVVRNGREVVISSDGEDTDTSEAFEDPTSLFLKFAKPDDTSTNEETNNDSSSHGR TLRSRPSRDDVKPRRFSLSRVSAPNYKHSIDSLVTQAVDDNETEASIAKLRATLEEEN ARKAASKSEAAPGLQQLHEGILTSALDSQNDETGLRRLLDAVRRTEAFDMEKSWFFFD HGSELAPPPAFPRNCIPAKSSLSVLRDPESRERAFHSGSVDFALSRGLLPDELVVWIL NSVPSEPRDTLRNAYCRAFKNTTAERIQSLVRPDSIDAIFQRMGASPKALTFSEVIVP DAIPKNSSLRAAPQHQAALLAILNLLRGAADLFSDETREHILSILFRLSLDISLTRDP MVCSELERTIIAVLESIPEETADNLVYRVCTSAYETIKDAVFQSRLLTHILPTSSWIA MLRCRLAVAFLTSDPSSLAEEPDVMGYLRRIIDVLKDKRFDVKRYKMKGQPEYDYGEL MAITTVLNIAIDSGWSGVDFSSKDAEREFNSEVDVLADRIKRIFTSIEDSGASHLKRT LAKEALEALHYRIIYSVRTKPRPKRSIFGENGADSQNKKVFDPWKIKIKQDPETPTQQ PEASP AOR_1_156094 MSQSHALSDDQVAGELRKMTAFIRQEALEKAREIELKADEEFAI EKSKLVRQETAAIDTLYEKKFKQAAMSQQITRSTLSNRTRLRVLSSRQELLDELFQQA RDKISSIASKDAKKYETVLQGLILEGLYALNEEKVAIRVRAKDTDAAKKAIEEAQKVF KEKVGKDVTVEVDEAEPLPEGSAGGVVIIGGQGTIELNNTFEERLRLLEIDALPAVRE TLFGKNQNRRFYD AOR_1_158094 MGNLGDLSPQGSVAVGVVVGLVSTSLQAIGLTLQRKSHILEDEK HPYDLRRPPYKRRRWQLGMLMFVISNIVGSTIQITTLPLPVLSTLQASGLVFNTVFAT LILGEAFTRYSLIGTILVCIGALLIATFGAIGEPAHTLDQLLELLQRWNFILWMAGTA VLVLVILLGSRLLKYFASPLRSKHSSSRHSYVPHLQLTPGRSRLIRGLCCGLVSGILS AHALLLAKSAVELLVRTVVDRVNQFNRWQSWVILIAMITLSLTQLFYLHRGLKLCSTS VLYPFVFCIYNIIAILDGLIYFRQMSQLTGLHAGLIALGTLVLLSGVMCLSWRLEVID SHAAVTVVGPSQTALGPGMAVVEEHPRSSLELGLEDEEDQTGEREPLLWKASHRRASH RRTPSLPLLTPQGSSADMDPASIWAELDESDFDYADPDSRTPLLKRLSADPFKSQPRG KTKSITLKDASLRGQRHSSTSPLSHQTWNPRKVRPSVFQPGHQRRTSAPSPDNRRPPQ KRRTYAGGPSFFDISGLGYGTQDNRSEAPSSREPPPPTDTDATPLAGTSSGALGTAWR TGLRYLSRLTGGQRGSDQRTHNDPEHNPDNHTDR AOR_1_160094 MADITAVGEENPSPTQDDLQQAAGNGAADNRGTKRSRMSADDDD DDDDKPGRERRKIEIKFIQDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVVSET GLVYTFTTPKLQPLVTKAEGKNLIQACLNAPDPTTNENGVEAGDVPTEAPEDVAAAHN NVNAQQQNIPRPAGMHPGYMTNEQQQQMAYYQNLQQQQQAGGQYPGMPVGNRMPPQHQ PTA AOR_1_1248094 MPIFRTRTVFPLIALFSIGFFFWCIERYDRAAFLRFKHPVDRVT TSAGTPQIQLQPSSAPTAKMCDADPSVMPPLPFTEWLPRKNYTRAYFRPHHVSPKTEF SSLEEIDIPVLPPMTVMERGMVVSPDNEDEDISCPPIIDVNVAADHDVDETDKLLFGL ATTADRLDRLLPSLLYSYGNTKAGIIVLVPESDDDIPKQETYFRNRGLDLTLIQSPLD FTARYFGLVEAFANHIRTKRPQTQWVSFIDDDTFWLSLPTVAEELKLFDVTKKHYIGS LSEAHWQVDTFGHIAFGGAGVFVSKPLLDVLEEYYDECQSWGEQPGDQKLGQCIQRYG DTPLTLWPSLYQMDMKGEVDGVYESGRKIESLHHWNSWYTKDVVKMTTVAAAAGRRSV LRRWVFDQEEIVNNSTGKSTRTFWVFTNGYSLVKYTYGENTPDDAIDFDHTEKTWEED PRGYEERLGPLRPKEHDGVLKDRWLLREAYVVGDNVHQWYVREEDEGHSVIEIVWLGP KGGGGAGIKDYNVRKHH AOR_1_164094 MEPLAETPWDVTISGTGLAQSLLALALSRSGKKVLHVDQNSYYG GSEAAFSLQEAQEWASKVNGDPEHYPFEDATVYLPEGTPQLPTRSYTVTLSPHLIYSK SRLLPTLVASKVYRQLEFQAVGSWWIHRPSGVDGTSVLYRVPGSREDVFADDIISVKS KRTLMRFLRHIGKPPPDNDSEAEEENLAMSLPEYLTSKFQVPAELHEPLLSLSLSQAS PGQTSAEYAVPRIKRHLASIGVFGPGFGSLLAKWGGGSEISQVGCRALAVGGGVYVLN AGVESIYNLHQSDNGDDMRVQLHLSNDETIKTKFLVGSNWDLPGQGRPACDKVARSIT VVSSALESLFPVTAEGGPIPAGAVVVFPGSSFDQSDDLPPVYLLVHSSETGECPPGQC VVYGSVSHAGAGGQSLIESAVHRLLQTNAEPDAKVLWSLRYTQLGLSSNGANAHSSKF EGPSSNILCFPPPSLDLGFDDALIDRVKEVWKSVMGDEANEQEFMNFEEREHADDDD AOR_1_166094 MATDVAFDTSMGSFTVELYNSHAPKTCKNFATLAQRGYYNNVIF HRIIPNFMVQTGDPTGTGRGGSSIYGEKFEDEIRADLKHTGAGILSMANSGPNTNGSQ FFVTLAPTPWLDGKHTIFGRVKSGMRVIQRMGLVKTNGEDRPVDEVKIIRARVVEEGE E AOR_1_168094 MAEVSPFAEFLGQAIYQFTAVKPLLPTYGHLLVSVLFPIYIASH ASLSRPSSAAEPPKKSQDADGDESDDEDEDEEEENDDRRVEGLAPSDALIFPLTAGLT LGGLYLVMKWMGADKLNKILGFYFSQMGIFFATAFVKDSLAVLRSFVFPRRYSKAGKT WKVKQSDRVFTVVQEGSTTSEPLEARNSPLPGVFGSIQLPGPVLNLLWTCRNLLYQRV KLRVVLRRIIKTECSVGLLDLFSLVLALPAIGYFTFVTKPWWLTNFLGFSFCYGTLQF MSPSTFLTGSLILSSLFFYDIYFVYFTPLMVTVAKGLDVPIKLVFPRPAGPDAPPDAV SLAMIGLGDIIVPGMMIGLALRFDLYLYYKRKGLQKAQAEGKAQEIVKPVYQSATGGW GERFWVSPTAPSQPALEPPYHDARAFPKTYFKASMVGYIVGTLVTLIIMQCFDHPQPA LLYLVPGVLISLWGTALVKGDLEEMREYSDAEEEEDDAEEKQEKKPDETPAANASFFR RILSGEISKPKKSEASSEKPDKEKSSTEAKGDRPEDPKRFSKGANNKNMELFTISLYI PHKGRTDEADQPKSSTEDVKSTVDDENWSFVGNTEREDEPPAKRRRRSPRKAGATSSP AK AOR_1_170094 MAPAAATAAQPTPPSLSLPPSQFARLQPHAYLLAHLSPPASSNQ PSIRANGRAPSQFRVTSANTGSLTHTNGSAVVRLGDTTAVCGVRAEILHTEDIASWSV SRPPPASSSTPANKRRKLTDPTAKPSEKSDGNNKEDADESHIQDFNLLVPNLSLSTGC APGFIPGAPPSALAQSLSHQILSLLHSTRLVRAEDLRIWYQPPNLGPEELERHNESEQ MDVDAPQGDAGAEKNREIKAFWVLYIDVMIVSLAGNPFDAAWAAVLAALRDTRLPRAW WDVDNGMVVCSDAVSEARKLSLQGLPVSSSFGVFEADAASGWRAEVIPDAEEERALDD SSRKGNQRRWILADPDGYEEGLSQERVCVVVDKEQGGKGKTVVVKLEKNGGWAVDAKE LKALDYRVIGTNSVGYG AOR_1_172094 MLPGWHSIASLVKRIDIPIVASTTPAEIAEMQQDAWPEAGKYGL GWVYFSVILLAISTIIRFYHLWGDQIRIALHKEDMAGTSPYVTSPQEEYELPSAATDS STTHFFPARGPLPSTNTTKQQSSISTIAPLNNTIAFVRWIFYRPIPVLRIGKLRIGFP SLGASSIILAALIFVTLYSFVPQPLYYSSISIGSPPLAIRAGMIAVAMIPWIIALSTR ANFVSILTGISHERLNVLHRWAGYLCLFLSLIHMVPFYVTPIWESTNFMYYQQYFPRN IYIYGTGWAALVPLIVLCLHSLPILRAWMYELFKLVHLPLSIIFLAMIFWHSKNFLAS WDYLWATVAIWMLSYAVRLFYVNWSNPLRLSFLIGEECAVTILPQNAIKVTVATQMKW KPGQFVYLRMPGISLFERHPFTISSLCSGDFPSEYGENYRDLALVFRPFGGFTRNVFL KTFEYGPYKTWTAFLEGPYGGMKRDMAAFDDVVFFAGGSGITATASHLLNLIKKMRDR KAVTRSVRVVWAFRSPETIDWFREELRICRDFAPPNTVHCHFFLTGLEPHGQDQLAQN QFYQEMLRDKMYNTLEGMDKRNSAYIREEAAGDPEIEKELRRENEDAITALPLAHTLP HINTSRHYTSPMDNNYQHAPYPAPHVSPADTPFNFGFPPSSTVFPKLTTRVGTVPLQR NGWRIDYARPNIPQVLKDYSRTFGRRTCVFVCGPPSMRVEVSKAVAQLQQVVMTDSSK DEIFLHAENYNV AOR_1_1250094 MAYQFFAKAHQSKAPTSPPLSPPSSSSSNNRHPKPPIYPPSLVL PQSATSTSIADRVKPPPGKDSSFHPPAYPHPIAPSYKTPHPHVTIESVSTAHIPSLIR ITGLLLPIRYPNSFYTATITDPVIASVSRVAIYHDHPGAAAPASTSYAPTSASPLGTS TGTDKVIGGIRCRLERLPPTTAELIQTQSNPHSQEPTNLYIQTLHLLSPYRGCGIAAS LLNSLLFSSAPSSFSSGSRSTYQVSELVKHYNVRCVTAHVHEANEEGLKWYIARGFQV EEGVVENYYRRLKPSGARIVKLVLQWNDDASDKAAEADSGEDNRKSPEEDDDWEKVEA EDGEDNEDHGVQTFTDSKILEVDDGVNRKRKADDEPQRA AOR_1_176094 MFPIVILQRKSALEVPFFGARSSKRRPSNLHILKMGESNGTEQQ ESSFPSGTIAKLTCDILNNTFYNIIHDIVSKVHRDEKVARMRSAVTIARQKAEEEAGR RREEAGAKPTALKPGEDFEELKDIRVETDGAIFEDGKVYLKGNPLNTTKEIICPDCRL PRLLYPVTGVGARPPPDPYREYCQKQPMISKPGHDVHGNPFATDKLNPKKKKQTNTSN TPASSPPTTPDSSFKQAAPEKVSFPTVKCPNCPRYFVVTRVAQHLDRCLGLSGRQVNR NKTPMENGTSTPSSAPPKRPLDDDTPPTITKKKKLGAPKKLSGKKPPPAPSSKLKNGV TPDMAAAADAAAAGDGDIKSEPNGDA AOR_1_178094 MADPSLISWGVSRISQILPLDEESLTQIITYAASLPKEEGADHL KNLLGDSPAAFEFISAFSSGRDPAPQRPAVPDASSSTPTATSSKNPAARQKKGKKSKP PLHSAGPPRRPENFGDVTGGYKKADLEEDYMAPAPRAKQDTNASRSSHLSVEDSSAAS SRAHSPAPKQSSSKPPPSASGPMLSDLLPNVKSKAAKSSTRHSNNTTTSQSKNSLTTT NISDLTAAIAALEVSTNPTLSNESRKCSCFASIHPLFAPAPNCLNCGKIICSLEGLQP CSFCGTPLLSNEEVQSMIRELRAERGQEKMRAHNESVHREGGPGQGPSPSASSSKLNA AMAHRDKLLQFQAQNAKRTRVVDEAADFETPNVASTLWMSPAQRALALKKQQQILREM EEKARPEWEKKRTIMSLDIKGGKVTRVYQSAGASPADPGSPAEEEPEPEPEASEPADD YTKPRRGEAFSRNPLLAAGGLMRPVWKGPDGKPVEARPQSERTQTWRRVQDDKDDNEQ WILDGGLHGYS AOR_1_180094 MLVQEVWKTLSIEGVVVGVSALLGLLSFAYVIYNRFLHPLRKFN GPFLASITPWVQLYHGLKGDRHLWLHNLHQQYGSHVRVAPNFVSINSAQGLHDIYGHG KKLKKANFYNGFTAIKGVYNTHNVIDKTVHGRKRRVLSQAFSDQALKSMEDVMLLHVR QLCTALAEQQTEGNKAEAQKTTVQNMGDWFSYLTYDVMGELCFGKSFDMLVSSGRRKM IQLVDRAANRHYVCGLWMPLDTWHLDQIVIHRLTNDRWNFIMNSRVEANKRAQERTQA GHDSKKDFFYYLLNAKDPETGKGLTTPELWGEANVLMIAGSDTTSTTLAATIFYLVRN PRAMKLLKKEVREAFTSVEEIVTGGKLNELVYLKACIDEALRLAPAVPGAIPREVMEG GAVVDGAFLPAGTDCGTPTYSIHRQPQYYREPEAYLPERWIEGATCVTADDKWESTKD AVETARRAFCPFSIGPRGCIGKGMAFMEMRLTIARLMFLFDMELADRQGEDEKGHLAL VDHFTSAKQGPNVIVRRRDITV AOR_1_182094 MPPSPLTVTLIQSTILNAISNILAQLIDRHKENKPFALNTIALI QFITYGAIIVPPNFSWQRYIEARFPGFPSWKRNNDNHVPEPNGLLPTKEKPFRPKQQR SGMWNFAVKFLLDQTIAGVCNILLFIVLINLLKGSNLGRVWELVCEDFGPIMLARLKF RPIVSALMYTVVPVDRRVVFGSACGVIWGIYLSLYAVV AOR_1_184094 MHDNKLQDTLRSPPQASFVSDSRSVEGRPSMEKGSTLAGSQEES PALVSEAKNENSIHVANTSPGDEATQEEEEEYPSSWKLGLITIALALAIFCLALDNTI ISTAIPKITDQFKSLEDVGWYGSAYLLTTCALCLTFGKLYTFYSTKWVYLTALFVFEL GSLICGIAPNSLTLIVGRAIAGLGAAGLFSGALIIIAQTVPLNRRPIFAAMMGSMYGI ASVAGPLMGGAFTDRLSWRWCFYINLPFGGVTAFFIIFFFRAPKSVKDNSGFKNQMGQ LDFPGTALFMPSIICVLLALQWGGTMYAWNNARIIALFVVFGVLLIAFCGIQWWQQDK ATVPPRLVKNRNVWGAAAYSFCVGGAFFIYIYYLPIWFQAIKGVTATRSGIMNLPLLL PMIVASIAAGACVTMVGYYTPFMIATPILMSIGGGLLSTLKVDSGDAAWIGYQVLFGV GVGLGLQQPMIVVQAALPIADVPTATAIVMFTQTLGGALFVSVAQNVFQNQLRKNILA RAPEVDIAKVVGAGATMLRQAVSKDILPTVLESYNDAITQTFYAAVAIGAIGFFAALP IQWLSVKGKKIEAMAA AOR_1_186094 MSSALLDEAARIARQFDFPAEGVQRGVKEYIREIDEGLSKEGTT LSQIPTFVTSVPNGTEKGLYLAVDLGGTNFRVCSVDLHGDNTFSLTQSKIMIPRELMA SGTSKDLFTFLARQIEAFLRIHHNEHFEAHLKRRREGNSEEDLFDLGFTFSFPVRQVG INKGTLIRWTKGFNIPDAVGHDVCALLQNALDALELPVRVAALVNDTVGTLMARSYTS PGETGTFLGAIFGTGTNGAYVEKLDKITKMATIEHSDYDKTTGEMIINAEWGSFDNHL SVLPNTVYDQQLDEESNNPGIQMFEKRVSGMFLGEILRRVLVDLHRNESLGLFKPSAS SDVIVPENSTLFRQWGLDTSLLSLVEADNSESMEPTKTALKDHLKIERASTTDCKAVK TIVHAIGKRAARLSAVPIAAILIATKKLETDDLVDVGVDGSLVEFYPNFEGYMRDALR EVPEVGVAGDKKVRIGISKDGSGVGAALIALVASKEEARMKAQ AOR_1_188094 MGAGSSKPDASAGSKHVFSSSGPVEFSSNLVDHLQSNTETDASR AKSIELQIQARVAQELERLRQREQQTIAEIEKRIAESKDTAPSSFSSTPNISYPPGSL NLDAPRIPFAGREYTPAPVPDAQPINRDVSRDSVNTEIEELRAKLEGRRKLVQIDESV EKAKSNVVSCLRLNDRRPLDCWQEVDAFKREVAKLEEAFVDRVVG AOR_1_190094 MAPNPASKRKSTHPGAQNGSAAARKRAKTFDARTLAAQSAETAL SASGELDVAAYLEAREFEIRALESGMQRSKAALTSRAFQQMMEDNTPTVTARRRKPTE AMRIRLETARRLQNLNTKTKAKRAHSKANRDKENQKSLEEAGSHSFDIAPRVPKIKKN KLSRPPPPESKFKKRQKCKTWLPTHMFHAKRAHMTTSKDPLWRFAIPLSPTEKSYRPT HRARGARGAIVWDMSYMSTIQLEGTEGALECVLRAVGVDGNEAWGPRGKKWRAGTRSL QVWAYECDGPQRPIAPVTLIRCAEEKPGDVEMMDADKASSVENKNSKKDRKKLFIRVH PSAFLQLWNELLEVSKRQNPPVMIEDLRFEIGSIDITGPGSTEALLAVLRPVESNENS KLSNTPESTWNSLLGVSNPSSLPQNALLAFPISDPRLRFPHKTMRPPSSEKDMQDLAM TLSSWAPDRTQTTPSIFDRRARLAAVRQLPSQKAINRRRTEAGPGRLPTPRDTDPQIP MMLLATRPRAQTKDNNAPGSWTVLLPWKCVLPVWYSLMYYTLSSGGNPRLGGLKEQQQ LAFEAGEPWFPGDFPGTRAGWEWNLRDREQSKKEWERRPKGRRVEFDSIDLGANQRGE IGRGWACDWERLVQGAEASDASDPNEKDKKAKAEGEIETRQNGEDEETSVDGLPLGIH HLQPAEADRALRDQNSSVDNSALATVRVSLFNRGTPTPRARIYRLPTTNPELRQKWLS FASAKPAGHKSPGRESSSTGSDPQARLAASLLSAQIDTDVHQEHLPLPSEEDLIGFVT TGNFNLSAGKGTGVGSIQVSKVTLAALGKCKARERTMCIIRGAGERVGRLGYWEVA AOR_1_192094 MSGIKAGDSFPSDVVFSYIPYTEEADKFNVCGIPINYNASKEWA DKKVILFALPGAFTPVCSANHVPEYKEKLPEIREKGVDVVAVLAYNDAYVMSAWAKAN GVKNDDILFLSDPDAKFSKSLGWADEEGRTKRYAIVIDHGKVTYAALEPAKNHLEFSR AETVIKHL AOR_1_194094 MADVKPPFTEESARKKVKAAQNLWNTQDPVRVAQAYTPDCVWRN RTSFFSGTDNIISFLTAKWNREANYRLRKELFSFTDDRIAVQFWYEYQDVEDEMRWKR CYGLEDWTFDQETGKMRKRMMSGNDLVLGSNGNGEGRWFVDGVDVEDVAISEEHW AOR_1_196094 MAMEQKTVPLNPESVRAPLVDVSNRVNNCPSPNLVQGEESMGGL QYLSSPQPMSIVTSPPEQKRYVDNNCLSPTANPKTSSKRNSTLSANSVISNQGKRKTH VGPWQLGRNLGEGTTGRVRLAKHKYTGQTAAIKIVSKTGLHGKSGTRHIPSGIEREVV IMKLIEHPNVVRLYDVWENRGEIYLVLEYVEGGELFNYITENGPLQEFEAVKLFRQIL AGLGYCHRFGICHRDLKPENILLDSQGNVKLADFGMAALQREGYWLKTSCGSPHYAAP EIVKGDEYRGNKADLWSCGIILFALLTSYLPFDGQDLSSTLKLVKTAKVEIPSNVSYE AADLIHKILQKKPEKRIDMADVWKHPLLRRYECLDPVMHNPYIGPAPSWSVKECGPRI SRHELESESDILRNLQTLWHDVEREVLIERLLDPEPTLERMFYNALVRFRDEHLENWP GQSLEYSASDYHHISKDSNGTFSRRDNDRSKGSRRRGQVPMSKVAQLRSNAIQEPKSC ATVGSYDPFRSPCHVVPEKEGHTYVTIHRDVPDHGGRKEESDSIAEGSVISEEEFRDQ TPCPPSSPFAIVQNKKKPSSLKSFHSRTSHTGNRRQLNGLSAPRSASYKRNVCFRHVR NRSQGSTTAMKPKGAPINVPDKDMSENSLMSIDPGPFADRESSPLLPAQPAVVRRPGV ALRTCAPQKKVRESDFIWKDDARQVSHELSQICEEAFNRASLSTGCTTTSTCMSAETP ATSVSMASPEASHSRIATSNSKAPVTPSRCGESPRSYTAAELTETRRKLIAHSTQDGT EDVPGYLVAVIKHLDRLIEQDKARQRERVYTPEPEAQPSELSQDAGCLPKISEELHNR QSNSATQYKPYRPGLTTSTGTNTGRPSRNGIGTIRMVPQSSVPSIETIQPLTIRKKSE GPSPNIHHEPFADGSNEENLAPCRYSSMNSFHSRRPCELDPIAEIPPKSEKRNAARYP DNKKWSWLPIKHQPPTETVCKNLRPLHPVDRTVTVHEVNPSADSLTNQPETPAGKSKG GFFKKFMKGKASNPKYPTTDSQATETTPILSHPTEPPRLINADKPLPQRPGTSRKPVN WFARMFQFTPATKALALNTSKMKGRKEVHRILREWKPYGITVHYDKADGIIYGKVSRS NIRRIRPVAFSAEFYTVLEDGRRGNLSLVRFKQERGAVSSFNKVIDTLEKFMKRRGLL VEDPVRAQQMMKVLDKYQDPQGK AOR_1_198094 MSSNPHDFSDPNRQGQYPPPQWNTSQPEDNPSAHYPPASQYPYP PASYPPPSADHQYPPPPQSQYPPPPNMAAIHPHVQGPQDPYRLPPPPGAYRPPDVYAQ PPPPQVVYQAAAPRQRTAIACRYCRRRKIRCSGFESSQDGRCSNCIRFNQECMFTPVS SQAQAFVPAHAAYPHLRNAQNQPRGGAPVMLYGAHGQPLPPQQQPQAPPDATLPPPQG LYQNPYGSAPPPLPQDPRPIGRRGSSSGFEYPDPTNLAPVTPATSAPGYQAHSASSPY YPPPPQHDRRPSPQSAYPYDNRHSSSPHNSPYPPLHASQSAMTPPPTSTPGGSSRGGL NVRDMLNPGDSQGRSSTDSDMLNALNRRGLNQ AOR_1_200094 MPRRSSRAVPVSAAAPAPVQKRRASDRLPTASKTGSKRQKSDVT TTTTGRPVRSTSKKSKYFQEEYSDDSGTDSNNGSPPEDSPSNYDDSASSAAESVAFPT ASEATENPRKKPGRPRKSLGSNKGDTEGTRKKSDSVPKGDTNEALNDKQLWKEGVKAG LGPGKEVFIKKPKARDAGTVPYQEHTLHPNTFLFLVDLAENNERAWLKAHDPDYRASK KDWESFVASLTEKISEMDSTIPELPVKDLVFRIHRDIRFSKNPTPYKTHFSAAWSRTG KKGPYAAYYVHCQPKSCFVGSGLWHPEADKLALMREDIDRNSHRLKAVLGEEGMRREL FDGVSDEEKAVEAFVNQNQESALKTKPKGYGLDNENIRLLRLRSFTIGRPLADEELMS PNAQDKIAALIGIMEPFVTYLNSVVMPDPEDMDASSGSESTD AOR_1_202094 MSEVEASAIPEVFESRKRKILAGLSVPDAEYTDLSPKGSVDEGI RDLIHEINTHPGLVTTSSCAGRISVFLEGRKKQPKPHDEQGRQFVASGGKGAGKWLYV SHDPLQGYGKSLHELFGMVPGDGKPPGLNKQGKAPRLVRFHFEPLILHIMAATLQHAH PVLSAASSSGFRESGLQSLRCLEGDEGPSPIVAVRSAGLSLESVIGYCEDSDADDETA TGEPGIRSLVTEEYLQMLVAISNERFSINTERKERFRENLLGLCSANRGPKTNKKTKP PGWEDAQTRRERMKAEGLMRKKLLQDQAKGNEPRDTLQATKEP AOR_1_204094 MQPVQTTQPGPSETPHQQGQFPSLGGLYAHLPSTGEAPRGYYPY DTAQTPTPTPRNPRPFSQLRAVHSPLPAPAPQHPFHYGPPGLLSPAFYPTEGTVAPQP MRAEVHGQPGNSEQIPDLDKPFSTVAMREEMPIGSSVHTRQAPCWGVIKISNIPYSVT KSEIAQFVGRPARLIKGCPIHIIMERSTAKTMDCFVETETQEAAQRTVDRINSIYETG RAPRLGLRRVDVEYSNQDALLKDLFPRAKCISWEEGMPRELPNTDPYSTGFSGFITSE EIVGAIRHAEIPHRSPFSIKCPQRTYESTISTLYKYPWYATRLYTVDDRNQLFELTNR HLISLASRIDRTQTMGLDQNLLRDLLYAGINCPAFNERQKYTLCVNSNLAPEISRFPD ISKWFPFDTLVQLPDFNKDTLSYYANLISRGTIPDHGIPHLTNTFPQDRDDLCSPYGR VWFEWPAHITRTVLWETAVRIEMQILGNLVLTGWITKDNEAKARRAAAGPSSSTRHDQ RRTLSGSVASSEEGLDPQTFSRLEIFATPSRRMTEAGPSYRQEREAGDETPRPFNDSD QSPWTRRLLIFPPGTAREGFHFGHRNTKSSPGNLTPSGV AOR_1_206094 MSQSLRPYLQCVRASLTSALAVSNFASQTSERHNVPEIEAQSSP ELLLNPLTVSRNENEKVLIEPSVNSVRVSIRIKQADEIEHILVHKFTRFLTQRAESFF ILRRKPVKGYDISFLITNRNVEEMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARFV AESFLTPFD AOR_1_208094 MASIPVIVKHQGKRHEVELDLSSNGETLKYQLYSLTGVEPERQK ILVKGGQLKDETPLSSLNAKPGQTFMMMGTPSGGQGSGDLGRPKEAVKFLEDMTEAEA ARAEGAIPAGLQNLGNTCYLNSTLQTLRSVPELHQELLRYRPSTGAAGASNLSDLSSF GLGGLGASMDLTSSLRDLFKQMSETQEGFPPLMFLNALRNVFPQFAQRDRNGHGYAQQ DAEEAWSQIVTQLRNKLMIKEGEGESSNEVSFVDKYLAGRFESVTECDEPGAKEAGEQ PTPSSDVFYKLDCHIGKETNHLHDGIKAGLEEKIEKRSPTLDRDAIYTKRSRIARLPK YLTVHFVRFFWKRETQKKAKIMRKVTFPAELDAVDFCTDELKKELIPIRDKVREIRKE ELDVERARKRQKLDRQRDEEKKTEAEPEPLEPMQKKKAAEERKDASKATEKDGDSAMT DVFKSDAEYEAEKAASILAAKKELSELIDPKLRSDEGTNKSGLYELRGVITHQGASAD SGHYTAYVKKQAQGNKEEDGKWWWFNDEKVTEVEAEKIETLSGGGESHSALILLYRAI DLPTAN AOR_1_210094 MFGTRRALQSRLRSLGTQSQLSLRFNPHISRSTSTVADQSAPER SKWGRRLIYAGIFGGLGVAAGKWMDNKVSAPVEPETTEDVLKLEEIRRVYETGLPIVQ ELRNNPDYLEADVYGNYSEEDKKQRFTSGPLKGSRGLALQKVFWNDKERKAVSIVYLG NGLEGWPTVVHGGALATVIDENLGRVAIRHFPERTGVTANLEIKYRAPVYSGNFYTFH SSIDRERTTDRKAYVTGEVRDPVGRLCIQATALFVVPKKYKLEEIGERY AOR_1_212094 MELTNNFTQSQADEKASGHTDNSHDKQGYSHQEDVGSGGYADES GEQGSEPPMTFRRFMGFTAMAFLWTGSQIPVYLFGGIPPYIYGDIGGSDRWVWFVLAN LLALAGVCPFVGSLSDLIGRRYVALIGVTLICIGMIVSSTAHTMNTFIGGMAIAGAGA GVNELTALAATSEMAPTRQRGKYVAVLIFTIVPFCPSVLWAQLIAAHSGWRYVGAFCG AWNGFGLLITALFYYPPPRINSEGLSPKEVMSRVDYVGGFLSIVGLILFMAGMQWGGY QYSWSSAHVLVPLILGFALLVIFGVWEVYGARYPIFPSRLKQEPRTLGLTLVITFISG ANFFSVIMFWPTQSFNVYGHDPVEVGVRSLPIGFGIMGGACITLWLLSLLRGHNKELL IISSILMTAGCGAMSIARPDNLHQLWGLLTLAGLGIGGIVVPASIMTTIICPDDLIAT ISALTLSIRVVGGSIGYTIYYNVFISKFIPNAKHYIGGVMLTQLNITNTTYIAEAIEL TGASLLDGLREIPGIAGNETAYQAVVAAGQMAYSEGYKWVYYVSIAFGGVSILAACFL GSIGDYMDDHVAVVI AOR_1_214094 MVDPNEPRPLTSAFESPTFGEDSSFHVEQPVGSMSISPCGRDVV LASKEGLHIIDLDSPYSPPRYLPHHTPWEVADVQWSPFAARDYWVVSTSNQKALVWNL ATKSCQNSIEHVLHAHTRAITDINFSAHHPDTLATCAVDSFVHCWDLRSPSRPAISFS DWFAGATQVKWNRQDPNVIASSHDKFLRIWDKRKGAYPIRSIEAHDTKIYGVDWNRVR PGAVTTCALDKTIKFWDYTVEGEEPEKIIRTPFPVWRARNTPFGWGVLAMPQRGNSDL HLYSRRAGEGEDPSKDLPLVHSFPGHKGQVKEFLWRARGGVVDGADHRDFQLVSWGTD RELRLHRVDPDVMRGVGYEKGKSFISNINITRNGAVYKSFRDEDSSRGHDETDSLSAF QESVSGSSRALGLNTISVPYTRPWTQGGNTDSRIGMQGRSNIRNDTNPISWMRGVKIS GWDVETLGDEITHVGEKFTKVDFDSVDVRQRKATISLHGPWGPEGDSLFLKVDIKFPA DYPRTAMPTFSVQKTSAVTDELADKLVAELRTIAETFLSHKRGCLEGVVRYLLGETSL EESIAWILGETTETVKSPISGQLEAGESSDEDEVGLSQSQELGMSSELLRPVNANVMV PVAKACGALWANDGRLVCFFPTKKDKSASLLENLGFKEMTRLSRADKVFEGFGRLQTS SPGPRGSGTMTSTDDGTSDYSDDSDAETSSSSGSSGILSTLQHRFPTPQTWRSAGSLG LYKPRSTDNSQRSTVGMMTSKASENPQNTVSIHDFTELLPAKRELANNYRICGKGTDV CAHNAAVALDHGFYELARIWGLVKLVLHNNNKSGQISGLDTGERPQRMQRKDSAVDLS YDLGNQDQRPKDTANSVIQWGDHPFGGQWLVPALFEHFERVGDVQMVAMLSCVLHESN HEKYPPREEYGQSKTVSKRVDDQPLSLNNYSTQAASHSKSHTTTPLGSTPKDSHTTPI TQSSGRSSSEIWRPDSTPPYSTGTTPPLASRAAGFAAERKAMSHTASIAASPDQQSQP RSASGLGSVLASSLSRSFTFGPSSASPPASALSRKKQTPSGSPNTTGGIWPTSTFISK PVSAVPDYLTTPTAPTSQTHSDTESERLEKTQASKPRAKLRVTLKHRDAFEGDKATQN TFIDSKKDGLYRSYRQAYAHLLSIWGLHIQRSEVLKIGRSEVIAFIANPQT AOR_1_216094 MALPKRIVKETERLMAEPVPGINAVPHEDNLRYFDVSIHGPAQS PYEGGIFRLELFLPEDYPMTPPKIRFLTKIYHPNIDRLGRICLDVLKNNWSPALQIRT ILLSIQALLGAPNPDDPLANDVAQRWKEDEPAAIQTAREWTRTHAMT AOR_1_218094 MKNKSKGRSKGKGMNKLKKDHTNNNNNNKDSIGFTSSSETITEV NSGRLSEITTQIETSLRIEDDALNKSLLSKTEDINAETTKKENEANTNGDGGLPRVGS LKRRLKMTFTGSPLKLPGDSDRHSCHIHSQDKVTRSERSGSGTASLTDSNTIGTHGSI HSPTKQSPTTPPTPKYLKYIRATNDYIFLDLDFLETDNPNKVIQGGSLSAMEDTKRQA PGVSPSGKRPPETGDKDIKKGLQKQIDQVRSLTGTEVKTVSSTDSKSPRRVDDSRQWN TVNLRYAEAKQTIADTEAKPEKSDNARQILQKIEGLGSHVKDMRMQAKSLGTLRLARL KIWDWK AOR_1_220094 MRTYDDSFSGQKIYPGKGKLYVRGDSKIFRFQNGKSESLFLQRK NPRRISWTVLYRRQHKKGISEEVAKKRTRRAVKSQRAIVGASLDVIKERRNQRPEARA AARQQAIKDAKEKKAASEKAKKAEKAKNAAAGKGTAQRIQSKQGAKGSAPKVAAKSR AOR_1_222094 MTNLTTTTTTPAPIAVSVKPTMNSQTDDAPLFSSSLISPEVVAA LPEGYTIRPVRRSDYKRGFLDVLRVLTTVGDITEEQWSQRFDWISARNDEYYLLVICD NTDRVVGTGSLLVERKFIHSLGMVGHIEDIAVDQSQQGKKLGLRLIQALDYVAANVGC YKSILDCSEHNEGFYLKCGFKRAGLEMAHYY AOR_1_224094 MLAPSNSRAAVRSMASLAHAASRASASSPAVARSALACAARSPA SLGCRRALSTNSRQLQFPRLQSLNTISSKRTFGTTARMFLSSQASETRVETDAFGEIE VPADKYWGAQTQRSLGNFDINQPQDRMPEGVVKAFGILKGAAATVNMKFGLDPKIGEA IQKAAAEVAEGKLMDHFPLVVWQTGSGTQSNMNSNEVISNRAIEILGGKMGSKKPVHP NDHVNMSASSNDSFPTAMHIAAVLELEGTLLPSLKSLRDALQVKVENFEKIIKIGRTH LQDATPLTLGQEFSGYVAQLDRNIERVQNSLPHLRYLAQGGTAVGTGLNTFKGFDEAI AAEVTKMTGTEFKTAPNKFEVLAAHDAIVEASGSLNTLACSLFKIAQDIRYLGSGPRC GLGELILPENEPGSSIMPGKVNPTQCESLTMICSQVMGNHVAATVGGMNGQFELNVFK PVMIRNLLHSSRILSDGMKSFEKNLVHGLEANEPRINSLLHESLMLVTCLNPVIGYDM ASKVAKNAHKKGLTLKQSAMELQALSEEDFDTHVRPELMLAPKEKK AOR_1_226094 MTVLSTLAPVPADEIFALNRAYANDDYPQKVSLGVGVYRTDDGK PWPLPVVREAEKRLLAEDNLFRHEYTAIEGDIPFLELARDLMFGFDAKDTSDKVKSTK GRIGSVQAVAGTGANHLGALFLSHLMKPKNVWLSNPSWANHLTIWELAGVPRKTYPYY KAATRSFDFEGMMSTLEAEAQQGDVILLHACAHNPTGLDPNKEQWKAIADLCERKKLF PFFDSAYQGFASGSADEDAWAVRYFLNEKPQMEMCVAQSFSKNFGLYGQRVGAFHYVL NDGAQNLRDIVVNNLCHFIRGEYSMGPTGGCSIVKKVLTDEELTAQWHEDLKVMSSRI RTMRQALYNELIKLETPGTWRHIVEQNGMFSYTGLTPSQVYALKDKYHIYLLKSGRAS ISGLSEKNVTYVAQAINDVVRNVN AOR_1_228094 MKFLASTKQFTLRYVPKHVISPIASRYLASPTNPIRFKIQHLYA TRDRTTLWWRVSLQHLQQQKRVVRSWCARRVRIAFQRALKERGFDTEGRRIRPSSGEG AAVSDGEGDNLVGSVDILVRPQCVQEDYATVQADMNTLVDSLIQNRNKGDHPKTMLVS LTVGKVDAGVAVLLTQDNRLIEFPSVLLPNNITSGSIVDITVARNHSAEEASATGFQS LQKRILSTYGVKTPSPPILRLRNATQTSLVLEWDPIDLATASLKSLSLYRNGSKAGSI PRPLETRSTKISGLAIHTEYTFHLVLRTTAGTYQSEKLTCRTHKMTDLSGITVTAGVL PEQQKEALGAALDRIGGKLIDTVRIDTTHFVCTEGKGPLWEKAVEMNIPVVTPEWVDA CEAEGTIVSVRGYYLNADPKARQLGPIHASTQHQRTMSTMTANTQNHIQSQSQSQSRL SLQPQPTRERQESIAEPPITPFPGAGMSGQPRAEDSEGPSDDEDEQPPPPPPKDESEA AASPEPTPNGHAEQNGDADAAETAGSSEKEDKADNEEKEEDAQEKVEETKEESSGDEV KKGKGKEGEGDFNEVPL AOR_1_230094 MPKNKGKGGKNRRRGKNESDKEKRELVFKEEGQEYAQVVKMLGN GRLEALCFDGEKRLAHIRGKLRKKVWINQGDIILLSLRDYQDEKGDVLLKYTADEARS LKAYGELPEHAKINETDTYGQEGFEDNVEFDEDRESEDEKEIDVDEL AOR_1_232094 MPPERTNVPVKLSLPLQYQQDIFTELRTEDELVILARGLGLLRL VTNLLHFYDAAGNNLVLVVGADDRENEWIGEALAEHYAMSKTPFARGLKVINTERASV SMRERIYAEGGILSVTSRILVVDLLSKLLDPEKITGMVVLHADKIMATSTEAFIIRIF RQINKNGFLKAFSDSPEPFTTGFAPLANSMRNLFLRKASLWPRFHVTVAESLEGHRKA EVIELEVPMSDKMREMQNAVLECVEICIGELKKANTGLDMADWTLDSALHRSFDIMIR RQLDPIWHRVTFRTRQIVSDLSDLRAILHALLTYDSVSFVKYLDTIVTAHSPPPGSTR HNYSPWLFLDAAHVLFQTAKSRAYQGKISNDVARSSLNSFPTTLQPVLEEQPKWEVLA EVLEEIENDAYLNPVNVDESNSTILIMCTDQRTCRQLREYLGTMHAHVNDENEDDKDI GDNIKKGSAQVMMRRRLREYLNWKTSLSNVTKNLTSKPTNDESQAGKSQDSPRPSAPQ GRAPPNKRRRVRGGGAVTSASGRVPNSSVQTDVELPGQVSNLLEEIQPTEIEEVQKED IIIDDLEDMEDFYELYDMDDLIMIHPYDGDMDEHILEEVRPRYIIMYEPDSAFIRRVE VYRSSHVGRNVRVYFMYYGGSVEEQRYLSAVRREKDSFTKLIKEKGNMAVTLTHDKGF EDPQEQFLRTVNTRIAGGGRLAATASPPRVVVDLTVGDYILTPDICVERKSIRDLITS LRNGRLYNQAETMLQHYKNPLLLIEFDQNKSFTFDAFASATTPGTTFLTDYGFSSSGT ATTTLSASSSLVNPSSPKSAQHLLVLLTLAFPRLKVIWSSSPYQTAEIFAELKKNNPE PDPIRAVQIGLDMDIAAGSDSSNVMAAAGIEHRTFNLLPQDMLRAVPGVTPSVLERLI LETGNISEIANMTVEQLDPLVGIEAARKIVNFFQKSVFDEN AOR_1_234094 MPVIPSDTTNFPSAASNSSNQNEPQKATAQDHLSKGPQIPDNMP PKASREEIEARKKELNK AOR_1_236094 MPSILSDADKETVKRTVPKPANKIHAVAVARLYVAYPDPQKWTY TGLQGAVVLANDLVGRTFWLKLVDVSPAGRGVIWDQEIYDNFPYNQDRTFFHTFELEE CPAGLSFADEKEAKTFMKKMQDREKNASKETRQTPFASTRGQGPAPIANGKHGVGRSI FGSLLGHRSTSGSNAPPPVAHTEPPSAPSIQVAPPPPSSPPRKPLPFDTSDPSWKGLL DELLQMGITEDQIAENSDFIKAYIEQKQSNGVDSTPSPAEDKRGKAPPPPPPSAPPAP KSSSISPQHTGNSTGSRRGAPPPPPPSRRTRTEAEEESPASTREPSPPRPRFRAPPPI ADAGKFAHTNGPPLPGRQRASSGATPGPPPPPRPPKTPVDDSQPRFGVPPPFQGERKV SAPPAPPSRNNAPPGPPPRPPPRTSSPAVPPQLPPKVPHGAASTPAPPPPPPRSPASQ PPPPPVPAASRPTPPPPASSAVPPPPPPSSSVPPPPPPPPPPTSSVPPPPPPPPLPSS RGPPAPPPPPPSSSIPPPPPPPGRGPSAPPPPPPPAPAGGAPPPPPPPPGAGAPPPPP PPGGAAPPLPTPSGGRDDLLAAIRASGGKGGGGLRKVKESDKKDRSAAMVPGSANETS AASAGGGAAQGGMAGALKAALDKRKQKVSGSDDEKEDDDDW AOR_1_238094 MLSPPHLKPAVPSASLLRYLRSQSENTHVFISACGTSRKPQVHN QPSRMLALRNTSSWANIKPLRCRAALETNLLSVSTISRSRGSSRCRASLGQSPSPITV FSRATHTKSRPLLRRLFDLRRSKAAAEAKLNRAGSPALVDEGAEGLNFGRGLAAKASN ELRLRCTEFDNNGNVTLVNGEFRKSELIAKYGLLPRDLRKIDSSTLPHILVRPSAILI NLLHLRVLIKADRVLVFDAYGSTDSYMQSLFVYDLEGKLRQKQSQGAQALPYEFRALE AVLISVTAGLEEEFNGVREPVVRVLRALEEDIDRDKLRHLLIYSKKLGSFEQKARLVR DAIDDLLEADDDLAAMYLTERAEGVQRQEHDHQEVEMLLESYHKVCDEIVQESGNLVT GIRNTEEVVKAILDANRNSLMLLDLKFSIGTLGLATGTLFSALYGMNLKNFIEESDFG FGGVSVICFAITAVVCVYGLAKLRKLQRVRMWGEAGVGGSPMVSLPTRNSALTAHRSN WRADSIEPVWGSLPGEGRAERIRRLKDSAAAAAAKSAATEPTAQRAAASWNAAKSQPK QSGESATNEAAANIGGDSA AOR_1_240094 MKNHRNKTVRDVTKLSGKPLPETLEPSSILQGTPTEQWVTSKDL LEDGLPFIPEVMKDLTVFLLGNININSTHLFRADILYDSQGVLSTPQQKELSFAQTGN TSVETTTDAEDRVEPIVAAEVAGFNLTRTVVRRLIPRNPKLDRPLEQTCHFYEADIAP GSEGATQESRLRRFLAVYTPHVASKEEIPFYHPLLRALAYLYDFTDDAAEATEAGSGS GALSLHFLPYPEEALPTRLERTLHALLNTQIRLARNTRLSETTEGGNYNPSKDNVIPQ HLVQNTYSRLKFKYGKDLCRDWVEDTEPTKHVFEDLAITAFLIELWRSMYGAVPGEER NEDGPEKYDPNFPGFVDVACGNGVLVYVLLMEGYRGWGFDARRRKTWKILPEFVQARL KEEIYIPKPFTDAMAERGGVPDLGVETHSGLFEKDTFIISNHADELTVWTPLMATLAC PESPLPFIAIPCCSHALSGAKYRYPPPKASKPDSDKESSQHEDVDSEQPATGDLKALR KAKQEAQTDVGFYKSMYGSLTMKAISIAEEIGYDVEKTLLRIPSTRNMGVIGGRKRVT KEWRARNQQQPASDCNGDAVTESALDKAMAAVQRECSRDGGVEGAAKIWVERAKGIHK GQGPGNQRGHC AOR_1_1252094 MGDTGPMFESWMPPQIPQVFPPGKGNIYPFGEPHRISTNRGLSD WIVSKREMAPGPTRMELSTILSNKSIGGLSDAVLRRLQINDTTVSCMVFSSGAAGHQC ATVLKTLPSENPFTIKVVRFVMPLESNLGDTSSHWANFTAVLYPSDLLKAAMAFWRDT GSGLSTRHAEFCLEEFDYLDSDSSTPAYRTPASRKRCRGKTPESLIWMRAAARDSHEV KSFLADLATSEQPGQPTVNPDEVFLYPTGMNAIYTLSQALVSPEYKVAMYGWLYPETV DVVRRDTWAECLSYKYGTEEELDRLEALLQSGQQIRALFCELPSNITLASPNLCRIRA LADIYGFVVACDDTVAGYVNIDALPYVDVMMSSLTKTFSGASNVTGGCLVINPNSRHH DQIHTTLSKNQDTYFPLDVNTLRQNSKDIVWRVKQCNPNTLPLIELFQAHPAIAAVND PSIAPTSALYKSVMRKDGGYGNVLSIVFHDPRTAEHCYNVFNVCKGSSFGANFTLAIP YVRLANYWNQDKVAKHGVPRHIIRISVGLEDTRQIVETAKRALKSVDEFEMKKDLN AOR_1_244094 MAPRCFIIRHGETEWSLNGRHTGITDLALTPNGEKRVKATGKAL VGNDRLIAPRKLVHVYVSPRARAQRTLELLEIGCRERLPWNEERKSEDEEPIRTEAKV EITEAVREWDYGDYEGLTSKQIKEMRKENGEEPWDIWRDGCPGGESPEDVVRRLDALI TDIRKKFHGPCFDGEGGQGDVLIVAHGHILRAFAMRWVGKPLTETALILEAGGVGTLS YEHHNIEEPAIILGGGFVVEN AOR_1_246094 MPPSHHYSQSQNLPRHSILPPSSTAAGPSSMSAAKTRQYANLQA QLEQLNANLADTQNLLRMTAVQAEDMRFLGGYVGALFMGSAKVLGEEGVKGNADKKES ES AOR_1_248094 MAPPPRLRILSVGSNAISAFLSWRLQATTSCDVTLVWKSGYEAV SQYGVSFKSKAFGNERFKPRHVVRTPEDAASRENAFDYVILCVKALPDVYDLASVIES VVTPQHTCILVNTTNTLGVESHLEQRFPTNVVLSLVSGVEISQIGASEFEHLNSSEIW VGATNKQTSIPSTIQNDMAAALAMTLASGQVDCKVSDNIRQEQFERMIGPIAFYPTSV MFETSNHTQLLEKVGVRQLVSDIIEELLELARANGCSFPNDFAKKTIETMTANGAPST MYQDFQARRPMEIETYLGSPIKLATESGVRIPRIETLYAVLHHVNATNLSKPRTNESP PPVLAQPPPRMSSAPPRGPMNGPMRGGRVPSGMMPRRGPPHPGMSRPPSAHPQAGRMP RDPSVEGLEEFSHLVMYDDAASAAAVAAENGVPPQNGTNGYPDMPPGPPPSAADLALR ERELAIRQRELQIREQEMGMRRGPRRPPPPRSTFDEEDEDDYFDPMDTLPIPSIDPDQ VDMLSITSRRTKKSAPSASQLRKNPEITLNNGGGSRPGSSFSRYFGGRKRTSDRIMQE IPGLHDSLMDNPMMAYSSNRYGAVDRNHIQAGSRANSLTASRMGDYPPHPYPASRRNS QSPATPPYGPPGPRMGRPGTSQDPSLGPPNGPRGGQPSPPGQMRAPVPRHPPGQGNAV GPQQIEQQYGVSNSSLAKGTPKHRSLTGSASASAESGDSGASANLDSENSAHSSQISL NAHQAATPVR AOR_1_250094 MSHEEDLIDYSDEELQTTDAAATTAAPAANGDAAKKGDLTVSGG RPDKKGSYVGIHSTGFRDFLLKGELLRAITDCGFEHPSEVQQVCIPTAILNVDVLCQA KSGLGKTAVFVLTTLHQLEPVPGECSVLVMCHTRELAYQIKNEYARFSKYLPDVKTAV FYGGTPIQKDVEVLSNKESYPNIVVGTPGRLNALVRDKKLSLRNVKAFVLDECDKMLD QIDMRRDVQEIFRATPADKQVMMFSATLSQEIRPVCKKFMRNPLEVYVDDDTKLTLHG LQQYYIKLSEAEKNRKLNELLDSLEFNQVIIFVKSTLRANELDKLLRECNFPSIAVHS GVSQEERIKRYKEFKEFNKRICVATDVFGRGIDIERINLAINYDLPADADSYLHRVGR AGRFGTKGLSISFVSNEEDEKVLKDIEKRFEVALPEYPEGGVDSSTYMA AOR_1_252094 MAKAKGKKGSPGGAQSHIRARLDYLHNAAIYLQSTAVASRQSSS QLAQNTTSPDGEPVSASARIVPHFLSHDTTSGQKSTGTDSSANMDRLPHLSRVLISQM RGVSLKTQLRLPVETKRSYCKRCDTLLSPGVSCIQEIRNESRDRKKPWADVRVILCTT CETEKRFPQTERRTKKLSERRKQSEQEKLQAPAP AOR_1_254094 MSVSASIPDTSLGLTSSEIQILRQQQQIALQGGHATNGVSRGRG TGRSSNSSSRAASAASSQGRLLLDPMSLRALSHQLDALQGQIRSRIDYLEEQMQLSIQ NTYDRAGNVIHNADAEIARTRSILASIDELETEMAKIGHIREIVKAYRGRIEGLDQRL DQAARRRR AOR_1_256094 MPKERNFNPVQAQRKADKQKSLKKAKSEAQARQNEKLARRNPER IQRQIDDLKAVEESGQKLRPRDKEVLEALERDLRAVQKAREALGDKAPKFDNHQSRRG GFGGRGRGDGVLGKRRRDDRGHFGQDSESSETDEEVRRIPMPRDTPPPIPRQYQKKRE GDADTGPRGPHGLPAKPPVVEFRTVYEAKPEIKDLRQEAVKKFVPAAVRVKQDAIRGQ GKLLEPEEMDRLEKAGYNAGPSEAVGQESSDQPDDVAQQRLLEEEKRFDQELRSVQIE DVEDEDA AOR_1_258094 MAIALAEADKYEVLEKIGCGSFGIIRKVRRKADGFILCRKEINY IKMSQKEREQLTAEFNILSSLRHPNIVAYYHREHLKASQDLYLYMEYCGGGDLSMVIK NLKKTNKYAEEEFVWRILSQLVTALYRCHYGADPADVGSNILGPAPKPSGLKGKQAQM TILHRDLKPENIFLGHDNTVKLGDFGLSKLMNSHDFASTYVGTPFYMSPEICAAEKYT LRSDIWAVGCIMYELCQREPPFNARTHIQLVQRIREGKFAPLPEFYSPELKNVIASCL RVNPDHRPDTTALINLPIIRLMRKEKEVVDLSSKLRKREESALQKAKEVEQTFAKLEK ERQQMKSDIESSLRREWEVKARLEIDRQVQNELERLRKRFETEVQDRVAIELEKQRKS QNVRDDAALRSSVYGSRSSTSNGEDTDFPSTTDISQLSMESPVNKPLRRETRTPFNRS KTVVESPVDVQMAEPSPISIASLSLSPRRTSATSSGKNIFAEAERQRAKWEPTLAYSD DEDDTPDLPSPTRPKVKPDPFKAPSRPLLRQNTAALMQKLSTQPPLFPSNPSRLPQAS GSGQNEARHGEPKARSPHRRLSKIPSSANLAADAGSPTRKSGLKQHPTKVNGGGEEMF KAVMQRNMGGRTLVELAQARAGGRPVEDLKRCASDSRTSNCSSGLKSSDRDPPAVWDP EKDEMPSPFLARGRKVIRNLR AOR_1_260094 MSEPTVLRKDQLEISLHNEKKLIKEGTIKDDNPLDLSEPFRELC AACRKGDLKVCQEKITEGVNINARDPYDYTPLILASLCGHYEVAQLLLESGALCERDT FQGERCLYNALNDRIRNLLLEYDYSKSTDPLQPLAAHISSLLTRDQPGTSDIVVTAED ESLYLHKFILSARSPYFRSKLAASPSTATWKLPNTIPPQAFAAAIKYLYFGEAPRELR SGPGTGFTESEVFAGINRIAKHLEIDTLVDSILDSGDRRLARQRRTTELTRGRDQLEE WFRSNVLQNKMVVETIRAGDIKWDRNNAIFADVLLQADELPEETDEHSNGTLQPENGA NAGTKEQTQKSVLFPCHRAMLLRSEFFHTMFSSPFREAHLKDHLHIINVDCSPDVLEI ILTFLYTESVDFPLEVAVDVLFAADMLFIEKLKTKAAVVISTLGSGNMSQAEAAKTRG TKEEEDLDIYAIIRAAWMTRVQRLEEFAARYLAYRLEAHIDSPEFAELIQESASRIQG RQETDSIELLDDIRFYLGERFRLRFDDAGLEEMMEEEAEQHAPDAIANDETIEKVAHG VEAIDLTDKGSPEVLNNAEHASQEAQENVPVMRNLNGEIVVDEFDKDSMNYHILMDKL DKILESLNLEA AOR_1_262094 MDLASLITPGPEPIYKSRASYSPPPSSAGSYKRPAEHDSYFSYS RAPQAPLSPPVEDQPKCSLPSISTLLEGADSASTYAAKRQRTSPPPRRESEFRSPYDS VSTPNGPPTPPLRPESGFHSGHHSPSASSVTSGKAIKLESYSQTPMTLPSPSDRSSIS SQGSVHHVSAAPYASPAPSVASYSSPVESSAPSAMYYQRPSGSYQTPATVPSPSAAPM PASATHQQMITPVTPAWQHHHYFPPSSSAPYQQNHDRYICRTCHKAFSRPSSLRIHSH SHTGEKPFRCTHAGCGKAFSVRSNMKRHERGCHTGRPVATAMV AOR_1_1254094 MNAPGPNKKPARGLPSMPSLATVPRRRQRSEEEDESDDSSSTPG NPTPASNSSKRIRLDANSSGDEHDTEDTSSGTSDEEEGAENGNNVNGVSKLSKIAKTR NPQPMQNGDGAVQEAYKPGAIVRIKVTDFVTYTSAEFFPGPKLNMVIGPNGTGKSTLV CAICLGLGWGPAHLGRAKDPGEFVKHGCREATIEIELAGGPHFRRNPVVTRTIKRDGN KSSFTINGKTASRTQVLKLAQSFSIQIDNLCQFLPQDKVSEFAALTPIELLNSTQRAA AGAEMIEWHDNLKQLRARQKKLQADNKSDKDLLTNLEERQEMQRADVERMRQRAEIKR KIEMLELTRPMVKYKDMHNDFKDKRRRKEEIALEYENLKAELEPSLRAVNAKQEYCLQ IDNVVSYKKARVEEAERTASALGKKIEQYEEKMKNLEKEIDAEKKSNANSKEDGMRIQ QTINKLNRQLAEGAIEFDADWYNERIREKRREAREIEDKANQIKDDRRPLSEALKEKT DKVTELERHLQRLESQSGRQEEKLKQLSYESYKAYQWIQTNQDKFEKEVFGPPIVTCS VKDPKYADAVESLLQRNDYIAFTVQCRNDFRTLQRELNIGQKLADISIKTSSVSLDSF RPPLTGDEIRNLGFDGWAKDFINGPDPVVATLCSENRLHQTPIGHRAITDEEFRKIEQ GSISSWVAGRQSYQITRRKEYGPSATSTRVRHLKPAKVWTSQPLDASAKQDLVRNIQV LKDEVRELQEKMDMERANLQQLGHDFDECERERLELEREKSEKQTALTNYRAIPERIR QQELRLRDIQKIFQDVKTRVLDIRSRQDQLSIEKAEATLEYANAVEHLRVLHEELIKL KIRHIEAFSDLEILKDRNIEHRDRLEAKNNELKDAMQEVKAMSVAVKEMMKQANKVVQ LSERQPDLAALLSSLVDHTVDQLEADIDSEKARLELTHGGSSNIIKEFEEREKQIQKL RGKLSEFEAQLAEFDHAINEIRGKWEPKLDEIIKSISDAFSDSFARIGCAGQVTLDKA EDEAGADGEPGGSDFDQWSIQIHVKFREHENLSLLDSHRQSGGERAVSTIFYLMALQS LSASPFRVVDEINQGMDPRNERMVHGRLVDIACAPSENGGGGQYFLITPKLLSGLVYK PGMRVLCIYSGEHMPKDYEQLDFGQAVQRMRAIRDRGRALEDPTQRSNGHVDVHA AOR_1_266094 MLISKTVLSGLALGASFVGVSAQQNSTRWPLHDNGLTDTVEWDH YSFLINGQRHFVFSGEFHYWRIPVPELWRDLLEKIKAAGFTAFSIYNHWGYHSPKPGV LDFENGAHNFTSIMTLAKEIGLYMIIRPGPYVNAEANAGGLPLWTTTGAYGKLRDNDP RYLEALTPYWANISKIIAPHLITNDGNVILYQIENEYAEQWLDEETHEPNTSGQEYMQ YLEDVARENGIDAPLIHNLPNMNGHSWSKDLSNATGNVDVIGVDSYPTCWTCNVSECA STNGEYIPYKTLIYYDYFKELSPTQPSFMPEFQGGSYNPWGGPQGGCPDDLGPDFANL FYRNLISQRVSAISLYMLYGGTNWGWHASTDVATSYDYSSPISENRKLIEKYYETKVL TQFTKIAQDLSKVDRLGNSTKYSSNPAVSVAELRNPDTGAAFYVTQHEYTPSGTVEKF TVKVNTSEGALTIPQYGSQITLNGHQSKIIVTDFKFGSKTLLYSTAEVLTYAVIDGKE VLALWVPTGESGEFTVKGVNSAKFADKGRTANIEIHPGTNNVTVSFMQRSGMSLVELG DGTRIVLLDRSAAHVFWSTPLNNDPAEAGNNTVLVHGPYLVRSAKLEGCDLKLTGDIQ NSTEVSIFAPKSVCSVNWNGKKTSVKSAKGGVITTTLGGDAKFELPTISGWKSADSLP EIAKDYSATSKAWVVATKTNSSNPTPPAPNNPVLYVDENDIHVGNHIYRATFPSTDEP PTDVYLNITGGRAFGYSVWLNSDFIGSWLGTATTEQNDQTFSFSNATLSTDEDNILVV VMDNSAHDLRDGALNPRGITNATLIGPGSYSFTEWKLAGNAGFEDHLDPVRAPLNEGS LYAERVGIHLPGYEFDEAEEVSSNSTSLTVPGAGIRVFRTVVPLSVPQGLDVSISFRL TAPSNVTFTSAEGYTNQLRALLFVNGYQYGRFNPYIGHQIDFPVPPGVLDYNGDNTIA VTVWSQSVDGAEIKVDWNVDYVHETSFDMNFDGAYLRPGWIEERREYA AOR_1_268094 MASELKANEPNVAVWRETVTDFTAGAAGGAAQVLIDQPFDLVKV RLQTQNGGNTLPTARNIWAKEGPLAFYRGTLMPLLGVGACVSIQFGAFHGIRQAIESY NTDKRPGHDSTLSIPQYYLAGAGAGVATSIISVQ AOR_1_270094 MGISIDSSIHRHVKNLLDKIRLYEEQLSTLSQAPPATSGTGIRN EYTTEAMPYQESDTGISPATDLTSGPAFESQVKSLLDRNHPANSALLRAPGNSGSTEA VTQWTSARPLVSDDAVPTIPSLEESQDLLDRFLFYLGVSQHFFDPRTFSDSMVLLFQD EQTQEHQMHTIWFTEYLLVMAMAKLMDVEDPSSQPPGASLFAEAMRRLPPLHQLGEEG AITVEILTLIATYLQWCDRKHDAYLYIGLALRLAIALGFDKPAREQRCLPSETAHRVR LWWTVYMLDRRLSSGLGLAAGSDERQLRAELPRQAIGFQSPIALAINVRIARATDEIM SSLYGNTSITQLELVYKIQKILQNLYETGRSFPPALVLDFNRPLQTVTRTGASLYLML FQAIILCTRPVLLQRVRREVQKHSNQQALQPLPAALGRLCETCNEAATKSLAILHALK RQQTIPRYGFFDLDATFSGAFVLVMMGLIDKTRDQPPPALDQAFDVLRFLSRAGNLAA ERRLQDITHSYSGSLSAISLSSLGPRGDASVPHTSASAIPPQPPQYTTVAAEGWEQGR DESRLLETWMHPDTANATFDMQVDWDWHLDLSVEAEGIYSSFFDPSLPLTGVDHLDWQ EIEKIFNGQNDP AOR_1_272094 MEEPPFSIPPHSRRIDPTQPRTATFKPDGSDNDNDRVEVGPTPL AFAEWQHLGLQPPHLPTMRAYRLQRICDQLISRDLGGILLFDPLNIRYATDTSNMQLW TAHNPSRACFVAASGYLVLWDFHGCNHLSAHLPLIKETRSGASFFYFETGNRTDEHAA RFCAQVDELLRKHAGNNRRLAVDRIEVAGLRALDALGVEVCNGQAVTELARMIKGPDE IRAMRCAVASCEAAVGEMRQAMRAGATENDVWAALHAGNIRRGGEWIETRLLSSGPRT NPWYQECGPRILRDGDLVSFDTDLIGVYGICVDMSRSWICGDLEPTAEQKRLYRIAHE HITNNIEMVKPGVRFTELTRNGHRLPESCRAQRYSVMFHGVGLCDEYPTIRYPEDLES YGYEGELQAGMVLCVEAYVGEVGGKDGIKLENQLLVTETGYELLTRYPFEESFLRD AOR_1_274094 MASTQKARRLILTTAVVSITIAGTLYGAGIKTEQEVTQTVQKKV EASIDERIASLRGMRQNLAAKKELVERQINDLDARIEERKRKGIDGSKREPSS AOR_1_276094 MPNIFGLVPMLRRAEAQPDLEVAQGDGASHIPATSGATLLPRPV ASIVSFVTQSTSLSLRVGTFFGGVAIDGARATTLTGLELSRAVIEGVLTRAGRDVATR SSGEHGRVEAESILERSLAALHKSVTSASFFVAATFHFSSTTLSSASNMSQALLSTLD AILGSTESSRAIAAIITLIRREFRNPRVESMTDDNIGVGDLLIGTVGFAMLQRWGRKN TERQIRTNGGEEAIWDVVILDNGVRADVVGMHQIELTRRPRSEFHDETRRSSFISPGN DEEAFDAVQRPASRGDMAEKHPLSLPPGDHQMSDDDIRLYIMKQLPQGCRASIKTDLV TARTITVDIYDDDSAEIAAPPGTMVIEERFRNDQCLGSSGGSSPPQFPKHTVVFRTAF NKSQSADVRLSRGDDISGIYEISSDHGDQSPYLSQGIAITDSLPDAESTVADHRHAKP QDKPIQTIQTEIEDGSHRNSRFPGTNGLGKSSYEPTLLADSNSSKRYSTESTRTASNE ADTISKGSFGKGSLTRIAQKVKPASVERSGQSKRLSVKEKSSTPPVHSVQRSKGSKGY VPERKPSAPKQSPKPTAEAKSEKPPLPSKARVSSVANRGSPRSPLQSSRLSVPSTARG TSVREMPPERGPMPEFYAIHEKNEESFMKQTDAYSTNVRPRSSAGVRTHVRSSSSMSV TRSEADMSVSVNDGRPSSSHLHRSSHTFTPSIYSLATAGSETSLILAHRSRKSAYDDM ETIQALSRDGLVPGIFPEKHFVQNIRRFLRFSSASYGSNALKVMGVPPTTKALAYQES DSREHSDFSDHTGLPASTILLSSFVDPAGGSKAAGETETGFPLVHYLFLDHESKAVVL TLRGTWGFEDILTDMTCDYDDLEWQGKSWKVHKGMHASAQRLLMGGGGKVMITIRAAL EEFPDYGVVLCGHSLGGGVAALLATMISEPTNDGYGTSFVTASYQATAQRLLLTGSSD TNQTACFLPSGRPIHVYAYGPPAAMSPFLRRATRGLITTIVNGHDVVPSLSLGILHDM HTVSVAFKSDVSGTKSHVQGRVWNSLRQSIVNKFYVNEPPIVQHAGDGIGEDAWAWKT LKMLREEMLAPKLMPPGEVFVVETMRVLQRDAFTSGMGDDGHPRLGRPATRVQLKFIR DVESVFGEMRFGSGMFSDHNPARYEASLAALSRGILDD AOR_1_278094 MPERYGDYQSVIYGRGAIESVQPNVTTDPRLLEEQARKALGVRS FNYVAGGAGEKATMDSNRLAFRQWKIIPRMLRQVDNQDLSVELFGQKYPNPVLMAPVG VQSLFHEDKETGLAESCAEVGVPYTLSTASTSSIEEVAETNGDGKRWFQLYWPQDDDV TLSLLKRAKDNGFSVLVVTLDTWSLAWRPADLDNAYVPFIKGVGNQIGFSDPVFRAKF EKESGSKLEEDIVGASRAWISDVFPGRPHTWEHIAFLRKNWDGPIVLKGIQHVEDAEL ALQAGCDGIVVSNHGGRQVDGAIGSLDVLPEIVEAVGDKMTVLFDSGVRTGADVVKAL CLGAKAVFVGRPVIYGLAINGREGAKSVMKGLLADLWQTMSLSGICTVAECTRDRVRK VQYPGDMKAMM AOR_1_280094 MEYRSEPKPDVESPRQGNTMMVPQDTDTGSSFLEGESEKHLLWK IDIHILPMVVLLYLFSFLDRVNIGNARLYGLEDDLGLVGNQYQVAVSILFVTYCLFEV PSNLVLKKLRPSRYIASISVIWGIIATLTGITQNYGGLIACRLLLGVVEAGLFPGLIT YLTLFYSKRELALRTGYLFSSAAAAGAFGGLLAYAIGFMDGISGLRGWRWILILEGIP TVLLGVVAWFVLADEPDTAYYLNEEEKALVLRRRMRYVGQTASAQKFHWADVKEGALD WRIWAFSIAQFGIDTMLYGYSTFLPTIIKGMGSWSTPEVQALTIPCYALGALAYLVTA WVSDRTQRRGLFICIFSAVSVVGYGILISDTSSGVHYFGALLIALGLYVAVGLPLAWL PTTLPRYGKRTFATGLQLTFGNISGVMSPFLYKNNEAPRYVRGNAVTLGLVGFAGIVY GLMWFYYHQKNMRRVQGFEDEKVAGMTDEEIEELGDKSPRFIYST AOR_1_282094 MLNVTSPWALILSLLTAIVSISYYNNNPSAPEIRSPHCASWNAW YNPLRSGQVGYQANDWNILYHLGGNGPWIEKIDELETPGLEPPKGCVIDQVHMISRHG ERYPTKSAGSRHLALLNRIKEANVVLNGSLSFLNNWTYFTDEPQKDFDQLTKTSPYAG TLQAFSTGVRFLTRYGHLLPLHGTTRLWASECNRVIETAQHFASGFFGLDWEKTEKAA LEIIPETLERGADTLTPGDTCPKYIEDPAKGHDNGVNMLALFQDVYIPAIAERLINDE NNSALGYLTNLEVYGMQEMCGFETLSRGSSPWCDIFTHDDWENFEYARDLIHYYRAGP GNPYAGAMGWLWLNATTGLLRSGPEAGTMFFSFVHDGDIAPLVTAMDILKDPKYDPFL PTTHRVEDRVWRTSSVMPMGGRIVFERLTCPTSSAKDPSGEEAFLRVNINDKIVPLPY CKSGPGLSCPLKEFVDHVERRRSEVGDFGEVCGLEKDVGYITFLRQG AOR_1_284094 MEYHFVPPEERAKDDKGNLLPWGYVYKDESRNPRRPPEESGPFG KRRNARYDHARSRTRTGTPAKKENPNVAEFGRLFAMQQEEEKTRSNTLPKSTSSSNLD NARKQTDKVATECILYGYKSKDSEWKVIDKYERVSRGVICEDYPRSDPNSTSGYSQLL SGGDVVIHSNLSADANRKSKRYAGGFHWIKVTFDSTIAADRACFYSPQEIDGHFVFCE LYHGQGPAEDVPILADSSAAEQQKSKATRTLTTSRSTNFLQTNERSTLPRSFTVNNLS SVPDTEEGQSLGSTPTASSTTATGVDVPSTASLQQRNIPQEPKPESEFMTHIPTVRRA KLRPINEALPPQPTVTERVLRSIPILSWFTGDIVGDGPQLKEDGTFDYDKSNTYWRFW YMIDMVLGTDICGLREES AOR_1_286094 MDQAKLARMQASVRIGTGKGTPRRKVKKELTELVPGILNQLGPD SLASLRKLAESYQNMQKNQAGAEGKKDDDEDDIPDLVEGENFESNVE AOR_1_286094 MDQAKLARMQASVRIGTGKGTPRRKVKKVHKSSGADDKKLQATL KKMNVQPIQAIEEVNMFKEDGNVIHFGAPKVHASVPSNTFALYGNGEEKELTELVPGI LNQLGPDSLASLRKLAESYQNMQKNQAGAEGKKDDDEDDIPDLVEGENFESNVE AOR_1_288094 MFNMSLYQNIALNGSFALHGLRHPLDMYSSLGPTLSEATFGLLG YSFEPSRDIGDLSGKVLFVTGGNAGLGKETVLQLAQHNPSRIYLAARDATKAREAISS IQDIISASVDIRHIQLDLSSFQSTRDAAEKFCSECDRLDILILNAGTMANPPELTKEG FEIQFGTNHIGHFLLTKLLLPTLKKTVGSPASDVRIVTLSSVGSHAAPSLDVMTSTSA LLDSHTLVRYSASKAANILFASELARRYPEILSVSVHPGVVSSELYRHTGAMNAISKF GVGLLSTVFRSIRTGAMNQLWAAGTKREQLVNGAYYVPIGVRGSSRFTDDADMARKLW EWTENQIAEKS AOR_1_290094 MRFLCLHGSGTSGEIFEIQSGGISQALEAKGHRFTYIDGRLDSE PEPELKGILDPPFYKHYPRDIAPGEDLARAIEYTMDIIKKKGPFDAVMGFSQGAALAG SMIINHAKTHDVPLFKAAVFICGAAPYESSGKETIQPTPGEYLVNIPTTHIVGKQDEI YDLSMQLYGLCEPSKAEFYDHGSRHLIPFDGKNTEAMIAAIEKTIKRARTG AOR_1_292094 MATRAPFVVPALKKHTATVIMAHGLGDSGAGWMGLAQNWRRRGL FEEVTFIFPNAPMIPITVNFGMSMPGWYDLSKLGRDLDFEEAIRSQDEPGILRSREYF NTLIKEQIDQGINPSRIVLGGFSQGGAMSVFTGVTNKEKLGGVFGLSCYLLLSDRIKN QIPEDWPNKKTPFFLAHGTDDDVVKYEFGKTSSKLLQDLGLENVQFNSYSDLGHSADP QEIEDLEKFLQQVIPAEGEVSAGL AOR_1_294094 MDQFPKLRPVGRIELCSTARHHLRFYYNVAVAATYSLPGTFTLP IKDYVYKACEILIAQHPILSAVPIGEETKDPYFARLPSIDLSQSVFFQKREHGFPDAE DRDEDLDTLLSTQHNTPFELPLPFWRIFVLTDDADDTERRFTVVFVFHHAISDGTSGK VFHRSFLQALQSLSSLAVDDVKEVIPSPDSSLLPPGEAVHPKPVSYTYLAVQLFKAKI YDPRDPGLWTGSEVKLPLETKLKHIVFPKHLSSTFRDRCRENKTTITAALQTIIARSL FTHLPESSTKVRCSGAMSNRHWLPGPITEDSMGVFVQEYTEDYSRKDLSETSFPWSVT VRSRATIERELSKEGRDTSANLLRYVNDYHNELYLSKVGRPRSSTYEVSNVGAFTSTT PAEGMPRVGRVVFSQSASVTGNAFEVSVASGGDGCLVLMFTWQKGVVEDLFILNVIES VRKEVYDLCV AOR_1_296094 MKLSILSLASLAPLVSAHFKLNYPTSRGFDEDKMSQFPCSGLSQ SSERTKVSLSAGDFPVALTMGHSQTAVEVLLALGNDPGTNFNITLHPTFRVEGLGAFC LPNVTFDESIVGVKLTDGMNATLQVQSNGDPSGGLYACADIQFTDVDYSAPSSCSNNT GVKATSFTGDAAKRNANESTADGEAQSGSSSSSTSSSSTGSATSTAGAVALETAAWGM LGAAVVGGMAIL AOR_1_298094 MDMSSMDHSSSSSSSSSSSMTMSMAMVFVNAQDTPLFSNQWTPS SSGAYAGTCIFLIILSIIGRLLVAFKGVMEQHWLNAHLNRRYVAVAGKSTEAGRIDAD PDAKVASLVSAQGVEESVKVVRRATHEPLPFRFSVDLPRAFLFLLITGVSYLLMLAVM TMNIGYFCSVLGGAFLGELAVGRYIQWNEHSH AOR_1_300094 MSMGGMDMGPMGHMSMGDGVPGLFYLQKMYWAVVGSAIAAGTVV NAFNNFLAFQRLRDSTLTPSKPKSLFFITCATLTAIVREASYATLPPWSLGGRIIHFA PLGPLAIILANLVVVLVFCFYKLDTTDQWKWEDVGYRTGFVAIAQLPLIFLLAGRQNI IGLLVGMSYERLNWFHRWISRTLWLTVTIHMGFWFRSWGRYDYITYQLKNDPLSKRGF AAWCILTFIVLSSMAPIRRLSYEFFVLQHLVTFVGFIVAVWLHAAEEVKVWVWISIGL LVFDRVARYAWATYANLSIFHRSTSNTKHALWANRASFTPLPGNVTRITIDNPGIRWE PGQHVFLTCHSIVPLQSHPFTIASISADNKMEFFVRSEKGGTRRFFRYASKHHHVLGS RDTSPVKPARAVFIDGPYGKMRPLRQFDSVILLAGGMGVTFVIPCLRDIVSRWKMECL GDNEQSKKSPRLTPTKRIRFVWVIKSRAQLSWFETQLQSVLSDVEECRRAQPDINREI ELSIYVTCDEKLEPQPQPAQPLCSQAQPESTIVGTRQDANSIELAAVTDEKRSKKDDV SIHSISNVSSGDSTPQSGCLPNGGCCCTTAIEDEDNNSITVHNCSCSGHAAASQAPGP EPEKLDVKAAPIKVPELPMFSGRPQPRTIIRKVLEKAEGESAVVVCGPQGLSDDVRRS VVYLSDERAVHKGTGAQGIYLHAEKFGW AOR_1_302094 MGRTVDQEVHAAFVEFRAKEDDKCLSVQCIYCQQIRAKNTSRQK QHLLECPGLRGHTNPQAQSQTAQSAPNGIGATNGYPPTPNGATATAPGAGPGPGALPT PNGPMMTNGVNPHATPMQTPLQNMQGRASLPTSGPTGTSSAPPSQQAPRATPKSKPKT SSSSLPAPPLDDVHAAFVEFRAKEEDKCLSVQCIYCQQVRAKNTSRQRQHLLECPTYL SVMKDSIPANNLLHTFPEGDVARSLQIPAPTLELDFRMSIKMNPKVAVGQSLWGQRDW VTFVGGQWAGRWGKGIVLPGGQDSQIVTKESATSLRASYMLQTADDPPAFIIVKTNGW LTGAKDVLDKVNDPGVADTINPNTYKYRINLTMETGDERYAFLNTLMWVGSGCRRGHE VIFDSFRVN AOR_1_1256094 MFRSIPRRIPRRLPTPSSTSRLALRSFTCGYPRMSVPPLSAVEP PVSTALPCDSYQLLSTADKAGAAEDALYEQQIKDVEAWWKSPRFEGIKRPYSAADVVS KRGSLQQTYPSSLMARKLFNLLNERAAEGKPVHTMGAIDPVQMTQQAPNQEVLYISGW ACSSLLTTTHEVSPDMGDYPYNTVPNQVQRLFKAQQLHDRKNWDARRKMTPEERKSTP YVDFMRPIIADGDTGHGGLSAVLKLAKLFAENGAAAIHFEDQLHGGKKCGHLAGKVIV PVGEHINRLVAARFQWDMMGSENLLIARTDSESGKLLSSAIDVRDHEFILGVTEETEP LAETLQAMEREGAAPAEIDAYEMDWVKSHKLVTFDEAVDAHLEREGAAQSVRDAYKKR VQENPDLSITRRRALANDYTKTPVVWSWDIPRTREGYYHYKAGFPAATKRAKEFAPYA DLLWVETGNPNVEKAAKLAGDVRSAFPGKKLVYNLSPSFNWMGQGFTEETLKSFIWDL APHGFVLQLISLAGLHTNATVTTELSRAFKDEGMLAYVRQVQAREKELGVEVLTHQKW SGAPYMDGILGAIQSGNSSNKSMGDGNTEKGF AOR_1_306094 MCGLTAFLTVGGIPGCVHYANNCPGLEKQLEESLDLVNHRGPDA RGRWFSTDHRVGLGHVRLSIVDLSSAGNQPFHDSEGAIHAVVNGELYDHEEHRTELAQ EYDFKSNSDCEIVIALYRHYGISFLNKLRGEFALVLYDANRKLFLTARDRYGIKSLYY TMVGNRLLVATEMKSFLPFGWKPEWDVTAIREGAWMNGSQMLFKGVQRLAPGQYMVSQ NFNPPEVRTYWDTDYPDKRTVYPHSEEEVIERVRELMLDAVRVRLRADVGLGIYLSGG LDSSAIAGMAVKLVQEGTKLGNDTSGERSKIDCFTVQFEKDSGFDESDIARRTAEWLG VGYHPVYLDEEAIAARLEDTVWYSEIPAANVNGMGRLAVAEAAHAMGKKVILTGEDSD EHFSGYSDLLPNFLLEPDYSWPQSLAKQSDFAEAWKVMEERNQILAMGMDEVAGPTKR MLNNTTLCARLSTFTTLPYSSWTDQLALRSPATAFAENFGVQVLHKMMEKWHPLHSTQ YQWMKSVLANYILRYIGDNVDMVHQIETRPPFLDHYVTEYANNIPPSLKIKYDPESKT FREKNILREAMKPFVTEEIYNRTKHPFVGPFTYQEDGPVHKSLKRLLTEENVNQLGFF DNDRVQSNLVKAFRDKDGVCFQRALAVAQYVVLGQRFGVKKAQDPGCSETSSGPYV AOR_1_308094 MRRVKANVLTVVSTAKIKKADSSPPSRRENLSISTSSPTTEHSA AQVKDQPAASQSLPLDASDFAQFLAPQLNGSSSPSNKRRVTQKNLSFCADSLLDNTDV AHIDEDVFVPPLEEIVPPDESPWPSDISLPTAEFDTSPGETTLAIPGEETVHESDDFS FAALLEGDTEDLEAIVRQSDPSVSPNLFQFAGTSVSPRSRHTDISLGLFKEPAISAGS PERLILHFDRYTCGILSVKDGAHENPWRTLIWPLAKDTPALYHAIFSLSAFHCSKKNP SLKVYGVEHMRRSIACMVQDIQNMRADAALATSLALAFADTWDRDTRSCIQHLRGAKA LVAQVVGLGLQAGLCAADLDRIRFLYNTWLYMDVIARLTSLEESGDQKIDLSILQLPK GAVHEIDPLMGCATTLFPLIDRVAQLIQRVRKTNSNSISLVSEAIELKRHVEQWEPPD WFEPPEDPTSEVQHSIQIAHAYRWATILYLHQAVPEMPCEPASELAKRVLLLLATVPP SSRTTIIQMFPLLAAGCEADQEEDRQWVLGRWRSIQTRLMLGSIDRCIDVVHEVWTRR DQFEAEKQRRQFRGAGRSNSLDDREPVGRDGLPYRNMVHGDLNNPAMFAKESYRRPAA DDRAISSRGTGNPRRSSAVSPLENIEFEKTVRGNLHWVSVMQEWDWEVFLG AOR_1_310094 MDLVTKWGHFSKEYKQRRKSTSSTEEHKGGEEHKGGEEHKGGEE HEEQRAFNWLLGKFGRPHNDHPDRRSSGAGNMATDIDEWRRSQKKSTSSQQGVPDLKS QEGVSDLRPQEGISDLRTHEQVSDLRRQ AOR_1_312094 MPAAFTYFAKAQSTYKPPHIANGNAFLGDIVSSEHNDPEKPISG GFYRLEKGVPLVYEYHFDETKIILEGEFEISDETGQKVTAGPGDVFYFPKGSKITFTT PSYGLAFFTGQRAKL AOR_1_314094 MTLNFARESSDRPALRLPNPPPLLHTRSGNETLDRPSTPSQNGF TSPIQTPQGSPSKNRFPPGALDLPNVFDKAMKLNPTSPNKGTYDHYSHPMFSPKKSVE DFSESVIRQPPGSPTRKANKENTPPSPTRVGKDLGPNPAAAAISRHQTYQPRDVESIQ RRQVQMRGLTPEEMEKLQNPRVKRLVNVTQLYFLDHYFDLLSYVHNRQTRYSQFRNAY PEPPATPMDDYEPALLKYLGRERAHLRKRRTRLRQHDFQILTQVGQGGYGQVYLAQKK DTREVCALKVMSKKLLFKLDEIRHILTERDILTAAKSEWLVKLLYAFQDEDQIYLAME YVPGGDFRTLLNNTGVLHNRHARFYISEMFSCIDALHALGYIHRDLKPENFLIDSTGH VKLTDFGLAAGMLNPGKIESMRVKLEEVGNTPVPFGRPMEQRTMAERRQGYRTLRERQ VNYAKSIVGSPDYMAPEVLKGEQYDFTVDYWSLGCMLFEALAGYPPFAGATVDETWQN LKNWQKVLRKPVYEDPNYFLSRRTWDLITKLVASKEKRFKNIHEIHAHDYFAEVDFNR LREQRAPFVPELDSETDAGYFDDFTSEADMAKYKEVHDKQRALEEMAERDEKMGKGLF VGFTFRHRKPAMDGGRSSPRKPIATDGSFGTMF AOR_1_316094 MVFRFPKTLDPITLFHSPSAPASQNALKTLQRALAAAEAGEPQT TKRGEFQLEVTTEAPTTDQLRNILDYVTADPAGAGSNRVVYGVEQVVKGARDAEDALK KFKENGAQGIVRPITVDWTNGRAVLGDNESEILKMVHQLDVD AOR_1_318094 MSSIGPVLVTGGNGFIAYHIIAKILEEEPDCSIHSIDVNIDRNR HPNPNVHYHHGDLACVEDVQRIMQIARPVTIFHTASPEFSDAPESAYQRIIVDGAHHL LNAALKVNTVQALVNTSTPGVINDNHTDLIDATEEMPMLRPPQQKRVYCIAKADAEDA IQAANRNGGQDDRGILTCAIRPGLVFGERDVGSLGKMFAVARQGKTRFQIGNGQNPYD FIYVGNLADAHLLAAHALLNAWGKPPPADASTRVDGECFHLNNEDPWLFWDFQRAVSA LAGNPIRPEDIVVIPKWVGLTLGFVNEWVAWIISGGTRPANMTREGIRFSTLIRTLNG NKAKRVLGYRPKVGMQEGLERSVRWFMENAEREA AOR_1_320094 MDPDASITPDQPPVFNYILSFLLVGVAWGFTTPFIRRAAADFNA RQEQLSQGQPQAQAQASQEPETDAQELQQTNPDHEPAPTPDSEPSDEEDDEDHPLPAA GTQQQQQQQPAWMRPSQSWLKTKITTIFWTVINLLRTPAYSVPLIINLTGSIWFFLLV GKHELSLTVPLANSSAFLFTVLGEWYVERKVIEKETWLGMVLVLGGIGICVKSKS AOR_1_322094 MAEIILYDLACTKNVCFSPVVWRIRLMLNYKRIPYRTIFLEFPD IEPTLKGLGILPSESTSTSKPKYTVPAIQHVPTNTYIMDSASIAHFLESTYLDPPVPL TSELGREIEAKSRAVVGPIFFTSVMPREIGILSPRAQEYFRRTREASLGHRLEDLLDL DKEEQSWDAVEDDVRAVGELMQMNRADGPFLLGARPTYTDFFIAGSLQSARVVEESVF RRFFGYPGYREVYEACLPYMEKKD AOR_1_1258094 MKYFLLILSCLLLLTETALASNTAGPAETLFFYNAYLIEFKTVS NPKQRKLAKGCVGAQSPTPCTYKNFVKHILYRGEKLQVEDAKFRDTLDNAGTAGITET SKRLRERGFKCVYDLSRLVEGAGKATPFSKVFEAVEEQIKEKLSFSSVESERNNMKTA LKLIEQNRVADNMKYFIKELETRMGIEFVKSPRTTDDGRAWQAYETKETASKYPDHDN LSKEAQDIVRKLRDGKIVVPGFNNHPLELTLDCEDRFHDTVPMDWFSSPLTARELAML NLMETLTDRPGWYNLIFDKHTVTKWKEEAMTRPIISRKAWDWCLAELRDKAIRFKETG QILVLNSGSAVCKSDTIIPSSVGLKIQQFVSNLSDECGDGKDWEPSSNKQIWNIIDPS LFPLVYGQTRVLVNGGCVPLEQTLETYGQGEVAPRHDQEREILEESLLFSHRFQWLPC EVEFCGPAGSTDVQITSYINNLHPSRHRSFYKTLEGVVSRVIEPWNETLIKGTPIDSS LSSPPGRAPRRIQTFGVEWRNEYPKWAEDLPTEWDGDLEAYRNALARVRDYVALPEYG VKVEWDGLETKEIPQDWESTVSLKDVVDAKYTRLFRFEHSDPGLYSYEEWKAGKTAKS IVWSSLHDMKDPMDRYKILEGSRKRCRDHNYYTVKLQETFRDKGLQIIVKLEGIELTP ESPSYPGEDWHTDGLLNEHIVGTAVYFFDMENVTGSRLSFRQEIEMNPGVYQFEGWDV PYLEKLFGVKDETPAFQELGSVSIGQGRLIVFPNAIHHRMEPFELISKCRAGHLRFLT LWLVDPYYRICSTRNVPPQRHDWWAEEAQSLVTSAHSLPQELATMVINETHKWPMDLT EAQQHRLERGKDSAIAHGAMEYLNQDAEINLFKPMY AOR_1_326094 MFGLLVKAALVGLTLASHSHHRHIHRHHGSSHARTAYTDLDVSP HGLNTSTALNETELASFPAEILLNITPLHPIKEEKGAFAHFMVENARDWSVSAWEADM KLAKEAHIDAFALNFAASLTDMYPLASAFQAAESTGFKLFLSFDYAGAGPFEESVVIG IIKIFSSHSAYYKYKGKPFVSTFEGPGNAKDWEEIKEKTGCFFVPSWSSLGAKDALEL GTADGLFSWAGWPWGNKDMDTYVDASYLDYLDQDYGKPYMMPVSPWFYTNLPGYDKNW LWRGDNLWTDRWEQVMVVQPSWLQIISWNDYGESHYIGPLRDIDNYEAFKVGKAPFNY AHGMPHDGWRLFLPYWIDMYKKGKGTITKEGVVGWYRPNPVAACKNGGTTGNTASQLQ LEFEPAQVVQDKIFFSALLTSSATVTVTVGGVSIPATWEFIPDGGVGVYHGSAGYGAF LGDVKISISRSGATIAEFSGTAITTSCKDGYSNFNAWVGSASGPSISAVSPKLPMENQ TCIQGTAPGNFQGLCEFTCNYGYCPIGACQCTKMGAPREKPKPTGVEGYPIAGEGSSY IGLCAFACNYGYCPPDACGTTKVPLTEPTVSPFLPSACTAGTGEGDLQGLCSYACNYG FCPINHCKCTATGALNRPPPANTTFSADYLGGDGNDSGLCKFACQRGYCPDVCASTEG VIRSCTDDDDRPECAEELPCDYSLTFDSLEALEKASGDIPKGCMPMYAAQILMDTLDT ALANYTDVDNGYDDKFGYYVKYLRAMVPVAISNFVSLNKGEGPGNKYFQCRWAMPGKQ KGEYQSCPIINTSEQVYIEYKLIDEDGFFKELQSKYGISKDWVEFRTYYRDVPACPPF TGIGPPPICTHPHYQYENFPMAKDDFTIPNPKDSIKDALPRFQQLQLDLTATWGDLSF FLWDGDDDDAVAALSMPVFMLLQAVDSMATVKQIGEEETEHEEEAKRNLILIILSAVL LILPFAGEVVGAVTGIAWVADAAAIADISASIALAGYDIVKDPKSAPMELLNILFAGA GRTAKNFSRAADVRRGIKAGELAKFGSVFKENDEALQSLIRFCKK AOR_1_328094 MPHLTIQDEIKKLRCSDSPTVTRSPSPKKTEKYIVQKLRKQFEL TKDTIGDGSFSRVKIVHRKEQGTLVKYAAKFLNREAKVSEQLYEERAWKEFDIARNLH HPNIAEVISLCRHNPHLVFVMEHCQHGDLCDLMAKATLRHGAKKCFFKQMLRGVAYMH SHGIAHHDIKPENLVLADDNVLKLIDFGLSEVFADLLPPDSKGERKLGPVREFPARLY GTPAYLPPELFQGVYSYDARALDVWSCAITAFAIFAGDVPWDLADDEDKSYQAFRGSW QYLLEEYPDLPVTADNFPLTTFAKALPEKELATLLLRMLHPFPEKRMTILEVLEDPWV QAIECCSPESNHSSTVRGDKDCGIPKMHDHRPLTDELASNSD AOR_1_330094 MPFFPMGTGIQAENDTNTVSGAVPLSPATQVKNRRKRYLDTHPE YFSADLELADPLLYDRLIRRFQTPAEREAEGRAKGFSGILQADLYRSEAKLDALSHPD PNAMFSYSRGPNGEILAEDKDEIPTSKEEGEKIWQWEMTLRFIRGEDRDFDYTTVDEN DDYDDWNEEQERYFDDEEPEWIVEGTSGDDVRSRLQGETGVQDF AOR_1_332094 MSSLQEVIQQVNSRRLWRGAALLVLVAFSSPVFVLTLAPVYGSA PSHIFHGYGVAITAALGWFLKDFIQQVTNRRAVYLLPVVAFWYPTIQYFLLQQSSSFG NPTGAVITEVVAFYPFVLLSVACAAKLVQAGLNLERYGDLAKEHIPLISSYIVYSFGE KLAKSFIVRFIGSFWLFSSTGLQLLVAILCSAVIPSKWLLLAIPSILFTLTSNVHLPL GITTSGLKSALNEEGFSLVDRQESSTGYISVLDNLEDGFRVMRCDHSLLGGQWTKTYR NYKPKVQDPIYAVFSLLEAVRLAETGHGAHRVDADSKALVIGLGVGTTPAALITHGIE TTIVEIDPVVHKFASKYFHLPPNHIAAIEDATLFVDRALKSPQPNQYDYIVHDVFTGG AEPIELFSIEFLGGLNSLLKEDGVIAMNYAGDLSLYPAALTVRTIQAVFPSCRYFRED AGEEGNGDFTNMVIFCKKDSATPLRFRDPVPADFLDSKFRETYLVPKHEIDPAIFTTV TGGQRVLRTKDTGKLYRWQDQGALEHWGIMRKVLPDAVWENW AOR_1_334094 MIFPIVALLQLVLTAFAQSSADDDLMSFVTLPGVRALKYEVYYK DRDRVSPGYWFVAPYGKIDPEPASQQYKPYQIGPYIYDGDGMLIWAGSPMFDNRNIFD FKAVHSIGDKPHLSLVWQIAYDHSDNGYGVILDNAYDIKKKIPMPDEYGAFDIHEFNI LDDGKTAMAITYREHEIALDTMDRPGEHTHVLSGGFVKIDLNSEKINYVWDGVDKVAL SESVTVNKETPPVGPPGWDYVHINSIDKNDDGDYIISYRFTNTIYMISGQDGNIMWRL GGQYTDFEQDFTFSKQHDAKFIESNGTHHVISLLNNASDEISNDEDVSSALFIELDTS AFPKTAKVIRRHNRPDGGLTRLRGNTQLLPNNNVFVGWSERGYISEFSPEGDTLLNAN FVSSRYSTYRAYKFEFTGRPSAPPDLVASVWGTDQTDLSTTFYVSWNGATDIAGWNFY ARAAKNGHPILIGNATKTDFETMFIARGYLDWVTAEAVDHEGRVLGTSRVQRSKIPDN WAAAGFRGDLKTLKPDDPKAPKSNGGKQTTADAEADNKNNNNLQSPRPADAEVKEIAQ LAHETYDLVRNVSGVFVLIVLCGIVSGIAASIYLCFRRRITQPYKHVPSDDIPEEEIR LHSVE AOR_1_336094 MMVAWWSLFLYGLQVAAPALAATPADWRSQSIYFLLTDRFARTD GSTTATCNTADQKYCGGTWQGIIDKLDYIQGMGFTAIWITPVTAQLPQTTAYGDAYHG YWQQDIYSLNENYGTADDLKALSSALHERGMYLMVDVVANHMGYDGAGSSVDYSVFKP FSSQDYFHPFCFIQNYEDQTQVEDCWLGDNTVSLPDLDTTKDVVKNEWYDWVGSLVSN YSIDGLRIDTVKHVQKDFWPGYNKAAGVYCIGEVLDGDPAYTCPYQNVMDGVLNYPIY YPLLNAFKSTSGSMDDLYNMINTVKSDCPDSTLLGTFVENHDNPRFASYTNDIALAKN VAAFIILNDGIPIIYAGQEQHYAGGNDPANREATWLSGYPTDSELYKLIASANAIRNY AISKDTGFVTYKNWPIYKDDTTIAMRKGTDGSQIVTILSNKGASGDSYTLSLSGAGYT AGQQLTEVIGCTTVTVGSDGNVPVPMAGGLPRVLYPTEKLAGSKICSSS AOR_1_338094 MSSVTAYLSNEDRGILLHQMQVSQLRQQILANAKIIWPEERCFE IMTGRKTVEEKEGYATVKRTICYAYLISLRKTESRPFNLIAKSKPEKRPGEPLFDLLD LLERRAFTIMESESTE AOR_1_340094 MFFYRVTDKDSVAAFEPGQGFIAGNTSSRVTLDPLIQDDAIELV EYIQRHLNWKNRYPTPFISAYLDFDTAKTEALRRVNDGKKSVVLWKICLDEDDDLEWA TIYDLKRELGFWIQDNAFHNAKYEALFVRKIPRDYVVGGERYTNRQGKWTGGKWFHIN HPFH AOR_1_342094 MSPTSVGHDYYEILGISHDAQPATVKLAYKRLALARHPDRRKNE PNATADFQLLSEAYGELSDIHKRQEYDKLYRSAILPGKIKSQKIAELEERLRQFALKR EGSKTLLYNTKKDLIRLRAEKDSVKGEKERLLKERATEETWWSYISSLMIGNTVEFNQ RRQRREREITDSIGKQRTKEWNIDLKLAEVQYLERMLDSISSAEIEIKVEITKIEERW RERLSLQEMERVLAKWKNQR AOR_1_344094 MPSHPLHRHQSSLEKVLNFSKPFSLPPHQSQSAANLLQVLIQCY GPERSARKGYKPAALIKATYEHVVAKDTFLTFFFSSIYENLCSQPADLIDSDITIVLT FFDNFTSWSPDEKNKAKSAIEEFADYIIENFLLPLRASSVKTPQPTPASLSAIQTSTP SGTPYRVSILRKSCLVRDRYRCVISRKFDKSEARKRFEQYGEDCKDDEGIELKNESSD RFQFLEVAHILPHCLTTVSSGDADLSDSKKNVLRILDMFDPGVIHLIDGPKIDSPINA LTLTLDYHRMFGEFQIYFEPTGVPYQYKIDSTERSPFLRDPLFPVTRTLTLSPTRVID TPSRRLLDVHRAIALIMKLSGAGEYIENILRDIEEVDVKADGSTNLGHIMSLRLGGWL NTLCVF AOR_1_346094 MTMPLSFSHLIRGYKLHRMPPPLVTSVEIPNHLGFFQEPEERTT RQHRGKPCIHYTIEWKVTLNNRTVSKDTEQDLAVAPSSHWAKITQDAENVMRRKIRHN QRVRSDDTTVRVSVNERGQSDLNKRFDGTNIDWKPIEKQLLMWGNLFHIGKKLKLFIS INYIEDSGPPLSRNTDKRGKSSVTRRMLTERDAQIDAEQASGQRPYWREVYQTMRCPG PPCRHEGQYCWLDPVGKKHYKLRTHHLRRLVKYVEGGGILDTHDDIPDDVREQLYAEE ALRLEKQKNPKHSASGSICPPININVLPAQSSQSLTDRSVTAEQSSSSPNCIDSVDIP GFLDDAVEEYANWHLSRVGREIYRDHIKKARDVALENGLDLQQVSKEDPDFFVKQGVI IGVARRFVSDIRDWANQYRHD AOR_1_348094 MFTSSHNPGPKLGSGNNSRPSSKDGPKKNIWSSMLDSVANGKRL PEKNLLILGGTPESQREFLDTLSADTSDPSLSNDRRKGRLPPVANQFALGYTYQDVLD ADQEDTLARVSAYLLSEPSLSFAPLLKPLLTAQSVPETLVVILLDWSDPWTWVRRLRE WVRLLRHVLISLDDETKIVMEETMTEWRDRKRGMDPSSTGAQGLTSSGGPVTIPLGPG EWDEGLGIPMCVVCQGADKIEKLEKDHGWHEEEFDFILQFMRTILLKHGASLIYTTPF LVNSLQSLLHSSLGIHSLLKRQSLKHNVIDRDKILVPSNWDSWGKIRIIREGFDMEGV STAWSIEIQDPPEPLSGSSMDDAKPQGENAAGLDDGTSAVAIFEQTIKDPKRDASMTH PGSQHSKSKVEVDTSDMQSFLTKQLEVLEQLKIEDEKDRTAKPVPQLEMSPLDDNGRV NEHIGPVQFNMGGIQVDADDMLRKLKEREANRSQRKDTLSAPPGEKSHNQALANFFAG LVKKPGGSPRGSPSA AOR_1_350094 MNAIRQIQSLNKRELENAIPPEASWHADYRDTAYIYIGGLPFDL SEGDIVTIFSQYGEPVHVNLVRDKETGKSRGFAFLKYEDQRSTDLAVDNLGGSTVLGR ILRVDHVRYKRRDDEEEGDNVAKLMGDAVVKSAGDGDTDDERRRRRKGRTGEDESQRR PLLKEEKELEELMVNHDEEDPMKEYLIQEKKEEVARALEKLNREKSSRRRESSTIASE GRA AOR_1_352094 MNTRQVIDESVGPFSLSATFNNDNSCFSVGLDTGFCVFNADPCE LKVSRDFNAGIGVAVMLGQTNYLAIVGGGRQPKFPQNKLAIWDDAKQKAVITLEFRTS VLGVRLSKSRIVVALLNSIHIFAFSNPPQKLSVFETTDNPMGLACLGQKLLAFPGRSP GQVQLVELETGNVSIIPAHSSPLRAMTLSPDGEVLATASEMGTLVRVFSTSNCTKMAE LRRGVDQAVIFSLAISPSNNLLAVTSDKSTLHVFDLPHPLNPSHRNPLASPPSEEGTN QKWGILGKIPLLPRVFSDVYSFASAPFEIGDESAPGSHYVPPLGTSFGRPLKGVIGWP DDQTILVLGSGRDGRWEKFTLREGGDGKRYCMREGWKRYLGGG AOR_1_354094 MSSAFNAIKLRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGK QVLEGKDADDAANAHVEEGVRIKPVTVELELDDEGTRISLTIVDTPGFGDQIDNEASF GEIVGYLERQYDDILAEESRIKRNPRFRDNRVHVLLYFITPTGHGLRELDIELMKRLS PRVNVIPVIGKADSLTPAELAESKKLIMEDIEHYRIPVYNFPYDIEEDDEDTVEENAE LRGLMPFAIVGSDDFVEIDGRKVRARQYPWGVVEVENPRHSDFLAIRSALLHSHLADL KEITHDFLYENYRTEKLSKSVDGATPTQDSSMNPEDLASQSVRLKEEQLRREEEKLRE IELRVQREIAEKRQELLARESQLREIEARMARESSSQDVANGDA AOR_1_354094 MSSAFNALRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGKQV LEGKDADDAANAHVEEGVRIKPVTVELELDDEGTRISLTIVDTPGFGDQIDNEASFGE IVGYLERQYDDILAEESRIKRNPRFRDNRVHVLLYFITPTGHGLRELDIELMKRLSPR VNVIPVIGKADSLTPAELAESKKLIMEDIEHYRIPVYNFPYDIEEDDEDTVEENAELR GLMPFAIVGSDDFVEIDGRKVRARQYPWGVVEVENPRHSDFLAIRSALLHSHLADLKE ITHDFLYENYRTEKLSKSVDGATPTQDSSMNPEDLASQSVRLKEEQLRREEEKLREIE LRVQREIAEKRQELLARESQLREIEARMARESSSQDVANGDA AOR_1_356094 MADLGGHQGRNYRPYGHPSSFQRDAAFSEIFGGAPPPGRSQTMT SQTPQFSQDRAHTMSSHVPHPQMQRDPPPPTRQVPNGHPAGAPNGYYQAYPGSATMTS HSSQNAPRPYPGRFAYPQPQRLDSRQSPGPQYPDAKGYSRPMPPPALNSDAYRSRSMA RMGGPPLYHPPPSSFNHTSASAFRQQPYNAAAPMTAQGRVVPERHGNERAMSLTSYSA DRPDYNKTTSTGRVIPARRQPSGSSQPPFSRPDMDPAAVHNVDGRPRPPSDGSTTSRS MSMASTVPDRTMSMQSQAPPKPAGQPTLVASNSRRSKVPLVYPALLSRVADAFREKIV LAKREKNGVTYHYAFSGADAVDLISYIIKTNDRNLALLLGRALDAQKFFHDVTYDHRL RDSPGEVYEFNDFKETMGEEAPSSEVNGVFTLLTECYSPTCNRDSLCYSIACPRRLEQ QARLNLKPQPGLRSSASKGSLHGDDDDNDNQKLWINMVPKEVSDSIDDREKKRQEIIF EIMYTERDFVKDLEYLRDFWIRPLRSAGNKNISPIPEHRREKFIRTVFGNCLDVLAVN GGLAEALNARQKESHVVKTVGDIFLQHVPRFDPFIKYGANQLYGKYEFEKEKASNPDF ARFVEETERLKESRKLELNGYLTKPTTRLARYPLLLEQVVKNTADDNPDKEDIPKAIK LIKDFLSRVNTESGRAENHFNLVQLNGALKFGPGDYVNLKLTEENRQMLTKMAFRKTP TDTSEVTAYLFDHAVLLVRIKTVNKREEYRVYRKPIPLELLVIAQMDEVIPRAGIAKR PSSSLLPNKATANPPNTKDGLPITFRHLGKGGYEQTLYATNPTQRRKFIELVDEQQRK LRERNSNFYNKTVLCENFFTSINRVNCLVPVDGGRKLVYGTDSGIYLSERWPKDKSAK PRRVLDVSQVTQIDTLEEYQLLLVLANKTLSSYPMEALEIGEGQNTVAKRPKKIQGHA NFFKAGIGLGRYLVCSVKTSALSTTIKVYEPMDNLGKGKKKYKMFQSGQDTLKPFKEY YIPAESSSIHFLRSTLCVGCARGFEVVSLETTETQSLLDQADTSLDFVARKENVKPIH IERMNGEFLLNYSDFSFFVNRNGWRARPDWRISWEGNPNAFALSDPYILAFEPNFIEI RHIETSELIHIMTAKNIRMLHASTREILYAYEDEAGEDVVASLDFGKPQRS AOR_1_358094 MVVDSELAPKFAPFFSFAGIAAAMIFGSMGAAYGTAKSGIGISG VGTFRSDLIMKSLVPVVMSGIIAVYGLVIAVLIAQDMQPPPLPRQSLYTGFMHLASGL SVGLAGMAAGYTIGIVGDAGVRAYLQQSRVYVGMILILIFGEVLGLYGLIVGLILNSK SHP AOR_1_360094 MATGTVDPSAPKDVQGTSAPAGDIDTGSGNLHEKEKQMVSAIRP PHQRHLSPFVITERPEEKQLGVSTRTLTVDDFALLKTLGTGTFARVWLVRLKDEIRQR DKVYALKILRKADVIKLKQVEHVRNERKTLSAVAGHPFITTLIASFSDDQSLYMLLDY CPGGEIFSYLRRARRFNENTAKFYAAEITLTIEFLHDVEGIVYRDLKPENILLDAEGH IRLVDFGFAKKVDNRETYTLCGTPEYLAPEVIHNSGHGLAVDWWALGILIYEFLVGQP PFWDQNPMRIYEQIVEGRIRFPQNMSPAAQNIISLLCKTNPTERLGYISGGSARVKSH PFFEDIQWDDLFYRRIKGPIIPRVDHPADTGNFEEYPDPDVRSQNVYTDDLKKKYEAL FSDF AOR_1_362094 MLTYRKSLIAALFLITFVVLLRSSHSASSPSPPAPAHLPDEVAY NTNEVTEEHLSGQKKEAIPQQQPLKPSPSAPLRERLRYHFPYDLDKKFPAYIWQTWKY TPDSVWFGQELRGAEASWTELHPGFVHQVVPDDTQGYLIKYLYSSLPDVFEAYESLPL PVLKADFFRYLILLARGGIYSDIDTSALKPAADWLPSTYDLSTIGFVVGIEADPDRPD WHEWYSRRLQFCQWTIQSKPGHPILRDIVAYITEETLRMKKAGILKVGKMDKTIVEFT GPGAWTDAIFRYFNDPDYFNIEPDSNHNITYEDFSNQKDWRKVGDVVVLPITSFSPGV MQMGAGDYDDPMAFVKHDFEGSWKTDPSL AOR_1_364094 MGSIHGVARSARSLSALLRHESVSTRNRLAAVCSSTQYATARRS LHNGRPRKSQSAAASNNSSNPALSFPCLDAQDAKSALLSARSIESGPEPSYTTGHHEQ FRCEDPLLLDWGGVLPEFDIAYETWGQLNADKSNAILLHTGLSASSHAHSTEANSKPG WWEKFIGPGKPLDTNKHFVICTNVIGGCYGSTGPSSIDPSDGKRYATRFPILTIDDMV RAQFRLLDSLGIQKLYASVGSSMGGMQSLAAGVLFPERVEKIVSISGCARSHPYSIAM RHTQRQVLMVDPKWARGFYYDSIPPHSGMKLAREIATVTYRSGPEWEKRFGRKRADPS KQPALCPDFLIETYLDHAGEKFCLEYDPNSLLYVSKAMDLFDLGQAQQTETKKRRAEY EANIAEGGKTVDASNIACSLTLPEKPYEEQPSVAASTPAMDQSVAGGAEAPPQDLVAG LAPLKNHPVLVMGVASDILFPAWQQREIAETLRAGGNEKVQHIELGEDVSMFGHDTFL LDLKNIGGAVEEFLR AOR_1_368094 MAGFSRRDDNGGGEFGSATKINLKELSSFPDWTQRTELAENNMG SGWELENPDATTASSDDDDLDVSRPARPYKADLSRTTSKSEVTPRPTPKSEIPVEERS SPLNNPTPVIDLEVQTDSDDTSFNDGEAAYQAFKNRKALKRKRTSMSARKSKTPKVVH PESFVGRRPRQGGRPTPRRPLREYGDEVPSEDDLMEYTLPEYLQKRRQQFERRAEHLK ESGLKLPPGYEDIEFSDDERLEFLEEKPAFTNIKPCSAYKDITLPYSLGLIPAPIAQW LRQYQVDGAAFLHELFVYQKGGILGDDMGLGKTVQVIAFLTAAYGKTGDERDAKRMRK MRRSGKDQWYPRTLIVCPGTLIKNWMSELTRWGWWHVDTYHGDNKELALHAARSGRVE ILITTYGTYLQNKDSVNMVDWDCVIADECHIIKERTSETTKAMNSVNALCRIGLTGTA IQNKYEELWTLLNWTNPGKLGPVTTWKKTISDPLKIGQSHDATLYELSKARKTAKKLV ENLLPQFFLRRMKSLIADQLPKKIDRVVFCPLTETQSDAYENLLDSDIIRYIKESSEL CSCGSRKKAGWCCQQYLQSGLRWQSYVFPAMNVIQKLSNHLAILIPQGVDSKEKQDKD REWLEIASPDKWEQLYRTRDSIVNYANPEFCGKWKVLRRLLKWWHSNGDKVLVFSHSV RLLRMLQMLFHHTSYNVSYLDGSMSYEDRATAVDEFNSDPRQFVFLISTRAGGVGLNI TSANKVVVVDPNWNPSYDLQAQDRAYRIGQVRDVEVFRLISAGTIEEIVYARQIYKQQ QANIGYNASSERRYFKGVQEKKDQKGEIFGLNNLFEYQNNNIVLREIVNKTNVAESRA GVQVMDIDVDESQTHEDSKNTDDEVMSQLAAMIRGESEDAKGAQQYPIPKRHDPIQAI LAGAGVEYTHLNNEVIGSSKIEEQFALVVESMTQAQRRACLERWYRERRQILLGKE AOR_1_366094 MPLDTSTTYPLTKLRLDGRRWNELRLLQAQISTNPASSGSSYLA MGNTTIMCSVHGPAEGRRGDATGGAAGSSGAVVEVDVNVAGFAGVDRKRRAGGSDKQS SRIATTLRAAFQSHLHTYLYPHSTISIHVSVLSADGSLLAAAINACTLALVDAGIPMP GLLCGCTAGMSGSASTPRDPRNDELDPLLDLSLPEEQELPFLTVGTTTSVPVGENAMD DDEEDMKVSMLNMDSKVHCTYVETMLAVGIDGCNQIREILEGVIKGSNKLR AOR_1_370094 MVAHGDMMMDMSMATATSTGAMSSSTASHSMSMGGMHGGSNSCK ISMLWNWTVKDACFLSKQWHITSNGMFAGSCIGVILLVICLEFLRRVGREYDAFILRR ARLRAQYLTVQSQPSTPAAASDAEDVATGTKASSSAQPSAGKAPNCPAADVPVRPTLV EQLIRALMHMLQFAVAYFIMLLAMYFNGYIIICIFIGAFLGSFLFTWEPLQLGKENDA LAVTKCCG AOR_1_372094 MFSRSLKSTTTPLCSSFASRPLFNCNQSSFLSLRQFHQTTATMV NTKAFFDVEYAPVGTSAKKVGRINFNLYEDDVPKTSKNFRELCTGKHGFGYKGSSFHR VIPSFMLQGGDFTRGNGTGGKSIYGEKFPDENFKFKHNKPGLLSMANAGPNTNGSQFF ITTVVTSWLDGKHVVFGEVADAESMNVVKEIEALGSNSGALRSNVKPTIVDCGEL AOR_1_374094 MSPVSVDGSDWSGINQYQKPDGPFSPTLSSRGNLATPPIPGAAN GPNGAELPNGTLSGRMSDSGNPPSPTSVAARSSDGTLSDQRSKRYRQMEEILRNHYVV LKRFLSAPYREDRNGRPSKARDKLLRLSATQFHELSTDVYDELRRRQQAMPSPNRPPR PDVPPFLPPRQDFHEKRNQARQKLASLQHVRFRDLATDVYTELERRFPQFPEKESRRA SPAPSFRGHPSNGYPSPNGYGPGGYPPPRSQSRGPPRRGYPSGGPPGSPMSGVFPPRQ GSLGGPPSVNGDHGPMAKSFQSNTIVPNKSTMVEDDDDMTGVDDDYDARSDAFALDAV LQSRRGTTTTLGEGERKLLADTQSQVSVLQEKVNKLEELLKSKDEELSKHHGDQTKVD ELEDLLKAKDEQLFKYQEGHKKAQLGESERKDWEDLKSDLESKISKAEDLNSSLQTEL DKVRTEHDAMERELRSQIDGASREGAGDAELQARFADLEIKHKSLQAELQEQQQVTEE VKREAAGFLTEMKALSEQSHSRWEHEERLSSEVHRLEEEVKQWKSRYAKAKTQLRHLR ASSTGIELRSDVNAIAKDNAFLQEDGLVKDVHVTKFQISIDELLRIARFDDYNLVMQQ IKSVVIAVRHVLRDVEVASDREDSLSASHTKATRRVSATANNLITASKNFASSCGLSP VSLLDAAASHLSTAVVELIRLVKIQPSPAGDLNEDDEEQLAHMKSPDYFSVAPSHGRF SNNGSVYSAMSPPSNHSRNHTESHAANGMTAATKTSYPGPSRDHELQELKLYVEDQTE GLVQSIQALVASIRAEDGLTTIRTHVSAISSIVTNVSSSTEHFIHKPEANPVLRQRAG PIIEKLDQYRARLMGTATEGEEATSAEQVQVIINRLPPIAFEVARETKELVQRLEPVD QEESEDDFR AOR_1_376094 MAATLIPRKWRATDAEGDTQPSWLKRQVAGGLQSISRRACAHPI HTIVVVALLASTTYVGLIEGSIFDSIRNPKSVAGQVDVDTLLQGGRNLRLGESTSWKW QVEDSLNPVDVEAAQHLALTTFVFPDSTRSDATGPIADEVPIPANASAQQVPHTPNLF SPFSHDSSLAFTLPYAQVSQFLKAVQEIPDSSADEDVGEQKKWIMRAARGPATGPRTV KLWLTDAWSSFVDLIKHAETIDIVIMTLGYLSMHLSFVSLFFSMRRLGSKFWLAGTVL LTGAFAFLFGLLVTTKLGVPINVLLLSEGLPFLVVTIGFEKPIILTRAVLNASADNKR RGGAGPSSQSSPTTAKSIQDSIQTAIREQGFEIVRDYCIEIAILVAGAASGVQGGLKQ FCFLAAWILFFDCLLLFTFYTTILCIKLEITRIKRHIALRKALEEDGITHRVAENVAS NNDWPQDGSENSDTSIFGRKIKSSNVRRFKILMVGGFVLINVVNLSAIPFRNSALGPV PLLSRVSNVLAPTPIDPFKVAENGLDSIYVTAKSQMTETVVTVIPPIKYKLEYPSVHY AAPGDSQSFDIEYTDQLLDAVGGRVIESLLKSVEDPVISKWIIAALTLSIVLNGYLFN AARWSIKEPEAAPAPKAVEPKVYPKVDLNADSSKRSAEECEVFLKEKRAPYLSDEDLI ELCLRGKIPGYALEKTMENEDLMSRVDAFTRAVKIRRAVVSRTKATSAVTSSLEASKL PYKDYNYTLVHGACCENVIGYLPLPLGVAGPLTIDGQSYFIPMATTEGVLVASASRGA KAINAGGGAVTVLTGDGMTRGPCVGFPTLARAAAAKVWIDSEEGQSIMKAAFNSTSRF ARLQTMKTALAGTYLYIRFKTTTGDAMGMNMISKGVEKALHVMSTECGFDDMATITIS GNFCTDKKSAALNWIDGRGKSVVAEAIIPGDVVKSVLKSNVDALVELNTSKNLIGSAM AGSLGGFNAHASNIVTAIFLATGQDPAQNVESSSCITTMRNLNGDLQISVSMPSIEVG TIGGGTILEGQSAMLDLLGVRGSHPTNPGDNARQLARIVAAATLAGELSLCSALAAGH LVRAHMAHNRSSAPTRSSTPVSAAVGAARGLTMTSSK AOR_1_378094 MNLKLTTWKSGLGLLSFLFLTPTVVAHSWVEQLMVIAPNGTFVG SPGYSRGNVLRSDPGYSDSKMQNLVPDGRNELLPTDLLCKNTQQKQVQSEGSPRLQAS AGAAIALRYQENGHVTQPGNQLGKPENRGTVYVYGTTEPKEDEKIMDVHKVWNKDGTG GDKRGVLLATRNFDDGRCYQVNGDSISKQRQSEFPHTADQLMGVNLWCQSDIALPSNA PSGKPYTLYWVWDWPTLPGVDPNLPKGKQEIYTTCIDVDVVANTGAQSHVAAGYVKDQ SLNNAAIPSQLADIFGSGASSGSAQSSPIAGVSMAPSGHGPAAPSSSQAVIKSSSSWS SPTVAATPTSPVQDPMAPQRVTVTSFVTMMKTVFPGCSASASPQ AOR_1_380094 MTSTASPPPPQQDDSASNLAVLPPIVTDATVKRPIRQRSSSYAK DRLSTHSNVSLASQNRSRPGSHVFPVFHSSLPTNADGSETYYVNDEDEIDDGPGGEYV TYPVNESRYSYAGTYGNYASYGPDVEYESEDGCADDRYNGDFQFAVGCPDEEMHGKAV ALFDFTREHENELPLTEGQVIFVSYRHGQGWLVAEDPRTGESGLVPEEFVRLLRDIEG GLTSLNGDPEFLDDTHPNHDSTEIHQAMAPAQDDHPSYVANGEKKDDNEQGVDTVKQI TEKTQQDGQHVAVPMKT AOR_1_382094 MTIALLAVSGDKLHPMLRGIRQHDKRDLDDVLRIYIFYFSNIDI KLHFHNYNTIDLIANHIGGIVGGVVGAVFLLGVIALFLFFRRRKKDGDVVQEGPDVQG PVSAADKPVMGEDGGKDVPMLEGSMRQEMDAQGAAALHEMDGVNSAGGLVGVGGEKRA GMVSELEGQRTVVAELDGGSVVRGSGG AOR_1_384094 MDLSNLKEQVSNLTLYDLKAGVRKVQNAVMNYTEMEAKVREATN NEPWGASTTLMQEIANGTHSYQLLNEIMPMIYRRFTDKTAEEWRQIYKGLQLLEFLVK NGSERVVDDARSHMSLLRMLRQFHYIDQNGKDQGINVRNRSSELVKLLGDVDQIRAER KKARTNRNKFGGFEGGSHVGGGMSNSRYGGFGSDSMSFGGYSGGVYGDGGGFGGNTSD FQDTGRRGNRFEEYDEYDEADASPSVRRAASPPRARATKQPEPPKPKAPEPDLFDFGE EEVVTTVSTSAGKKPAGNNGLDVLDSKPIDDDDFDDFQSATPAPAPAASNQFSIPPPA NTVSTTSSTQFAAPKPVSATQGTNLNGLVGFTSMTPTPTSSTVASPTLSQSSMVQPQQ QKPAQPKPTGFQAATPNYFTSVSVMQPQAGVSNHRPGMASTSSFTSATSSSPAAAKPA ASKSSNGDVFGSLWSSASASAGLQKSNANANKGPNLASMAKEKASAGIWGAPATPSLA SPSSSQASQQGAKTNSSGLDDLLG AOR_1_386094 MSSHSAPIRRTQTDFPTQTSSPLRHGSTASTNSSIYSISTDSLA PSRTSTVSSTGSMGSTPSFGHKRGKSEVNTMSADRFKADSRWSNAGTTYENVRRSLRP LSQAPNASPSAVKQVAYGHSRSRTIYNPQFWKENRPQTPERRNGYHGEIEPLKEIESH DVYAPPSPKSPQDFSPLAVSPQTRSPSKTQHSHSLSTPQPPPLTTTLSTPELETFQKS STGHLRTLSKFAQSGETEEFALDSPSVVGLVGRRRLKRTDSVAGSGSANINRRKNASS WAAGNWMDKQRQFLQAYEYLCHIGEAKEWIEEVIHKQIPPIVQLEEALRDGVTLAEVV QAMYPNRMLRIFRHPKLQYRHSDNIALFFRFLDEVELPELFRFELIDLYEKKNIPKVI HCVHALSWLLFKKGLVDFRMGNLVGQLEFEHHELEKTQKGLDKAGVSMPSFSGMAANF GADPEPEPEPEPESEEDRIERELHENEASIADFQAQVKGAMLRLKLGNLMNNLWDFEP FLIDLQSRIRGDWARQIVQYCLDMRAFAINLQAICRGFIVRCRQNDDMESYQAQEPGI LELQTLIRASKARAQVQYLQSQMRKEESGIKEIQAAIRGALQRKSVSALYYDTRDAED HVRLLQAAIRGALQRKTLSKQCEETKSAEDAIRDLQSLIRGFFTRRQMNAQYADMDSV QGNVELLQAAIRGSLARNSLSQMRDLLDQQAPSIIAIQSGARALAVRKQQTILAEALG KAEDRFITLQAMARGNAARERLDCLRKDLMEHVELLISLQSILRGRATRSFLASQQKA LRDEEASILELQSMARGSLVRRRLEADAEALQAEELTIIDLQALARAAVLRIEVGGIL EQLDDCDDEVSQLQAHIRAMLVRVEVGQSLADLAAEEDVITDLQSHIRGHIIRSKFEE KRRYYRENMEKVIKAQSFVRGRIQGQAYKSLTSGKNPPVGTVKGFVHLLNDSEFDFDE EIEFERMRKLVVQQVRQNELAEQYISQLDIKIALLVKNKITLDEVVKHQKHFGGHVGS LLPNREIASKDPFDLKALNKTSRRKLEQYQVLFFLLQTQSQYLAKLFRRLRELNTSEK EYERTRHLMMGLFGYSQKRREEYYLIKLLARSAREEIESFDSLHDYLRCNSFWTKLFA SYIKSPRDRKFMRDVLGTVVKENIIDNPELDLESDPIQIYRSAINNEELRTGKRSRRP LDIPREDAIRDPETRATFIQHLQDLRDIADQFFTAFEELLYRMPFGLRYIAKEMYESL LSRFAGDDPGFILQTTGHWVWKNYFQPALIEPEKHGVVDRGLTQEQKRNLSEIAKVIA QVASGRLFGTENVYLQPLNSYIGDSIQRLGHIWGDMISVQDAEAYFDIDEFNDLYAKT KPTLYIKLSDIFSIHQLVASEIHYICPNPDDILKEVIRDLGNVKSNENELMSVNTSEI SLTLNPKLAQVEDPEADVKALFMETKRCILYIIRVQSGANLMEIMVKPPTEEDEEKWM TLVRDELSANNTRRSAYSEAHTVVDIASMTYSELKRTALENILQLEQTGKIRRDNQYQ DLLNAIAIDIRTKHRRRIQRERELESSRSTLARLNDQAAWLEQQFKTYNDYIEQAMVT LQNKKGKKRFLMPFTKQWDHQRELQKSGKVFKFGSYKYSARNLADKGVLVHWKGYTER QWDRVDLTISSNEVGVFTIDGSSGPMMVPGANAQVPLDDLLQAQFNNMQFLDFFEGQL RVNVNLFLHLIMRKFYNE AOR_1_388094 MTDKEPYFVYKLVPSTAPVREPLPEQLPVSALDQQSGFIHLSTA FQVPNTLKLFFKDEPLVYVLRIPYDSVAENLKWENPEGTVCGPRPTEGLFPHLYNGLK LGKDEVESIAIWTKDDDGWDHALSQATPWLVY AOR_1_390094 MASAIGKEGTFQAPQEPQAQQKPGLEKQMKPSSEATKLESSGTF VEYVGSGKLKDKKVLITGGDSGIGRSVAALMAREGADVTISYLPEEQEDAEETKKLVE AENRTCLLFPGDLSNRETCRQAVEEHMKKFKKLNVLVNNASKQYMCKDLAQIDLDQVE HVFQTNIIQMFALSKFALPHLSRGDSIINTTSTVTFRGTSGMVDYAATKGAIVGFTRS LAKQLMPKGIRVNAVAPGPVYTPIQVDTRAPEEMEDFGAGSSIGRPGQPSEVATSFVF LASSDASLYYGQILHCYPLGD AOR_1_392094 MPISNGDALGRAMKAEIEDHTKALEVLEKDYTTRDGLDVDTLLD SDKHGALTYNDFLILPGYIGFPASDVTLDTPVTKRVSLKAPLLSSPMDTVTEHNMAIH MALLGGLGIIHHNCSPEDQAEMVRKVKRYENGFILDPVVLSPKATVGEAKELKSKWGF GGFPVTESGNLRSKLVGIVTSRDIQFHPNLEDPVTAIMSTDLVTAPAGTTLAEANEVL RSSKKGKLPIVDNDGNLVSLLSRSDLTKNLHYPLASKLPHSKQLICAAAIGTREEDKR RLQLLADAGLDIVVLDSSQGNSMYQIEMIKYIKKTHPEIDVIAGNVVTREQAAPLIAA GADGLRIGMGSGSACITQEVMAVGRPQAISVRSVSSFAARFGVPTIADGGVQNVGHIV KGLAMGASTVMMGGLLAGTTESPGEYFVSNEGQLVKAYRGMGSIAAMEDKKAGAGSKD SKASNAGTARYFSEKDRVLVAQGVSGSVLDRGSVTKFVPYLVAGVQHSLQDIGVQSLD ALHEGVNNGTVRFEMRSASAMAEGNVHGLHSFDKKLYS AOR_1_394094 MTAPHVSMPRLMHQCLHHRLTLTRTPLLVIQRRPTNYHYIEKRA VRENSSIATAKITSVSGKMASQKDGPKPKPKPSIPRPSSSVVLISPKNEVLLLHRVKT STSFASAHVFPGGNLSDQDGKCPPVEDPKRHDDAIWYRNAALRELFEESGILLAKDQN SGKMLAVPEHKREEGRKRIHRNEVTFTEWLKQQNPTAVPDTEQLIPFTRWITPTNVPK RYSTQMYLYFLPLPLESDKSLLSELPAEGEREEIQIPTSDGGIEVTEARFLPASEWLR LAGSGEVVMFPPQILLLHLVSQFLDQAPRITNSVDDLRRRRAELVDFVHTGSPPWTEK CISPKMLKMSSDGRAVLALDHPGPELKGTDRLGEPDRVVLVKFAKGTARQVEVRWKKD VFAEDKERSSL AOR_1_396094 MENEKGEIVDLYVPRKCSATNRIIKANDHASVQISIGKVDENGR YTGENQSYALCGFIRARGESDDSLNRLTQRDGYIRNVWTASRQR AOR_1_398094 MASDLTNPLLDALSASDAPILSSEAFPSHPSLNVKSALDRLASR QMVEYETIEREVAALTKEGEEIAANGSHEAKVFQAVVAAMEGLKIGDLPGIVGKDNAK VGQGNAFKRGWIKKDGQLLRANTDSIVDETREQLLTVKKTQTLEDQKALGDLKRRKLV AFQKELNFKISKGPKFAKEFVKEETDLTAEMLMNGSWKTAQLKPYNFKAKGAPTSSGA FHPLNKVRQEFRNIFFEMGFEEMPTNRFVETGFWNFDALFVPQQHPARDLQDTFYISD PPKADPPREDPEFDPHRPKSVQPASAASQEMPLDYKQYWEDVREVHENGKYGSIGYRY PWSADESLRLVLRTHTTSVSTYVLHKLAANPRPARYFSIDRVFRNEAVDATHLAEFHQ IEGVIADFGLTLGGLIGFMEVFFAKMGIHQLRFKPAYNPYTEPSMEIFGYHEGLGKWV EIGNSGMFRPEMLEPMGLPKDMRVYGWGLSLERPTMIKYGVSNIRELLGHKVDLNFIE TNPAVRLEKD AOR_1_400094 MAGADETLAAAAAILRGLARETPRSGSAPPFDFPLSHASSNGYD TKVTKLPGDASSSKAAFENELEALVRRVQRLESQAQLSNFSSTLQQQNSHRPHHPRRI EEPDEDIEEEESDEDEDLDSRTRLVREEDISYLRNHVQKQAEEISFQKDIIAQVRDEL QQQEEQTRRALTKVENEDVVLLERELRKHQQANEAFQKALREIGGIITQVANGDLSMK VQIHPLEMDPEIATFKRTINTMMDQLQVFGSEVSRVAREVGTEGILGGQAQITGVHGI WKELTENVNIMAKNLTDQVREIAVVTTAVAHGDLSQKIESRAQGEILELQQTINTMVD QLRTFATEVTRVARDVGTEGVLGGQAQIDGVKGMWNELTVNVNAMANNLTTQVRDIAT VTKAVAKGDLTQKVQANCKGEIAELKNIINSMVDQLRQFAQEVTKIAKEVGTDGVLGG QATVNDVEGTWKDLTENVNRMANNLTTQVREIADVTTAVAKGDLTKKVTANVQGEILD LKSTINGMVDRLNTFAFEVSKVAREVGTDGTLGGQAKVDNVEGKWKDLTDNVNTMAQN LTSQVRSISDVTQAIAKGDLSKKIEVHAQGEILTLKVTINHMVDRLAKFATELKKVAR DVGVDGKMGGQANVEGIAGTWKEITEDVNIMAENLTSQVRAFGEITDAATDGDFTKLI TVNASGEMDELKRKINKMVSNLRDSIQRNTAAREAAELANRTKSEFLANMSHEIRTPM NGIIGMTQLTLDTDDLKPYTREMLNVVHNLANSLLTIIDDILDISKIEANRMVIESIP FTVRGTVFNALKTLAVKANEKFLSLTYQVDNTVPDYVTGDPFRLRQIILNLVGNAIKF TEHGEVKLTIRKSDREQCAANEYAFEFSVSDTGIGIEEDKLDLIFDTFQQADGSTTRR FGGTGLGLSISKRLVNLMGGDVWVTSEYGHGSTFHFTCVVKLADQSLNVIASQLLPYK NHRVLFIDKGENGTQADNVMKMLKQMDLEPLVVRNEDHVPPPEIQDPSGKESGHAYDV IIVDSVGTARLLRTFDDFKYVPIVLVCPLVCVSLKSALDLGISSYMTTPCQPIDLGNG MLPALEGRSTPITTDHSRSFDILLAEDNDVNQKLAVKILEKHNHKVSVVSNGLEAVEA VKQHRYDVILMDVQMPVMGGFEATGKIREYERESGLSRTPIIALTAHAMLGDREKCIQ AQMDEYLSKPLKQNQMMQTILKCATLGGSLLEKSKESRISSSGEMHPVHHGPDGKGSR PGMEGRSITASSTVNRGSLASPNVEKAEDLSMERALLRSNSS AOR_1_1260094 MAPAMSLVTLAVASWSLVYGVTAGVIPSGSMPTVSGITTSPRPS SSYPGPCSPCPSLPSCPAATTVTVTVTATACVPTPTPSGGGGVTQPHPSPSFPVPPGT PGAGTSQTSGISGSQPGPGPGPGPSPSLPGQSPCPTIPVGPGGGGSTSGGPPASTTVP VPTISSSVKPQPTSAVPSTITPIHPPTSTPVQPSPAQSTPPSSSPGKTKPIPPPVTPG KTKPVPPTSTPVQPPPSVHPTTQPIPPSSSPGETKPMPPPSTPVQPPPTQSIPPSSTP GATLPGTPGTPGTPVQPPPSVHPTTQPVPPSSTPGKTKTKPASPPGTPVQPPPSVQPP TSTPEGTKPASPPGTPVQPPPSEHPTTHPASPPGTPGTTKTKPASPPGTPVQPPPSEQ PPTSTPEETKPAPSTPVQPPTSEQPTTKPIPPIGITTKPPTETPTETPTETPTGSPPG PPVPTASPPGSVTPTQPSSSSEEGVKPTTTVPTVPPTETPEDDPPGDDPPGDDPPPKT TLVKRAYPRWHIKGGKHWNFTKPKTGKKPKTTGRPFEPDYDQDEDEE AOR_1_402094 MSTNVRLHGDSHTGAIEQDSSRVSTTQGTPLDVAPLGLSAKPST DAPNVPLSSVEDAGYRIPPAAQPGYDLPPEGRTTLEDGHDRPSLESQTDHSAHSAPMS RVTTDADGNTYPEGGLEAWLVVFGSWAGLFAALGLVNTIGTFQAYLDNHQLEDYSSGS TGWIFGMYAFLTFFCGVQIGPIFDAKGPRFLVFAGSVLVVVQMATLGLCTQYWHFMLV IGVTGGLGASLIFTPAISAIGHFFNEKRGVATGIAATGGSVGGVTFPLILEKLFPMIG FAWATRVVGLICLILVIISCLLVKSRLPKKPASKENVLPDFRIFREPKFALTTAGIFF VEWGLFVPISYISSYALAHGVSSQFSYQLLAILNAGSFFGRWIPGFVADSLGRYNTLI ATVALCLVCNACLWLPAGDSVPVMVVYSVIFGFASGSNISLTPVCISQLCKIENYGRY YATSYTIVSFGTLTGIPIAGEILSRCNGEYWGLIVFTTCCYAVGLACVTAVKIIHVGW RQPWALY AOR_1_1262094 MSDTPSLHIALIAEQRSTFHNQGYSEEECAALPHSGETGSVLTT LRELGHHVTLVPGVQSLVKHLAAGTYKDWDLAFNIAQGFHGSSREAQVPALLDAYQLL YTFSDAATMALCQNKVHTKIILAHHNIPTAPFSVISRKDQNLRLENLDNMLSHYPLFL KPVIEGSPKGIDRFNKVTEPAELESAVKKLRSIFPDQDILVEPFLSGRELSVSILGTG VQSCVVGVTGFLWQNPFSDRNGENESSSSLEFASRKSKSSDANMLVVRHDPGLMAEPQ VKVACQVALDAWRSLGCRDAGRVDIRFSSDEHDAVPNVLELNPISGLLPGHSPLPSSA EENGLPYKRLLAAIIQSALTRKSACYY AOR_1_406094 MRFQGVVPTALLAISCTDKACASKHGRSDQPVHEPSVLDRRTSL SSEERQLRSHDNFRFLNDETRPYLVESLPDVPFDVGELYSGSVPIEKGNSSRTLFFVF QPTVGEPVDEITIEVNGGPGASSLEGFLQETGRFVWPPGTYAPVINPYSWVNLTNMLW VDQPVGTGFSTGTPTATTEEETSRDFINFFKNFQDIFGIKKFKIYVTGQSYAGRYVPY IAAAMLDQNDKDYYDVHGALVYDPVIGQFDYVGQQVAAVPTVQENANIFNFNASFMNQ LQSLHKSCGYQDFIDEYLTFPPSGVQPPKSFDPTSDADCNIYNMITDAAYRVNPCYNV YAINQMCPFLWDVLGGPTKLHYLPAGATVYFDRDDVKKAMHAPNMTWSLSSLQPVFVG GDAGVGRLGDLSANPIERVLPQVIEATNRVLISHGDYDFILQTNGTLLAIQNMTWNGQ LGFQSQPSTPIEIGLPDLQYAEVFEENDLFSWRSGQGVMGIQHYERGMMWAETFQSGH MQPQYQPRVAYRHIQWLLGRIEEL AOR_1_408094 MVFNPFKKHDHDFPGVVVPLGSAPAHSHPNPSLHEKDSGHDEKH DARSDKAPSEENGVATSLPDNAHLTLESLRAEVETDIAASGHDSAYDRKAKVINRAIQ DIGMGRYQWELFALCGCGWLADNLWLQGVALTLTQMSAEFGVSESRVRFTTCALFLGL CLGASFWGVASDVIGRRPAFNLTLLITSVFGLAAGGSPNWIGACALFSCLGLGVGGNL PVDGALFLEFLPFASGNLLTMLSVWWPIGQLISSLLAWAYIPTYSCASDLPACNSQDN MGWRYLVLTLGALTFAMFFCRFFLFHLYESPKFLLSRGRQTEAVHAVHGIAHKNKKQT WLTEDILNEIGGYPEEVEKQTLTVKEIIARYLSKFSLERIKPLFGTKKLGINTVLLWF CWATIGMGYPLFNAFLPQYLKQSGGGAEQSTYTVYRNYAITSIVGVPGSILACYTVDI KYIGRKGTMIISTLITGVLLFCFTASTDPNVQLVCSSLEAFFQNIMYGVLYAYTPEVF PAPNRGTGTGIASCLNRIAGLCAPLVAIYSGSANPNAPIYASGALMLASFVAMCCFPI ETRGKQSL AOR_1_410094 MSTIQNLKNFIRHGKQARLVTPHAEPTTNVSPIHAEHQRQPQGS YPPAAGNLDAIDSRLGNGQAQAPQKSSAETQSRRARDLEIEQIVAEERSQRNKMPKYP GLERWILTDKMGDGAFSNVYRAKDSTGEHNEVAIKVVRKFEMNSNQRANILKEVQIMR QIDHPNIVKLISFSESRQYYYIILELCPGGELFHQIVRLTYFSEDLSRHVITQVAKAI EYLHETSGVVHRDIKPENLLFYPIPFVPSKNPKPLQPGDEDKVDEGEFIPGKGSGGIG VIKIADFGLSKVIWDSQTMTPCGTVGYTAPEIVKDERYSKSVDMWALGCVLYTLLCGF PPFYDESIQALTEKVARGQYTFLSPWWDDISKSAKDLISHLLTVDPEQRYSIKEFLAH PWIRGSDEETQAATDAPPLTTPLPSARQQPLDAFAADQAPYAPASARLSDQPSAGLER PMDFRSPGAINLREVFDVGYAVHRQEEESKRLKTSRGRGANPTTGFQSALNPLNEDYD EDGPQVTYQPIHQNEYAAPKVHKGSQQSREVAAMEAKLRSTNLGAPPSAAAQVRQSHQ PRQPQQGYGTHSAKVAAAAKQNIARSSRQPFELSLDNATLLERRGRRHQVV AOR_1_412094 MPGIAGTSPASLEHLGRPLFRAHKSLPRRPNGDPSVHVINASPS DPIVDTSNGGAHATAPTLPLTPPGVPSDESPTPKELEMQKLASPHSMSGTVTPSKPSH PPTPETTPPRLPATTYRPTIGGQFRQASCSSRAESFQTACEMISDGETETPHRSSPSS RQSTRQRSLQKSVHSEPNEARPEDTTPVGDHSDAILLKPSRYEGINGEWATENSDRYA ASRQRRRRSRNHSSDTLPTANVPELPQDTYSTSARDLDVPRTRERNVRVRVRDAQDTG ASPYPEQYPEQIDWNPPEGRTDSYNHDDTRRFSGVSTSSTIEVMIVDTPEPYKPSLRH TEKRVSLRSTSSPITKSERGSLVSNPESQHRLVHKAARISEHDRRSIASEMSISESST QGGPRQHVEVVPVVVIPERHSSLRSSASTSRKPSNASSRPSSRRTRTRSGSRPESLDR PPQKKRTLSNSSAPAHQDTDFRGRSLGRPIIPPRSSSLSAPTSQNNSRATSLTSMSSR NHPSAMENEKQNQQPEPPMPEPTKAPQVRDRADVLKTQSIIIGVEDMAHLRSPSGAFT LGSIPSSSPGLVEISEATLVPFFPHNNESLLLVDPQHRSGPQGLAIRVEYQGSPAKPE LPQSPGPVEVDSPLQNPRQPPNPPVCKVSPPTPVNEADREPERATGNGQTGEQLPRRF GSVRRPWKLRPRSDSFNSIARSFSVTSAKNRMAGKDIDSKLHPFWRPRRFWEDTSESE DHSPREQNFCSPALEADQVISNSLGMPQQRVVFQGPPISPRSIDTKRSFDEAASRRQA RDSLVGSRVFMPEALYSQTSLHQRRYRSLSWWRLRLRFGSVRSFRKRLRRSWQQRGEG KRQARREALKQSIGEAVLVDSSMQTRSMVQ AOR_1_414094 MGSKTFEGEGQRGGNDPSNSTSPNSAGGEPRGAHLSRDGDGSLG DGDGDDGADGDEKDGAVTTTPLTEQQPSSETTSKKKKRRKPKKKISALKQSSPPRVPL DDLFPTGQFPVGETHEYGSVVEGTARTTSEEVRYLSRNYLQDDSVLTDYRKAAEIHRQ VRHWTQENVRPGQTLTEIAVGIEDGVRALLDNAGLETGQCLQSGMGFPTGLALNDCVA HYTPNPGQKDIVLQASDVMKVDFGVHINGWIVDSAFTMSFDPTYDNLLAAVKDATNTG IKNAGIDVRISDVSAAIQEAMESYEVEIGGKVFPVKPVRDISGHNINRYQIHGGKSIP FVKNSSQTKMEEGEIFAIETFGSTGRGSTVEGFGVYGYGKDPNAPKKVSSPLASARSL YKTINENFGSIVFCRRYLERLGVERYLAGMNSLVNNGIVEQYAPLMDMKGSYSAQFEH TILLRESCKEVVSRGNDY AOR_1_416094 MQRGTSPFTPEFDDLVNTLLAEWHVPGTSIAILDGPDTFTKGYG ISKYPDTPATPQTLYYTASTTKSFTAAALSLLIDDNTNTTNPLTWTTTLTTLIPSDFI LPNTHATETITLEDALSHRTGLPEHSYHFCPDNSLSPKDEVRRLRHLPMTAAIREKYM YNSFMYTAVSHAIETLTGIELGVFLREEIWGPLGMDATFWKLSDVPDGGLDGEVMAGG YVWDDSSSSPSSGSTSGSTSTSSGFVEIDYPEYTELSGAGCMISNVEDYAKWIRCMMY QNEPLSKKGHAALTEPRIASMSGATNPFPGPHLYALGWRVDYYRGEKIVWHTGSIRGF GSVMMYLPDREWGLVIMGNSTMTSNQMQQVLYMDLLDGLLGTPVRERVDWGAVIREKK SRRQEELACARERLYPELPGPVLRPALEVREYVGSYWHPGYGGMDLGLDGEGTGLVAD RRSQEFSMLIALEHVSGELWLARLQEMYKDPRDYEVVRAEFRLGSDGVREVGVDLEPT MDGKLIWFRRVN AOR_1_418094 MGGVSHPSASATGGPDGVGPARKRTVAMAGLDSSPGSVDDVEEN DLREEKKRQPVKRACNECRQQKLRCDVVQDPWMDCSRCRRLKLDCKIESNFKRVGKRS RNAEMEREIIELRKQIASVQANAATSMPQQQPPSIQSTQHTPKQEHSSHVSPAGVYHT PSGMSSDQYMGSHEAVASLLDLRSGFDGSNYMRNGSQHFKRIEDVVVVPERVTELFDL YFTFYHPFLPFLERGQSPDEYYNTSPLLFWTIISVGARRYQPDTHLLNSLAGPVSRLV WSTLADIPQSYHVVKALCLLCTWPFPTSSTSTDPTFMLCGMMMQVAMQLGLHRPSYAQ DFSKFRVELIEEELKDKVRTWAICNIVAQRVATGYGQPPSTLYDWTLSSESLDPNYKL PEGIRARLQIEKFCDQVTRALYTNHRDPVGLCNDQERSTLISFFSRDFDELESQLKAQ NDCITDLYLRAANLHLHLSVFFDDTSEKDYRDRLLSLYVATRTFLEAAMNLETEVGPV LSYTPYYIYQMMVAAGCTLLKLGTSFFAAHINMDYTKALFNRTIWAIRGVSVSSNDLP ERLAEVLAQMWRLQSTPSPKPTTESSEMDDSLMLKVRCRMSMSLLFDSVWRWREDART KDRNLEAYLKNPTNPDSNAESSAASSVGAAHTSNSTPGIGGDPSLAPAPILPQATLGV QSGSSVPGLPSGLMEPNYEVFDPLNWLLDGLVDLPYSYSTISGMEAQGIA AOR_1_420094 MKSSPFEKITEDVSEAEPPAYGQVDQPPLVLPPLDLFQTAGPPV CSTVTQDQCIAHLRFLAALADLRDNITNINPLFQINDPDPAIFGDSTNEAFARVKEKR WAVYTARAVDRYTTWWQECIQSPDRAPKLHDLEDDSYDSITEHHKPYNWSPKTMPPLD ILMVWHAHMLNPRVFLEDCIRGGAMGFWTAGFPWELVNSCIDDQSLEYHAGQAAVAHF QQKTGLPWDNLKCSSKKPLSCPSCKHELSVPWTEAQISAPVDEAFENCRGFADKNFQK KCPVCKFEITHETLKTEKFRKDVRAFWASDVPMPGTFYDVRGVPKAATISSRKKRQSL FPNRLIKAIGTIFLSQTDPTDDDWKSMAALRDKLQSRIKSRDVMRRVNPDSGISSLFP EEKVAFRRMMARYWDNHTPFALDLVGAVIRQGTFVQKMDNIDWLHSPTVKATMDRLIK KYEVFFQIMAQNPRNMAVPTLDVDLAWHTHQLSPSRYFDYSVFTTRQHTRVPKFIDHD DKVEETKLSDGFEWTSKMYKKLTKGDIYSECTCWYCEAIRAPDLSDGIFVSSSTSRAR EAAANLHNRPDISSDPEKNPHISAHSAVPAETKKTRAGFDPRYVKHLKLQSNYQKARR RAEKRDRKQGNKEQDRSSDATLYAMAYGYPVYVPYYAPYVADPCPMATALQGPVEAPL RPAAAVGWEGDALVDVLAGEGAEQRVAVDQEVVQVAAEVAVVEVGAGAVVVVEVEEAV EEVVVVAEEILSLYTCFNL AOR_1_422094 MDFDKLKKNLNDTTESAKDQLNKLYEKPGETTNDALNKGKEQAA NAADSAKATLDKALGKGENNNA AOR_1_424094 MEEDVRLPSAERLDGPHNDPEQLPSTGPSQQQNGSLGKSQSRSV TSDDLAILKLKFGAEIRRNAFEVFLRPRQTLVKLISTSMSSSTAFPHGEAFRFAFSPN AQLVLCISSSRIIVLDVASGSAVVRHELKTWRRPLNATILDDGSLLAVVSSSHQVNIY SLSNEEARHVQNIKLNDVPQALALSPTGGVLAIAYTDRIEVYAIGEGTLATERRAVRC TGVDSITFSSDGVMLLGSSGDSQNTSLVTITVPFYSEPVTDISAREAHTRMWTTQILF PEIIQNYSHACLLPLHAEGDGSWILGFDKEAAAFRAVGANNVNSGTVYFVSPTSGSGL QESPPIMLPTVDCTGELAALGFQGSGLWVYGIPDRLDIAPSSTFAAALCVSDNGPSHR EEEMMPLPDNARRLQHSIAKPKMLIRGHRISDISGITAASWVRHADTSADHRRLVAVA PGGISPPTIGEEDVPVDGGRVLLLDFERSPKDGESTEISIEIGETEPKMLHEPNTSLD TEVELERRRTRLHRGNSSHRARTLARESYPAAISMSQSPPLVIRRNSSYFSVSSNDVG DGDTPPVPDTPYDNTQPRSQDTLRRAATAAATSRTRYNPRYRDEPRRVLDARQVPPIF QVPHESDADNWVPPPPPYTREPDAPLPEYLRRTLLPTRTEPVQRVSDAPDQLQRSQTT RLEDMAEEPLPRSPLQRLNTISGSRLASLVRRTTRASEAPGLSRTQSNLVRRASTHPY SQNGDVPNVPSVPLHLQPQTTATSQEIDQNTAGILDAANLDYAAAATTTTTLPAPAQQ ASLQETQTESVVNPAELLEWQDAVPEIPDMLPNLNHPYSLSSPNLQLTGPQYASPEVP RDSWLHTGYHRSISRRTQSQDIHQVSATIPPLNRRASTDPTLSTSSQPSNDLWRRRIE EWNERTIYERNRKRNKCIVM AOR_1_426094 MPPATAQSSSATQKLVTPGFNAGARPYRSHKVRACDLCRKRKSR CTVDIPGQSCLLCRVQGADCHYQEETNHDTSIANGPDPKRWSVDNSSESLPSGQKRKR TPHSDSPPSRPRESTSTLPRASSVVDSRGNETRRQGIEDPHNESVHIVGPVVADDAQV IEKFMPPEHSNTNEDPNSHPYNVYSNDPRKPILYTTISRRRQVRLFIDRFNVAFPIFD GDSFWEAYISEVPGEPPASLICQVYSMSLVYWKHVPKLACHPKPDVRYAVNMTVAALH EEFSAPGLSTISCALIDLTGRPIFSMTGNAISCGRMISLAHCLGLNRDPSHWKISQRE KDHRIRLWWGVVIHDRWGSFGHGVPPQIAKNQYDVPLPTIDGLLSPNGRTNERVRAAH CHIALCRLTEILGELMPLVYGLQHRVSRETSKRLRQIRTDLDMWEDSLPDWLKSPAST STEERISGTSSLQLAFLAVKMLVSRVELNEVNSADTDNPEARRYFQTECRRSAEEIVQ FISSLRKENFQEFWLPYSAFHLTSTATLLVRCALETTDTDVARSCINNVEMFRSILRR VREEEDWDVADMCLDHCDRILNRLPGNGSTPDLNFSGVVQPDNCLVNPAAISLPETQT NNDIVDDMMSISGTFGTMDGFPFDMTGIWDVSVFQDVNLP AOR_1_428094 MLSRAVLPLTRPNTLASAVRLSALPITHSRWYAKNNKPKAPYKL PESVKSPKADQSSQQEYSASQAEFDTNADAQRNTANQTAESAESSQTGAEKAAPQQPL PDLTQGIPSTLAAELEARSKGRGPTALNLTEDPSRSEDYTDDGHGGDIPKDGYVSSLD RRRARMANLMYALFLLAGAGGAAYLGRNWDTEEEARLHPDVPSGWSFGLWYSRVKARF GDITSYYKDPAFPKLLPDEDPNLRQPYTLVLSLEDLLVHSEWSREHGWRVAKRPGVDY FLRYLNQYYELVLFTSVPSMMADQVLRKLDPFRIIRWPLFREATRYKDGEYIKDLSYL NRDLSKVILIDTKEEHARLQPENAIILNKWHGESKDKTLVALIPLLEYIAGMGVEDVR PVLKSFEGTDIPVEFAKREKAMRERFQKELGEEQQKRPKFSMGSLASAFGLKSTRTLD GETTPSEGLAQGKMLWDQIRERGQKNYEMMEKEIRENGEKWLAEMAAEEEKARQEQMN QMKGSLTSVFGAGKQ AOR_1_430094 MDSISEALQKVHLESPPPKSDQVELREAEGEENEEDIEKTAPLG PFPFFDLPSELRLRIYHILLFTPRRKRAHLHTKGSVGASSKKNPPLSPTSHRINLFLV SRRVHNEASDYFYTTQTFRLFSLQDYSRMPTVSMIPPRYRPSIATIELILGSSWTAPP SSWKVTRRLGLEDMVRAKTFKVFIEVDPSHPVFEGFRISKNFYTEFSGGILRDVLAKM PSLEYVEFDGWPSVRKNGALMQRLLHEAKAAKKKIAWGPERGWTDCDEEDMETPMVYE LKSMERGRVNDVPPQTDSLFGP AOR_1_432094 MERLGRMLQAAQSMGMGGAAPGGDTPNLIDNSETVHISSLALLK MLRHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDPVFQTKMMDM LRQTGRPETVVGWYHSHPGFGCWLSSVDINTQQSFEQLTPRAVAVVVDPIQSVKGKVV IDAFRLIQPQTVVMGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKTGLEE NMLMNLHKHVWTEALQMKDFHEEGEHNVDRMKQLVSLAEGYEKRVKEETELSKEQLKT RYVGKVDPKKHIEDVSQQLIEDNIVAVSRQMIDKEASVARQSNGKGAQNGASMEVDED L AOR_1_434094 MTSLAPLDPINANGSDRGKKVAYFYDSDVGNYAYVSGHPMKPHR IRMTHSLVMNYGLYKKMEIYRAKPASKYEMTQFHTDEYIDFLSKVTPDNMDTYAKEQS KYNVGDDCPVFDGLFEFCGISAGGSMEGAARLNRNKCDIAVNWAGGLHHAKKSEASGF CYVNDIVLGILELLRFKQRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEYFPGT GELRDIGVGQGKYYAVNFPLRDGIDDVSYKSIFEPVIKSVMEWYRPEAVVLQCGGDSL SGDRLGCFNLSMRGHANCVNFIKSFNLPTLILGGGGYTMRNVARTWAFETGILVGDPL GSELPYNDYYEYFAPDYELDVRPSNMDNANTKEYLDKIRAQVVENLKRTSFAPSVQMT DVPRDPLVEGMDDEADAILDDLDEDENKDKRFTKRRFDQYVEKPGELSDSEDEEENAA NGVRRQPGIMKRRNQVNYRNLDVESGLESGMATPADASSVPDDDMDTTADAKMGDAPQ TETEAPATPSVAEPPSRAEEASAAVPTEMAIDGQEQAAPSAPISRQPSPKAQDEDITM EDAGNAAPETEQQEQSVAPSEAQAEEKKPAEEKPATDKPATEPSSPADAQAPQKESVE DSGPAEASEVAETVEITETKEKSPEAPKDVPEPAKAEQESPKEVKESTGEPQEKEPTK SEA AOR_1_436094 MSGRGRGSSGNKLKMSLGLPCGAVMNCCDNSGARNLYIISVKGT GARLNRLPAAGVGDMVMATVKKGKPELRKKVMPAVVVRQSKPWRRPDGIYLYFEDNAG VIVNAKGEMKGSAITGPVGKEAAELWPRIASNSGVVM AOR_1_438094 MALATPPRNVHPGDLLLVVHDFEARGPDELNLRRGEKIELVELD DGFGDGWYLGKDLNTGTQGLFPGVYTTAAPKIPIRPQKDTLGPNTLKTDLRNVIGQEI FASPISGESTPQASRRASTSDMSAPDIDDSTAPTPSPKQQQRSSSSPLPTSKMAIDIQ KSIRQSIDGHLSGQDSPVMNETLSVIDEHITDLSTPRHSVTASQDSKTVNDSASEYSS TFEHRMSYINGHETDEEEEKQPTEEQVRRWNHLETAKHLRQLGLEAKHCDIFEDQEIT GDVLLDMNQDFLFMKEFDFGVMGRRLKTWHKVKAFQEEVKGIPQQQSSRGSSFATPQD ERAPSRASHTGPLFPRIPNLRGPNGPTQHPRLVSSTMQSNTGSPLTSQAPVWMDHSRR PSAASVREINHSRRHSSIDTTNRYSGVGDSSPASHHKKSSFDRGWTLNGASGSQRRPG SALGAPNETALPQSVFHVAESNGSDSATAVSDDLDRGYFSGPEGDTRKNRRVLQKRSS TYGSVSTPSSIPDEHFQLKVNKRHSRINSADSIRDAAQMTPPTAKASPPRGRFRSLST RASDRHGQQSSNPPSAEEKSSGSGFFAAFSLGGKNNEEQSQRSSTLPLQGIKNAGPKF RRAVGLRAMSEAVKGIDTSVAPPSPSKDPESPSTRTGSTTPSTTKSSERHSTDGSGKA VEGGASMPRARTLRSGTKSKKDTSAYTQGLEKKTPKEQMKGCDFSGWMKKKSSNLMTT WKPRLFVLRGRRLSYYYSEDDTEERGLIDITAHRVLRADNDPLIALHATLTGSTASPT SPSGSTADGPSSDKASGSESSLRGSKPVGEGPFFFKLVPPKSGTSRTVQFTKPAIHYF QVDSIQEGRLWMAALMKATIERDMELPVETTNKQKTVSLKEARLMNQRPPALLPTTPA TQGTEEKDEHLTTTTEESGLMIQGLGDEQVPTHGDDDEKKRVSSPLGGLGVGPPSLLP ESVAKTISEYNTISSANGAAILRFASMFLRRLLSRVLSLLLPLAIASSLYLYFYPVFH GCAFPLPRDDHTGLLSNSFTSALRQHFSPQSAENPAIFRLLVLADPQLEGDSSLPKPE DELSARIQHHWATVKSSVNKTEPRQILTAISTAVDSLASEDIPRAFRAARKRLDLLGN DYYLAHIYRTLHWWSRPTHVTVLGDLIGSQWVTDEEFDRRGRRYWERVFKGGERINDD ITATGARNYSGSEGQSTELETLNATHSAWTQRIINIVGNHDVGYSGDASEARIERFER VFGRANWDVRFQLPLEQVDNATAPLSAPPTLHLINLNTLTLDSPALSSDIQSHSYAYI NDLISHRLYPVEDRTTFTLLLTHLPLHKKEGICTDGPYFTFHESDDEDGPDDVPRFKE GGLKEQNHLSDHISSSGVLQGIFGMTGDESGPGGGRGRNGLILTGHDHTGCDVVHFVN RTIDTTTAEDSEPRSWKWDAKRYDNAVDNSTPAIREVTLRSMMGEYGGNAGLLSLWFD TTVNEWKYEITMCMAGVQHIWWAVHIVDLLTCILLVGYILAGSGSKPSVTKKIEVGQE KKRN AOR_1_440094 MSPTTHLPNVNSNPNSVESSNSTANIFLGGVRRSWMLNAANCSS SLSCHSELPDGSATTAPSDKVVTDTDAAAAAGRTRQQGMRESSSLRVLPVPSQSQENA ASVTTAAAAPLPPQSQPNVMSPVTPGHAQQQFQQQSPISQDSSWHVVSDRAGPDPPLA ATATTTVVSRRYGQAVEQAGQCLRLLGEKWPMYVKEVRKREFPPLVDELVKNFGITSG ALAYTVFLSTCRTLPGSKSEEHLKAVWELDLRYYQQRCASPRLVSNAQIQEETQKVVQ AYRSVCAAAVTHQPGPPPNLPYGPMSIGSPQSPDDASIAQSTRPFGVAGYNEYTFPFF ARCDGESWSFGAPFSDQAVRPFNLSTHLS AOR_1_442094 MSRATGAPTQATVPPMVYPSQIAHPPPGGRIPSMQPAVQVPRQV TAGPPQLELRNNGAGPSMQPVNLRHHTQPPTSPLSQRPPRPHPPPVLLLPPPGSHPLN TIPPQPLRDSLHQVHLRDPHNYLLSRGPAGEKEMELFQYLSSFAVPPTPLGREQCAFH WRFNLSKHNLDKFPKSRSAGIGQRSLRFYSSGNLVYRLRCIKMPSATSEVVESMWSVA ESVWPSVFYVHVNGVELFVRRRVHNGKDLPLDITDHLREGDNAVSLHFIRSSAEANDM LYAMGVEVLEVSDLVRAFSLAQALPASECREQICQRVSSSLQDDEVSVVSDHLSINLV DPFTARIFSRPVRGRSCKHQDCFDHLTWIQTRASKSGKRSLKNDWKCPICGQDARPQQ LVIDGYLQEVRAELARTNRLEGAKAILIKADGSWELKSESDAPPSSEGGPENTGERVP SKRKAPEPSNHSPAPATQRPKSERTNSMNGPVNDTHPPEVITLD AOR_1_444094 MSGRPSRPSSSHLEPPSKSVELVDSGARDSATNSDEDHFSDASE GHERAHSRSASGRTSPVPLTRVEKVDDTPSHGDVPGTRAYELRGHDAVPDEIEVIPEG SRSRSSSTVGRPQRPLTPEGSPIPRTIVEKVDADQPSHGEIPGTEAYEKRKADAVPDI VTTASDSDARSPSHSPELNERGLKEGISTDADVPETVLQRVDTLPNEDTGSSPRAHQR RPSDALPDVTETVPDGPDAPSPLQDSLPQQSHDKQETPVAGDDSANQAATDDFDDFAE EQDMGEDDFGDFDDFDDGFQEPSTEVSDEEPVVQTPQLHTLPTVPPLVDFDSCQSLPD VFAALDEPLDRLFPASNEVSSLPPIEPLRNNSAIFNTERSLSLWSQLVAPPPLQPQNW VKSRIRRLFLVSLGVPVDLDEILPASKQKKLVLPSINPGGPDATSGTHSRSGSQVRKD DVQSGANSPSTSGPPRNRASWRREPSPPPQLDLPAVRRLCSTTDAALDGLTDGELQGH VKELENVTLRASSVLEYWLKRRDGLVSEKEAFEGVIENLVNHARRVRK AOR_1_446094 MSFSFGAPASNGGSGKSLFGTAGNSNTGSSPGSLFGNIGASTSG SSSPSMFGTSSATGGQGSTLFGGATGSAATPSSGTTAFSFGTQNKPAGTTQAPSLFGS GSQTPKTNETPSSGQTPAGGLFGNAAKPAGGLFANATSTPGQSGGSIFGNTASTTPAG PPPQGGATGQPQSLFGQTAQKPGGLFGNVNTTSSSSTTPTTATAPSTNPLFGGAPQTQ TQSNGGGGLFGSNTQNTQQKPLFGSTPAAPAGGNLFGNANKPAESTTPTTSADTAPKP LFGAAPTPSTGGTTSAQTPSLFQKPAAGTDSAPKPAFSLGTTNTSAQPSTTSAASSAT PQKSLFPAIGGTTSSTTPSTTPAAAPSGGMFSALGAAKPTGTTAPSTTATAPPATQPA APTGGLFGNKPAGTTASSQPSTTSATPAAATDASKPSLTTPSAPATSTATGTTGATAT SNAATGGAALGASTAGPTPPAQSRLKNKTMDEIITRWATDLTKYQKDFKEQAEKVAEW DRMLVENGTKVQKLYGSTVDAERATQEVERQLASVEGQQEELGSWLDRYEREVDEMMS KQVGPGESLQGPDQERERTYKLAEKLSERLDEMGKDLTSMIEEVNGASATLSKTNKAD EPISQIVRILNSHLSQLQVIDQGTSELQTKVSAAQKAGQSLSSRFGYGFSSSGMANST AADDFYRSYMGRR AOR_1_448094 MLRQSIRPLTTANRALVSRSFSALAPKMAAGDTGAPRPGGSQHA DQFTKREAAQENLYIHEKEREKLLALRNKVKEQRKHLDELDKHIEELTKNQGGEQN AOR_1_450094 MGHEDAVYLAKLAEQAERYEEMVENMKVVASADVELTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNESQVTLIKEYRQKIESELAKICDDILEV LDKHLIPSAQSGESKVFYHKMKGDYHRYLAEFAIGDRRKGAADASLEAYKAATEVAQT DLAPTHPIRLGLALNFSVFYYEILNSPDQACHLAKLAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAEPAAENASTEKKEEAPAAEGEKPAAE AOR_1_452094 MASTSDVHGSSPVPTMPQPNDNGRAHMSSLSSSSSISDAENERR GRSERPRMASRKPSASILVPRDHPEIEIEEEEFPPDDARAMSPRRNSADLERLGKEAR QTLQEQAKALQSSLQALAERIEAVKSDHDKLESENKFLQDYIGGLTRNMTKSEMTRSS TKVRKSHK AOR_1_454094 MAAFSYIIRLICIFMLLCHTHALALRSQDILAPPPEAPLVLDAP AESPSRQSGLPPSESPPEPSKSVGSTLQDLLHALNVMQDTYFELWQGTWPSSIDWTAA VIGTHLSASVASLSSSTEDVSSSIFSDADVLTGKHRSLSFENLINHFFDQTVAFYFGE DAFAVRNQAYDDMLWVVLGWLENLKLQVLHSDLHFDGPSGSNLSRNHHWHGAQFRTPA AHRARLFYGLASGGWDISLCGGGMIWNPRLTPYKNAITNELYISASIGMYLYYPGDVI DSPFVPNSVDDQLWSDGYPHHPAHLQAAIEGYKWLNASNMMGIGGLYGDGFHISGWKS AEEPGTRKCDVLNKMVYTYNQGVILSGLRGLWLATASWQYLYDGHDLVRNVITATGWH NKKSKKWAGLGRGGVLEEACDSGGSCSQDGQTFKGIFFNHLAEFCRPVRPQEERFLAS ANQTTGPGSDWEYIYDWHQAQCRKYRPWIEHNAEAALMTRDKDGKFGMWWGRRYGQTD HAVIYESPLPPDATDYRNYGDHAQGSQPLQGASRLSGDSIFGVERPVYPAYAPGQTRS TGDAIALGLHPTDYNDRGRGRTVETQSGGVAVLRALYQWKVSASRPSAA AOR_1_456094 MSGEMEVDPPVSHEQEEAPAQSGGGFEPRTQAGAVAVRSIEGWI VIATNIHEESSEEDVTDLFAEYGEIKNFNLNLDRRTGYVKGYALIEYSTLPEATEAIK NLNGTKLLDQTIQVDYAFVRPPPSNKGKAGGQRGGGRGGRGRSRSRERSRSPGADERD AOR_1_458094 MRCDDPKCGCQPYPRKNRKVEIVLYGDQPDQFCPLNQQGSSIDV IFDPIGNAMILREIINDPTRKYTFWNFSVQLDAANLHFMNLEGLADGSLILTVRIRSS ACAVRGSMISVKEKISGFAPPRLKSKLYNDLYVVVYLCDWPRQTLQLFLPEERLVEWK TVALILKSFGRITADQWSDMVWMKDRPSVAGLNWRAIERDVKIYKNRLAELKAKGKQK YAIGKENDITLLQQDSAIA AOR_1_460094 MAFAQKLTHWICAVSALTLLLPALAADTEAWKSRSIYQAMTDSF ARTDGSKTHACNITAGLYCGGTWRGMIDRLDHIEDMGFDAVMVSPIVKKIEGRVSYGE AYHGYWVQDMYALNPHFGSSEDLLDLSKALHDCGIFLMTDTVINSMAYITNGTSPEGN INFTRLNPFDDPKYFHSYCEITDYDDYPLARKCWTGDDIVPLPDLKMEDKVVQTMLEK WIKETMGKTRFLSKNTV AOR_1_462094 MAFFLRRPFAVPTALRQVPKTANTARFFHNSPIKPSQSKPLGPA TSSIFAKSRQTFQNAFRRTYMQPTYDATQGGNLTQRLLYGAAIVGGTILATNMIFNRE TREDGGMAPYERSYLNETFMHTGLGIAIIGIAARAMHMNGWSYRLMAMNPWLVAGLGL VGSIGTMYGTYYTSPDNYLAKYGLWTAFNVTQAALLSPLMFMHPALLARAGLYTVGMM GSIAFVGATAKQDKYLYLGAPLLAGVTIVALSGLAPLVLPATATRTLMWSEKIWLYGG LAVFGGFTLYDVQKVLHHSRMAERGLIRRDVVNESISLELDFINIFIRMVQILAMQRN NRK AOR_1_464094 MSSTLETINLPHLPSSLPVHVALYRDIENAPFLRQQLISGNADF EYAFIDASMVLSRAHVSSAIFRAVNDYLNERLKSRNVHSEIVFSFSPTNNIADSFRKF GISDSTKDLLVVKVSVTPEITHDSVAAHLAQSIEGSPVPFNDETLSKIADVTKIKKAY KLGALPSAPAGPVNGAGNGDNGRLENSIIGAIALRGAT AOR_1_466094 MSAAADVIVLSSSPDRIPNGSPVLPAHDPAKLFDLSPPSASSSP VRSPSELFQISTRSRFFELETPSRNKENKTPKEPPVRKVNTTSKAKSASSQDKPKRRG RKPASESQTVLGDSGLAGLAQQSAPKKTAGARKKRVDSEGKRGKATNRTIMGRVAKSG NVQAKPPQEKIMDVSTPNALPPTKPASGVVSLEIDGLQLETAMKRRIDWTPTKDTTAR TVESSQQEVAEANPQSFGSLLSEYGFNDISSAQSDVRNLGDDGPTKRRRIELVDSRLF GSSKPASHDIDDKNLTEDSQQKQPEPKQKPKKQTKKFTTLTARVTASYLNNSHEGSDS SSKETTTSRENAATSRTRGSKRKGKATSKPKEPEFIVLSPEAAAKSLENQELIFGTCS QLEREDSPTSLKELQAAISESERYAVAEPSPLSSTLCATPTSRFTTARGLWSVAARDL EGSLIRQTEVVDLVDTPEPAKMTTSTNDSRNEKALEDAATVPPKEPFDLPKSEPPKLK AIPAAKKEPSPAPGMPTIKASDNLKGTTSQHSKPQPKMPNYNGFTDAELSRQVASYGF KPVKNRKAMIDLLQKCWVSKHGKGTTFETQAGSQNTSTEPTPVLTSSEPNTSQKQPRK TATSRKTAAKSKTNPDSNPPPKINSRKTPSSSDATKAPSIQSKPTQPPPIQSLSNVEE IEDSEEETLPSPFRIQNRYTPQPPETRQALPVSKTLYSPSRPKPRTTKSTTNNSATLN QKQPDLADQIFKAMHAQPAGTPSRPSWHEKILMYDPIILEDFATWLNTEGLGLVGEDR EVSAAFLRKWCESRGICCCYR AOR_1_468094 MAKSLLVLFSPTFLRETIPEQAILGETTKFPLCRPCLPHMAPGK IPEAQNMAGTTVGKALRTVASGSSQTALGYPPQQNGVGPTSLTNYSQIGRLQPDTLLR QGTAVNSYHERIHQPLDGQLDGMTHGLSTLQYGGFNPSLGQGLPHMPSLASQYPAPLQ IQSLHNNQMDYYASPSPATVIAQGAHQIKTDSSYAYGNPQTEEIPSNLQRSAEDDEL AOR_1_1264094 MSNKRSFDEAALEGYGNNELLISNAGDTVDDALLFENIQLSPPN PELIEQTISQQVETAEVPSAAVDPEETSTTTIPRPPVEDNDAAITTALGAADPSTATS DATQESEAVTAQQTAQRPPKKKARKNHVPTINRGKKDWRDAYLTNHHKRKNNMMAEWV AAKERHKKYEEATWPNGDVPADKKFKKREPLTRAPTACDFCRLFKSRCNSDKDTECSN CVKSNSWCGETNASTEVTHYRGLSKDQEEKIQTLQEELDGLKRREQKYRNEIEELKKQ LDDVSTSMTPYHISAC AOR_1_472094 MRRHGRSGGGSTKALAPVSDTVSLIHSFDSVMNPNRPVRPSPLA FSNIQALPLDLVDRLRSFPLFQSTPESFLSAVGRHLRPQLHQANDYILTEGDEAKAIY WLVRGAVSVTSRDGESVYVDLEPGAFFGEIGVLMDRPRTATIIARSRCLLIVLTKEDF RNILPHFPDVEQAIRDEAQERLMLLEKKKKETSAPPVDDIISRRGNKRLRETFSKDMS LAEQEGTALNWKSVNKKRKSPSPGLTDGVSSSALANGLVNVRLLLKELPLFAGLPGDI LHFLGLNAQPRSYPPFTDIIKQDSQGREIYFIVRGEVEVLTERTHTEDVSHSVPNTIE HPGFEVKARLKAGQYFGEVVSLSLAPRRTATVRTINAVECLMISGDVLAEFWEKCPHD VRQQVEETAKERLQSAADGDVVMAEADGVGQFVGDSNFKVSASRRRSMPLLTLTETEL DGPHTNKADDQAVLRPSDPDPFLNVGLDKVRLKSRRGSVAPLTPEEVSGEQQRPSPPS EPRSTSSSFLTLPEAAVSTTLKTQRESHKVNRGVLPDNILVRILNLLELHHLFRVRAV SLHWSEIINTSTDLLHDLDLSMYNRQITDDVLVKIICPFVGNRPRYVDISNCFHITDE GFNKLAATCGSNVVAWKMKSVWDVTASAILDMASTANGLQEVDLSNCRKVGDTLLARI IGWVMPGQHKDEPVKTSKGVLKPTMQTAAGAVFGCPKLTKLTLSYCKHVTDRSMHHIA SHAAHRIEQMDLTRCTSITDQGFQYWGNARFTNLRRLCLADCTYLTDQAIVYLTNAAK QLQELDLSFCCALSDTATEVLALQCSQLTYLNMSFCGSAISDPSLRSIGLHLLHLKRL SVRGCVRVTGAGVEAVSDGCNQLESFDVSQCKNLTPWLEDGGHFKYQNKIRFETVAQN GKVFR AOR_1_474094 MDSHRSSDAHPRGSTTLMEILHWDKLFESDAPPRLGIEVGRRLP YTAMSAFSVGMVIGSSHGSKKSAYRFRAENAHRFPTTSTGWFQYHKTKNYTAIVGGVK EGMKMGLKLGFGALAFCLFEETVDYARHDRRDFLSTVTAGLSFSGIYSLLARHDVYTA ARTTKLGLKLSLVYGLMQDALESLKGNRPAYVNFLLGNRRSKTE AOR_1_476094 MSQPDPLSWTLLFKKHKMTVLLMLPPSATIPSVQATLLRALQSR GLTEINGDPVPEDSSDIEFGVAVDRNDLGKGWTKLEFQTPQFDEDEAPKRGAGRRSAA PLSLQAAEIRNGQPVAFRFRKRGEETETAEELIDLELEDPGWDVVLPSLDDEEEEN AOR_1_478094 MAIPSDASSITVAVRVRPFTIREAAQISKCEDGPLFLGDGSLAG APTPKLNQKGIRSIIKVIDDRCLVFDPPEDSPVQKFSKSVVPNGKRVKDQTFAFDRIF DQNASQGEVYEATTRTLLDSVLDGYNATVFAYGATGCGKTHTITGTAQQPGIIFMTMQ ELFERIDERSGEKATEISLSYLEIYNETIRDLLVPGGSKGGLMLREDSNKSVSVSGLS SHHPQNVQQVMDMIMKGNECRTMSPTEANATSSRSHAVLQINIAQKDRNADVNEPHTM ATLSIIDLAGSERASATKNRGERLFEGANINKSLLALGSCINALCDPRKRNHVPYRNS KLTRLLKFSLGGNCKTVMIVCVSPSSQHFDETQNTLRYANRAKNIQTKVTRNVFNVNR HVKDFLVKIDEQMNLINELKAQQKDYERIAFAKFKKQTEKKDAVVREGISRIRNAYEH SLPERQERTANMLKSRQISRRIGILSSWIAAFDSVCANSENEVPLANLQAIRKTAQGV LLELESSRQHYHQRLARSTWDRGINSAVEHAVRQLHDFGINDNGDLANLHREAELLKS NTERDAFSAVAEQEKAGEAETVQLLLQAQFEAISAIEDIMQMSEEEAVEAGKSILSKM LDSCSTATSSLVKPDGSLPAAQPFSPSKAMSPKPKKRVSLAALPAGKTLAAPISLAST APASPGKGSPRRRRLGGGRKSVTFSPKKPQAKSTKRSVRWKDDEQDGSLAEFQKTPQK PRAQLFPEGPQGSPSEPPMPRTSPVPRGIPVPSRNISPSYGFSPVPAPPEPTLHVPKN NRFKAGFLSKKISGSPIAPPPSTSLPASDGEHSPLRNIENSSFLNRASVDRPSRIAVR TSSGSYTSSPASDNKESWKANKDDAIRISSAMRRISGGHFGAGASANSLRVHRRRSPG SATYGSSSPENTMFTAQARRMAKGEKEHEAKPGVLGPRTLPIMKNTGRRTTFGGEIRP RDISLTSRDAIRLSAMATPNLQRPSESLYSNSGAGWR AOR_1_480094 MAFAAPAIGSTLLFSRIFRPFSTSAPFLSLTPESASRNMSNNTQ IATLAAGCFWGVEHLFRKNFGNGKGLLDAKVGYCGGETASPSYRAVCTGDTGHAEAVQ VTFDPSIVTYRSLLEFFYRMHDPTTLNRQGPDVGTQYRSAIFTHGDEQEQIAKDVSEK VSKEWYKQPLSTDIVRAGQWWDAEEYHQLYLNKNPTGYECPAHYVRTFPPLSA AOR_1_482094 MAGYSVEAAMAEALGHLNRIACHFRKHEQHGAANEIGKLSIMIV NVFSEAVEHIPTNEFADVQQAVAALMNEVEKEKDSITCQTSALQNISSEAQLPMTGSW AQVASSTSSLPVQPQGVGQFMTPLPLGPASLSKQTSNNDENGDTRMSGISDVTLSAPM FPEIPEAKAGVLRIYGKTSKEIIQYLTTRIHEGPLQDIRLETNGRTRVTFQHASQALA FLMSNQEMEQMLGYGRFGCGYRVELAEIIDWNDDHRRMNQPIRERRRLSFTRKRLFAD NMSPEKWKQDIRTLAGPGNIDFLWVFNSGNATAVFTSTTVARKVLEVFNRWKDGRNVY SGVSVTYSSDPCEKELVLVKDTARPNIAKNFVKRPIR AOR_1_484094 MVRIVSSLLLASLASTFAWADTIKCDANNQCPEKYPCCSQYGEC GTGAYCLGGCDPMQSFSLDSCMAEPICKSKTYKWDNLDSAALNTKYLGNASAADWVYS GFPKVEDGNLILTMPKNSVGTLFANNHYVWYGKIKGKVKSSRGKGVVSAFILLSDVKD EIDFEFVGYDLDNVQTNYYWQGVLDYNNGGKAPAGSSTFDDWHEYEIDWKPDAITWSV DGNVKRTLTRESTWNETAKRYQFPQTPSRMQLSLWPAGQASNAEGTIEWAGGEIDWDS EDIKDKGYYYASFGEITVECYDPPSNSGDGKKSYILTNKDGLEGSFKLSNNDTVLASL GATGLDPDLGASSSSSSSSSASTNNSVPENRGGSGNEPGATSSNSTSSSSGSSSSGSS DSGFSQGSNNDSNNSNNSNGAASANERVMKGSFFAVLVAVVVLVTL AOR_1_486094 MARDEPLLAPRPSSDHSSIRNAEEEDALLTGERTHREQQRSKWA FWKDVGLFSWAFIATIAVIVLAVVYQHETSKNHSAKQPWGPGGKPTGKRNLIFMVSDG MGPTSLTMTRNYRQFTEGLPVDQTLVLDDHIIGTSRTRSSNSLVTDSAAGATAFSCAH KSYNGAISVLPDHSPCGTVLEAAALAGYKTGLVVTTRITDATPACFASHVNLRGYEDR IAEQEIGEHPLGRVVDLMFGGGRCHFLPNSTEGSCRGDDRDLIEIAGQKGFHYLNDRK AFDSLNGGSEAKLPLLGLFAEKDIPYEIDRRSQDGVYPSLEEMTRTALKTLSQATADS DKGFFIMIEGSRIDHAGHGNDPAAQVHEVLAYDRAFAAVLEFLEQDSTPGVVVSTSDH ETGGLAAARQLHDAYPEYKWLPGVLANASHSSEFAGATLREYLSKNPDAKSQRKFVHE LLEKSLGVFDATDEEIDHLLDPKLPYTNNYVFADIISRRAQIGWSTHGHSAVDVNIYA SSTKDAWRLVGNNENTDVGAFLSDYLEVDVEDVTRRLQTPSEWTWKPEVEPSTSTSLS WLGDPLGEAVRTDGLDTYHGEFKKRSMDLETRECGCGELH AOR_1_488094 MHSPSPQLLRALRTSISAPNVTNRLCANTRSVSPISRITPHVQT YRNNSSHARPVRMVPRAHTAKPASRDRGPQSTEDTQTDFAALNVLGNIPAPTTAIDAC LDNGFHLDNGLKLTNGDGLLLVGGEAFSWRPWTAMGGEKNAMVNKKGQFEVDEQAWGL LGLVWPRPDLLIIGMGASVFPLSPETRRQINSLGVRVEVLDTRNAAAQFNLLATERGV SEIAAAMIPIGWKGR AOR_1_490094 MKPLLVKKFINNRFELIRPISGGSEGSVYIARDHHTGKELAIKL YHEPSGHKSYHREVNGYRYLAGLMGVPKFYWAGQDQRYHATAIELLGPSLAHLWRDCG RRFSLKTVLLLADQLICRFQELHSRNCVHRDIKPENLLIGVGRKANRVYVADLGFVKR YSALSDRQILKRERHDRRECEKNPGIGVGLQGTEVYAAWRAHYAKPQSPRDDMESLGY VLVRFLKGHLPWERLWASACTDLERQIAVAEMKRNIRTETLCKGLPSAFNLYFLHILL KATPDYTYLREIFLRLFRREGFKDDQIYDWTFKQEAELLRQHCNNRLKEQVKKFL AOR_1_492094 MNQETTTTTSSSQPPTTKKPSRHEKGSKKAPQKTFNPLQIGIQD FVTNNISPETLSQYGFSSETLHSSLPKRFTVYEPMLLLPVNAFSSPPAWSALYQSLTA PQQQTLYASLVKAFSRMGVTHVAINAPIALTDTQGQENRMRSPAGLVPLYGDFGPPPP AAASTASSSAEEEGQPSDEDLERAFWVHTMQNHGIVQIWAPLYTMFSRGNVTEKARVL GHGASPFEGLEVGQLGGQAVSDVGVVDMYAGIGYFVFSYLKRGVRRVWGWEINGWSVE GLRRGCEANGWGCRVVRVREDGGLSEGLLDLVGSLKDTDRVVLFHGDNRFAAEILGEI RRVMEEKGEWNRIRHVNLGLLPTSVDAWENACRMVDAQLGGWVHVHENVDLREIEQKK EDITVEFGRLRAEALGLQDTIASAECRHVEQVKTYAPGVMHCVYDMKLLACSELQQKT AG AOR_1_494094 MAMNFVTFNQDYSYLAVATAKGFRIFTTDPFAKSYETKEGNIAI IEMLFSTSLVALILSPRRLQITNTKRQSTICELTFPTTVLAVKLNRKRLVIVLEDQIY LYDIQTMKLLYTIETSPNPSAICALSPSSDNCYLAYPLPHKAPPTSFTPPSHAPPGNT HISPTSGEVLIFDTLKLEAINVIEAHRSPLACITLNSDGTLIATASDKGTIIRVFSVP DGHKLYQFRRGSIPSRIYSMSFNTTSTLLCVSSSTETIHLFKLSQGQSSESSLPSPSA PQRSMSQSSLSNSPDEDETSGDKDSSEFHSRKHNGTLMGMLRRTSQTVGSSFAAKVGG YLPKGVSEMWEPARDFAWIKLPKSNPGPGGNGNTGPLRSVVAMSNNTPQVMVVTSDGN FYVFSIDLSKGGEGTLTKQYSVLDANDRLGYSVTDY AOR_1_496094 MGPAKKPAMAGVNSKAEREADLVMGTNNSSIVSKRSVEMLYYSK PHFFRYFVKKPQRRSPLINRGYWLRMHAMAETVRKFMREPSDKPKFVLNLGCGLDTTF VDIDYEKLMVNKKTAIRKTDEITQLLEDVEFLPDDSAVQIRSKPYLAIGCDLKNLTKL DTVLRAEVLPSECAVLFLAEVSLTYMDVKSANAVVSWASGLSNDAQFCILEQFFPDGP DHPFASTMMKHFKKLGAPLYSIHEYPSLNEQEQRFKDAGWNHAHARSLWDLWSDDEFV DGSLRASLDAIEPFDEWEEFALFGSHYFLLHASTRPRVSETATRTLTGLDPQTDKSGH FRLLAKCPPGSGQRRFGAVIPDSDKAVGHHSGLGRQTRLSSTELYTKSEGTTKTHEFP PGDIPARMCHTVTCLSNQDCLLVGGRASPASGFKDCWVRQGNQWRSTQSLPVPRFRHS AVKVTLDSEYVLVYGGKTSDGTTLNTWLAWSSKKQDWQQVETNSIHIKARFGACLGSI NDTSGVLFGGIGAEGTILGDFFTWKLHQRSDGSLFMELTDHTDDLRRTSSLFNQIHRF GATVNQTAWGLVIVGGIVPRGIITHDKEIMLLDSTELTKCIASGWPSNHTIISALGLG NRLDGPRPLLVGHVSCAIDSKEILILGGGAVCFSFGTYWTEGTWLLQDVSSTTENDWT LIPESVEPNKSQSEKATSKPSAQSQNEPYRAAEVITPIPRVCVQNPAQFQDILAEGRP VIIEGSDVGPCTELWTKEYLTSAVGGDRKIVVHEAQSAHMSFQTKNFSYATKTFGTFM DEVYAGDRQYLRSISAEQPTKLPANLAVDFPSLSHDFRLPESLSIVTDNTHSSPLRIS GPVTLWLHYDVMANVLCQIRGEKRLILFPPSDVQYLQVPPGASSSTINIFQSNGSVAS IPHTSPQEAVLKRGDILFIPPLWLHTASPTGDVSVAVNVFFRNLSKGYAAGRDVYGNR DLQAYEKARNDIQKMAKSFDGLPSEMARFYLLRLAQELKDKAEK AOR_1_498094 MATVVVQQQQQTLRHSTPPPTGISPALSLNRNPSPIPNKHLPFC PEGPTPIITHNASPLHKEEVTDQTSSLLYPPDSYKQLSSSPAVYSIDAVTLEAALDHW ASQPLPDPSKVFPWLHGLHPENHLQLGFFTNRKRSLRRIPRVWRGITIIKVGGDLISG RLKGAVSLDEVLAPSTTEFLAVDPREGFSVRNFQIQTAKLAPLSDIVIYGEDGVTRKQ LLDVAGRVAAAQHRWRSKNDPEQVLPVYNTFVLSSTFSEVQQRAPGIVAIHARGQLTG QIMDFFQWERWEMCDMSRASEISTNIWQGPTPDYLLRPGTLEPTTGEYFDLLIEASDF ASLPGPRFLAKLNKQLDDGPQRLEFPSSGSILPPSGDDREVDDLVNTVRWLYYLANPD EPENRRDSDGDIAMDPMPKKPRKILIHCPDGYTESSLLVIAYVMFAEGVTAPDAWLKL HCDKKRNFFAYPSDVTFLSAVQARLLHESPATPIGSLTGLEDPHWFKFFDGSLPSRIL PYMYLGNLSHANNPEMLWALGIRRILSVGESVTWTNSEVAKFGAENIMHVTQVQDNGI DPLTQELERCLDFVRKGKKDGTATLVHCRVGVSRSATICIAEVMASLGLSFPRAYCFV RARRLNVIIQPHLRFVYELLKWEELQLQKQNKPPKRELEWPTVAREIALMNKPYSR AOR_1_500094 MDPEMDVDAPEPRGTKRAAEEDEASKKPKRIRALDPDVVNKIAA GEIIVAPMHALKELIENAVDAGSTSLEILVKDGGLKLLQITDNGHGIDRDDLPILCER FTTSKLKQFEDLSSIGTYGFRGEALASISHIAHLTVTTKTAGSSCAWRAHYSNGKLPA APKATAGRGGTQITVEDLFYNVPTRRRAFRSASEEYAKILDVVGRYAVHCSGVAFSCR KHGDSGVSISTPVTANTIDRIRQIHGSAVANELVEFKSEDRKLGFRSSGYVTNANYHV KRTVILLFINHRSVESTAVKRAIEQTYSSFLPKGGHPFAYIDLEIEPHRVDVNVHPTK REVNFLNEDEIIECICQEIKSNLTQVDSSRTFLTQTLLPGVRTMEPAPRDPDSTDAEG RTPKTPATTKKPYEHNLVRTDSKVRKITSMLSPAVLSATEAETTSGILDEGLQYETTD REPLRIALTSVKNLRASVRNAMHNTLTETIASHTYVGLVDERRRITAIQSGVKLYLID YGMFCNEFFYQIGLTDFGNFGVIKLDPAPKLIDLLQIAADAEREAPSSRKAKSSEKNE IFDNAPDLVARALIDRREMLNEYFSLQISAEGELLSLPLLLKGYLPCLGKLPRFLLRL GPYVDWTSEEDCFRTFLRELAAFYTPEQLPPPPPPAENDGNEVSPDVDMEEELTKNRR LQIARMLEHVVFPALRSRLVATNRLLRGVVEVADLKGLYRVFERC AOR_1_502094 MLTTKRPFLADEELGKKDDDHRPQKPSHFWRSSKRWKLPRLRRI ILGAAAFYMLYLFFRNMPTDLSPAPERFNPTFAEPRQRAGMPWSPPAPSPAIPQRGPP PRDDFAPEGSFYYEGSVHFHSLGKTLYRFRKFAHGLPASRAVVFAGASLKSISDLLPL ACKMANQRANEVHLVLMGRDDVSIEGIQHVNGIDDSDCPIYWHDGRVDYAQWSTDARM ERAVASGLTYVQAYLSPQAVITQGESSEEVFFLQGIEKKARELGTAHIVLPTATRDIM WISSLDSHSLQAWNDMRIEILIQAPTESSGSFIRLIRSLKDADYLGSAPGLTIELPHD VDPQLLQFLKTMKWPSNTSNKVTLRRRVRHGVLDAAEASLRTAEAFYPQDPNMTHVLM LSPQAELSASFYHYLKHTVLKYKYSTNAGQVASDLLGISLELSSSLPTSDESVNFPTL DTNRFPGLDEREAMLVSLGQVPNSNAALYFGDKWVEFQSFLSERLAKEVTSPHEKVIS ERYPAVMEYLLEFMRAKDYYLLYPAARGTQTLATVHSDLFQPPEEYSDENWAKSTKPD NVKDQSN AOR_1_504094 MAKEGERSAPADKGKGKVDDVKDLPGAKKSPTDEKPQADGKKKD EEPKEEELNEEDQQLKNELEMLVERLKEPDTSLYGPALDAIKNFIKTSTSSMTAVPKP LKFLRPHYDELTELYENWSAGSVKDSLADMLSVLGMTYGDEEKLETLKYRLLTKSEDL GSWGHEYIRHLALEIGQEYQNRLNAEKGVQDLIDLSLSLVPYFLSHNAEADAVDLLSE LEIIEEIPRFLDENTYSRVCLYMVSMVNLLTYPEDQQFLRTAHEIYVRYKEFTKAVVL AIRLNDTELIKSDINATSDRSLKKQMAFLVARQQIWLDMPDEEEDQSFMDCLNNTLIP SHFKSLGKELNILDPKMPEDIYKTHLESSRGAGLTNVDSARHNLASAFVNSFANAGFG NDKMMLVEGDKGPWVWKTKDDGMLSTTASMGMLLHRDVDVGLDKIDKFTYATEDQIKA GALLSIGILNSGVRLDSDPALALLSDPDNLEAKNVPMRVASIMGLGLAYAGSNKEELL DVLLPIVEDVSLDMQLSAMAAVSLGLIFVGSSNHQVSEAIATTLMDEDRQKQLKNKWT RFMALGLALLYFGRQEEVDVILDILKAVDHPMAKPTSVLASVCAWAGTGTVLKLQELL HICNDIIEESDENKGDELVQSYAVLGLSLIAMGEEVGQDMILRQFGHLMHYGASNIRK AVPLAMGLITPSNPQMKVYDTLSRYSHDNDNDVAINAIFAMGLCGAGTNNARLAQLLR QLASYYHRDQNSLFMVRIAQGLLHMGKGTMTLNPFHTDRQVLSRVSAAGLLTVLVSMI DAKQFILAEHHYLLYFLITAMYPRFLVTLDEDLQPLTVNVRVGQAVDVVGQAGRPKTI TGWQTQSTPVLLAYGERAELEDEQYIPLSSTLEGLVILRKNPNWEGEQSTA AOR_1_506094 MKLDAKAIRYLTSEDFRVLSAVETGSRNHEVVPTPLIANISGLR GSSGVNRAISNLAKTNLIAKVKNAKYDGYRLTYGGLDYLALNAHQKQKCIYSVGNQIG VGKESDIIVVANHQGTQRILKIHRLGRISFRTVKTNRDYLRHRQTGSWMYMSRLAAMK EYAFMKALGENGFSVPEPIAQNRHTIVMSLIDAFPLRQISTVPNPALLYSELMDTIMR LARYGLIHGDFNEFNILIKEEEDPDAKGKARADAENDENIRLVPVIIDFPQMVSIDHA NAEMYFDRDVNCIKRYFQRKFRYVSDEPGPFFADAKKQLLENPGKRLDVEVEASGFSR KMARELEAYMKEVGANEEEERGSDDEDDHSGPEEEAEDDVNADEESGAEKLKESESDA VDESSRKLGELHVS AOR_1_508094 MVRRFSKFPKKPADWVPPSAPLSMRKQVFLPDFTIALIRTPFLP PRYASFYVPLNFNKLDMRDYLKRLYGVDVLSVRSYVEQQKVTRLRPLGKFGYGKLRRP MSKKKMTVEMKTPFVWPEAPADMTPWEYDQFHKAAKYQNDIQDKQRPDAGMKANTDER DAYAEEAKKLLDGSKPWRPTWQALGLSYDRTGLGKSSTSS AOR_1_510094 MGSNNGRTTKLALVPLPKGSVLLPGATLRIPVANRPDLSNLLSS LVDRSSVVKREGNVITFGCVPLNSPFLSKDGQKLIDNGALDEDRREEYDAIDAGQARK DDLFRYGTIGKVVGVQRRAYSEASLVVQGIQRFTVKRILKERPYFEAEAILHDEKDYV SNNSETVELFQQLRRLSRELLTLLRLSSLLPSSSTRLSPLIARKFELFISKTDLTQAG RLADFMADIAESGIEDKLRVLAALDHKARLEKVVEMLHRQVQSIKSNVKVTTITTNSF PPSGFDINQIDPRDRELLARRAMAGLTGLTPPGVAGGRNNDGDDKEANEVDELQQKLQ EAQLSPEARKVADKELRRLRKMNPANAEYGVCRTYLENILEIPWTKVTEDQLGPDTLK RARQQLDDDHYGLEKIKKRLLEYLAVLRLKQSTNQNVEQQIAALSKDLDSSSDAGDIE KDLPGLSEADRVAVESKLHLLKSKRMTDKSPILLLAGPPGTGKTSLARSVATSLGRKF HRISLGGVRDEAEIRGHRRTYVAAMPGLIVNGLKKVGVANPVFLLDEIDKVGGANFQG DPSAAMLEVLDPEQNHTFTDHYINIPIDLSKVLFIATANSLDTIPPPLLDRMETISLS GYTTVEKRHIAKRHLIPKQIEVNGLSEGQVILSDEVIDKTITSYTRESGVRNLERELG SICRHKAVQYADAGDAGHPETYNPVVTMDDLEEILGIERFEEEITEKHGRPGVVTGLV AYSTGGQGSILFIEVADMPGNGRVQLTGKLGDVLKESVEVALTWVKAHSYELGLTPDL SEDIMKSRSLHVHCPSGAIPKDGPSAGLAHTIGLISLFSNKAVPPKLAMTGEVSLRGR VMPVGGIKEKLIGALRAGVKTVLLPYQNRKDVKDVPQEVSDGLEIIYVSHIWEAIRQV WPDAHWPGQHHENFVESRL AOR_1_512094 MASMSNPASGAANPSSRKTFTVGTRKSKLALSQTDLVVSALKKV YPNYEFKIHSQETAGDLNTTIAFREFTTKNLWTEELEEHLMAGNVDFIVHSLKDVPTT LPPACTLGPMMEREDSRDVLVIKQGLPNMSLSDLPAGSVVGTSSIRRTAQLALKYPHL KVIDVRGNIGTRLAKLDAEDSPYTCIILAAAGLLRLGLDDRISQYLDSKNGGMLYAVG QGALGIEIRKDDQVMRDMLNNIGHNETTFASTAERSLLRTLEGGCSAPLGVETEWIKS SDGSKKLRMRSIVVSVDGKESAEVEIDGSVDSVQAAEDFGVTVAKELVTKGAEKILED IQRSKQAA AOR_1_514094 MEQPQSLRALFAAAKSEKSALESRFDTNTEQYRNDVNATIAKLE ECARLVAVLSLFSSNEPLEDIATGDLPYLTVSYHLAELLQRSYTSDRVSSLRRALEQY ERYLTRLDDYELLNDKDKKLYERYTANPASFSLTPVNDAAARREVKINRFREEKELKQ RLQYLSDNQSQLQTDDEDVRQLYIAEIKLYTHQTFQSLDLLSQELSMLSAIRNSAPAH DQIQPEDTRRRKDAQQPEYSGRLDPPLSQLLQGGKFGPILSKDGKPMQPFTLLDRRTQ LQQGVFRSGHNLPTMTIDEYLEEEKRRGGIIEGGEKSGIQEKVDEDDMDRADEETMKA RAWDEFTEANPRGSGNTLNRG AOR_1_516094 MPPLEVKPLAGYVRSQSLRIPSSLNLSGERTISTTEPTEGNDSS SEESGDNEQISTQRLISQNKRLQSAKFEALLSERADTLTGNSGRPTLDLPDAELSTAS LVAKQDAGTGMLDPREYQVELFERAKSQNTIAVLDTGSGKTLIAVLLLKHIIQNELID RANGKPPRISFFLVDSVTLAFQQAAVLRNNLDQNVAQFFGAMGTDLWSKQTWDHQFEN NMVIVCTAEILNQCLLNSYIRMDQINLLIFDEAHHTKKDHPYARIIRESYLKADPTKR PRIFGMTASPIDTKGDIIESATKLEVLLDSKIATTSKPNLLREVVRRPIEESWEYDKL DPPFATKLYQILQARFGDISSLQPVFRFTLQASSELGPCCADRAWAYALADDVLPKLE GNVRKLAQSISSPIPQCALKEISRIQEASDIVKNHSFNSPNVPGELSPKVQLLRQKLI KYFEHPTKTKCIVFTQKRYTAKMLFDLFSTLEIPYLRPGVLIGVRSGDIVGMNVSFRQ QFLALVKFRSGEINCLFATSVAEEGLDIPDCNLVVRFDLYNTLIQYVQSRGRARHSSS TYASMIERYNADHAARLVEVREAEKLMQSFCETLPEDRILHGIDSEIDSILQGEEEKR TFIIRATGAKLTYHSALAILARYASSLQYEKETSAQATYVVLPQNNSFVCEVILPEKS PVRGLTGVPASKKSAAKQSAAFDTCVLLRKHKLLDDHFNSVYHRRLPAMRNARLAITS SRTNQYDMLSKPSLWGKQQGTLPEKLFATVISFIPSEPLRRRHRSIILLTRERLPDFP SFTIFLDDDIETIVVTESVEEALHISSQELEYLSTFTFRIFHDVFHKTYAEEPEKLPY WVAPAETKKSKNVSDSKSLTDWELLHLVHENEEIPSTLHPSSEALINRFVFDPWDGRY RYFTMAIDNTLHPSDPPPSFLPRRKFMESIMSYTLSGSKNARAGFLSRCNWQQPVLEV ELVRLRRNLLDKMTDTEKDVETRCFVCIEPLRISAIPEEIAASCLAFPAIINRLDAYL IALEGCKTLDLSVKPEYALEAFTKDSDNTEEHRVQQIHVQRGMGKNYERLEFLGDCFL KMATSISLFVQNPDDDEFDFHVNRMCLICNKNLFNTALKKELYQYTRSRGFSRHTWYP DGLTLLHGRDHRKKISAESKHALREKTVADVCEALIGASLLSGGLHNQFDMAVKAVTA VVDSPNHKALCWADYTSSYMLPKYQTQSPDGYELDLGRKVEEKLGYRFKYPRLLHSAF THPSYPSTWAKVPCYQRLEFLGDSLLDMVCVDDLFYRYPDKDPQWLTEHKMAMVSNKF LGALAVKLGLHTHLRHFSNPLQSQITHYAEEIQAAENESQGAVDYWLVTKDPPKCLPD MVEAYLGAAFVDSDFQFRVVEDFFQRHVKSYFHDMTIYDTFANKHPTTFLQNRLTNEY GCTNYCLKAGEIPVVDGGTVSVLAAVIVHEVVIAEGTASSGRYAKVKASEKALSVLEN MGPSEFREKYHCDCRTANGSQPMDIGTAI AOR_1_518094 MEVASAGISNGVSAPTQASFVDSSAIIQYLSDVLQVTLGALRTE LERTGSLLSEAKYNETVQRCTRFASESQVALYVQKDLVGSEESAGADEEAMSTHYIYN LSAEISSSSTTVATVAFIKRPAAIDPALPIPSQIQVMNFPGPASLSNTQAQQNTSLSP YEILHLLVHHGLSPYFEANSRNQDAAGGLKPRTDTEAKTGVPMTKKKFAELELGLLHL QQNVEIPALSLPLHEVVQAALTEAEKRGVKPSVELIDPTILESSTFINSIQTNVNTWI KSIQTITKMSRDADSGSAAQEINFWLSMETALEGIENQLRGDGVSLTMDILRHAKRYQ ATLSFVADTGLREAADLVQKYNQLMRDFPLDELLSATTLQKVQESLNLIFNHLNKKLK ICPYPIKRALALVEAISGDLDSQIHSLLHGRTILHLDYREFRSLMKTCGAIWRTWDEN LKEFTNVARESTRRRNEKFIPIKIAARHEKTQERLKYINTFRVNHEQLQRTIVNVLGP KSSSTGEPAAGASSDGAVIVEEIGDVDAVEEVAQAYAALKNVDVLDVSDEGTQQWIQE EIAYNERTSRVENSIIARLRDRLATAKNANEMFRVFSKFNALLVRPKIRGAIGEYQTQ LIDNVKQDISSLHERFKQQYGHSEAHAMAQLRDLPPVSGAIVWARQIERQLDAYMRKV EDVLGEDWHLHSEGQKLQAESNLFRKKLDTRPVFESWLHDVQRRHITISGRLFNIVRN RAAGNTLELTVNFDAQVIALFKEVRNLIWLNFQVPHAVSNISKEAKRVYPFAISLMES VRTLLQTNRSIASMTEVAILLNGYVNDAQGMIVKGIPLRWESGESKHVQFVREFAGSA SVLQSKTAVLASINENIQKAIHELKTCPYDASAFKQRLDAIQVAVDKLNLENYVNLGY WVANLNQKIEAILRDRLHRAIREWINSFQEAKNGDHSSQLLNGSQQLVTGEGEAMAYN IEFTELVHEISMRNQVLHLDPPLQFARASWFSQFDNWLGVVCNLEKIKSSRYQISIDV QKVQLSESCFATLPQHCTDELNQVYNAIEARLKEVSNYVDKWLQFQSLWDLRSEQVYD ILGDDLSQWLQLLQEIRKSRATFDTSEVSRSFGNIKIDYEQVQTRVNAKYDQWQHEIL LRFGSKLGGRMREVHSEIASARRDLEGQTLEAASTAHAVSFITIVQQCKRKAKVWEPE VDLFRQGQATLARQRYQFPSDWLHVENVDGEWAALNELLARKSKIVQDQTEGLRAKIV AEDKVIGDKITEVIAQWNDEKPVSGTIPPDEASRTLSLFQSRLEGLKSEYEMVSKAKE ALDLPAGVESALPAILEEVQDFMSVWAALSTIWKSLNDLRDMLWTSVQPRKLRQSIDG LIKMTKEMPSRMRQYAAFEHIQNVLRQLLKVNPLLSDMKSEAVRERHWHKIYKSLKPG QRFSLVSLTLGDVWDLQLTASESVIRNIIAQAQGEMALEEFLKSVRETWQNYSLDLVN YQNKCRLIRGFDDLFAKCSENLNSLQAMRHSPYFKEFEEEATSWEDKLNRVHVLFDVW IDVQRQWVYLEGVFTGNADIKHLLPLESSRFQNINSEFFAVMKKVYKSPFVLDVLAIN GVQKSLERLAELLNKIQKALGEYLERERVSFPRFYFVGDEDLLEIIGNSNDIFRVAKH FKKMFAGLSGVLMDDDNNIVGFTSKEGEEVRLKKEINLVKTPRINDWLTAIESNMKLT LAELLAEAVEQFEPLYHATEVDQSAFNDFLANYPAQIVVLASQVVWTNAVQKSLEEDG TTLSALYDSQVRVLELLAATVLGDLDAISRKKCEHMITEFVHQRDVISKLIKANATTP THYLWLLQMRYVYQPEGDFLQRLYVHMANAKLNYGFEYLGVPERLVRTPLTDRCFLTL TQALCQRLGGSPYGPAGTGKTESVKALGLQLGRFTLVFCCDDTFDFQAMGRIFLGICQ VGAWGCFDEFNRLEERILSAVSQQIQNIQIGLKNGETDEKAQIELVGRQLSVNPNTGI FITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKELIAEVMLFSQGFKQAKRLSTQTVPF FDRCSTQLSKQAHYDFGLRALKSVLVSSGGLKRVRIASNDGELGPDEIIEPQIIVQSL RETIAPKLVREDVDRMLDIQSDVFAGVEYVPANYSKLTAAIREIAQELHYVDSEMWIT KALQLYQIQTIHHGVMMVGKSGSGKSAAWKILLQALQRIEGVEGVSHIIDSKVMSKEA LYGNLDSTTREWTDGLFTGILRKIVDNLRGEDTKRHWIVFDGDVDPEWVENLNSVLDD NKLLTLPNGERLNLPPNVRIMFEVETLKYATLATVSRCGMVWFNDDTVTPAMMISNYV ESLRTRTFEDLDDDSAPAGQAAIKTQDAQDMVSNVLKHLMQSSDIIMKSLEEAKKHNH IMEFTDIRALNTLFSLLNKACRNVLEYNIQHVDFPLDSEQIESYISKKLLLALVWSFT GDCPLVDRQAFGQFVSALSTTDLPLDGSSSLIDFDVTLPTAEWSSWQSQVPTIEINTH SITQTDVVIPTVDTVRHEDVLYSWLAEHKPLLLCGPPGSGKTMTLFAALRKLPNMEVV GLNFSSATTPDLLIKTFEQYCEYRKTLNGVIMSPNQIGRWLVVFCDEINLPAPDRYGT QRAITFLRQLVEQNGFWRTSDKTWVTLDRIQFVGACNPPTDAGRTPLAERFLRHSPLI MVDYPGEISLTQIYGTFNSAVLKILPLLRGYSESLTKAMVQFYLESQSRFTSKIQPHY VYSPRELTRWVRGVYEAIKPLESLSIEGLVRIWAHEALRLFQDRLVTEEERNWTSDAI RRIALDNFPTIDEEQALKGPILFSNWLSKNYVPVEQERLRDFVKARLKTFCEEEVDVP LVLFNDVLEHALRIDRVFRQPQGHLILIGVSGSGKTTLSRFVAWMNGLKVFQIKVHGK YSSEDFDDDLRIVLRRAGCKGEKICFIMDESNVLDSGFLERMNTLLANAEVPGLFEGD EFSSLMTACKEGAQRQGLLLDTQEELYKWFTQQIVKNLHVVFTMNPPEEGLSSKAATS PALFNRCVLNWMGDWSDQALFQVGSELTQSVDLDKPNFVAPDSIPVAYRDLSLPASHR DAIVNAMVYIHHSLQRFNQRLQKQQGKTTYLTPRHYLDFVAHYVKLFNEKREDLEEQQ RHLNVGLEKLRDTVDKVSDLRASLAQKKTQLEQKDKEANEKLQRMVADQQEAERRKAA SLEVQAALEKQEQEVASRKEVVLNDLARAEPAVLEAKKSVSNIKRQHLTEVRSMGNPP ASVRLALDAVCTLLGHRVDSWKTIQGIVRRDDFIASIVNYNNEEQMTTKLRVRMQNDF LSNEDFTYERVNRASKACGPLVQWVEAQVNYSEILDRVGPLREEVGKLEEKALNTKAE AQAIENTIQDLESSIATYKAEYAALISETQAIKTEMSRVQFKVDRSVRLLDSLASERT RWEEGSRSFETQINTLVGDVLIAAAFLAYAGFYDQQFRKAMVDDWVNQLVQSGISFKP HNPITEYLSNADERLTWQDHSLPVDDLCTENAIVLKRYNRYPLIIDPSGRVTEFLQKE STERKLTVTSFLDDSFVKQLESALRFGNPILIQDAEYLDPILNHVLNKEYQKTGGRVL IQLGKQEIDFSPSFKLFLSTRDPSAAFPPDVCSRTTFVNFTVTQSSLQTQSLNEVLKF ERPDVDARRTDLVKLQGEFKIHLRQLEKRLLQALNESRGNILDDDNVIETLETLKNEA AEISKKMVETEGVMTEVENITHNYSIIARSCSAVFAVLEQLHHVNHFYQFSLQFFVDI FHSVLYHNKRLAQEKDHATRVQIILRNLFITTYQRTSLGLAQKDRITLAMLLAQAAPY PMEKDIIDIILDESTEGADLSTSPELKDPVMSRISNMTLFKSKFPEVPTEQWDQFLSE ELAENYVPAVWEDNTEPINRLLRSLLLVKLCRMDRFVPTAERFVETVFGRELFEGSTD LRDIVDQVTATTPISLSSSPGFDASYKVDALVERMQATCANIAMGSDEGLKSADKAIS NAATAGTWVLIKNVHLAPSWLQSLEKRLDSLKPHKDFRLFLSMESSPKIPVNLIRASR VLMYEQPAGVRANMKDSLSSLSVRAGKPPVEKARVYLLLCFLHAVVQERLRYAPNLGW KGFWEFNDSDYECCAFIIDHWVDSVTQGRSNVAPQKLPWDMIRTLVTETYGGKVDDIG DFQQLESLVNSFFTPVAFEDDYKLVSGVENDECLTLPGTTGIRDFVEWVNRLPEREPP TYLGLPANAEKLLLVGHGNKVISDLSRVTTLLDEGEQLMVDA AOR_1_520094 MDTANLPRNLIIGQSRRRKKIRDIIMGITRPAIRKLARRGGIIR IKSDIYDEARRAIRDRLTEILRQVALILESSNVHSRQRKVVTTRDVIYALNRLGNTLY GF AOR_1_522094 MSSSSLPIAVDPVALVTTECITVTSAMRKHARWAHSSVSAILGG GAASRVYDRDTSAPPSPRNGTSTSRSKSRPSAVDEDHALANRWGLRGKKGKSMQDNPL ISAFSRLRSDLKDCRDIRTFDTPALLHPFLQVIRSSSTSAAITSLALVALTKFFAYNI ISQDSPRLSMAMQLLSAAITHCRFEASDSAADEIVLLRILKLMEGILSRPEGDLLGDE SVCEMMETGLSMCCQVRLSEVLRRSAEMAMVNMCQVIFMRLSHLDVAADLDAPDPAVG DSEPTNLKMDPSVDGNTVTSQHLSAMGADTATPDRERNSGDEPSEPALSGTAVTAPPN PQDDLGDEVKPYSLASIKELFRVLIDLLDPHNRQHTDPMRVMALRIIDVALEVAGPSI TRHPSLATLAQNDLCRHLFQLVRSENMPILTGSLKVAGTLLLTCRSALKLQQELYLSY LVACLHPRVEIPKEPGIDPALYSGVPQAPKLVKPSPSQTNSGRSTPVPVKDRQKLGLE GGSRKPEAREAMVESIGVLARIPSFMVELFINYDCDVDRADLCEDMVGLLSRSAFPDS ATWSTTNVPPLCLDALLGYVQFIFDRLDDEPVHEGFPSIELLRSQRRTKRTIIHGAQK FNEDPKGGIAYLAAQGVVENPDDPTSVAKFLRQTTRISKKVLGEFISKRNNEQLLDAF VDLLDFSGKTVVDGLRDLLGAFRLPGESPLIERIITTFTEKFMQKAQPPEVADKDALF VLTYAIIMLNTELYNPNVKSANRMSCADFSKNLRGVNAGKDFAPEFLQQIYDSIKQNE IILPDEHDNKHAFDFAWRELLLKSTTAGELAIGETNIYDAEMFEATWKPVVATLSYVF MSASDDAVYSRVVTGFDQCAQIAARYGLTEAFDRIVFCLASISTLATDKPPSTSLNTE VQAGKQRVMVSELAVKFGRDFRAQLATVVLFRVLAGNEATVQRSWEYIVRILSNLFIN SLIPPFDTSLNAELEIPPIPLQPPSQVVDRDVRGNEAGLLSAFTSYLSSYAADDPPEP SDEELDNTLCTVDCVTACSINDVLANIKSLPLSTVSTVVDTVLTLLPEESAPAVIVVK SERPSRSANGRLDANKSNYDPGMIYLLELATILALRDQTTLEAVGERLLASLQAFMRD ARNLHPLALSRIIYYLLNLLRLSHDQPFMRVPVILHGISGFDQDILESVALPVIEGLS RCVSHAGLLGNEITISPDFWSILQRLHQHKESAPFVFGLLQTIVNATPPIITGDNYES AVSLANDFVSAGSVGYLEERQRDAHSRRSKGVKPPKSSTENEVVTRGLTAINMIYHLS QRAPALIKQSHLEEDEAWSAYWSPIFHSLTAQCINPCRDIRHNAVSTLQRSLLSVDIN SSNEKEWTAIFEQVLFPLTLRLLKPEVFHSDPLGMGETRVQVATLVCKIFLRYLDQLP NPSGMLDLWLKILDILDRMMNSGQGDSMEEAIPESLKNIILVMADGGYLVPPSQDADK EQIWTETRKRLERFLPDLFKEIFPEMPPAQSTLTPTAPSPDLPMDDTPADEQPKEDQR SSDITEGGDGNDEQKDE AOR_1_524094 MATVTNAPSDLPLEQLTLYQASDPYLSSIFVFYGPVATANATVS SSRIQAHILTPAGFQSYPRITISPAAPLYAAVNHLPREKQGDEVCRGLAVSMLKYFAE LSEPAKECLQAIARAGKAGGNIPKMFDEMHAADLANRMVKVEHKTDILRDIRGAFQER KVPWVDIDVMLPPGTIQPPSRPDNDGLDDDNADFEDTPDLQYGQYTSLIRGLGAPMFL PTSRLKRAPSQPTNVSKSKVFTKSQKQDFRLKMCEFVDTEERYVNKLYTLVRHVAEEY RLKAQGRGPSSTSPDEAALATLFPPCLNEILDVNMGFLEVIRQVLEDTEKEAIEDITV DTELLSSVSQRHSSKEEGDAVGAVAFAHALIEWFPRFSDPYADYMRAHTGFTQTLNSF MRDKQSSFSRRVHDTGEQLLRSLLMEPVQRLPRYSLLIDTMTSSLPLIHPAVRPFLKA RDIIKDICSLDDPSSTNHDQSFRRLKELVDGWPSTILPTGRLITAVDFYELSPPYQLD NPGSDPTAGIMLIYKNCLVLLSKIPGSKTTARGLLAELDNAASAANGPGGSLPSTDIR VVQVYDLHTVRCMQSTCGRILFLSPTSVKSRPNQNTTVDLLALEPASMYEGRASRVIE EIVKAKIEGRFSESERESGKWSLRSPTGTVGNIGILACVFEEEPSAAVNRTGLSKVRV EFDIPKPLRSKLLNSPDLEVIVSVSLSSEDQYRVDIDSVVGIASSDIVTVDSFVPVLS KRLLNLLLPLHGTQNRTMTESIVLSNFDILRYLSGHLIAQLKVPRTFRPPSPSKLLSS LLGGNQSREGTPSVKAPNSATLLGEFPKMPPPRSSVSRSNTLPSVFPGKEEKKEDPVP KISVVGTTSSKGSESPFSVLEQSFAAYVLSLQSRSGNIVGRTLRGRDNVDRAAVNELY NVLLEDPGQIQAAAEVPVDTLFVAFETFMANAWQEQMGPVLESSTLKSLQSQFDTMYP REFEENFRKFIADMSPQNRRALASLVRLLAELLDASGNDGDRGALTAAFAEILTAEGD PMQHISLLDRLVDDFDNLFDEFVPGGASLEGTASTDTSAVSPEQEERPTTAGSSSDVV TPTKQTRPQISHGSGSTQRSTSPTKSGSPTRMTSPSRRSPTRPATPSRKENIDPKLSQ VERGTSLRKKGDASVSPTQDSKRRSRATSVPSSRAPGLKERSLPVNGASVQKPQKLRM QSPQKLRDRLQNEKKAQSAAQLGLKDELFLIGEELRSLKIAPPQQTRHARQDSEHLDE PFSPTNNAALVSRVRHLEMRFDTLSSEFNSRTSAIEKDLESSLVVSEKRAKKLDELYR EASAENEALYDRFNSELSKVAKDVRAGDAEDALKSQLSSALEEIGRLKKENFRLKREV GGLRAQQAAVALLKASE AOR_1_526094 MPPVAIGWGYSAGRDGVICSWDLNLSLKSSSPPTFGASKPAPTT FRNQVQAHSHWINDIVLTKNNSALVSASSDTTVRLWRPHSECTEVSDPIGKHADYVKA LATPGSHASWVASGGLDHKVYLWDLNGGGEVLNIDACGGDSTAKGSVYALGAVSSVIA SGGPESVVRVWDPKSGKLITKFVGHTDNIRDILVNRDGDTIMTASSDQTVKIWSLTAG RCMHTLTMHNDSVWSLYSNHPQLSVFYSSDRSGLVAKTDTRYSADIEQGLCVATLQEH DGVVNVVAAGDYIWTATPKSSINRWNDVDTTADIEPPSSRERETASGTETATTEKSKT ADNRPEKIPYDSVLLLSNTSTFPKARVPETAQPHSNANAQSPSSEIDDDLGLTLPVHT LPDDTIEGQHGLIKYFFLNDRKRTLTQDSAGEVVLWDLLKCVPIQSYGKRHIDDVASE LNTIESIAHWCTIDIRTGRLSVILEPGRCFDAEVYADEAELSDYSQIRDDQRINLGKW ILRWLFAPLIEEELKRDSEYRSAALAKAEEIAKLNLSNTSAPMDIPFADGSRNLATSF DPSISSLRLGYESIGSPSTPGFGIGFANSPGSLATPTLNPNASNNSHLGTSPGEFSDY LTSHPTADMTRSSLSDKSSDYLSSPRTHGLPPLDTDKALPTPGEPTPTALPQSPMEPD KEERKKGSSLFGKKFRMDFPKKLGRTSSEVKPQIQEEKVEESDKSSVKEEKVFENNLG GFIERIRSEYDEHISAHPGQELTPAFAPSQENETPALNIPDRVAVLIQEETGETAVAS DLYRGSVGSIREEIDKLEKSIPLWLADLLLKNQVPFKEPVKIAFTLKPYDDLLPPVVK PEVNVANGNTTNNRLNANRMLRAKKILAYVAERIDPPNPDEPEENAMKPEEYLELYCH KVLIPPNMTLATIRTHIWRSSGDMVLHYKANGKKEIRMPGPGQEDERDNQNAGAGSHP PAEAGGMPQGEGGSFLTGEIASKSMAASSIRRHTATQAISASQSLESFQRVWLGSRLS RPFPRRLGLAVSGGADSMALAYLSKQWEKSRPNDISVTAFVVDHKAREESTREANTVS QWLQDIGVKSEILELTWPESTKSPSKVTAFETHARRLRFQALGKACRDRQIEALLMGH HQDDTVETTLWRLCTGAKGAGLAGIPEVTRIPECHGIYGVSESGSSYTIPSRPQRSSA QARNDTTVSTGGILICRPLLPFPKSSLLATCHENNIPYVSDPTNFDPTLTPRNAIRSL LAEDKLPKALQGPSILSLIKSSQSLLRNSTSLSNTLLTFCKINHLNLPAGTITLTFPS NPINPTSFLNTVPNKAETKGKETQRTHQIKCLTLRRITDLLAPFPENHFPLRSYESFT DLVFPPQDQPVPQKRKPFTLGGVMFQPVNTKGDQDTTSTEADQSVQSGNTWLLSRQPF MRNRLPSLRVEVPVSGLSVGYTSWMLWDNRFWVRFGFTPGQGSCGAGVEVAEDTSKGE VMSLLVRPLQPSDLQVIRRVVDERGGRSEKKKKKMDPALAGLLDRLGQEAPGLTRFTL PMVVIEKGFCGLEYDLPVGLPTLDLWFPGMWESLQMSGRLRWEWMYKMIDNEPVELMG WL AOR_1_528094 MDIPIISIKPFYQPPSRNENRAPESPTIQKTIQALQLQPHPEGG YYCETDRHPLRIPNPYCDDMDNRKTVTETDGEKATRSASTTIYYFITPGSPMGYFHRN RSRTVHTLHRGRGRYVILHADRAKENGGIAPIESFVVGHRIEKGERLQWVVDGGKYKC SYLLPDSDGDLPDDNKSEGLLISETVVPGFEFYDHDFLTAEKMEQLLTTEQVEELKWM VRET AOR_1_530094 MVQSPEAEPLVSLWTRSLLSGAVAGLTVDCSLYPLDTIKTRLQK ARHHAPSAPAASLSLRQTIRGIYAGLPSVLFGSAPSAASFFIVYDGVKRSLLPTSSSE APSRTHIILTHSLASSMGEVAACAVRVPTEVVKQRAQAGLFGGSSLLALKDILALRHS DAARGISGGYGQVIRELYRGAGITIAREIPFTVLQFTMWESMKEAYAKRMRHASKSGS DSSIDQVPASTSAMFGSVAGAIAAGLTTPLDVIKTRVMLARREDGAEGGRVRIKDVVQ DISKEGFGAFWRGIGPRVAWIGIGGAVFLGSYQWAWNSLERKSRSQDE AOR_1_532094 MTSEATGFAHTNNMNSDSLTTQQPVPAPAGQGVSTADQENKSKT QPSQVRFSSTTEEIEPSAATGGPGLTPIETPKQMDDLRSLAASLQKSQLQESRLGSFS YDPVSLPSSRVASRESSDRSTRGANGSGLPSPHASPPVSVMQSPPLTPAATHSRESKT NGTSSMSNATDRGTGANSAAMTPEMSPPIGGSTKSQAPQSAPTSRPGSTDHLAKQSNV AQTSSHPQNGAKHRAQFFIGPDANSQEESPPATPRVDYTPPGAITPVGEPDDPYARSK RPPQPKNLSQLDQRFIFGGRDFKRRAQTSSFGRPSTPRSASASDLKASDRQRSGFFGS KKDSKQQEPEGKHHGHMAELKRFFKMGHHKHKRAESPSSAVKRSSRSSGKSTPYQLAP DSVPFADDHGLNSKYGKLGKVLGSGAGGSVRLLKRNSDGVTFAVKQFRDRHSWETLKE YSKKVTAEFCIGSTLHHGNIIETLDIIQEGNHWYEVMEYAPFDLFAIVMTGKMQKEEV ACAFKQILSGVAYLHGMGLAHRDLKLDNVVVNEHGIMKLIDFGSAVVFRYPFENDIVP ASGIVGSDPYLAPEVYDEKKYDPRPTDIWSLAIIFCCMSLRRFPWKQPRVSDNSYRLF VSSPTPGTPVPDADPKRHRPIKSAPDLSSSARESQSSESKNGVSGPPPEQSKSQDAPT AQPKPESTTQDENRPPESPQEKTPSDNQKNGNIDNKPRRTTSKEAPPLPPGSAPPQGQ RQEVIKGPWRLLRLLPRESRYIIGRMLKVSVKERAILDDVLTDEWIRNIDACRQEVTG ELYRAPGHTHILEPPSPSPAVASKAK AOR_1_534094 MAKKALAKDQIVKLIVGAGQASPSPPVGPALGSKGVKSMDFCKE FNARTAHINTGVPVPARVTVRPDRSFTFDLRTPTTTWLLLQAANVEPRKNRIRGAMNP GHEIVGKVSLKHVYEIAQIKHSETRLSGLSLQGLCKSVIAQAKSIGIQVVP AOR_1_536094 MNWLKSTLASVAGTQEPIYGPEAIRSVAQQAQEVPYTVLSKEDL RWRAYQYTNVETKTFYIMADNGTLVFVQIIYSNIVGIHTTAQFNAKIFNLTGDAPHKW YSDPLYNFMFDESMLSFGADNLSLTLNEEGDAYTLKSAVNEDCLVNITFNRSSPGFVI GKDGTSYFGTDAQNPWGSMSHAFWPRCGVEGTITTKEQTYDLKGRAMFIHGLQGMKPH HAAARWNFVNFQTPTYTAVMMEFTTPPSYGSTVVNVGGIVKDGEIIYAGVTNSATHTE AAQDKDSDWPEPKSIKWVWDGKSKDDKTVHAELDGALGRRLDRIDVMAEVPGFIKTIA GSVAGTRPYIFQFAPQEKLTLKLKVGDEEVSEEGVMFSESTFIS AOR_1_538094 MFVRQLLGLLAVGTGLTTAVNLTGYEYVVVGSGAGGGPLAARLA LAGHKTLLIEAGDDQGLNLNYSIPAYSAKASEDEEMSWNFFVRHYADEERQARDYKTS YETPDGEIYTGLNPPEGSTMKGTLYPRTGTLGGCTAHNALIAIYPHQSDFEYIATLTG DGSWSPDNMRKYFAKLENNNYLLPGQKGHGYDGWLHTETAPLSLVLEDPQLLSLLLGG AFALGNHTNTIFNVGTLLAGDANADKKTRDTKPGYYQIPISTNDAHRNGPREFILAVR DAKNDDGSKKYPLDVRTNCFVTKVTFDESENPPRATGVEFLDGQHLYRASPLANDYSK GTPGTAQASREVIVAGGVYNSPQLLKLSGVGPAEELQKFGIKVISDLPGVGTNLQDHY EITVQGHVPKDWAVLDGCTFSENGEADPCIDRWETPTPILKDRGIYSSSGLAATMFYK SSVTADDSYDVFVFGGPVNFRGYFPNYSINATSEHDWFTWAILKGHPRNSAGSVTLRS ADPLDMPDIVFNYFDTGVGDYDADLQALYEAVELSREAFDRQLVEVTEVLPGADVKTK EDIQQYAKDTAWGHHASCTCPIGADDDPMAVLDAKFRVRGVSGLRVVDASVYPKIPGT FTAVSTYIVAEKAADEILSELN AOR_1_540094 MTLRVAEKTSKTIKSESPLADGFNPTPGNSWGVEISNRASETDK LRQKVEQMNEKLDKLLKQNETYHATSEFGGTGQDDTYNKSGWPADPNDEYLGQAVEDD GCQDAYCEHSWTEEDEMPELENVNGDSGLGHEWTAEDSWGLGRAHDDSGLGDEVALTE TNVEADELRDQVKCLQARLADLLAENTQLTMKVKELVGERDDLIPSRNCFLSLYKCQK LQRALTLDECEHLVHASTKVASGDVMADSELYISGERKDYDVFVDLYGVDPHAVPSVL KDQHTIELVNAHATILASKHKTYTSKFEERFARFITNLRRTGYPEDYLIGFMDDVGIL ELQASYTAFRNALLTEVSDALKAEVMNDPVNSW AOR_1_542094 MPGTLLPPRSHRQHAQMDRTSASGPDLYLIADQDTVYEQDLLRD TGSIKPWLAYIEYKQQHGTLYEQAFVMERACKQLPRSYKLWKMYLEFRTKHLKGRNPT IYRPEYQKVNALFERALILLNKMPRIWELYLSFLLHQPLVTQTRRTFDRALRALPITQ HNRIWKLYKAFARSASGQTAVKIWARYMQIHPENAEEYIELLVEMGQYTEAVKRYMEI LDNPRFQSKEGKSHFQLWTEMVDLLVSKAKQIETGPHVGIDVDAILRSGIDRFADQRG KLWAGLATYWITKGSFEKARDTFEEGITTVMTVRDFTLIFDSYVEFEESIIGNLMEAA AVRADKGQSDEEADFDLDLRMLRFEQLMDRRPFLVNDVLLRQNPNNVIEWEKRVALWG DNKQEIVNTYTAAIAAISPKKAHGKFSELWVNYAKFYESGGDLDTARVIFEKAVKVPF KSVAELAETWCEWAEMELRSENFDKAVEVMAKATQAPKKSTVDYFDENLSPQQRVHKS WKLWSFYVDLVESVASLEETKKVYERIFELRIATPQTVVNYANLLEEHKYFEDSFKVY ERGLDLFSYPVAFELWNLYLTKAVDRKIGIERLRDLFEQALDGCPPKFAKPLYLMYGN LEEERGLARHAMRIYERATRAVSDEDRFEMFEFYITKSASNFGLPSTRPIYERAIAAL PDQEAKEMCLKFAEMERRLGEIDRARAIYGHASQFCDPRTNAPFWQKWEAFEVQHGNE DTFKEMLRIKRSVQAQYNTDVNFIASQAIARSQQRAQDGDEKGAEEGDVDGSTADAMA ALERQARAPVGFVAASTGPEGGNRPPPPGQEQPAAPANPDAIDLDEDMDAE AOR_1_544094 MSGAVGREAVFPTRQSLGLMKSKLKGAETGHSLLKRKSEALTKR FREITRRIDEAKQKMGRVMQIAAFSLAEVSYAVGGDIGYQIQESAKQARFRVRAKQDN VSGVLLPHFESYTEEGINDFGLTGLGKGGQQVQRSRETYARAVETLVELASLQTAFVI LDEVIKVVNRRVNAIEHVIIPRTENTIKYIMSELDEVDREEFYRLKKVSNKKQRDIAA ADAEMEARRAAAQEKEGQKALEPEKEAPDAPDVLGEQEDADVIF AOR_1_546094 MASMTAPANSIPPNSTVYVRNLEERVKVDQLKEALEEIFSEYGN VIDIVAKTNLKAKGQAFIVFDSVESASNAIDEINGFELFDKPMVLDFAKTRSDATVLR EGGEDELEAHKRRRLAEKERKQAHEALEAQKKLKRPPGAPDSTRPAKTAKGAGLKPTS GATAAVIPDEYLPPNKILFLRDLPDTADQESLTAVFGRFEGFQEVRLVPGRKGIAFVE YENESGAISAKEATSGMPMGESGKPIRVTYQRQ AOR_1_1268094 MRILIPTIIDICPQNIQRSWRNFIMDAIEDKEVKLQRASGDLVT EFSEKLPSLLWKPRTEKGHARVPRRWTQAAKTERLVGLLEPFQEWPQLLDPHLQTLLP PLVDAFLAYLLKHRDQYKSDKPQQQQALYPLPRAICRLLYTFCKVRGVKVISRFLNNE PKYLDPLLRAFIEWDTVCQDDSEMGLSEDIPRRLVWEERYVMLIWLSHLLLAPFDLAS MSSDDIPVPYENLGQLRPLPAEVPTVSRSLLSVSLNYVNASGKEREAATVVLARLVLR RDMQAVGLLTNVTDWAFSIVQPTGNSEPPSVYTCIGVLSFLARLGASGQVEDFAPLIV PVFEKTLQTAQGNSEISQLIQTSALARKIIIKILRTMTIMALKLSERANSPLSDDKVS SILEDSIDHFLVALADKDTPVRFAASKAISIITLKLDLDMGTEVIEAVTGSLEENILY ENSDGTIITPFEARRIGMNNTKRNLSAVDAQRWQGLILTLGHLLFRRAPPTNQLLNIL QPLVSGLDFEQRSSTGSSVGTGVRDASCFGIWALSRKYTTQELLALDAQTISTSTSQK ESSILQMLATELICAACVDPSGNIRRGASAALQELIGRHPNTIVEGIPLVQVVDYHAV ARRSRAMIDVAKSTVDLSHQYWSPLVESLMHWRGIGSPDAESRRQAANAIGVLSTQES YKTMKTILQRLLKKLSSLPRGDIETRHGCFLALAATVNAFNSYQETPSENKDSCEALE VTAQIQQLWDIFNSPLGPTKEDLTLQVRRPELSAEASSCLVYSLSRTASLTKNSPHSQ PQINLLDKARETLLLCISRSEDIVIETSSDAISELFPLLPSSKQEETINGWFSYIHST WKLPTGRGQISVLGAIFKQVRPEDDLRQSIIKELLQCAGKEELIEKRVVAVKSLANGV LPHIDTTDAISNHLIEFLNDYTTDRRGDIGSLIRLEAIQAATVVLQKESGSATRNPRV QSIVGCLCRLAAEKLDKVRLQAWICLQGFWKSTDDFPPLQRQYEHFSHVSLPDYFLQL FELQAIDWLRLPLFQGIATSAVAGAEGLVRSSRLALVQRINKYEAEQRQDIVTSIIKD LMVALSDNLQDDRYAIPVLEFLAFLLDSYVSSIPQPSESSYRKLFILVQKAHFKSSNI ARLEAAIKVYAPLSRLEPLRADVLKKMTSMLLHPFPRVRNAVAEYLFMETMLESVKAE DWTRQPKELKSQVEDVRKELTCK AOR_1_550094 MAHCLAGLVCDPVEETKNNNVNVHAWHQAKSLLEAGLSIFAPTS DILTVTHDNKRSFSEPTGNLSSPLPEYSENTTVDSEKAIASKQLVVRKRSHSPNVVLT TPQIKEEIFDDSDFRVSQTRHSTVVFSLSVEKARRWADAIDIPEGLYNEEEKDLFFRL AMRGFEPLIPEQWRSDFPTLPYTLFSDAEGDSRPVIHTFKSSKTYAIRSLAALFSLGG RVRDCRILKKGPEILIKTTISQYFRWALRDTDIHINRDAIPVHVIYAKKKGETTLDAV KKLNLHLQLLASRHREALNDGYSAPSRFYPLLIGFIICGPIIAILTLGTDLSSTTDDT DSKYICQFDLEDAGHDVWNSLAVAITVMKIRETMMQLVDIGSAGFVRHPLDTESTPDV DS AOR_1_552094 MDGDDLIASVYRKIEREKALITAASNMRQSTNNPLVQQRVDANI RDGRKNIAYLEEKMRELQLRRDGESPTDKRLPPEPGAGPAPPPKDYGTGYDEYDASGA YPQGGSGSMPTGAPFADPRPFAPVPKARPNFTKLDLIKYDTPYLGPKIQLMLSQLEFK LSVEKQYKAGIEKMVRLYQDEGDRKSRADAEGRRIESNQKIQLLKQALKRYEDLHVDI ESTDDPDDESLNTPNMRKPLTGLLTLRIQAVTDVDHATSSRFSRGPETFVIIKVEDTI KARTKATRTDRWQDETFTIDIDKANEIELTVYDKSGDRPTPIGMLWVRISDIAEEMRR KKLESELNASGWVSADKMEHGGSSGRPDTAGQPGSPHAPGSAGHSGPTAQGYAGAPGG APSLNSVMIESWFALEPVGRIHLSMSFAKQLKDRRPFDIGLNRQGAVRQKKEEVHEMQ GHKFVTQQFYNIMRCALCGDFLKYAAGMQCADCKYTCHRKCYPKVVTKCISKANYETD PDEEKINHRIPHRFEGFSNLSANWCCHCGYLLPFGRKNAKRCSECGLTCHAHCTHLVP DFCGMSMEAANQILETLIHARNHNKSLSVSSGLSGRTLRPGGPPQTPQDPALAYPQKP VESPYGLPQREPSAEAVSAATNSYIPPQSPTAAQRQQMPPRTSSAGPAAAAAAAATGM RTPQQIPTGPVQTLPPSHAHYDPAAYVSYQQSMAPPPQQQMPQKVASPYGVPPQQQPV PVMQQQVAMKEDAPPQQPKVRIGLDHFNFLAVLGKGNFGKVMLAETKSTKKLYAIKVL KKEFIIENDEVESTKSEKRVFLVANKERHPFLLNLHACFQTETRVYFVMEYISGGDLM LHIQRGQFGLKRAQFYASEVLLALKYFHENGVIYRDLKLDNILLTLDGHIKIADYGLC KENMWYGATTSTFCGTPEFMAPEIILDKKYGRAVDWWAFGVLIYQMLLQQSPFRGEDE DEIYDAILADEPLYPIHMPRDSVSILQKLLTREPELRLGSGPTDAQEVMSHAFFRNIN WDDIYHKRVPPPFLPTITSPTDTSNFDQEFTSVTPVLTPVQSVLSQAMQEEFRGFSYT ADFA AOR_1_554094 MSTSAFGALLQLESSPPIANPAAAVHRDKHGLANASFDLELNEV RHGSSPTSKTTKANSGIATPLTPTGVENHSPAPDVYESAYPNANQASSSKTKWRLLSA CLMNLGGGLNDSAPGALIPYIEEDYNIGYAVVSLIFVTNALGFILAAPFTHALEAKLG RPKAYALSMAVLAAGYVIIVCKPPFPAIVASFFLLGFGLALQLALNNVFCANLVNSTT ALGFLHGSYGIGGIIGPLIATALASHGVQWSFFYCISLALALFNAALAAWTFRGYEKE LPVQLLATFQQSTSQQDHDHGVASKTQSLKQAVKNRTTLLGALFIFAYQGAEVSISGW VVSFLISSRDGNPSQVGYVSAGFWAGITLGRFALSHPAHMIGEKLSVVLLVIGSVAFQ VMTWLIPNVIGDAVAVSIVGLLLGPVYPCATAVFSKLLPRSMQMSSLSFISALGSSGG AVSPFFTGLLAQNVGTFVLHPICIGLYGVMLTGWACHPRISKRSE AOR_1_558094 MAPPRRKVLATAEETMTPPDELQQGQQIARVIKATGNNLYVVEL PSKDTVLVELPSRFRSRIWMKRNSYVVVDTNALEDRDNKLAGEIINIVRDEKVWRKAP FWPKEFVKQSTVVASDSEDEEESNVGKMPPSDDSDA AOR_1_556094 MELKHFIHELCLNPRHTKWIAPLLVIGDAFLCALIIWKIPYTEI DWTTYMQQIALYISGERDYTLIKGSTGPLVYPAAHVYSYMALYHLTDEGRDILFGQIL FAVLYLVTLAVVMVCYRQSGAPPYLFPLLVLSKRLHSVFVLRLFNDGLAVCAMWIAIL LFQNKKWTAGVTAWTVGVGIKMTLLLLAPAIAVVTVLSLSLVPSIRLGILALLIQVLL AIPFLQGNPIGYVARAFELTRQFMFKWTVNWRFVGEDLFLSKQFSLALLGLHIFLLGL FVTTGWLRPSGSNVPDFLRSLLQGRQRTVVLSKSFIMTVMLTSLAIGLLCARSLHYQF FAYLSWATPCLLWRARLHPILIYAIWALQEWAWNVYPSTNASSSVVVFSLAVQVFGVL LNSRNALSDAPPRRKGKEHIQ AOR_1_560094 MANHSVRILRRSPSSGRPLTPFFGLPRPTLYSFRFPHYHWRGHV SLLGGSLIVSLIGLNFPSQEGNALAQTQQTPDEVSTFLQLEEEAERLAKAGRECPVPK PGGVLGELLGFSKGVNEN AOR_1_562094 MSDLDRAIAQLRACRLIPETQVRELCYKARELLIEEGNVVCVDA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRITLIRGNHESRQITTVYGFYDECIRKYGSANVWRYCCEVFDYLALGALVLGTILCV HGGLSPLIDTVDKIRLIDRKQEVPHEGAMCDLLWSDPDEIDGWGLSPRGAGFLFGGDI VKQFNYRNDLSLIARAHQLVMEGYKEMFDGGIVTVWSAPNYCYRCGNVASILELGEDT SNGVRSPGRRYRVFEAAAQDTRGMPAKKPVADYFLFNSDTTDA AOR_1_564094 MDSDDDYNGPADTNPRLEDEESDLDEKYPNRPRNHSTTLPFHVL FQTLFYPLSEIKKKPAGPARKKVGPHGLSSVNLTPLEKRRDIIDRFISRWRKEVGDDI YPAFRLILPDKDRDRAMYGMKEKAIGKLLIRIMKIDKNSEDALNLLNWKLPGQTTTSS MAGDFAGRCFGVLSKRPMRTEVGDMTIEEVNEKLDHLSAASKENQQLPILTEFYRRMN PEELMWLIRIILRQMKVGATERTFFDVFHPDAENLYSISSSLRRVCWELHDPNIRLEA EDRGITLMQCFQPQLAQFQMHSLDRMISRMRLTEDDPVFWIEEKLDGERMQLHMDSND SVPGGRTFRFWSRKAKDYTYLYGNGIQDENGALTRYLSDAFADGVESLILDGEMITWD TEQDAIAPFGTLKTAALSEQRNPYSSTTRPLFRIFDILYLNGRDLTRYTLRDRRNALQ KSIKPVYRRFEIHPYEEATGKTEIEEALRRVVEEASEGLVLKNPRSPYRLNERHDDWM KVKPEYMTEFGESLDVVVIGGYYGSGHRGGGLSSFLCGLRVDDAHSSQGMVASKCYSF CKVGGGFTAADYANIRHHTDGKWHEWKSRKPPTTYIELAGGDAQYERPDMWIKPEDSV VLCVKAASVAVSDQFRIGLTLRFPRFKKLRMDKDWKSALSVQEFLDLKANAERERKEK EFNVDNSRKKRAKRDNKKPLAIVGYSAEAEAQYTGPSGNIFEGLNFYITTDSNTPVKK SKAELEQLVKANGGKFFQTSNAAPSTICIADRRTVKAASLQKSGNVNIIRPSWILDCI RQSEIDAGLPDSLLPLEPRHVFFATQDKKEEIAASVDRFNDSYARNTTNDELKEILKQ MSKDHHFHASQNPKIVRKLNERIQEKVNAGWEMPSGWLFKGLTILFPQNDKVDDAEVD ETSQTHQQYRLNLARNTVRFAGANVVDSKSSSVTHIVVAPGSSSSDVSSIRKSHSAKP GKKVPHLVTAEWIEECWKQRTLLGEEGVYDPNSDHRRKGVYKKDTDTLRTKNATLLTL IQAILNYEEEDAFDLVRQIRSCDNLEDVAQSIMGQERGSTSTSRDPVPNGDYDPTQAD QFESELAGKMSGLMLDGSRKFIGGTSNLIFLPPGSELYEYNADLEGQSVSHTHDRSIT QWTRVTADAQLISHLMTMYFTWHYPFFTILSKDLFYRDYMHNVSGQYCSSLLVNAMLA LGCHFSSWDGAREDPQNPATAGDHFFKEAKRLVLENDEHANAKLCTVQALALMSVREA GCGREGKGWVYSGMSFRMALDLGLNFDSTSLGARNLDEEEVDARRITFWGCFIIDKCW SNYLGRLPQLAATSVSVPKIDILPNEEAELWSSYTDAGASIENTQPSRTRTVALQICK LCEISGDLLVSFYDPTPRDKPLSKQVELKRLSEIHTRLEAWKKDLPKELEPKERLLPQ ALLMHMFYQLLFIHLYRPFLKYTKSNSPLPQHVSPRKLCTQAASAISKLLRIYKRNYG FKQICNVAVYMAHTACTIHLLNLPEKNAQRDVVHCLRHLEEMGESWLCARRTLRILDI SASKWQVELPPEAVMVLEQTHLKWGSWGSWDQAASPSTSEQSPPSVAAQPPATISNSL PSPGQYTSTHEPGDPSIQGPPNALGSLGTQYATGMPIPTSLSAMRAAQRYSSAQLPRT DAQLPEPTYLRPVSHAYGSVHSVPLSQHDSWYDPKDAQGRSLGTGQDISSTSSASPIT GFGTPENLVEESQDWWFRDANAFNPGYENWKEGWNPAMTGMAPDFRYIGQASGPDNTS RPQPVRYSVGVPPSITQSDENPAIPRYDEAVFPRNYQP AOR_1_566094 MMVPRAFLFSSVPPLHNGKKSQLSKSEIDFIAVQDVPGLELHDK ESPHTSPVIIPPKRGPRVTPVQRDSVRKGPKTPRCAKTVHRTSSAASGDRPIPSSIAS ILEATAIPVPRRKRNAREPRRIPQVNHVQDFSKLLLEGVKSRDDSLTEGTGNTMLDIL LSPPEDNDRFASGSNCDSDSEAPSLSAHSLSIESVPSLDAEFESPFGSPIPSTPSSQR SPCERRYLRLSQYESCASDHPLLEEDELSDTEWEPVQQPAFLDSTPTKSSPSRSFPRL GSTFKSNLTASLRAIKSAAQTVSTFATPSVQPDDFLTRSLLTITPKMTDDRRPPPMNE PPSPALRRYFNPVTVSPAEIYAYQDHPHENLDTNNCPVSVQMQTYHRSGKRGSRKSRF HLSGSKGRGRYSPFDPEVPPMSRQREPRENSDFLRMVVMEMNMRRSGKLRDDIPTRAR VWLPPRKGNQARNSPYDYYEDEAEHAIPSRWVGISADSM AOR_1_568094 MSPENSDAGPAKARKQSSRNQDSVDGSPQEQVSRHARSPSAANT DITLALNGGKPSSQIPGYQLGQFQIQMSGQSSSKTTSSSREHLSEHLNDPQDSRQASE PENLDLSNNSGVIDITRFPFDSYAGVNLQQLYPQMPTSDIKATLSGDQGARLNHQVQP EVPGSNINMGMVVAHNQQLQLGFTQPYLDPAMASTINWLSNDLLLNTTGERPTGDRPP PHSSQGGTIDSSLGQSSWLPPVISAEQTSPSLPEHIYQTPSGNTSLGTDVDSPRHFPR DAAQSSTPQSRPCNASQRSADSYIDGGGARLPKYRRKQDPGLRPASIVDVRFQLCPTG GPSKFSFPTIQGLREEISSEEVASKFQIEPAMYDNIYQGFIQLCCTENFLYSKFENKN FPPREALSHFIGLYFDSFQTVYPILHGPTFNPNSCHWLLTMAVSAIGSYAAGIDEQDN CSAAFSEFLRRAIHTEKEKCRPERKPLWLMQAIMLNCVGLLHGSNEHSRDFALDSIGE LVNLSTREGLLCASTQSRLSSMDTSHDTRWAIWIEDETRKRTGYFIWLLDCMLAYHFE SRLLLSLDDGQAPLPSGESLWRASSADAWARLYEKTTGREEVSLYNAVLTLYIEKKLV ANIGEFGHILLIHALYHRMWEVGDYFRRPLSFWNPTAKKQPREAAIPSGSVWLPGIPS YSRWRNSACDCLDILHWAANSTIAKASGLEHPTVLHLHEARIILLVPFHEIKTLVTSL ATEKVQWSARQQTIEWHYILRWIKHDQYKARLAVIHAGASLWHVRRYSTDAFHEPVAV FLAILTLWAYGMCHSQVFPDIKSRGGPDENLPSEPTFFHIDRPCDDELVQIFVRGGQG MKGNVTGVGDICAPEGPERILQVGCETLAGLTSWGISKRFIAILTRLGDLMSCDK AOR_1_570094 MANSTPAPNQRVAPHSSPGHVHNVVTALQGATTAFRTSASRSSS PAVAHRTGGAADVNRHDLGPGPHPDVEAEEPPEVGSVKDKIGRYTAHSHNALESVRKS PATFRPESPQQIAARFAAEHLPVHRKNAATTTGRDVIRGTNGPRPKNYNSVQDVKASS PSSIESVATNINSIGRRSRCDEAGPTRDLSIRRKPTIQPPNTSLASTQQVVEKPRPVP PAPRKSRPVTGGPGSAEPATRSGQPRELKGSLEPPNSCSIGLSNDLSTSSNEKAPGLP PRPGGSPTANGGLSNHRAILAKNDGPRRPLSPSASSIYDRRRNNSTPSLLDDSASLSE GALSDAIVASSLASSRAPPTRKGPPPPPPQRQARSRSILRLHNNGRELSQSRSPSSPL RHTLRNPAKSDDEDDSHHRHRKHVIRKHPHKHHEGDRKRWRSELTEKERKRYEGVWAA NKGLLVPTREEVDMQCSGHDPLRDIWPSDASEMVMNIVVRDIWSRSRLRSFVLEQIWD LVDTQKIGLLTREEFVVGMWLIDQQLKGHKLPVKVPDSVWASVKRVPGISLRDIDFHS AOR_1_572094 MVLENFQKFHDNGTTTVTQSKVARPTVVRKKFAKPPVKVACLSC RASRTRCDGQDPCSNCLGKRRKCSYLPSKRGGPRKKKRASISPEGTVPQDDAHNSTIH PATSGFDESTGMFGQIDGLSVPGAGLRSLDFPSDVQSMFADLFIPHDAGHPPAQMEPT PSPSNISTQSLVRTYGSEHDILNAYYDFIHHYFPILPPRAAPAIPDRPLDAVGSYSES PTEEPLLLYRPRSPLSLALSAVLALIPHPNDLEPSSPASVIRRRTYAHTFSQLANSSI EADCELHASSTDPSLALSSERPLINRESFHPHAPVELESILALLVLSVYEYTQRGNLL KMRYRAGQALAIALDMSLHSLGEEYDEFAEARRRAWWMTYYCVLQGSIVSTTPLAIVA NDPQFVTPYPRFAADPDGWAVLMQAQQVLVSATQFVIDLNKCISTRTNMPYIYERMQQ LDTWASSALAQSNLLPIVPPSMNCGDGMEFTTAQSIRSISRIKLSSAQIKTHRFRAFS DIPIFIKKHCDLTAANSNNSAACNSAKDSRVQNGITDIRCSCGGLEPFQQSSSEYTPS TASSTSSDYQSISQYSFTSGFPFSIQHSAKVCLRAALLISRMFPSLPIPQPIINEPKS PQGMTLQPPNQLPRTMPSFACCLMQGSYAMLMIFYKARVEKQLSPDYGNKTTPSDRLI EELRQGLERIIATVTNYAAAFEALDGMRDEIEGAYQTAFPQL AOR_1_574094 MAPTGSRKRVKSIPSVADSQSSNDGTTLPSKDRGRSGSLKQRGS GNWHPGAWPVSKSSKAAPVTEVARESISVAQNVASSLTSSPVSQLASPKHPRNPSLQL TRKVGASTRSLPANATTTRINIASDGTASSPAIEAQPNPTASATESTNKESSNDEGAG TGQTIDKDQSDVTTETNAGSGEVEASQEQTPSQPSGWFAWVWAPSRTEPPPDNPREDS APAPVDQTAENQTSKEEDIAPANQDQADETKPPVTAEIDDTVQKRSWLQMWYGSSSSK GLEEPHRENPPATDESNPPADIPPTSNENVDEPTADSSAGAPSSTDVPTKTAKPSGWS FWFRETSQDTSQVKSQEVQSVEASTTQDSIIKKPKDDPESEPEQKAELSKKGTLKIKS PKSSSALPEQIVSGLESSSASPAVNTSEATASKHLQKILPNQVLPRFRDTFALQEKPS LFQTIGRFLHYNKEPDNRHVYMIKDPPPIKRALAIGIHGYFPAPLIRTVLGQPTGTSI RFSNMAADALQTWADDHAYTCDIEKIALEGEGRIAERVDLLWKLLLNWMEKIRRADFI LIACHSQGVPVAIMLVAKLISFGCLNAARVGVCAMAGVNMGPFSDYRSRWISGSAGEL FEFALPHSQVSKDYEAALKTSLNFGVRISYIGSIDDQLVSLESSLFSPVAHPYVYRAV FVDGRVHAPSFLSHLVGFALKLRNLGLPDHGLIRELSSPLAGSLYSGEGHSRLYDDEA VYRLAIEFALETSNVQDATLNIKRSPPASSVNPYILPFAMRGLLEEEYVRRELYEETM ELLRQFDDWKPTSKVLKDVKFRLEGIRSKL AOR_1_576094 MAIQKKHGKGRLDKWYRLAKEKGYRARAAFKLIQLNKKYGFLEK SKVVLDLCAAPGSWCQVAAECMPAQSIIIGVDLAPIKPIPRVITFQSDITTEKCRTTI RQHLKHWKADTVLHDGAPNVGTAWVQDAFSQAELVLQSMKLATEFLVEGGTFVTKVFR SKDYNALLWVFKQLFTSVEATKPPSSRNVSAEIFVVCRGFKAPKRLDPKFLDPKHVFA ELADPTPNNEARVFDPEKKKRKRDGYEEGDWTQFKEIPVTEFINTTDPIAILGQYNKL SFQQPLNGDIALSTLNRLEETTDEIRKCCEDLKVLGKKEFRNLLRWRLKVREKFGLVV KKGGQAKKDEPEEVAEIAPMDEELAIQEELQRLREKESSKAKKERRKENEKKRKEIVR MQMHMTTPMDIGMEQIGPLGDDAPFSLKRVEREGARDTIAAGKEVTVESESEDSESDV DAESDDEGDLLERELDSLYEQYQERKEDKDTKLRAKKARKDFEADEWEGFSESGNEES DNEDSEFGAANATSVAPPKNGTLSNNAALFFDQDIFQGLEDIDDVEDEDEDEDSVIEM DEDDNDYTESKNSVAKKQKAKDAKAVTQMVLDSSDEEPEELDEPRKENGQLDIDIITA EAMALAQQMASGEKKSHDVADDGFNRYAFRDVDGLPEWFLDDETKHSKPNRPITKAAA AAIKEKLRAINARPIKKVMEAKGRKKFKAAQKLEKLRKKSALLADDEALSERDKSQAI SKLMSKATKKKPKQQVKLVVAKGNNRGISGRPRGVKGKYKIVDSRMKKDVRAQKRLAK KKQK AOR_1_578094 MGFFDHLQKGGGFSLQPKKPQIRKVVQARPAPPSRASSLTPGTS SRASPSDKPKKTQGSGSRSVSKDPDLTSSKRRLNAPSQNRKRQTPEQRLSSDDDSSDT DTSFEVRKRARTGDSAEPDFERRLRSLKAFSEGGVKSLPIVHAADITSVQKVGCFKPA FGGTGRPTEIFLQYPSASPKERYNLVVPRDKDDFRPIDDIFQVIETVSQNYIPEDEAD YFDNESTGIKRRLRRALAHASEAEFRNVVTDYNEAIERLRRDGSIAQKLDSTHRLNLP LVERILTQIYSRTVSPRVESLRQYENGTDNVYGELLPRFISTIFKETGLKSGQVFVDL GSGVGNVVLQAALEIGCESWGCEMMQNACELAELQQTEFRARCRLWGIAPGKTHLVRG DFLKEQSIIDVLKRADVILINNQAFTPQLNNELINHFLDMKEGCQIVSLKSFVPAAHK IQSRNLNSPINLLKVKQREYWSNSVSWTDVGGTYFIATKDSSRLRAFAESMG AOR_1_580094 MAHWREEYSAALAARDRREKANVAILNAYTQLADRTGRIATAVK SAQSSTPTESHNEIPQSLVPDHKKQAVVSSELSLQDALAAARTDLSEAQRSRSELQDR LTRTTAELEKLRKRSSQDSRRIGVLEKEAAQLQLRLKDRDEELKGKAKLLDDFQDELA SLNLQLNMAEERSNRLQKENQELVDRWMARMGKEAEAMNKASRFS AOR_1_582094 MSSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSASYKATIGAD FLTKEVLVDDRLVTMQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFEALDSW RDEFLIQASPRDPENFPFVVIGNKIDVEESKRMISSKRAMTFCQSKGNIPYFETSAKE AVNVEQAFEVIARSALAQEEAEEFSGEFSDPINIHLDSERDGCAC AOR_1_584094 MDTFTRLSTGVARRTLGIGLLLIVVVLWTASNFLASTIFADDTY SKPFFVTYVNTSLFMLPLFTIIFGRTWRLWRSGKLSQIHSFQSFLWHIDSHDPDAETT GRDNAYEPADPETWNTAMLDSRGKEEESVKLGLRATAKLSLQFCMLWFLANYFAMACL QYTTVGSTTILTSTSGVWTLIFGALIGVEKFTVRKLAGVVASLVGIILISRVDLSASE APPADDGSGGRFPNKSSTEIALGDAMAGFSAVMYGVYTIVLKKQVGDESRVNMQLFFG LVGLINMLLLWPGFIIMHFTGIETFALPDTGTVWTIILVNSVSSLLSDICWAYAMLLT TPLVVTVGLSLTIPLSLVGQIFLQGVTSSALYWVGAAIVFLSFLVVNHESKEKSNEET SVGDYDAVPGEETER AOR_1_586094 MMHQPLTSSCPSTSEGHVKAPAIHFPDGTVKQSTLLRSPTVHRN GHVNLDTFSPVNENGSFEFDRVLKTGKVHRRVKHKHAFRASWKQAYLVLRPNLLSVYK DEEATRLRLSITLSEVTAIAPVKSPRSNRQHVFGIFSPSKNYRFQALSEKDAQDWIER IRAETRMDEEEEAFLALSMKGNNTATSKQQIDDTTDFSDVEHIARASSPEFGRASSPG GRLTAHQNFSGHDITSYSEWSDGPASNSSVRPVSRTSTIPNLSVSTPASAQPASARDT DRTQESGARRDPERVICNGYLQCLRIKGGVRQWKRLWVVLRPKSLGFYKDEQEYSAVK ILPMDQVFDAAEIDPISRSKLYCLQVIAEEKSYRLCTDNEESLAKWLGSLKSVLVARK KLESETVPGAAAATAAAAAAA AOR_1_588094 MGLTQRISKWLPSSPSLPVDDVSREKGRNISRFAFFKRRIRLKG NSSISIPLGFVLLFPCLVIVLVLLLFVRHPSSPGGILIPAGTPPSIRKISEKHDKVFA SGCLQVEKEVEGKRANAAFVVLARNKELDGVIQSLKSIERHFNRWFHYPYVFLNDGDF DDTFKDTVKNYTSAPVEFGKIDDTMWGYPKWVDHEVAKEGIRKQGDAAIMYGGMESYH HMCRFYSGFFYKHPLLMKYEWYWRLEPEISYFCDITYDPFVKMAEANKTYGFTIAVKE LRETVPNIFRYASAYKRKHNLESKGLWEMFLERPPEEEPKPEEGKQDKLPEEILQNEP GENTVPDVDPEAMEGEKYNMCHFWSNFEIARLDWFRSKEYEEFFEMMDRSGGFWMERW GDAPIHSLAAGVLLSPSDIHYFRDFGYRHTTIQHCPANAPARQLPRIPWLEMTTEDEK ARFEEDEYWANADPVKENGVGCRCRCDTDIVDVEGKQGSCLAEWVEVAGGWASP AOR_1_590094 MTSSHLADYTPRPLSESWATLSASDVHSEDGSRSEQTDVGSLID QAGPDDVASIDEQYSGSEVDGNEDDDYDSKSNVFGSQELPALFPQIRGSIDDSNITTK TAFRQSTESIEFAEPEKWPEVEQVELKHTIRMFEGIEADELKSQFSINLRDSILTATV QQTMTKKSLDTDKPFRVLYIGDPEFRNIILDKIGDVLVSSTCSDFESSSTESSRYHVV PTSFGTGAVPNFAELLPIHVQLIVDELDFKNRPSCMSFWTGGEYCVSSPTEWTLPDVA ILFISSRDSATVMETQKLARIFMERHGIPAMVISEKPLWDLAEVIPLNHHSLHMCLES RHSLTGKVTVLRRYPIDLATFESITPGQLNRNLASLVSISTKKSDNITLETTGNFQRK SLFAVEKWTTKMPFIPYLNDDRGLTPMLRLITLTVLLSVATILAHSALRATSILFSQK FPRSVISNIVSNAPSSTSTSIITANDLKQTSLSTLSSSVTDVQNFGNQLQGHSQLDNL MSGLLSPPKKQEFSGFEIQAVGDCHLVIKPPNRTLTGKKQPKFEVQVSRGVKPLEYEL SRLFDGVYTLKLDRGDAYGIVNVTVTMASRPPLHQTTSVDFGTPWLKIANWRRAAQVI SSQFMGDFNTAQVGLSEVYSRICTDLQVLMGDVVKKAHLLRGEANVLRHGTGQLSETK DVVITRSKQLSEVVRCTAVQPFLAVSSVLQEKTNKVNQETREIIGGTWHRISNQAHGF ELKSVKDHIRNARKSYTLDKAQRRAKRLMTRKCRHSECL AOR_1_592094 MSDVPETKPDPTTSAPEAAEKPEETTTSTEAPKTEEDKSATETV VETAKEAATKTTDSVFSMFGGGPKKEKKEEPEDNNDEPSGSSKAQKTEEEDEAPESPD VHFEPVIRLTEKVETKTNEELEEQTFKMRAKLFRFDGESKEWKERGTGDVRLLKHKEN QKTRLVMRRDKTLKVCANHYIVPAMKLSPNVGSDRSWVWNAAADVSEGEPEAQTLAIR FANSENANLFKEAFEKAQQENEKLLASQ AOR_1_594094 MPDVKRNVRLITEQHVVNKDSGVEGFPLRAWSIEIYLVNDHGEQ VPANVFDKVTYTLHPSFGDRAIQTFKNPPFRISEEGWGEFDMQITLHADKDHYVTHDL NFAQTRYESKHVITFKNPKPALLAALRESGPVPGDENGVKSKRSAASEEGSKKKKRTE KSVDMDKLADGLQRLGEDDLLQVVQMVHDNKAPDSYTKNDVEQGEFHVDLYTLPDTLI KMLWDFTQEKGAL AOR_1_596094 MSSAQDEFNLLVQSNREKYSTHPEDRDNDSGHDSNPSEDEQDHP QFSDSEDHDPTMRTGSSTYRVPNIVFDANTGPKGVIADAQAFERARASSFRKTLHHND HAKYVADDSHLLHHTPPPDGSLSDEDEEHFLRQWREERIQELRNRSFRRPSPRRRMYG SVDTVDAVGYLDAIEKVTSDTVVVVCIYNPESKTSALVEDCLEGVARRNPTVRFVKLH HEIAEMDYIRAPALLAYRGGDVFETIVEIVRQIPKGRDCTSHTLEDLLKLYRVL AOR_1_598094 MAADMSGEQMQAKITAARREAEGLKDRIKRRKDELADTTLRQVA QNQTDTLPRIGMKPRRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYTTNKVH AIPLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLSSREGPTRVARELSGHSGYLSCC RFINDRRIITSSGDMTCMLWDIESGSKVTEFADHLGDVMSISINPTNQNIFVSGACDA FAKLWDIRTGKAVQTFAGHESDINAIQFFPDGNAFGTGSDDTSCRLFDIRADRELNIY QSDQILCGITSVAFSVSGRLLFAGYDDFECKVWDVLRGDKVGSLSGHENRVSCLGVSN DGISLCTGSWDSLLKVWAW AOR_1_600094 MREVNFSIPNVNKASVNITTTLYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGIERLVCILKEGRSRDLMEMWKWSLAFQCVVNIGVRGSESV RTRVVEADMVPVIATILDNYIKVVDKARARADSENQRHSSRHHPKAAPAAGDVTGRPS FPDQSSNSEQRTSRRQAPPPSIEIPAFLHQNTNAPDTNAMDVTSSPRAPMTSPPERST FGQEAHIHRSHDGRHLHTGHRHRAMQPLATALPPMDTADGFGLRPVRDTERLPSMLPT LHNGITSQPDSPTTPNGPVQPRSHAQTSAARQRPTLRQQQSASGDSDDGNGEGSTLGD NAGSAETSEPIVGLQNEMEIDEVSDRQTMIDGVSNSHDLTVTDPSESQEAETFNISHR STVDGSIINNDTTQTNTALGLSPTQAANNANSPALVPSPYTLYFRDRSAVPQNVLTTM PRDEDVLMSLQLLAYVSKYCNLRSYFQHSHLVPKLKVDRELQMLEEGASPIEPPEEEE EYMLPDDVNIFPLVEKFTVRHHSKDMQYWACVVMRNLCRKDESRGGIRQCAYYKCGKW EEFQRQFAKCRRCRRTKYCSKDCQKAAWVYHRHWCHTTP AOR_1_602094 MAGDNTPVGPLIQLPILDISNPNDPAVGKAMLDAAAKYGFLYVS SKGTDFTVSDVEKAFDLSRQFFKSPVEEKAACRIQPNNYGWSGMHVETLDPEHQRTGD FKEAFNFGEFKDGKAQHPLPRSLTSHEPEIAHFADLCNKTCDRILKLLALGLEIPEDF FTARHDPSQGPTGCNLRYLYYPSIASPTTSSYQHGTDVRAGAHSDYGSVTLLFQRPGQ PGLEILTPEGTWAPVPVQPGQTAEEDRDAAFPFPPILVNIGDLLSYWTDGLLKSTVHR VVFPLSEQQSPNPQDRYSIVFFCHPLDKTELVPVPSDIVTAHRQKCKESGVQDEKVGF GGGAGSLEPGKRTLTAQEHLEARLAATYKFDK AOR_1_1270094 MPIRPLDEWAAARTQSLPLSALKGAVVGIDASHYIKQHLLHPST REPLLVALGGFPFALRNNIERELQTFKDSGVTCVFVFDGLDFGTKNQRPHVSPESVRA FEQAWDLYDQQQADQVVDAFSGAGTPRPESLYRFLQRILHNNGIDYIVAPYSAAAQLA YLSKGSNPLVDAVCGPSEVLMFDVDKLITRIDADPAQFCWITKQTCQEELGRLSNEQF LDFCLLLGSLFLPTFPIFENPAFPGKGATIRDALPMFNSAGRSALSLCAQFEEDRRMQ ELQYTDRYKRAFMTVKHHVFIDAEGRVGPMDPENTSSDMHELIGQRLPEELYFYLSKG VLGADVPNYLTSGEVVVSRPLGVEDTEIYRQVAGTTLTPIRTQAICLLSNSLHRFYQT KVIQVRTWYDERSDTSVNLKSLPSVKDTIQSWKIRIDQLPEGLKKLQRSTGPFKFAVQ SLKDSEFVSKSLSARESQPLSSQEETLANVFWRFLQLRGYIDEKHQLTSWGLCLEQAL SVLDPADNLEEATFLAIEMVRFGVLNAKQWFAHVSGGPMRGSDEDKNFNILVSRVACI AKLQHKSIGYSGPLSRQLLCYRSLVSEVRATLRNLIEVVLTGLLLSGDADRDRDDWTG LSVKLPFIDDNDCGLGIAVRTYLDDLPLQSDPTSPEARAEVKSKGKEWFQHSDSFTGN LDLAFKLWDAVYKGTQHAGKEFKDGKLFGDANSWLAERR AOR_1_606094 MARLSYLLVSCLSVVSAASAVVDLVPKNFDNVVLKSGKPALVEF FAPWCGHCKNLAPVYEELGQAFAHAEDKVTIGKVDADEHRDLGKKFGIQGFPTLKWFD GKSDKPVDYNGGRDLESLSSFVSEKTGIKPRGPKQEPSEVEMLTDSSFKTTIGGDKDV LVAFTAPWCGHCKNLAPTWESLAKDFVLEPNVVIAKVDAEAENAKATAREQGVTGYPT IKFFPKGSKEGIAYSGARSEEAFVEFVNEKAGTHRAVGGGLDDRAGTIASLDELVAKY TSSQNVEELLGEVKKAAKGLQDKYAQYYVKVAEKLSQNKEYADKEFARVKKIIAKGGS APEKVDDLISRSNVLRQFLSQEKADMDMKDEL AOR_1_608094 MTEDDNKSVSTSEAVTPPTGEQATDAQGQLAQAVDDLLDQLQHK FDNVSREMFGKLDDMARRLDELEASLTTVGDPSTPTGPGSPTK AOR_1_610094 MPKRSKLLQALDDHRGRDYEAEKQKKLVKAAEKRKAAKKAAAGE DGVQVKDKSKKREAEEEVEEEGSSDEEEEEEKEETSDKEEEEDDNDEEEEEEEEEEEE DIPLSDLSDDEREDVVPHQRLTINNSAAINASLKRISFITPKTPFSEHNSLVSQEPID VPDPNDDLARELAFYKVCQAAASTARGLLKKEGIPFTRPGDYFAEMVKTDEHMGKIKK KLYDEAAGKKAAAEARKQRDLKKFGKQVQVAKLQQRAKEKRETLEKINDLKKKRKANP SGPTDNDNDMFDIAIDNSESKGRKRGREDGGGPNLKRQKKNEKYGFGGKKRHAKSGDA MSSGDLRSFSVKKMKGGAKRPGKSKRAAAKGRM AOR_1_1272094 MPPKAARKLKDSIEQEGRILLAISAIKKQEIRTIAEAARIYNIP RTTLRRRLNGHTFRAETRANGHKLTQNEENSLVHWILSLDQRGAPPRPAHVREMANIL LLKRGFSDTPTTVGENWVYTFIKRREELKTQFSRRYNYQRAKYEDPKLLHKWFERVQI TIMQYSIQPDDIYNFDETGFAMGLISTTKVVTRAELADRPFLLQPGNREWVTSIKYIS SRGPLPPCLIFKGKVHIEGWYEMGLPSDWRIETSANGWTTDEIGLRWLQQLFIPFTAG RTVGRYRLLILDGHGSHLTPQFDDICSQNNIIPLCIPAHSSHLLQPLDIGCFGPLKRA YGQLVENKMRLDFNHINKLDFLEAFPQARAQIYTTSNICSGFSATGLIPFNPKRVLSQ LNIQLEATPPGSRPSRNYTEEAA AOR_1_1274094 MLKGEEGIQWVDTDIFAHLREKFPEDYEQIKAELESPKAESEPE EDKADDEPEEATHEPDPTAVSKTQTEAIYQVILDLKEAGHLAKRQLNLDLVASTIVGR FEIDSADYAQDLIASKADAIIELMDEAKTYNFDWSRKVIYRELKAAAKKHDVQQIAFT PLRPRLFNEAESSPEDSEHEEEQPRPRRRRVRKSVLRPKSTIASKKIGKRTRSAAAAD DEYLSDDNPDAMDEFETPSKVRGHELVRDPLSTRAKRRTRSMLSNPETTPLQKVPALQ EILQSRNTSVSIGDQAPSEPDTLNGNESTSDTWFCQARGCDKVIHRSNTKHGKELIRV HSLEHADDAKVKMDLVLSEHQLNIGFRVDNLLDHIRGMGCLDIAAMDGTTNGTNGIGA AOR_1_614094 MTSREDSVLAARDPSLADENDWEEFSLSEVRVLVPGKSRYANLL TASPDNPVQVTGCLDEVEEEQESLVLDQDYLTKRIVLENITHYAYGQHDDGEVGIWVA GRAGWFSISPARGYRPMFNDVVEAIDLLYFLADRHQKRRRSKRRNRTPSVEYLCEEVS GSLSMRLWWSVVTDVEPLG AOR_1_616094 MGFRPGFRLFRTTMSGEKIYEGVFAVHKPQGVTSADVIRTLQHH FNPSKLFKPWLETERARRDRESKNQRRRRRTQRLDVKIGHGGTLDPLATGVLVTGVGK GTKQLNDFLGCTKTYETIVLFGAETDTYDRLGKIVRRAPYEHVTREVVEKALEQFRGK IMQRPPIFSALKVKGKPLYEYAREGKEPPIEIQERPVEVTDLRILEWYEPGTHDFKGP EQEAAAEEKAVAEKLLAKEDALPIAPSSEAAGSAEKTAEQDTSASTKRKTPPPADSPK AAEDTAPAAAEGEKSPAAKKQKAAEGEAVPAQTEQSSSNAPETAEEKSDALPEKAESA PQPQSAAVKIIMTVSSGFYVRSLAHDLGKAVGSCAMMSELIRTRQADFELGADKVLEY RDLEAGEEVWGPKVQQFLEQWEKKRAAEATVDQN AOR_1_618094 MSLNGLDNPAVIEAYQTALSEAGGWFLLQYVSRDEVALLDRGTG GVPDVRNAIDGYEEKSPLYGFLQYRRRKVVLSYLPEGISRLVQARTTVQFQSILDKFS PHDTVFSLSQPSELTESSITSSSNSLRRRRLMEIAEDAEETPGSKDASPPPISTKDFR QRSFSQLSEATIVASPVASDAHSIRHGTETSSPTKTPDDTQDNDRPPSIAGDHHSERP TSRKTSRDELSYSEPRRSTQSARPSLKDLERTGVYKQKVKLGPRPSVDESGRPRTAGN LSRSAEQRPVASLPAGMRSSSLRRSNPSPARPRSQGSTVASMSGRMVPPVPPLLVPPL SMPISRPKLSPGAKSLSALSSSGTSQERERLMKALQLRKTQMEKRAQEGKKIHRAVEE RKESHIDPIEDKENISHVHDNMKRVQADENKASVLEHSKGPLSETQPTVVPEPVEVHD EHTKAVSDAVISDSTPDLSINDLQDSSETSAEILDDAQEPSETDQCQTAQSASVLEDD VVEEDLTKSINEETKSGPGSPSAAKEVPLEEKSLNIPDEEIAVRSPPAENPLAPVEQE TDATGEPAESALPQSIPVLSTPDSRTSLTSDSPTTDETQVTETQNDLTPEVSVTEQKD VDTRKEKRRLHLEPIQVPTPDYSDDDNLSDDSFMEELKSATLEEAKPISVGNSPLSPG YSNNGNDRSPPDAWKNSRAVSNPSAIGRQSPNLHALAVGRSVSTPYNEADNSVLVAKK INVSSGISKRIAALEKFSSRGDAQPTSNQNLAAPSSASFEALRKRASVSLNGNPDSAP GSRHGSFTPESFSRASSVRRPDSQSSASVKRTNSVSVTARIIRDSNTTPGDSKVDPSE NSVLNLHASPLTVEHDTCESPSPDPSPEPMASKSKERSMSPSSVGSSNQPSALSMPRS ESRMSISSASKNEDTSKPVVDAPLSPEGKKESRASRLLRRMSSITSTSRKSPFSSLGP VVKEESTPLDTGAEIAQAVDIGEVNVQFPDTLLWKRRFVRVDDKGYLVLTPGNIDSSN RNMVKRYHLSEFRTPALPDEDRQELPNSILLDFLDGSTLQCACESRQGQAFVLQTLVD AHNAHQQLSQ AOR_1_620094 MSPSLPSLSSLASLPQEQQFQVLDTLFEPSPELHALMAPILANQ TFSSYASLIDAVGGRMSALSAANSPTDKAVLVGILGSHPRLGRPKVAQSEHISELSKK EQAQLNTGAEELAERLRLLNAEYEEKFPGLRFVTFVNGRSRDVIMVEMRQRIDRGDAE KEIEETIQAMCDIAKDRARKLEQTSRI AOR_1_622094 MTEALRAPKETDSRGALASRALPIPAIVTNLATGSSSSLKRNGS NLTINKDSGSPNEGKPGLLQTSQSFPIGSAPSDVASSLSGNPLDTRKNSRSGQGQSNA SIGVTRSSLSSPTLVEVSADSSAIDPLSQHIIKRTNTEKSIPLKLLGRASYEAEAGGT DGPPEQGPIRGDAALHRKPSKEKKKGVSFLSRIIGGKKKDQILDDDDDDVSEPDTARM DTAQQIGFFPRFPRPPKYIRVRAHYKKEKTFSRIFVAQELEGADNVSNSSEKDGSSVA GARSSKTTGKAVWALVFSNDGKYLAAAGQDGKVRVWAVITSPEERHESEPEEDGSQDG EELPQLKAPVFKVKPVQVYEGHTGSVLDLSWSKNNFLLSSSMDKTVRLWHVSRPECLC IFQHSDFVTSIQFHPRDDRFFLAGSLDTKLRLWSIPDKSVAFVVTVPDMITSVAFTPD GRHSIAGCLNGMCNIYETDGLKPITQFHVRSARGRNAKGSKITGIDTAIFPKGDPQGE VKLLITSNDSRIRMYNFRDRTLEAKFRGNENTCSQIRASFSDDGKHVICGSEDRRAYL WPTAPIERDSDKRAVEVVEPQSAMVTAAIMAPSKTKQILGFSEDPIYDLCNPPPVTLG SSKENGPHRNSVASKQAQESPGYLARSNHPGGNIIIVADYSGKIKVLRQDCAYLKRRF ESWDTHSTISRRLLRRTNSARHSIASSIGKESSHKTPSERIISWRNSVIGHTERKDGN HPGTRTRSPSPQRLANRSQYSSPRRGGSRSGYTISPPPSAYKTSTEYKTSLDSAGSST EVPGRNGAANAPKTLQPAPSLVANKRQDQDNPLWLQGDHSYAFYKRIARDALAARNRD SPHHLDPNRLSVPGRRQPSVGSVLSSDYASSNGEGEESEDVLRCDNCEGTNFRATKGR NGKQKLICVRCSQPID AOR_1_624094 MPYLPTSQAYLEQSALLLEAYPNDTRITTKYNFPSSQKPKPTEE STTPTAPIASLTLKTYNPTSGICLKYRTNKAAEVGRLITSLGKLAGGANVAALGLGNA AAAPVAQAGGDVEMTDAPEEGTGSAPAAKTEGSKGGKGKKKGGKGKR AOR_1_626094 MSAQVTPSKQAASSFENLKMSDSPVKKLNFEAAGKENAPSSLQI IDVPATKTTVEKPTEVSSKIASIKEMEANEPLLQENPHRFVLFPIKYHEIWQMYKKAE ASFWTAEEIDLSKDLHDWHNRLNDDERFFISRVLAFFAASDGIVNENLLERFSGEVQI PEARCFYGFQIMIENIHAETYSLLIDTYIKEPKQRTYLFDAIDTIPCIRKKADWAIRW IQDQESTFGQRLVAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEGLHTD FACLLFSHLNNRPDPQIVEDVIVEAVSIEKEFLTDALPCALLGMNSNLMCQYIEFVAD RLLVALGNNKYFNATNPFDFMDNISLAGKTNFFEKRVGDYQKAGVMASTKKEAKQEES SGSAGGLSFDEDF AOR_1_628094 MSTTTGAFIAGGIAACGAVTVTHSFETVKIRLQLQGELQAKSEA VKKYKGVFHGVKVILQNEGPRGLFRGIGSAYIYQVLLNGCRLGFYEPLRKTLTTTIYQ DPQVQSLGINVFAGAASGIIGAAAGSPFFLVKTRLQSFSPFLPVGTQHNYHNSFDGLR KIYTSEGVGGLYRGVNAAMVRTGFGSSVQLPTYFFAKRRLVKHLGMEDGPALHLASST ASGFVVCCVMHPPDTIMSRMYNQTGNLYKGALDCLYQTVRKEGLLAIYKGYFAHLARI LPHTILTLSLAEQTNKLMRRVEDRFLSDSMKEAL AOR_1_630094 MAEAQQQQQPPPPPPPQSQSQQQTPSLCQKVSDILRSFRPTKAF KPSKQDSSNYVTSLDFDDQGDYLVSSGDDETIQIFDIKEGKTTKSVPSKKYGAHLARF THHSRQVLHASTKVDDSLRLLDLHNEGYVRYFSGHTDKVTCLAVSPGSDSFVSCSKDN TVAIWDLSSRNAQGKLKLATPYLAAFDPSASVIAIASQSTSSVLLYDFRNYDKSPFST FDLAPYEERYTPSTRGRAWTRLEFSNDGKHLLVGTDYHGHFVLDAFEGTMKAFLVGKN GSPGRAAPVSTTGKPLGQGDACFTPDGRFVLGGSGDHPDILAWDLQQQPDSNNVLQPM CKLPHRGRTALIEYNPRYNVVATADKDIVFWLPEEGSRAPEK AOR_1_632094 MTNEDRYSPTSETYERSEALALSLVERCRDLINELDAFRALLEK TQRNPQLVEIRSLRSNVVSELRTLEKVSGQIRALADAAVAAADGEEEEQEVEPRLMHA LRSTNLPFYETVWQIARRSCTGLVAFGKRFYWDGDGVVEDEGKKRPNKDKRKSVFVDI VADDGLEWVKVSTISETRLLFEMAKKGWEADSDAESGEERTVLRNFDDDGGSDDEDED EIELVKLASDMRKAANRTRVRYRHPRLRLVIPKIEEGESREIDDLLKVLRNYDITVEC GESALSSRVGGNDDDATSATAEGHLHHLLPAPFKSFTSTLNVDCTLLLALVSDVSHFK NIEPSPEYHRAIIRQLEFERERPLLATELWPAMEGHDLVCTDEATRRMREIVNTIGTE TEKRRTEILFGDTPSESRGTKSVIQEFQELSDYEIPPQLTMPVKTVKAKSLIDSAIEQ GKLPPVFQKVAEVLSNINHSVFFYGWVTGMTTISSNRTVVKQIETIIEQHRNGDEDLE GPQVWVCDTARSLIGKEKGRKS AOR_1_634094 MNPEILQNSPNPERETISEAEALLSRFSSLSDIEQDRERATYVS TIFPLLFGKDSVVQGSNGYESQRQAPWSTNCWLQPCVIVSPGSAQQVATALALCRFFN VKFSIRSGGHLQNPGFTSNHGGVVISLSALKQLELSKDKSTVVLANSQIVNANAHENA DLFWALKGGGPNFGVVTKFDMMTIPSKHWSEVRVYPITATDQILEAMMQYHAAIEADD KSSLIYNATHEVILLVFFYGEPVEHPPIFQPFYNIPHVATFVAPGIRTVYDLMNAVDS VQEAGPALHDFRTMASLPSLEVYRAIEKTHAEQVDVLKDVEGLTLTTVIQPMSSSAMK ATLKSPLGLSSVGQQWFLVRADWKNAKDEERVREAVRKIVDVAESEAKQAGVHLPYLY SNYASRDQDPLASYGVENAKRLKEIALKYDPDGVFQKLQNGGWLLSSMGLEACELMSI AOR_1_636094 MGRSIEGRNGGGLPHMTIAVRIIVLILAIATTLVCVLRLYLRKF VIKRFGLDDWLVLCALIGVDLFSALGYTITYYGLGQELQNVSVDDLAVFLTLEYASQC AYLLIAAAVKTSLLVFIMRLFPTRFIQVAGKSLLLFIAVFTISGTLALVFQCRPVQAA YDKTIPDASCYPTETSYAILMMQGVIMFVLDVMILVLPMRPIWQLQMPLKKRLLVIGL LCIGFTACVAALVRFSTLSFTNDTTNFTYSASTSLIWMEIEFNLGLMSGSLSSLRKLF KVHAPSSSADASQGESSQPANLELPRSRASRHSRLRGGIMKKTEIVRVYETSESQEHI APMNALGETTNTTKAYEARAKSTNL AOR_1_638094 MILWFSFSAIKMKGWLQTFCLTALLAGRALANEVELTQDEAHRQ RCSGMYSRKAWGGDVDPFILTKFVRDGDAGDSDPLVSLVIFEWSDEALIGRTVSDDAD EKETICDEKSVNDKLCEPGDIGSFILAPNASESEFTIVTQAVHLNNPAAINYPVKKTG FYCVSTYGYSGRDYKAVVEFRNAYGELPAAQIAKLPFYGGLTIVYALIGVFWAFLYVQ NRHDILPVQNYITAILVFLIVEQLMTWGFYDYQNRHGLNVGAKVLMVIVAVLNAGRNA FSFFLLLIVCMGYGVVKPSLGRAMIYVRILAIGHFIFAVIYAVASLSITPDSAGPLVL LIVLPLAGTLTAFYVWTLNSLNATMKDLIDRKQKVKALMYKKLWWCILGSIIVIFGFF FINSIAFAGRSEASFVPEHWKSRWFVLDGWLNLVYLFDIGFVAYLWRPTANNRRFAMS DEIAQDDDGFEIRSFNSELDEEDALGGIPESSAHEQRRDLSPVPPKPVPAAPRQRESL DGETIFAVGEDGDKWSDDEDDLSRDSGERKRLTGKDA AOR_1_640094 MPGKELSDPCVDCRDAEAILTLRRRRLCKDCYIKFVSYKVFKRM ENYRLNRGFAKDKPCKLLFPLSYGLSSSVLLHMLHDQLEVQRSKVHGSPGFDLHVLII EPSTISPSNPAHDEGFELAQKCFPLCSFTKVPFHSIFALVPDIKETMSQFAGKGFEDD PSLSDAERLNAFRSCIATSTSKADVDHILMNRLIVAFAKQMDCQAIIWGDSDSRLAAK TLANVAKGRGSSVIWQVSDGMSPFGLEFNFPLRDLFTAELRDYASFFPELTKLIVPDE PLPANTLTKNLSIDELMMRYVLTQGEKYPGVMLNVTRTANKLDVSQMPANLSHCTFCA APLMNEVGGGHTQFCYACARSRPSTSS AOR_1_642094 MSGPLVSLVGKRILAESARNHFGTEDPYFEEVPASRLHRAFGKK TQKRRKAVPPGLSENDQKVLTQVKRRAYRLDLCLFSLCGIRFGWGSVIGLIPFAGDAV DAALAIMVVNTCGKIDGGLPTRLRMMMLINVIIDFAIGLVPFVGDLADAMYKCNTRNA VMLEKHLREKGAKALSKQRRRQENDTDPSLPDEFDRYDQTIVDGPSRRESHRHGSRHG SRHRSSTRRTTNEPAHHSHDNRNHTKWFGGSSHREHDLENGVVDNFQDRR AOR_1_644094 MTETKMHLEDWLDDLCVRFIINLPREELESVERICFQVEEAQWF YEDFIRPLDPALPSLSLKAFALRIFQHCPLMSQWSHYHHITAFSEFLAYKTRVPVRGA IMLNQDMDEVVLVKGWKKGANWSFPRGKINKDEKDLDCAIREVYEETGFDIHEAGLVK NEKDVKFIEITMREQHMRLYVFRGVPRDAHFEPRTRKEISKIEWYNLSELPTLKKSKQ QDQGFTVTNANKFYMVAPFMHPLKKWIAQQKKLDAKMQVGATQVLPNEGEMSMDEGFA APSQSLGLASSSDLPEVAPSQDASAHLKRLLNINDAFHTPSTAPSVDTLPANAFKSNA LLELLRSGSSREPNPQTPRNEQTSPTHAITAAVPPQHPAYPAPTLFPGFPVQGQSSQP GYLSQFSTRQPPSASSHIPHTNNHVLDQHMSQRPLAQSSPAMNQHGHPTGYGGMDAYH ISTQPYNDAHLPSGPHATPANQAPAAPYQRTGDPFSQPAQPPQVQGASVRVPPASKLP PPKLTSHSLALLSVFKDEASKTPKTSHASLTPQPDQVPMNGRKSSQHQDQLLSLLRGS PVTSGSTPAELAGHSNSPTRKQILQRPRDSSPTQQALAYASALPKGSPLNSNVASRPV HPLQPEATAKPPGKRNQNISSRKNKDRQPQGLSSPITILARPQSAKREQSPNPAVASS SRTSSRTRKDSKPKSSEPPKPFQPQILRRPQNLDHILPVRTKEPQDSEQPDGPQIPST EQEASNFDRRPSQSAAQKETLLSLFGKQPASPSVSPARELNVHISGSKPVTSSVVSPL SPLNLPTPTGMKDNGSLNARVSSPDNKAFLLGFLQGVAKGNK AOR_1_646094 MMRPSTSVRTICANCSNHTRLFSTTVRSRSQQKSDATPASPPQA GYARLTNRGLISITGVDSTTFLQGLITQNMLITNDQNRATRQTGSYTAFLNSQGRVLN DAFLYPLPQADLTSPDEPAWLIEVDRNEVASLMKHLKKHKLRAKLKLRALEDGERTVW ASWKDHEQPRWAAYNLESPSSSPFSPSSSIAGCIDTRAPGFGSRIITPGAEDLRTHVP DETQIAGSEVSLGAYTVRRMLHGIAEGQSEIIRESALPLECNMDMMKGIDFRKGCYVG QELTIRTHHTGVVRKRILPVQLYTGDQDALESAGAPVYDPTAELPLPPSAANMYKISA RRARSTGKFLGGVGNIGLALCRLEMMTDVTLTGERTQYSPEQEFKVSWDAAEEGSSEH QEPGEVKVKAFVPSWTRDFILNGGVKKNTRGREAEGHRAREFLEQLEEEESLRQKE AOR_1_648094 MASNDDLKAHAASNQDFYALLDISPAAAENEIRRAYRKTALKYH PDKIANPTPADIDKFHTLQIAYDVLSDPSVRQLYDNAREARQRKQRERDMMDAAKRKM REDLEARERAGAAAVGGAPRGVKRTWMSGTGDDDAEEKLQREIERIAEDGRRRRREAE ERLKQKVDEEEKQMRQEEEEAQKAADKSSQRVDRSQEGGANVPELERAVKARWVREGR GVDLDKDRLTSLFTPFGKVESVVVLKDKRQRIGDKREKKTVATGVVVFTSIVGAHAAV LDSEKKIRQSAGPAGSDWGLIDSVFWASGNQPDLGAGSDNRPLSPAEKSEPTMPAAPP KPSFGFPGVKTTGSDGKKPGKAPSFGSFASAAAAAGSAKSTSSSSTNGPSAPSMEEIT LMRLKTAQREKERRALEEQLRKEDEAADAAEAAAAEANA AOR_1_650094 MPSIENVPYFEYQPIEGVERLERYRPGGYHPIHIGDVLKGRYRV VHKLGHGAYSTIWLSRDEHQAVYVAVKISTGDSSPHEADILCAIANSPGVDDPGRSII PIIQDRFEIQGPNGCHKCYVTPPAQSSVAAASFSHLFKIETARALVAELVLAVAYIHA QGIVHGDIHLGNALIRLPTSFDRLSVEQLYQKFGEPYTEAVMRLDGQPLPAGTPARGT VPVWLGKKANEVTLAEAHLLLSDFGEAFSPTDSQQKRRGDQCHAPLSVLPPDAYFEPD KPLSFPTDIWTLACAIWSIFSSRPLFDATLATHDDISSQQVDILGPLPLEWWDSWEVR HEYFEERGEPKKDRFIFPSLEHCFEKEIQAPRDKIGMGGFDRDEMVAILTMLRSMLAF KPEERATAKAVLGSDWMVTWGLPEFEKIRQT AOR_1_652094 MEIIIFHKYIMLSYSAGGPYKPDQPPRSKTFSHTASYKPPKKMK SLTIFLFSLLVLIATTAADEITKRKRLIECANKELDKENAGWKLQGDELEKLKKIIDD EVLKEPLKSHTAEEQAKVLKEIEDAGKKDLPKVSVKQLDKIMAKLKEHSMHCAKEMRS AOR_1_1276094 MPSPAQLALAIAIVKQKPADLSVREYILRIRQHIKDTRDADKSY TQDKDRFFDSVSFWQQAYEKSEAEQSKLLDRIYDLERHNEALSAKLQVRNTQFEEEQS STKRKATVGEKAFGGATTRKRAKTQMVNGALGHASAPWNGLVRLDHTGESIGPVMRHY YTLQKTLQKKSNGFDIVQAAVKLCETTANELSKVISEKRAAPCASRNKGSTRTEMPTT DEVLRCVSCTFQLLLRVIKSLSGTDNGMQYSNRVIYHIVHLYESTMNALEQWCKAKSE QTQSAKQKHATSNRKAKDKQLHDSNMNTDDEDFTQMAQLLNAMTSSLDTGCAGHQDLL EGFLFILLNRVGKLLCLFVFQDLQLKPDLRADLTKLPHPRGLTELDLSDKSLCSAEME AKCLVWLLERTLAVFRSFTSSSSSASRDSDGSIIFAAKIKERLQSTLLQAVFGTDSTW GKSLRRPAPTDGDLHNLRLPSQNSDQSVPDWFTQEVWTLLGWEILVKSNASEL AOR_1_654094 MSPLIVDIHTHVYPPAYMEMLRARKSVPYVHDPANGTDPRLIIL SSDDDPSIPLDERGRPVDSSYWNIEVKLAFMRRHGINCSVISLANPWLDFLEPEEAQT WAQRINDDLENTCARVNKAGDPDKSLALHEKESLFAFGALPLSAPRADIVVDEIKRLK TLPHLRGVIMGTSGLGKGLDDTQLDPVWGALQDTESVLFLHPHYGLPDEAFGGSDAMN RYGHVLPLALGFPLETTIAVTRMLLAGVFDRFPRLKILLAHSGGTLPFLAGRIESCIH HERKFVANGGDVPGPQRNVWDVLKTNIYLDAVVYGTAGLQAAMAASGTDRLLFGTDHP FFPPLDDKEGEWPSVTTNYKAIHATFDNDGGAAAAVLGGNAARILDLN AOR_1_656094 MEGNLPQQSCHPATTAVDKDDIVIPVIDFGPFLNGTPADKHAVA VSIVEAFKTSGFLYLKEHGLPPSVVSRVFGSSARFFARPQDQKDSLCWTTPQANRGYV KTGQEKLSNVDDPTAPEVLRATPDLKETMEIGRDNLDNQPNRWPDQIDDEGKDFREVM TSFFNMCRSLHTEVMRAIALGMNLPEHYFDSYVDAGDNNLRLLHYPAVSKEVFKKNPS QVRAGEHSDYGSITLLFQDRRGGLQVRSPKDTYVDVTPIADTVVVNAGDLLARWSNDL IKSTRHRVVEPPTPIGEEDNSDTYPDRYSIAYFCNPNNNRLIEAIPGTYGDDIKEAKY PGIVAGDYLVQRLTATY AOR_1_658094 MSHLQPSSLFSVKGLVVVLTGGGSGLGRMMAHTLDANGASKIFI IGRRQEALQETITQSPNSSSSAIIPIQADISSKASLEAAYQTISAQTDHVDLLIANSG ILGPNSSPPPPKPDGSLPSLAEVRDALWSVPMEDFTKVLDVNVTGAYYTAVAFLPLLE AANKRRPAPVKNQIATPTAQVIITTSIAGFNRKVPISAAYNLSKSAANHLVKLLSTTL ASYDIRVNGIAPGIYLSEMSTRNFQEGDKGISDGSFKREVIPLTRAGGEEDIAGLILY MASAAGGYLNGNITITDGGRLSTAVATY AOR_1_660094 MPWNRFIALSCLSWMPAILAVPQAKVRSTTIPPVVSHPTSLTHT PFSGTPTTTGALHASSIGTGIRSLGIPPTATTYPSDGQLHDPQPGPYIPAGGIGTGGE TPVYNPKSDFDYESLALALYQEYTELDLFHDGLARFSVEEFTAAGLTAEDRFLIEFMA DQEVGHATMVTNILGAEAPRMCTYNYPYTTVHEFVDFCQKLTRFGESGVYGFLPHLNS REAAILLLQSITTEARQQMIFRQFEGLFPMPVWFEVGVPQSWAWTLLAPYISSCPENQ TKLAWQNFPALYIENQPNPVRINGSSAFNETVGGGVNSLNSTAVPSDASCVNSTTVGF SCYPAITHNRTLPLSWPGREVFLHWDEPGRPVGPNNSYITSTSAGDPKYVVWVTQLNV TYSPLEITGDNCGLTIQPDVQTYAGDPAVNGTMFIAITDSDPYLSPFNLSMINPHVVA GPALYQSG AOR_1_662094 MEAPRNRKQRRAAAAASKSDTDSTFDPSSIPMAHPPRNTTKNPK EKTLVELIAEREKELLGLGQDRSSTGTGGSTPGMETRFVSIDPTSGEISNLDASKLPN EQQGTKGSIMRSASDENSGSEEDEGAPIPPFIDTVLLSVPLTTLHMTLAYLAAHQYAE YIVLDKLIRESVFVAFPILTFAIHLAHGHIVSLGNAQSSEHVSLIPFSRDKLSIAFLR KLLFPPSLRTMVFLPLAIYLGAKLMAMTNEEPYYAVMKRAPSIGTLWVWSILEIPVGA AVLGALGPMIWGVWWKGYGIF AOR_1_664094 MGLWESSLAPPQFGDNRSYTDLLTFAFGGPRPYNEHRPLFIDAE HPSCSLNALQFRLLVRTLIAGLKAHQVQKGDCVLVHMGNSILYPALFYSIIGAGGVFM GSNARSQPQELDHILELGNPKLIITTRDALPTVLSVSADRGMLPSQVCLVDEAATSHV AQLLLSGPLAYAAAISPYLPHGGDNYYLNFAHLLGYGETGWLFFQDEAIAKSTPAAMF STSGTGGLPKAAILSHHAIVSHHLSIYYDVPYEASRLMSLPMFHLFGALWTHIFPIRY GQPLYILPRFDIAQYVAAVYQYQITEAYMVPAMIHAFNRCAFPVADYLQSLRYVGVAG APIDGASMQQFQELLHVDANASQLWGMTEVGVVFQNRYGQPGNSGSIGRLVPGYEIRL VGQDGNLVLDDNKPGELFVRGNGLLTGYKGRDDAKDAQGWFRTGDVAYVNNGLYYIVG RTKELIKVRGWQVAPAELEAVLLKHPGIQDAAVTGVTSKDGSTEVPRAFVVRTRQPAG MQLTSEEVYMYCRRQLASYKALDGGVIFVEEIPRTASGKIQRFKLTQMNTYREIVSSL LARFKGTSGLQTVGLLHQGRITV AOR_1_666094 MSLSEKSVISVPPPAKCASGSTSPRSTLVDVETAMPNCISEKAD RTLTQSPDSFDLEAQAPKKKPFSSSRFTLLNIYRRLFTLVFCANVAVFIYIMTADRKL LALVNATAANLLACGLARQPLVVNAIFLIVCSIPRSAPLRLRRIAAKVYHYGGVHSGC GVASFIWYAGFVGLMSKEYWSPSTGRSTISVAPVVVAYIILVLLLAIIVVAYPGFRFK KHDYFELTHRFSGWLVVALFVVLLMLFVNDFSRAAHQSFGRFLIELPAFWFLMVTVAA IVHPWLLLRKVSVRPEYLSNHAVRLHFNHTTTTFGKGIQLARHPLHDWHGFATFPDPE GKTFSSLVSKAGDWTTACINDQPTHLWKRGVLIYGFAYAMRVFKRVVVVTTGSGIGPC LSFLGDDNRPDLRVVWQTRTPLKTYGQGILDLVSKMDTNPYIINTRESGRIDMVPIIR QLYKEFDAEAVCVISNPFVTKKVVYELESRGIPAFGPIFDS AOR_1_668094 MPGRLLSSLVRPHVHHHTSFSSHSTSSSSTSVNEIHTHTVAHKK PSANVLERARSPERRLSFAVDHLIHPHRDHSKEKRRSHGRSSGSKERPGDHGVSATAK LDVVVESPPLVCYGSPANSTGALFSGRLKITVSEAVDSITLDKFDMRLMTRMTTKKPV SRECPNCASRTEELNHWNFLTEPLHLKPGDHEFPFSYLFPGHLPASCNGSLGKIEYYL SAHAQNTTGEEYDFQMPLHIRRAILPGNDKSSIRIFPPTNLTGRIVLPSVVHPIGTFP VQMTLSGVVDKGEETQTRWRLRKMMWRIEEHQKIVSTACGKHAHKIGGEGKGVLHQET RIIGHNEEKEGWKTDFDTAGGEISMQFEASINPTCNPVCDLEASGGLEAKHNLVIELI VAEEFCPNRNTRLITPTGAARVLRMQFHLHVTERSGLGISWDEEMPPMYEDVPASPPG YTNPDASSVMEDYHGSPLPLPEYEDLERMDSLRLDSDSTHSSARSILSTRGRSRFTTD DLTAEPMTQQNRNRAPSADSQVSRASE AOR_1_670094 MSSQPLLARYRQLAPSASVRVSPLCLGAMTFGTASKERYGECSK DAAFEILDYFVSQGGNFIDTANAYREEQSEIWLGEWLASRQNRDQMVIATKYTTGWQG HHKDKIQANYGGNGTKSMRISLEASLRKLQTTYIDLFYVHWWDYTVSIPELMHSLNDL VVSGKVLYLGISDTPAWVVAKANQYARDHGLRQFTVYQGMWNAAMRDFERDIIPMCRD EGMGLCPYGVLNQGRFQTEEGFKEREKRNDGRNFIPLSEHDKKVSRVLEDVANAKGVE LLQVALAYVLQKTPYVFPIVGARKVEHIKGVVPAVGITLTTEEIEKIESAYTFDPGFP HTFLSGTLFGDGAPQGAYGPGDVWLTKPLGTFDWVGYPEAIVPTERK AOR_1_672094 MSPSSFISLGFAITAVFIFWTLSATSSSPFARNFPRLYNKRICL LIAHPDDEAMFFAPTVLALTKPELGNHLKILCLSSGDADGLGHIRKKELKKSAVHLGL RSESDVLIIDDPTRFPDSMSATWSESDVSSLLASAFAPEIGDAQSGSRKRGATRDKPP VATIDVLLTFDRHGISNHPNHRSLYHGAVHFLRTLMKDKPGYTCPVSLYTLTTTNILR KYIGVLDAPLSMARGAVDSLFSGLKGSSRSSKEDAPARLLFVSSVGEWMTAQSAMVKA HQSQMVWFRYGWITLGRYMAVNDLKREKV AOR_1_1278094 MRGLVLASERFSSLLVVPSCGRNRSTQFALCAGPTRVIVPARDS TQWRSFTNNIPRDASWNVNNDPPNDRRSSRARVASSRLSTTSILEGGGSHYDHVPHTA DVVLSTDEDLSALKATFYEIIQDGQPDQVMDALLDPRNEELVGSMPQTVFVEVFHLLS PAYFVVPYREIHRPIHPSAAKLKRFESLDSIFDDFATKLATVVSIRRSAGQALGLAEY THLLDCARSIGDALMADYIWHSMTEDEVVPDVTCYNYYMEAKVWDKAYTGRERYHTRM TPYAYRHRRFFHPNVGWQGYRTAEKSVRKEVLQIFNRMTEQGYHGDEVTFINVMLASS RVGHVQGMKNVLKVAWNVDIDALATLDPSELPPATSYDRSSPLYPSSRLLFAIAHAFG TNNDISGALRAIEFISNSYDIPVPETVWLELLERSFVLSRNRFGPDAKRNSLGKVPPE FVKGVFETMTSSNFNVRPTIDVHRILAKTAWDQARLSEFQQHMCAAYDLLREARQKRR TARDIIEAYLGGASRRGSRIDTTLLQSRGFAEAVHTYDVLRLRTAQQTITMERFARLL VTHKRWTGRDNPVWERCLLPQMLEEWQDFLPQSFQYRVRDGQVNLLGATHWGQHRLTL HNRVAVRRPTAENGVVLDEEAVEMDDDFVWAQYLRSNPDLDLNAAPLKRLFSGVVERT RRPRPSTLDRGYVSEPQKLDSEADELSDLPEEEYAGTQWTAQRQKGREAPGVIPGTFD MIFS AOR_1_674094 MADTEDAGTTSVSEPLDLVRLSLDEIVFVKLRGDRELKGRLHAY DSHCNLVMGDVEETIYVVEEDENEEEIIRTIKRQEEMLFVRGDSVVLISPQA AOR_1_676094 MAPAGPKLTPEERRRGEIALSDFAEYAEKQQSHRSVAPSDSGYS TASVSRVHEDHAELEILDQLGLSDTPKPTRLKDLLLNTGDQQEDSLQILGSIIQTRID EGHGEAIFDVGIEDGGESMGFDLTQWETALQRVQEAAGTLPAHCRILVTYNVGGPEES QVRNDRMKGSCGKLLIRQPAETVEEMAELRIVVVGNVDAGKSTMLGVLVKGNLDDGRG KARVNLFRHKHEIESGRTSSVGMEIMGFDSRGEIVTSAQGRKLSWEEIGKRSAKVISF SDLAGHERYLRTTVFGMLSSSPNYCLLMVAANNGLIGMSKEHLGIALALNVPVMIIVT KIDICPPQILQETLSQLNKILKSPGARKIPIFVKDMDQTINTATQFVSQRICPIFQVS NVTGENLELVRTFLNILPHRGHYNADAPFELLINDTFSVPHVGTVVSGVAKSGVIHAG DTVLVGPDSLGQFTTTTIKSIERKRISVNACFAGQSGSFALKRVRRKEVRKGMVVLKK LDQPPKVYREFVAEVLILSHATTIKPRYQAMLHVGAVSQTCSVIDIDRPFIRTGDRAL VAFRFVQRPEFLAPGDRVLFREGKTKGLGIVKSVGYDPNNPLNPEAKEANTN AOR_1_678094 MEPFDKAWHTAADSLRNDYRSSEQQWTQHGEEPISGIQGRGTAT DPYDAGNRSEQPGAPQTKKNTALVPEALSSITPGDRSSNLKSSTNKTASGPAAMQPEL NPIGETAAKYGSQPHRVPEQRNLGSNLDHHSQTSGLSHGHHDRTSGLNKGSLTTGAEA AAAGVAGAGSDYKRSAGGLGEATSAQSSALPDDSHTPGLRHTGTLGGATSAQTSALGG DTTHPTGLRHPSTQKSGLEKGVEASGLGHTTSTGQTSPMKSALEKDAQATGLRQPAST THKPVFEKDTTHTPSSGIEGSRNLGSTTSYGGSETQIPDRSSQPIGTHTSTSGLERSQ NPYGGSETQVPNRSSQPIGTHTSTTGIEGSGNLGSTTSHGSQSQIPDRSSQSIGTGTE ARHPRSSIAEEATSTEARHPSSGIADSTPQESKSTTEQRTPSTTAAEGQSSTQKESTS KPSKKDDAPENVGFPPKTDHVSKEALRGPSVAEPREHWKQDEKVAKAEDNDKPAAAAG EPGASNKADSSEKKHTKDSSHSGGTLSHIKEKVEKVIHPHKS AOR_1_680094 MESGDINDRPLKRRRFFVDEEEDTKPSPIDSTAASLDASTPRST HDDEPPVIQSHGVQLGDAAISVNGEGNGYIRDDDQQTTSYTSPQEREGEISGDTIGQG PTSTIQNGALPGIQEERVTTENVPAQEDTDGFDTEVFISIIGERLSSDTIRKIRSAAG GDLERAINVYFDGSWKSPSGSARNQTTLISQPTLSTRSTPVNQTSMFGNGPARKGSGA PADIAPRNTSQPSIRYVGAFGVGAWATRSGVGFIKHGDRVNIERARSQPVSKRGRGGR VFTNNRGDVLTRFTNQAGQEIGRLPQETAAWVSTLLDQKVCKLEGVCVFAPDRVRVND TIYLQLWCYLRIEAFQSGMLDSSIDDNRSTNIFEEKESTEEKRLRLRQVALVKLFDEI GLQPTSANDMTLKHKKEGLLRAVEIAEQYDKNKKENKSNNDSSEDEESPELEEGQLDT LYKKAQTFDFSMPEADPPPTFSLSLRKYQKQALHWMLAKEKDNKSARGPSMNPLWEEY AWPAKDVEDNNLPTIEGLDHFYVNPYSGELSLDFPAQEQHCLGGILADEMGLGKTIEM LSLVHSHRNVPPGQAADGPSSVSGLARLPSSSSGVVPAPYTTLVVAPTSLLSQWESES LKASEPGSMKVLMYYGNEKYVNLRELCAAGNPTAPNVIVTSYGVILSEYRQMLSSATF SAAAPGGLFSVEFFRVILDEAHLIKNRLSKTARACYELKATHRWVLTGTPIVNRLEDL FSLVRFLKVEPWNNFSFWKTFITVPFESKDYVRALNVVQTVLEPLVLRRTKTMKTPEG EPLVPLPRRTITIEEVELPEQEREIYDYIYTRAKRTFNDNIEAGTLLKSFTTIFAQIL RLRQTCCHPILTRNKTIVADEEDAAAAANDGNGFKDDMDLQELIDRFTTTTESADSNQ GQESSNKFTTYALKQIQNESSGECPICSEEPMIDPAVTTCWHSACKKCLEDYIRHQQD KGDSPRCFSCRAPISSRDIFEVIRHQSPTTTPTENDLYSSTPPSSTQPAPRISLRRIN PLSPSAHTSAKIHSLINHLHRVPSNTKSVVFSQFTSFLDLIAPQLDKAGITYVRLDGT MAQKARAEVLAQFNKTETFDQEEIEDAEREDDINSPFTRKPLPTRNGHASASPSPRVL LISLRAGGVGLNLTAASNVFMMDPWWSFAIEAQAIDRVHRMGQLRDVAVTRFVVKDSI EARMLRVQERKMNIAGSLGLRVGGDGNEDKKERIEDIKMLFE AOR_1_682094 MSPLKEMLQPTRGASRQINRLSLGCRQIQTSQRRHFSHSRPTAS PATAAVNEIQAAQKYCSDLLIKYDRPSYTLHTFIPRHAQQFYLALRALNVSLSMIPET TSSHTIGLMRLQFWRESIAKILAGTPPKEPIAILLSSAIADLHERTNGRARISKGWLT RLISARERGLTNDPYPDIAALESYAENTYSTLMYLTLSALPMASVTADHVASHVGKAA GIVAVLRGLPLVAFPAARAAGQQGGQQAGGAARQGAVMLPLDVMAQAGVKEEEVFRLG AEAPGLRDAVFTVATRASDHLITVQQMLSNLRAGQDVGHDFEHEGEEGHEYEVLGEQR QESPLDEVNRAFGVFMPAVGTRLWLDRLQSVDFDIFRPELLRSDWKLPWKAYMAYKRK SL AOR_1_686094 MSRVSLERTETTELPSGSHDIEAHPQTSPTNAEPAGLESFPTVT KGPSNDTVRNRARRSNTARSYHPDNVTHDPNWHPGTEPGIDPTKPLPPYNADWATAVP SDLFTRCEIIVVDFSQHEMRQYELDNDTLEQFLAREREPWVQCRWINVNGLSWDVIRA LGNQKGIHRLAIEDLINTTNRTKVDWYSDHAYIVLTLQKLVRIYEETSSDSDEESEHG QWENDRRGSTTSSKSVSLKRATTLSLITEALKDLFRLKSRKRDPSNEPNLGANIRPSM KKAESQTMFDNASNAGRTARSMQRYRGGPNEDRIEFMERHAVLAAKGLSVALEQVSIF LHADNTVTSFFETSADDIESPIVKRLTSPETILRQSCDASMLVQAILDAIIDLAIPVT TAYQDAIGDLELDVLTDPDIDQSKDLYILTSEISILRNSMQPIVTIINALRDHRSEPI NTPGIGVLKGYFGPSNSSDPAPYIGTATPNLKSVGGSSVSISTMCHTYLGDALDHCIT IVEGYDQMRRAADNMIDLIFNTIGAYQNESMKQLTLVSCFFLPLTFLSGYFGMNFESF DGIKHSDAYFWQIACPFVFAITVFLMRDKIVRWTARLAQKRLITSSRKRRKRNAIKRR AOR_1_684094 MNRSSSTRGPLPSSSHTIQASHPRRRRPSDPAESSETQGEGRKR RRLSSSNVATRSAQSALDHHDDDIESIDLTEVEGPSALAKVLAKQREDAVRAQESVEP EKGQSILNSYKCPVCMDTPEDATSTICGHLFCHKCIIDTLKFSEEQRADTSSKGPRGT CPVCRKPLARNDAPGSKRNLVPLQLKLVTKKRNTTVPSSG AOR_1_688094 MLAKIALATHPQATAIITSAVLLFADADFAVPATARFNEIGVQQ LSDHVFSQVFPVKSEPPNPELVALSKEHLSRHDLLGKSQDATEPVAFDMPPLQGQTLD EHFFKLGMDASEPYITYAKDYIKVNSPSIPRKWIKRSGWTKYHSDGSWEAVDAPNESM LTFDTEVMWKEHSFAVMACAVSPTAWYAWLSPWLLGESDNHIQLIPLGDISQPRIVVG HNIGYDRARVLEEYDMKQTRNFFLDTMSLHVAVNGMCSQQRPTWMRHKKNRDLRDKIA NENNSVELAALLESKMLSDEEEELWVGRSSVNSLRDVAKFHCDVTIDKAQRDDFGELS REGILEKLDELLDYCAADVAITHRVYKKVFPNFLEVCPHPVSFGALRHLSSVILPVNQ TWKDYLTNAEATYHQRLDDVQRKLVELCDEALKVKDQPDVYMDDPWLRQLDWSGQEIK MAKGKKKGDPPRPAARQKKPGMPKWYKDLFATNTSDINLTVRSRTAPILLKLSWDGYP LTWSDKYGWTFKVPRDQVKKFENQPVVLCDMTEEKIAELRDDRRHVYFKLPHKDGPQA RCVNPLAKGYMQYFERGTLSSQYALAKEALEMNASCSYWISARDRIMGQMVVYKDEVQ KSASNNAESRTGFILPQVIPMGTITRRAVENTWLTASNAKENRVGSELKAMIKAPPGY VFVGADVDSQELWIASLIGDAQFQIHGGNAIGFMTLEGSKAAGTDMHSRTAKILGISR NDAKVFNYGRIYGAGVKFAASLLRQFNPSMSEKQTQEVATKLYQETKGARTTRRILSD SPFWRGGTESFVFNKLEEFADQERPRTPTLGAGITEALMRRFINRGSFMTSRINWAIQ SSGVDYLHLLIIAMDYLIRRFNIAARLAITVHDEIRYLVKDEDKYRAALALQVANVWT RAMFSQQVGINDLPQSCAYFSAVDIDHVLRKEVDMDCVTPSHPHKIPHGESLDIVQLL EKNEAACLDPSIVPNSPPSPQDYTYIPRESVMSTLQSTNNPAFIRAQITKDDKELREI IKEVTKAKSAPGPSSNARSQSDRAKSANSYTAQPQKAILVDVGSGLYSDFQDLPPGSR HPQVNLNRQTWKSRPSARA AOR_1_690094 MHKFKRNIFPGHYTGRATHIHVVTHTANETRILPNGTIAGIYNS RSSHVEQIFFDQDL AOR_1_692094 MSTTPTPNRGIAVFSGGSAANNLVEVFEEVRDTKNCPLSYIIPI SDNGGSSSELIRIFGGPGIGDVRSRLVRLIPESPPNSERGAIKTLFNHRLSADAATAH AEWLSIVDGTSNIWHAITPAKKELIRSFFNLLNLEILKRARPPSSTFDFTSASVGNLF LTGARLFSGSFESAIYLLGSICGVPSDTVRVIPAINSNFSHHISASLANGSVIVGQNS ISHPSEATALQPRPRRPSLLLADGADDFTDTDTSDTLSYEDDHPPGSLPTLRNKNIKF SKAENEDLPSRITRIWYINPYGQEIRPPANPRVLEAIRDSQAIIYSIGSLYTSLIPSL ILRGVGQAIVTSPARHKILILNGSLDRETGPPSEPFTAVNFVEAITRAGEESRGRMTL SSSNSGAHRSIPSLGLPYSSYVTHILHLEGPGTPQVDRDRLAEMGIETLRLYGRKIAT TGAGGVEMPIGMKYDATALVQALEVVLGKKGDAMLRGGEKNGLSRRNTLDPGRKR AOR_1_694094 MAEITLDRTLVLLSSEKQRERSEGLADLKHILQQNKRNSRLSSL NDKACHKIFESLFRFISSEKSLYNRGNSKGPSASRLSTCASVLRLAVDALLHNLRAKS VRAVVDHITETLQDTGGSLFELLGVDYTKCLTALLNYPPHVEHLGASEWEKLMGFCLK IMNTQDYEDDRSHTGSDSRSTLDDFLGTGGTPTPSRSMPTLTAREKPKRDKGAISEAV VCIQLLTASVNAPVQEPAAKILHGLVGYVKSSHITGSGHQSAFNSINSVIMRVLSDQS ELVQSILLDLIPVICHLWATKLVGLKDELLVTIMLCIVLLTAATRQEPSELLSRYTED LLGALYKEYIKRPEKDILQVDELVFHQKTSAAVDKILIWPRLESGKSEHNWTVIWAIA NLVDLSEEITARSSSPRTSTETLNKRQRLTSMVDEIHRDCASSSGARRVCALQLIPLI PRHHASVDSKSSLLLRLLPNILDENGILASWTMITIASLAGSPNADSPSLRAIWQQAW ELTCRASTSQATSRASCILMNSILEYNLLEYSIVAEATSSMLTSVNLNGPSTMSDASL TLWATTTRMTARVNPGSLPNASKQICAWLREVWVIGTVTDRTQLAQLAAFARPLDLLN LLLACTNRHYIPPKPQFRGATSLIAKGWHFLHRSKQLLNYLFQLGGILDFDMWDTDDT IHLETFPRQDPNDNMVLDLLQVKSEMFLQAFQSLYEDKSHHVTVEIVQIVTSFCILVA LYTECLPHLSASKFHNLQQNCERLWEIICTFLASHELEFIQGCLVVLSPFLNPEQFSY NPESTISKALLRLVIPLVSLLEAYRRSQRDNLALHNDEPMDLDDTLFNSKDRLAEVTS IVKSNREALPLFQEFSSFQRCITIQLSVLQKTNAFLRNHDQHSSRALVEYLIDLDEVD ILAASNSLPYVYRAISGMDRTSLLDILEDLAEKCLQTYELERCEASHLLCIHMMHSFV KAWVSSEADSLSGSASDIYTWFRDVLLAKDMASPSVLVVFSELLVDVINTNASYSSGE SNTSPRTSLLKILEKGSIPVKFDVGNLIPRLFGHYSLNDHDAIFNDVLRHLPKESDWV EGIALRLFILGQLASRWHTLLRRSIYHMFETPAHVPHSLQYAEKCICDVANKLGLKDA KELFRLFSSQILYTWTEQESITAMPFSIFSYASIKDMLGDVQDELVGQIIMRAREDEE IEMSKYMGKPFVDLLATSFYKAEAYSIARDISTPPGQGSQPKGVETRLKKILGTEQFM ELIDQQFPQTIAAFFGSLDQYEQVERALSKRAKFHDALDGLRCILGKSASKIVLPANQ QPSFRARYLIDELEFLCKRSGYELETIWTPTLASYVCRTLLESIHPALGSFHACSVIR KIRVLLCVAGSVMLRDYPFEMTLHAMLPFLVDIYCSEDALGIFWYLLEAGQPYLAETP GLMAGVAVSTLLSLKKFLASPPVDTAQQGQSKIVTANIERFLQWFGEYINTYESSLDA ETQESFRRVVKSSQATSTVESHSDDSNERDVILEILDDRSSERSLLSKTVSDHVIALL CADSEEPLGNYHKLNESDRDATANIVAIYQTLQSFNTGSGYRLWAAKVIGRAFATTGK VCDALLREQDLSLFKSQLSDLRLEVHCYSKASILQELCNMLQNNSHLEVGLVERTLQL IISNLARYPDFEQCAGVVPLYLMKAFTWDPYQCPPIPTLAPETERDDAKVNWQTSNSL SQWARGIALFLSKSAAEDPVIGSLSHIIYVIPELAVRILPYMLHDVLLAELKGEANIR QKVSQIFKQALCDVHDTTISHARLAIDCILYLRNQPKPNEATIVERDEWLEIDFAEAS LAASRCRLPKTALIFLEIHASRVIFGSRRSSLAKYEAPPDMLHDIFKDIDDPDFFYGI QQSPSLDSVMERLQHESSGFKNLLFQSAQYDSEIQMSADQNAYGVLKALNSTNLQGIA NSIFSASGGGFVDTSSSFDSMLQAATNLRQWDIPVSPLNPSPPATVFRAFQSLNTSGS LAEASKSINECLLTTLESLTSASRSAMSLRTAMRVLGVITEVSDVLDARSTEEIDHEW QKIAARDSWLKTTSVHEIGEILNSHEALFSSINRKSYLRSSTNISDHDAQLLEVKAIR QSLHITRTQGIQQASLKSAVYLSKLAHQCSALGINIEGAAKFDLANVLWDQGEMTASI RMLHQLKDQNDLHKQAVPISRAELLVTLGHHVAEARLEKPETIIQDYLLTAVKELKGR SGGEEAGRVYHGFATFCDQQLQNPDGLEDFTRVEQLRNRKEKEVRALEDMMKAAEGRE REALKFHRGRTKQWFDLDDREYQRLRRSREAFLQQCLENYLLCLRESETYNNDVLRFC ALWLDKSDSDIANAAVSKHLGQVPSRKFAPLMNQLTSRLLDVPDEFQKMLFSLITRIC VEHPFHGMYQIFASSKSKGGKDETALSRNRAAGRLVEGLKNDKRIGPTWVAVHNTNIN YVRFAIDRPDEKLKSGARVPLRKLQTGGRLEQDAATQKLPPPTMNIEIRVDCDYRDVP KLVKYHPEFTIASGVSAPKIVSAFASNGLRYKQLFKGGNDDLRQDAIMEQVFEQVSNL LKDHQATRQRNLGIRTYKVLPLTSNAGIIEFVPHTIPLHDYLMPAHQKYYPKDMKPNV CRKHISDVQTRSFEQRVRTYRQVTEHFHPVMKYFFMEKFNNPDDWFSKRLSYTRSTAA ISILGHVLGLGDRHGHNILLDERTGEVVHIDLGVAFEQGRVLPVPEVVPFRLTRDLVD GMGVTKTEGVFRRCCEFTLETLRRESYSIMTILDVLRYDPLYSWTVSPLRMKKMQDAS EAGGGPPMLPGAADQRPSNEPSEADRALTVVAKKLGKTLSVTATVNELIQQATDEKNL AVLYCGWAAYA AOR_1_696094 MGDRDTLAGLRGLYQDLSSLTESSFVNIDRLRVELEAHIDDFRK LLDRPPKNNSSRQAVLSGKITVDDLEYSINEEFQQGALQLADALGIDELEAAHLFLGA QDHAQMLDRTPLIAAIMRFHERRHFLLESLRLILQESFEVEREMTQVLMQDMIAFVVE IKNGPLRNASLFARKCMKSMEDIEKWLVLVAEQIQKASIVGQAEDADIMEAIEYQRAS LQQQHESLGAILCYLFKGPYTSPEDLRLLLVNLRKLDRFDGLLVHYIPSIIAAFVQHG SPESSNSYKEARSLHTAVTSTKDGQSWALPTFHSAIIALWLAVYGGWDFDGPSSPLPG VDLEKDAEERTKMFMTALDDGGLDFMLAVCSGVNNEEWADPARSELVTLLLKESSSAM PESDTCAPYMKCLLMENFEVFVESCIANMPDAVRMLKSEEDSQRLDQITALRDGLTSS LHRGLVEARTHLESFLMVMAFAFEQRPDAAQEFWADPDGNLYGFLQWASKRQTVPRVS AFCELLCSISGSEDNATAAHRFLTEEDKFMSAKFKRSTSMNWSQMFAELELYATKVTE KPPASTSQTILRSRKSEPADMSEPESPVMLTCYLRLLGHLCRQSVAIRDWMLHHPSFN VVSTLLTLCSGPIPTHLRATVFATLAALMTERTPINGNEMWLSIDQWISGGSMSASGM GKIPVVSNPLVWHEQQAFQKFGESFDQANAFVTLINSLVSPTSDSADYHLSLPFPESL GSSYRMPGIEPYIDFILGHALSRKLPDLNERQSRMLTYNCLEFVVTCLKSFNESLVSV LSQPTVPSDVKTSSLVTYVRLHPFARVAEWLFNEDVIKAIFATAHQDIAEVSKAASDS ILVLSVVRSLEVMDLIMDLQSTYFNIVRPLIKSQTGGSRTSVANSSLSAFEDSVLSNL SIVPALCLYCSTAHQQLTITSMVLLEKLSSSTKLNKVSSPGLAKWRSSNKIVEVLSTE VEVDSVARPLVSQMQPEVRELDHGSQSSGYIIRESLLALLNSCLRMITDRPTIAHLLL GFSSVGNMLDISSDGLLANGMSLLHAIITFLQSYPDQVDGNILSWMVHLKRMALEVLK HLWSSRISSYFTLTEMRASRFLQSLLASQPIIGPNTPWDGFPIMTEEFWISDSASALA EFLLFRSYLYAYATTEVRSAAKLRSPTLQADIMSTLFGNSTSETGDAVLNPTVFDLFD FADLDIGRQLQPPMLVLLDGIMLEACAKEADDSLVLYSEAELEELIQLRKEELLSSGH LRPQDEDQFLAEAEGLKIFVHATNQSRKINNNRYLALRSWTELITTMLTCSEIEGGRK STFILHTIQLILPKLEAAVEEDLPEATELARLAEVLVTKLESSATKANSARRSGDVID EKLHQLFQICVRGIALATGNVNLRETFYNICSSYIARIIQPDTGHESIKQHSHQIVKM AGTTLIEAICDDAYAGQETCRVSALLFLNLLAALDKQGDSILAESISQSNYLSLFLDA IRTLPIELRNAQANDTPLLLSYYESLLSLLQQLCQTKAGATHVLKTGLFEAVRGSQLF AADPDLGIDIDNPDALRRYYDLLDSVLRVIVSAAFSRGLHNEQMMEQTRAFLAENRQS MVGIFKRFAKIGGGGAADHHNALTNLTKSYMALVAATDFLEFEDNEVRELAQPKLFS AOR_1_698094 MQQNSRGATEQRSGKRKSTGKRKLSDQEEALQQPQHQQATISEL LSRNHTTHGKEHHHHLHQLSSPTSKRPRLSPSPSGLTPAQGSRGPASSNTMYNFSNKE TKGSGSFGQVTPGTNPGNTAARPRSFNAPVRQSNFTPHTGAKKLVVKNLRVGSRLNQD SYFEKIWGQLDAALTAIFDGGKPEISLEELYKGAENVSGGNNIDTLRAVVDSWTTWQS KLVTVRWIFYYLDQSFLLHSKEYPVIREMGLIQFRQHIFNDTVLQPQVLQGACDLVEA DRDEGRSISADSSLLRNAIEFFHGLDVYTTGFEPLLVSESKKFFASWAQHEASGYLAT FAENSHRLIEQEVDRCTLFSLNRSTKQKLSELLDQELVAEQENVLLNQNDILGLLRAG NKTALEKLYTLLQRRDLGAKLKTAFSSYIVEEGTSIVFDDDKEAEMVVRLLDFKQQLD ETWNNSFHRHEELGHALREAFETFMNKGRKSGASGGTDNPKTGEMIAKYVDRLLKGGW KLPPGRKAEDVPLADEDAEINRQLDQVLDLFRFVHGKAVFEAFYKNDLARRLLMGRSA SDDAEKSMLARLKTECGSSFTHNLESMFKDMDVARDEMAAYNSIQRERKHRLPVDLNV SVLSAAAWPSYPDVQVRIPPEIATAVSDFEKFYYSKYNGRKLNWKHQLAHCQLRARFP KGDKELVVSSFQAIVLLLFNDISEKGTLSYLQIQEATKLSDQELKRTLQSLACAKYRV LAKKPKGREVNTTDEFSYNEGFSDVKMRIKINQIQLKETKEENKTTHERVAADRHYET QAAIVRIMKSRKTITHPELVAEVIKATRSRGVLEPADIKKNIEKLIEKDYMEREEGNR YQYVA AOR_1_700094 MSAQTKHFFSDPNHLVVTALHSLTLTNPSLAFDPENKIIFRRPD SLKKKKVAIVSGGGSGHEPAFAGFVGQGFLDASVAGTIFASPSAVQIRKAALDCVDNE QGVLIIPMNYTGDVLNFGMAAEKARAAGIKTEFFAINDDAGVGKKKGGKVGRRGIGGG ILVLKIVSALAETGASLEEIYRVAQQANSLLATVGSSLEHVHIPGRPASEDTIPVGEV EVGMGIHNEPGSHRMKFTLPELVKTMLFQILDHNDPDRAFITREPGDEFVLLINNLGG VSSLELSGITDEVYRQLERDYSIKPVRVIQGTFLTSLNGLGFSASLLKLADNGLGAGK SFLELLDAPAEAVGWSAPIPASTWDRRTDAPVELKKTKLAEQQPSNLKLDPATIRKVL GAGLRRIIDAEPTVTRYDTIVGDGDCGVGLKRGAEAVLALLEDNFSSLDEDVVKTVNR IVTIVENTMDGTSGAIYAIFLNALVHGLREQDKGKSTPATAEVWGEALKYSLGALGKY TPAKPGDRTMIDALVPFCTTLRDTKDVHAAAKAAQEGTEATKSMKASLGRSVYVGGED EWVGKVPDPGAYGLSEFFTGLVEAIPKQA AOR_1_702094 MSTDPKIQDLLNKPKSELTEYEVSLVEEHELTAGPLSLLQTATR THTQVLIACRSNRRLLARVKAFDRHCNMVLENVKEMWTEKPKGGKGKGVNKDRFISKM FLRGDSVILVLLS AOR_1_704094 MESQDGISVRPMRLKVLYTFDDASKTNCLARWPHLLDIQTAALD EKTQIGVIELKTCIQAIVSASPELVAKLGQDYTVYAYDYSEYETPLVGQGMLSWVLAS ASTTPNAPAHQSETMVTGRVCKNVLGLFSKGAQETLEVKLRLVPVPTVMQSEYLESMR KYRELSTVIPHEFDAQTWTNFLRQNPGLMTPSITQQPERTESPMDHSAIERFHQLLSE GSTPREFPPVSANGYRSVSPSQSALAAGSRVSTPGGQRSLYQQDQVSQPTQQQSQQPR LDRAQSDIIRPSSSASMRDSDFAIQSRYASRRESIQSGYGSCEESGESQPRKRAKLYR AECPGKSDFNIERQPSSLRVAASTAASVRIHRPTPINPAIAAAQNSHEEPVRPPTPIS NTNDLPRRARTMPSLLRESSVQSNNYESPYNASDDQTPIECNTHSPEESRYQGLFEPS FSMPSSPPVLDCSFAARSSPVLPPMVTDPDSGFMSGGMEELLDEDIGTPLEDYTRPVT NDAGSEKRRDHPAVQASSPVGARPNQETRNENTVVGDESQGQPAKESAPAPPRAPASA AGSRPSSRASFRQAPKPLAPAPMSQSEIEQLISAIPASDPVMPSQSQGQNSAWAAGPM SDFTAAETPAPQRVAEDGKVRSGAGARRLRQVQARLDKCIRDGQVPPYCENCGAIETP TWRRAWSKEIIGNEQEADEMKKDSGMLFWQSLERNDQDKVTKFKIYKKSLADIDNDFA QVLLCNPCGLWLHKFKSMRPENKWNKSSNGKRKRPPRNRRGGGPLSTNGAATRSQSRA ELTKVDGSSPVASDASSPAAEDGITPRDTNNNENENEDDSQEPPSKRRRATSLEPRKS SDTAGSRWQEDNAIEALRRAIQSSPARNFESRNSATAGENSLTPKSVRRVLFPNSQAE GGPLKALGESVLNSPRRSPRVASHESAKQPQDKENGTSDLDCLFESPSFELDLPTSPT PRRRNPRTGVLGEKSLSLPGHSPSSSKVRKDINTTPTKLTAQRLQRIQNSPGSSPRQG KTPKQSRLHMPELPSLPDDAFSADAFGGMDKVIVDIFSDAPATNADSLFGFDPTKCPS SSNWPDWLPSDYVSPNGSEEEQNNGDDDSGNLINALLSNSDLHKENHFDIFNLDSNIL DSGFFSSDALDTDVMALNVKAKSAEGSSHKEQAQNNTPNAS AOR_1_706094 MSLQTPRVLPAHLHAFHPSNANPHVTNTVRILGTVTALRGDTAT ITCGDNGDVTLILKPDSHLQMGKLVEVVGKVTDVEGQGLGVRVLGSFDWGNPSDCDYK IYENVVNATHRFKSLFYESAE AOR_1_708094 MAQTIPLPLSGIEHGKRILASVVETRARDGPESTWVSVPIDNED LSRGFKDISFQQLNNAANHAARWLGEHLPGTSEPFQCFAYAGPKDFRYPILAVAAAKI QKVMVLPSPLVTAEAQLRILENKRCTVYLRPSSMASQVDAILREAPRIQAVEVPEIDE FMREDEAVPYSYGKTWEEGKDDPWLVFHTSGTTGYPKPVTYTHSMMTFPDIAASLPDV ELGYIHQYAYRRWYTPVPSLHFVGTVMTLGMPTFLHMITVVGPPVPPSAEIIKKTLQY GQVEGALLPPALIDVLCQTSPGLDALRQLKFVHYAGAPLSKKTGDQLASHVRIVPCIG STEAGGYFTKIHDHRDAWDYISFQDYAGAVFEKRLDDLYELVFTRRPGDSPQQIFKLY PDIDRFETKDLWTEHPVHKGLWKIIGRTDDYVYLSHADGLHASLLEPEIEAHPRGETQ EELISSLQPYIEKVNARVHDCVRLSTERVIVAAEKKPFIRTIKGSVARMQTLALYEDE IAALFS AOR_1_710094 MTSLISFFLFLSLAVLMQGCQALPTRAANAAPTFSAPFGAAIGL GIILGVPLAAWGFIHLRRHINNRRDRQRWRRQMTVALKAEMAKEEATVARIPRFGGGQ EVTKPQSCHIKDSGPPPSPVASLFTIDE AOR_1_712094 MPPPLPSHHRGMTANPLKPSRYRPGKPIAEEPSSSEEEEEEDEE EQIKEQERRRLEQQRRQRAQAPKATSFPGGNITKGVKNVQIEEDEDEEGFVTEEEEEE SKPPPRVAGDNGGAAAAAPVQAAGEPVSKEESEEEEEEEEESSEEESSSEEETPRRVL LRPTFIKKDKRNNAANQTQNGQGASPNTAAADSAAEAEARRVQRQEKADMLVREQLEK EAIARSAANKQWDDDELEAVEENGIDDKDGIDPEAEYAAWKLRELKRVKREREAIEAA EKEREEVERRRNLTAEEREREDREFIAKQQEEKEATRGQGGFMQRYFHKGAFFRDDLE REGLDRRELMGARFVDDVSRETLPEYMQIRDMTKLGKKGRTRYKDLKTEDTGRFGDGF DNRRRRDAPVGVRDERFLPDRPDDRPKGPTGANAGPVRERRRSRSRSYSPRRDRRPDR RERRESPDRDRSRDRYRPDSRNRRKRSPSPYDDREKRRRLGSVS AOR_1_714094 MAHPARHSAMVSVSNSAANHSNASDKQQPPQQIQHIANTGLRVP SNRKTIYDRHLNRSRNAESSRASFAFLFAEMVIYAQRRVTGIQDLEKRLNEQGYPLGL RLLDLLYYRTITSSTSSSISSSSTSAAPPNRPLRILPLLHLIHGPLWRLLFNRPADAL EHSVSPDTPNEYMITDNDPLVNTYISVPKEMSMLNCAAFVAGIIEGVCDGCGFEAKVT AHNQPTEMWPGRTIFLVRFGESVMEREKVLERAGIK AOR_1_716094 MDQGQFRDNAGHGGAGGDTDSHKLEVVLTPNCSHRRKSSLVMAN EPGKPRLDRADDKTACFVHSLIAGEWICPPGREKDARTARDALDDAMGFDDTAIDTDG VTVTDMDDWTPKQSETIVESRQLTKKQLSDMAWNVRKLSKKLDSIKLKLSVKNVFVVT KAGDDSVIAFTRKLTQWLLSKDRDTEYNVYVERRLEAAADFGASQLLEDEPSAAGRLK FWDNKFVYENAYLFDFVITLGGDGTVLYTSWLFQQVVPPVLSFSLGSLGFLTKFDFND YQKTLGSAFKDGVAVSLRLRFECTIMRSNGREDGSLTHAKKRDLVEELIGEEVEGTLT HKPEKVVQILNDVVLDRGPNPTMSSIELFGDDEHFTTLLADGVCIATPTGSTAYNLAA GGSLCHPDNPVILVTAICAHTLSFRPIILPDTIVLRMGVPYDARTSSWASFDGRERVE LHPGDYVTVSASRYPFANVLPQDRRNNDWVHSISKTLNWNSRQKQKALKG AOR_1_718094 MSKVIFTPWKEQSELQSVRNQFYPPPTYDGPDMRSRACATVAAW KLRGNLPHPVEATALLTDAILHDDAKKNSIFSIRATYSAAFCRFVTGLVDSKLHGQRK TMFQRAIDLGLPASFVELRHEATHRELPSLTVLRNAAQRSLEWLWDYYWAKIDLDAGF APDGVEDDIEPVKAAARACLAQVTGETGASEPPRKKRRVQVLSGVATQLVSVCKSSGK GAAAVSEVLVEDEVLVSGERKLGTSLDDEFSRWDYFLQVIVEGYPAFLTGLVEAMVDV LAFARSATKEDAHCEGVYMWLDHILCSSQWESKRRLLSFAYALSACEQNSNHWTDMLK ERIQEVDNDLSSSPGGQGELSGKDKNAPQSLHTGTSHDLDSLKNMGWEVVDTWDSRPL GIV AOR_1_720094 MANYIQPQAGSYWLQADQPCVPSSNFRDMMYAFPRPRHTGRVMK PRSAGNSPSSAGRWRAAASHGSPIYQPVQAQPYQAQVNPALLASALRRRRATATRPIS WHPASLETAAYTSPPYFQTTAAENLGVMAPSTQPMGPATTTLADADPASMQQSSFLDM SGSQIDPVTWDNSAPNMTTMAQPMSDNWPFDMMSMNNSIPSADVEASGYASAPSSGYL TGPSTPDFLPIQHPDSTSKSLHESTSDEPAGDELVGMGLYNHPDPFLDTSFHEVSGKG LKLEETFTPSSDDEADDSKDAESEDDNQETTEQASASSSAPVQKQPANPPTNMMHKSF FFDDDDIEQQTMSAPQQLFNLGSQPCMNYGYGWI AOR_1_722094 MHIQSIPMWTGKGNNYAYLVTDEPTKKSVIIDPANPPEVAPVLK SQIEDGKIDLTAIVNTHHHWDHAGGNDELLKIFGNKLPVIGGKNCQSVTQTPAHGETF KIGERISVKALHTPCHTQDSICYFMQDGEERVVFTGDTLFIGGCGRFFEGTAPEMHKA LNETLASLPDDTKVFPGHEYTKGNVKFCLAVSQSEPIKKLEAFAEQNQQTQGKFTIGD EKLHNVFMRVNDPEIQKKTGKTDPVEVMAALREMKNAM AOR_1_724094 MTTQNDPPGDPKTLKSQILETGAAAVQDFTPVKQICAHLNAFHV YVDDPTRCVEANHYCSHITEDLRQCLLYSSPDRNAKLLGVEYMITPRLFATLPPEEKK LWHTHEYEVKSGMLVMPAPSGMPSTVWETAETAEMRDILPLYGKTYHFWQVDRGDPVP LGAPMLMGSFTTDEDVKRVHEGGLEGLCKDRDGRFGVDVKHKREVRKGIERPEGWVVD SAADAAWKK AOR_1_726094 MSRILRETLRRATPGGVFRLTLDGVGLFCACTLIWEHLITVQLS EGPSMYPTFNPRGDYLLISRVHKHGRGIEVGDVVRFYHPTFLGVNGAKRVIGMPGDFV CRDLPFSTEVGKSQEMIQVPEGHVYVGGDNLPWSRDSRNYGPIPMGLINGKIIARVWP LSKAQWVQNTMQPAQLDD AOR_1_728094 MAHCERAAKPLLQCLQKSYPRALPTLQVQSTRAFQTTAFAREEA QAEPKSQPFHKAPDPALVSSPRLERRLIRQGVSPIGSRRRRAALQSSPNVPFEQLPYQ CFQEARKVLLADREEKLKEIVSMREKIARLQAVPTEEAGGEQVKKSRLVAMELHLERL KILADINDPLVKKKFEDGQGDMSKPIYRYLADRKWREYRRKILVQRITQMKVIPDVLP HCDPVVDTKLYFGRSPVQPGEFVNSRVSTSAPKLDVQLFDRGEKLVTIAVVDSDVPNV EKDGFDYRMHYLAVNVPISAVSTKVDLSKLSSDSQVVLPWLPPVAQKGSPYHRLSVFI MEQKDSKPLDFAAVKAKETTRDNKLLRTLQARYHLKAIGAHLFRTEWDSTMAEVMKEN GYAEVDMELRRKRVEPLPYKRRNPSTFR AOR_1_730094 MPGGDALRALRWLSRSTGGLLSGSETTTQRCLTKSFSRSMATES QATTEHLTREAPNSVWTTEPARATTYSFPSMEPLRFVEYPRNHLLMPLRKDILHRAVI YEGDMTRQGSANTKWRDDVHGSGRKLHAQKGTGKARVGDKKSPIRKGGGVAHGPHPRD FSTSLPQKIYDQAWRIALSYRYRRGQLIIIDNDISIPEDATPYLIKEIFKVNNWGREF GRSTLITDQPNEGLFATVREVGEHAKILDRKDVDVKDLLETGRLIIEKQALDRILANH SRDLAAKPAKALY AOR_1_732094 MLLRHNISRLSTPSSWISRVSPATMPLRAKVTNPAFKAATMSTS TNLPKELPGDEPDDVLFNSLYGVRLVELNRPKKLNSLNGSMARKILPRLKEWEKSQLA NVVMVAGAGSKALCAGGDVAALALQNEQGPEGQQKSTDFFGLEYQLDHTIATYSKPFI SVMDGITMGGGVGLSVHAPFRIATERTVFAMPETTIGFFPDVGGSFFLPRLDGEIGTY LALTSERLTGVQALYAGIATHYFHSSVLSNLTNRLAELVFQDQATPQERMDLVNNTMA EFSTGLPSLAEEPMLIAGGLRSAIDRCFKYNTVEEIFQALEKETEQKEWAQKTLETLS IRSPTSLRVTLRQMRLGKKWSISETFQREHHIASKFMKHPDFVEGVKARLMSKPPRQA SWQPATLEEVSQADVDAFFEIPEEESRLPLLSETTYSEYPHAHYGLPTENDIAKFVRD NTENKQQTVSDFVQKWGNKEGVREKVAEVLARRTVQTPEGLRWE AOR_1_734094 MSRLDSIDEAEPFLPPSSLSESIETPIRASKPVLVRLYISHSLS TWNSRMFEFGAVLFLASIFPGTLLYASVYALVRSLSAVLLSSWLGSMVDRSNRLKAIR QSIIWQRLPVALSCACFVALLTTSGPSYISPLLFAVVTLLACFEKLAYTANTVAVERD WAIVVSDALQIPRQDLNASMRRIDLFCKLLAPVVISLIDSFSTRVAIWTTLGINASCV LVEYFAIAQVYKSVPELVRNQETDDNQNEGEETTSDGQNFQRSIAHSTVQYARSALAP WREYVSSPLFLSSFALSLLYLTVLSFGTTMVTYLLHTGFNSLQVSAAAVAAFAFLDNS SQLVAVSLIVGVALSRVGLWGFDLSVQFLVQEGVDEHARARFSSTEMALQNIFELFSF ATTIVFPLPEQFKYPVFISYGAIAMAAVCFAAYVRKERGHLLHTSKCFGGDKLRLFGR EMPSRDI AOR_1_1282094 MEVPKARGTGRSGPRRRTGCLTCRARKVRCDEAKPTCANCTRLR LQCIYKTIVPGIASRRSTQRISQVPQQAFTIDSASTHVPDRLDVNYFDTVLQPRELRP RRASHILPHQVTDHVLPSTSVPVSDFPSFDMLGFIGEITSDFEQKHLDLTNGVSAFTL TSEALSPTTPGHVGGNTSVETLPSPTDSQSEGVQNVLSGDRTIWPETRKTYEEQLLSH FAEIDPPPTTFGSIALEWNYVRDVIVSHSGDASCLLNALYCYSDIRKAMGEKNRWRAA PVYHRQASSEIQSCVTESMDDSLLKRALTAVFLLMLSEVISSPDLGDSNTSYLHSAYL LLQRFHHRTKSWTGFGHLIVSWISLLDVRALIAGRDGDPLIELGMLDQAGTSEMDQRS EDELLSKPGYLIHNAIVGPAYSFLFKAQQVIRRIVCLDMHHRRRGTVSDEFEVLQVAH QIGADLEGLWNKRPRVLDVYDKPEELYNTLQPAVADEVCRTFRQYIANFLAIFIYLHR VAFVIYPRTDRVHRAVDQIIQLATVESGSENHRLPISFTWPLFVAGLEGSLEQRGWII QEMQRMADLPSDHSPVAQRHPNAKKILQLLEEMTKRQDASRTWADSRLVRRELFVDPF VLI AOR_1_738094 MAPKRPNFLVVVADDLGFSDIGCFGGEIRTPNLDRIAKQGVRFT DFHAAAACSPTRAMIMTGTDHHIAGLGNLIEWTNISGQNGPKGSAMSTAPQRGMPGYE GYLNERVVALPEVLRDAGYHTLMSGKWHLGLTPERSPFNRGFDRSLAHLPACSNHYAY EPQLQGTDETPTFLEASYIALHMEDDKYVKKLPEGWYSSDGYGDKMLQYLREWHDRSD ERPFFAYLPFTAPHWPLQAPREYIDHYRGVYDDGPEALRQKRLQRLKELGMIRSDVEA HPVVADEVKPWSEFTPEEKKLSCTAMEVFAGMVECIDTNVGKVVDYLASIDELDNTFV CFMSDNGAEGAAYEAYPMVQSGVMPHLQKYYDNSLENLGNGNSFIWYGPRWAQAATAP SRLYKAFTTEGGVRVPFLARFPSSVSVGDHVRNGSITDQFATVMDLAPSILQMAGATH PAPSYKGREVVSMRGRSFYPWATGDAPRIHEQEFIQGWETCGRAALRFGDWKIVYIPK PKGPERWQLYNLAEDPGEIHDLAEQHPDRLKQLLKLWDQYVIETGVIPLNPDLGEFLE ATEAQMPENAWMEYDYWKKGARDEPEKFMRNPPRFQRVVKQF AOR_1_740094 MRSYAQIPQWDHTESATVQPELLSRQGVFRIYWLTAIVCCGGIL FGYDSGVIGGVLTFDSFLRDFHCTPDVQTRVSAIAVGIQQAGALAGCLAIWPVTNRHG RRRAMMYCSAIFCLGVIFEVINSHSLPVFYLGRVICGLGIGGSATVIPIYLSEMSPTD MRARLGSCYQFTFTVGILVSYWVDYGIQFRAPTAAQWQIPLALQLVPGALMGLGMLSL DESVRWLLSQGDSPRAWTSLTWIRASSGPSIAAEFVQIKEGIEADRHATADFHVRELL ERPNARRFLLGISLFLAQQSTGATAMAYFGPQFFSLLVGGSGTNQSLTLLLTGVFGAL KVISCLAFIIWVAERFGRRPLLILGALAMSLCMASTAFVVRSDPSTTPTQTTSNSIKS TGILTISLIYLDIIAYNFSWGPLPWPCTAELFNTRIREPGVAAGVAAQWLGNFVWSAS TPYILAGMGWATFLLFGVLDLAIAGFVWGCLPETGGKSLEEIEVLFEQIVPDEEGEAC LGKSDDRCSISSSSSRHRDREGGERYGSIEE AOR_1_742094 MSVDQTLYSRTPTAMADPTCAGPAAFTAAGAFSQPDLMAFSLRE EEPIWGFDTIAPSMASWQGKMEQQTFCNPNMERGLKNTHVRNGQPTPPPFDDKKLQTP MGEMYPVAQYAFNSSPPEYAPPKHRSSLSEQSQTDGYGVSTRRRKASAIDQCEQQQER EKREKFLERNRLAASKCRQKKKEHTKLLETRFREVSNKKGELESEIEHLRSEVLNLKN EMLRHAQCGDEAIKIHLAQMVRLITSKDTPNRDLVSPMRSPEQMAASTPHGLSFGFDG PMQLPSEMGSPLDQRRDSEQSIMTESSYTFSTDDSFEELINV AOR_1_1284094 MGLFSLFSKSSASESSARTWTLAGHGKLSDTRHRQYTALPSDMG HDTSIGTGSNSACNEQSREGHSNSLRQEKPQAQHEQTPFLKDFSSHVGTNDTKIASLD AAANSPHLIKGSGRFVTLLRSRKSRRRKSQFRAPELHDEYAARNSGCCAYMCTVSDDT GTHQSANGRRLLQDHKNLLGPFEDRKASDRTTLSQKSDLTSVTVSHHSSKRTLTPIAL FHKENVRQSPFDELGESCRRVSSYYPILEPSMTTTSSATQASSYCGDMNPEIAHMAGM LAKSGNPFDQESFHDHENLPLVTSSRTVSRDSTWSFHIDRHTAAVAFNELAAQIHLVP LDLDNYESDKEFGPAAPVDDPNVIGDNEPPRRRDRVLGRIRVMRSTLHMKAQPAVTHT RTLRRMKTLANFSSRSCELSALKGRSLENLARLGGHSFLSFPVEFAPAILRLPTCFVA TISYLRCFGLSTPEVFCNAGNLKTAARIYNHFARQVLSAENVHDRIEVTVRRGEMPVD LIRILGHDTSCECSSQVLSVGWVFKALLAGLPGGILGSARLYRILVSICYGRIAESNE RNGGCSGSLSPPGHTKMQAIGLAVLALTTPMQLNLICAFFGLCAMLLYETERASEVDE LGGDVGRSGLMLSVERLGHVLGPLLNPDGGDGGQDTFRAIEREIENQRVMTMLIGSWC SINRQLRIWQNQGSVARRGSFFRLFSWEQSLADAECHVGG AOR_1_746094 MPMADKNPTGRIRLPPRPARTTDDTTVAAPPSASPITTTTPITT SISTTNNLTSTPSRHPVFSHEGNFVQPSSYLRPRGLSHPMPPAQPERAIDREERQGLC AIRNFLKVRNSYDVLPLSFRLIIFDTSLSVKESLNILIQNGIVSAPLWDSKTSTFAGL LTTSDYINVIQYYFQNPAALGEIDQFRLDSLREVEKALGVAPPETISIDPERPLYEAC RRMLDSRARRIPLVTNDSQTDRAHVLSVVTQYRILKFVAVNVSDTQKLRRPLGEILLG SYENVATASMDTPVIDVIHILVERSISSVPIVNSEGVVYNVFESVDVITLIKGGVYDD LSLTVGEALKKRSPDFPGIYTCSLNDGLDTIFDTIRKSRVHRLVVVDENFRLKGVLTL SDILQYILLEGENDESS AOR_1_748094 MSAASEPTVPAASAHSGEAVDSQTSPPSAAAENSSNTVDQAQPA TNGRDIESELPSSAADGLIQKPFPRPLDSAKPTPPAELTSDQQEKYNSVLKAVSAWTT VPTTSAKNAPTEPLTDNERMFLTRECLLRYLRATKWNVSEAIARLERTLTWRREYGVE KLTADFISVENETGKQVILGYDIHARPCLYLLPSNQNTEKSDRQIQHLVFMLERVIDL MGPDQETLALIVNYNETKSGQNASVGQAKQTLNFLQNHYPERMGRALVINMPFMIMGF FKLITPFIDPLTRQKLKFNEDLRQHVPAAQLMKSMGGDVEFRYDHATYWPTLNQLADQ RKAAYRERWIQGGKRIGEYENYLKTGTSPSLAQTEASNGAPAE AOR_1_750094 MEDIVGELNELFAASSPDGLPKDVLAELQSLLRVHSIAPQELFY KWESFCLKMGSEETKLNLETVRLFKRDVQESLERETRSKPGRQLPTATPRAAAATDVF GILDGLTPNASHGRTPNSAKRKADFASPSASKVGKVDSPIGSKAPRKPINGAATGDGA QSVPFSGRQNPGQTLETLNAHLSMPETPMAPFSEARIRPTANTDLKKFGYKPMAMRLS EASEILDDRIDEFMTIFQKEYETEDLPFGSAATQSTSEIVAVGRIASDSMEGKLNPAS LVLETSRRTGAGVRVPLKVDTLPSVNFFPGQIVALRGINASGNYFSVKEVLSTPLLPP AASSVPTMEGINERLEEAGSSPLNIMISSGPYTADDNLDFEPLNEICQKAAESYADGL VLMGPFLDIEHPLVASGDFDLPETNGYDPDTATLTTVFRHCITTPLQRLVAAVPSITI VMVPSVRDAVSKHVSWPQEQLPKKELGLPKQVRMVSNPVTLSLNETVIGLCSHDVLYE LRREEALHGKPKEGNLLTRLSKYLVEQRHFNPVFPPSSRDALPKPGIENGLATGATLD VSYMKLGEWWNVRPDVLIVPSMLPPFVKVVDSVLVINPGTLSKRRAPGTYAQMAIHPR EITEEEREQKHISHKLYERTRVDVIRI AOR_1_752094 MSKDSTPTHLHMHHPRLADYFEDFTRPHTSATPSTTTSSHLYNN HTVTYGSSSPTLVPSFLPIEDIYVAPQYQPPNPEDEDDVVPDQHAAFGISRAMERRRE AVWRDLGLEALVNSGSGKSAVRVKEVGRRMGGKRVVCLR AOR_1_754094 MANPVDPAQTSPPSTSPSPAPATQQSTDMSSQQPPSQPPQPSNG ASKPAKPPKQPKSKDAPPAAGGAPGEEKLSPAELKKKAKAEKAARRAKERAEREASGG AGAGPGPNAAPPKKGSTGGGAGGKEAPGQPYKSQRHRRGSATQASATEQKKKQEDKNV AVFGHLYGQPRRTTIAGAGKEVHPAVLALGLQMRDYVVCGSSARCVATLLAFKRVIEA YTTPLGTSLSRHLTTHLSHQITYLSTCRPLSISQGNAIRALKLAISSIDPSVPEASAK ATLSDFIDNFIREKITVADQVIATSAAEKIQDGDVIVTFAGSSIVKQTLLTAYKQGKK FRVSIIDSRPLFEGKNLARTLANAGLEVQYSLVNGISHAIKDATKVFLGAHAMTSNGR LYSRVGTALVAMSAKERAGGVEVPVIVCCETVKFTDRVALDSIVVNEIADADELVPSQ PLKQVTGLPDPADEADTKKGDSKKGGNKAAANAPPAESTPLPEGASPLTNWKETPNLQ LLNIMYDVTPAEYVDMVVTEMGSLPPSAVPIVHRMSTNL AOR_1_756094 MSLDPRVYHHVGHGYGPGSQSSVSSSDTSALTSITSPSIRSTTA SAAALRSNASSPSLRAREGGAMHGTYLDGASSPTPGGNVRVVVRVRKFLPREIERNAE CLISMDPRTQTTKLRAPRLDPEDEGKPKSQARGKVLEDKSFVFDNSFWSHDIDDDHYA HQEDVYNCLGEEFLDHNFEGYHTCIFAYGQTGSGKSYTMMGTPDQPGLIPRTCEDLFQ RIESVQSPDISFNVRVSYFEVYNEHVRDLLVPRTDPPHYLRIRESPSEGPYVKDLTEA TVKNFAELMKFMRKGDVSRTVASTKMNDTSSRSHAVFTITLKQIHHDLSTDETTERTA RIRLVDLAGSERAKSTEATGQRLREGSNINKSLTTLGRVIAALADPKQGRSGKRKGKD VVPYRDSILTWLLKDSLGGNSKTAMIACISPSDYEETLSTLRYADQAKRIRTRARVNQ DHLSAAERDKQIAEMAETIRTLQLSVSLATANRRESEIQNEKLEEYQQKVEKLQRLME ETKMVSECKIKQLQTENEALRNHLKLALDSLRNPIPPVTVEKRRGSLSPVTEYNKENR PGSPISDVETEPDLIWEDEDTIRIKGRELEAHDMQTDMEDLLMDINLFKRKLASDHER FRATQKQGGQKRRRALGDVWTNNR AOR_1_758094 MSMFSKAQETVQKAVSSATGSNKPDLSKWNTEEMLETTVDEHGN PVPDASYTDGDKLSRGILGRDEADAYVAATGTFHHSTKDDTLKPDFSKWNTEEMLETT LDEHGNPVPNASYTDYEKLSRGRLGDDEADAYIAATKNFRKPAKDFVDFD AOR_1_760094 MSGNSSSGNPPDLEAHQPPAKASFSTWWTQSVDVKYTDLICLLL CFTTGLCDSSAYNAWSCFLAMQTGNTIFLGLGASGQPTSKPWGWLKSLCSIAFFFIGA FTLANVTRRAGARRRGTLFLSFVFQSLLVIIAVALIEGDLIPHTSDDASLTGGPLFLE LIPIALLAFQSAGSITSSRALGYNEIPTVVLTSVYFDVASDPNLAAVPTTNLKRNRRI GSVVMLLVGAIVGGWLSRSSGGMQSALWMAAGFKMVIAFAWLAWKKEAAPSK AOR_1_762094 MSALYKLAAVNNGPETSWDDNHMRLLTRLNDEEIEILQLPSPKC SAKRISAELCQMPMKKDQIMGPPWRLGICAMEDKALSKANQEIFRRLQVDGLIEVILF GDKTLLMDGRTLHKPFVEKPVSAEDHNIYIYLPTTSRGTGGGRRLFRKVGNKCSEYDP DLVMPRCITEQGTTSSYVYEPLLNADNGEDVKAYAVGPQYCFAVTRKSPAVTGVVHRD ASGKEVRLPTEVSKEEADAAAKISTGFGQSVCGFDIVRNKGKSYVIDVNGWTSVKNQP SFYGQCADILQQMLMSHVANKIAKK AOR_1_764094 MKHYKPTFRCEIKGVAETQNLSIEQDTKEAKAGNVTIAGEVTGY KVKASPRLLDRWLDTVVRIAGSAPIFCFIVGALLVWAFLGIRFGKSAEWAAVISDVQA ILCYVFDSFLMRQLLREYSEQQEAMAEMKSRRNSHERMLGKLKNKLGPEGVHRVSQIS GNRPLDALDQGTRTQGWFARMIIFSAWAFGHIITVGMYWVCIFIWLGFGHYCGWTDQW QLYINSATSALMVLVFAFLDCLRECYADYVNNCLDAIFRLDATLEKELRCITDDHLPN EPEVIQPPRENPLQVAVFYYADIIGTLVGIMILIAVIVAWAAVGPVFHFNDNWWLLIG TYAGLIGLFDSFILRNIQGKVKEYTVCQIQSLEKRDMTLFAKTQMAVPPKDNFDTSSV THRISIAMGNVCSHLLMVVAGFLLTIGCVIGSSAMRWSTTGQLISNIPPSIIETFFML ILITGQNDADASARVDMTNIYHRRQRLLWFVKDAREYLAVRPESADLAEKGDN AOR_1_766094 MYNQWIGFNIVNNSGSFIKISNAYLSVGKFYRWDDKDNEISSDS VINTRNLPGVQDLSFGSCGRSDAPVGTEGEISFEADGKVVAKVVWDCPAPAGSWNIVK SFSEADGFGISHNGDGSTGAIGLVTFEISNT AOR_1_768094 MHLFSTLLTLALLATAMAHAGPHDILSRSEISRRSAMGLKCREN VSQYHKRRWKRNIDRRWHGHNTTFSVHTEAPYFETIQNDTCVLTPEVTEGPYVYPPSQ TLRRDMTENQPGVPLWLDIGVLDMATCEPLEDVLIDMWHCNATGSYSSFTHLSPNTPF VELLNELGINGSDYKIGITDLHTDNTTFLRGMWPTDKHGMMEMKTIFPGFYVERTIHI HVQVHTDWTLRENGTIVTGNVVSTGQLYFDEALAEKIMSLEPYASHTQINRTTNAEDS IFPYDTAGGFNPVVDIVPMDGKDVTKGMIGYITLGVDTSAIEHGDNYVGVL AOR_1_770094 MYHYSTPPPGWSSHYMTSPPTSPHYAYYATSVANPYGSPRPSSK RHSRKASYAGTPKEAAGWQYSGYGTPFYDAMPEYSTPPRKHENVPVHDDDSPKRSRAR RQSTSTRTPSKPKPTTSSKPPPKATEEDAAKAGIPAGYSIKNWDPTETPIILLGSVFD ANSLGKWIYDWTVFHHGASTPMADVAGELWLLLIKLAGKIKRADECLDRIKQPEHQEV VEDFLESGERLWARFKKLLKTCEQFMWKAAKREGGKSVSMGRNAGCEFVETIFGRDRE LESTEKLMNSVRLWNMRFDANCEDILRRPLA AOR_1_772094 MGTHETAVSKGIPNLDVRPPFQERPDLPTGCHHAISTTDMWSSQ PQVLSTIPPWPCSGNAPEAEATEVVEEQDERESTDSPPLRAETCHSPSLSQLLLARSV GRTPRLRYLISYYAEVIAPMIVAFDGPTNPFRTYFLHLAQESVSLQEAIATLSTCNIR QRHERRMRPTERSLPARLSSLAHRALTDEAFRDEYGGSRPEGFTREEQYHRGMAVKAL NMELADPRERLSDSVLATLLVLCLFHMCDTGVAQFKTQFAGVTKLLAIRMRSSPRMSE ELKWFIRVFTWFDTMTATTNDRETQLRGACLDIAAVSDGEWGLENLAGCDPSLFKFIA QLGRLNLLSQDHNIKAPGLADVFVPSSAPPPSMTYHYSPSSLMSDIPAVSNTYSLPLP CLPQSSDPTRRPSSPTFWAEWHSLRQKLESWRLPRQDSQHIGAVPASTTNAYISPPSS PSSHAVVTHQNLEDVLHISESFRHSAILYTERLAYPDLPSTHLRIQSLVHRAMHHISL VKSDVYLLWPLFITGAECVLERHRTFIRERCKDLSKDSGFLNNLSCLELLEKIWAEIP AAADVGDNTDRASRGTYSGPSGDDVSTFGDNSPPYSEMSAFPIQALAARPHGFRWHRV MQAKRAEGEYMVV AOR_1_774094 MSIFRPGRLRTVLSATAALILICTFYLYWTPPPASTIPSTAFEV PLTERQVAFWKVLRSILDAHAPNCPSPTLATSVSATHFNATTVDPRPDLIVFGENELD VLTEAHANYLDDIKTAKKLRPVHSPGTRGIVTTAGGSYLPVFLSSLRMLRRTGSTLPV EVYMKDASEYEKKICDNVLPDMGARCLILSDVVGKNVIQHYQLKVFAVLFSSFEEVVW MDADCFPMDKPEILLNHEPFMSTGLVTWPDFWASSVSPAYYNISQQPMPPMTERQSSE TGIFLVSKKTHYLTLLLAAYYNYYGPSHYFRLLSQGAPGEGDKETFLQAATAVGEPFY AVSERVQALGHQKPDGLSGSAMAQSDPIGDHALTSQGKWRVQDPSVDKPPRVFFIHAN YPKFNPAENVFGYHWETTPTLRPDGTEGRAWTAPEDVLRRFGIDIERAYWEEIKWVSC NPDIEFRTWEGKPGVCEKVESYWNTVFAEPHEDDPKFVDEG AOR_1_776094 MSGQSSVGTNSLYEAGDQRNQPQSVLNEQDRYNEGQKNSHKNLD SKDNRSIANKLAAREKQPDPSHHHNNDVDPEAEVSKKDSTKPAKLHGNEPSKGAKIDQ ELQEEDEQRLREKGIKK AOR_1_778094 MSSTSTPLTTPPTPQPDPRYAQLFHDLSTRFAQTSLPPEKWYIL AISTIVASPDPERCDQLYLHLINQAPYSTPSARQELIRRLREALFKSIIIVGVCKPIE AILAISKYEREEDKDYTFTRENWQCDQANHDRGVAWLEKLYARNTTGTLDLFRAHQDF GWLSKEITYGLFLSDRGVLDDLDTQMVVLPAIMSQNLKNETHWHIRGTRRLGVCMEDV KVVWECIQRVAGFYGTVLDKVPTVEEVESDV AOR_1_780094 MGKRKEIKDNDVEMGGTDPRVDGDESDEDMDIVNVDFEWFDPQP IDFHGLKILLRQLFDSDAQIFDMSALSDMILAQPLLGSTVKVDGNESDPYAFLTVLNL QEHKDKPVIKDLISYLQRKASSNPDLAPLSQLLSQTPVPPIGLILTERLINMPAEVVP PMYTMLMEEIAWAIQDKEPYKFSHYLIVSKNYEEVQSKLDMEDSRPQKKKKKSGDKVE KFYFHPEDEILEKHTRCFGSIEYTHKHDEGHSDSKRAFQELGIRTNGSLMLFDADKLE GAINEMKEFLQPPV AOR_1_782094 MDTAIDLSDASKALDLANIRFQLIRLEDTITFHLIERVQFPLNK PIYVPGGVKIPGDDIPLMDYLLREQERIQSRVRRYQSPDEYPFFPDVLEEPILAPLEY PKILHDNDVNVNDTIKRRYVEDILPAVCPQFGREDRGETQENYGSAATADVSCLQALS RRIHFGKFVAESKFQKEPERFVKMIKANDRVGIDDAITDAKVERKVLERLALKAKTYG TDPAFPTETGSKINVEAVVAMYKEYVIPLTKVVEVEYLMQRLKGTQWE AOR_1_784094 MDKHRRDESPSGLSDIVEHDGLLGTGLTTRHIEAFGRKVTSTAG HLIGPTGDGNPAHYHNAMADIQRELRRPNTQRRVFALTQTTPTDLVRSKLSTSEIQSR ALSSLPDDLLANIPDDSSSYSLFEGFQASQDDIEYRKAHRRRASKSKKLLKDGENRAA LPSAPAELKKERDLLSRRMELMGVRKNMCSSEIHDIDNKIANLHNMRKIVLDRLAGLE MEEAELEHELTELENKLEDIQEETPETAVVGTPKSSANDESMASEDPAMDASFMSESI YQKIPSPKSLKHKSKRKRSMPILHEHFSPGSLIKEMEAHTDMVTAIDFDYPFGTMITA ALDDTVRVWDLNVGRCTGFLEGHNASVRCLQIEDNIVATGSMDASVKLWDLSRARSRP RSSRINKHEDEEDAADDASQVSHSTTLEDCHVFSLDSHVGEVTALHFRGDNLISGSAD KTLRQWDLVKGRCVQTLDVLWAAAQADTLNGDSTWRPSGRVPDASADFVGALQCFDAA LACGTADGMVRLWDLRSGQVHRSLVGHTGPVTCLQFDDVHLVTGSMDRSIRIWDLRMG SIYDAFAYDKPVTSMKFDAKRIVAAAGESVVKVYDKADGNHWDCGAGVGADEQGPLPA TVDRVCLKDGFLVEGRQDGIVGAWTC AOR_1_786094 MPPKRASTRRAGAVTRTSERGTPSYIPNMSSPDARNPALPDIPT KQSFAYGSSTTPILPRELSAKPRMNLAEMATNIDEGRRVAQDRDFDRPHMNTRSRRQS ISASLSPVRRSRREPTPDQLQLLDSLREATMSPNPNGQDHAEQSTPTPTPPIPHTLST ASSPATESLTNPKYPVLTTDQLYPSPLLRYGSPARNAISLSSPNFATSIDNESVVSWN VERDIHEDDLQRTRPNGYLDGPHGKNITAPPRRFSGFAFAQEPIEELDEPTTQLSITK SRSPEREPEPEPEREPERQPTPPRAPALAPRTASKPELSSAPTRTIIPSNPIREASFD ESTHESTSPLRERVKSNVRSVGNAAVGLQKGLPIKPVSLVVLAVVSILTACFFGDQIS SISSSIGSRLPLYGSPFRDLNATALQAVHGLSNQVVRLGEEVSSLSKEVDVIKSEVEH IPAPSTIVQPIPAQETPKTNFLSIGMGVLVDPYNTSPTSGRSAGFLQKLHSRFLPSSS QQQPEPPLAALTPWQDVGECWCSKPRSGMSQLALHLGREIVPEEVVIEHIPKGASIRP EVAPRDMELWAQFQIVDESNPDSPPSPNPSRTSGILSEELSLHNHIIDTLRLAYKDEP EGAYSNDELLGPSFYRVGQWTYDLHASNHIQKFELDAIIDVPAIRVNKVAFRVKSNWG GNDTCLYRLKLYGHI AOR_1_1290094 MSAFKKNTELKEHLEGHIQLKRWPSECVDPSCSHTAADEVDYRR HLRDVHHYQRNICVRPETTCKKRSSSALDESSTSDRKQPMEVKRPCKRQKAGSKPSHG IKGLTFINWGPPTARLRAMSPAQVRKKDQDNQCPSINLHAVSQDGHSARSASLDLPGL IDDTSTCSSYSAVPPAMSAIPIDPQILQSPPGLCANQCKDMGSTVPVILGSMETVTAP MNQQRATFPIRRPWQTLRRWRLTAKRLLT AOR_1_1294094 MVMDQAWTWMALVAIAGLFWITRIFYRLYFHPLAKIPGPKLAAA SHLLEFYYDVILGGKFLFQVEKMHQKYGPIVRINPKEVHIIDPTFYNEIYASGMRKRD KYEGFVRSLAADESTVSTVGSEKHRFRRSILQNFFSKRSVMEFSSAIGERVEKLMRRL EVFEKTQTPVALDVVFSALTSDMITYICYGKDWKFLDHKDFNCDIHQAGVDFANFFHF NRFFPWVFMTLRALSPRMLALLIPGRAATFKFQESLLKHAIEMAANEQSDAPSKETEK SRPNVISNLINPSIPYMERSRRRLEDEVITILVAGTEAPVKVLSMAMYYLGSEPAIGE KLRAELKTILPARTSTATYAELEKLPYLHGVVYESLRISDSVIARFPRIAPTETLRYK DHILPPGTPMSCSSYFISRNHDLFPNPEKFDPERWIHAAEKGENLKQHLTAFTKGSRI CLGINLTIAELFLTIAHMCRRYHILLHNTEPEDVCTTSDLLAGYTRRGVLKVHAKLKA VRE AOR_1_1296094 MRSDYERDDELPAWASKLMNLTLFQNLVLRPVRQHKLISHRVMS SLILPEFKGSTVDVSIIHAGQITVPAVYILQSPIPGHDLLDIPCYSFLIENKRRKKVL FDLGPRKDWREKLPPTILGQIESARAVVKIEQDVADQLSKAKIPLESINTIIWSHHHM DHTGDPSLFPPSTELVVGPGFKLDKTTSLGYPMNADALVNDDAFLGRNLVELDFSGAV NIGGFLAIDFFKDGSLYLLRSNGHTNNHISALARTSENHFILLGGDVAHHPGEYRPTE HLPLPIEIRPSPLDKVTCSASACPSYIFENISPTTAKAKGKKTKVTPFYELNAALNED LESAEASLDKMTVFDGSANVLIIIAHDASLLDILPFFPKKITDWNVEGYKEAGAWRFL KDFSGAISRSM AOR_1_1298094 MLYWGTGTVIVISLALLVRLRDKRHPSTSCQADDNVVIITGGCS GLGREMANIYRKNGAKVAVLDIHLGDSHSILGETVRYYKCDVANEEQVEQVVNRIEVE LGTPTILINCAATSINKLPFHELPTQTFSKTINTNLMGPVHTIRTILPQMIASKRNAS IVNVSSVVAHVYPGGLSDYTASKAALSALHHCLDAEARYYGYDERIKFFLVEVGQMET PLFKWVKTPYELLTPVLSPKYVAEKVITAVESGCGRLIRLPRYASWACVYDALPTVMQ QYARQLGGLDRAMAT AOR_1_1300094 MVSYEWCLDSFNPFGITRNSLCFFFLVALCLCWSSSAWSSKQQR LPPGPPALPVIGNLHQMPRRNRWRALQRWHKLYGPIISLRLGQRIAISLGTHKVAREL LELRGNNYSSRPRFVVAGDYVSEGLHSILLPYGNQWRIHHRIQRELLTNHRTQAYRYL QDIESKQIVYDMLKSSDFVGHFRRYTSSVMFTLAYGKRLESPGRHEIAEASKITENIS LAADQAKNMIVEVYPVLDYIPRCFAPWKRIGTRLHAQTVQFFEQQMLEGLRSPAWNWS KHIIALNETKDLSNKEIVYILGALYEAGSETTATVLQIFVLASVLHPECIGHAQLELD ESVGNDRMPTFEDMPRLPYLNAFIKEVLRWRPIAPLGIPHAPSKIGEFMGYSIPEGAT IFPNNWTLDLDDAVFRDPYAFKPERWLEDPNLPLSTFGFGRRACPGKQMAENSLYIAI ARLIWGYNFHHAYENGLRVELDPWDMKEGVVSPPATFSAVLSVRSSVHQRLIELEWDS AEKDVNGILGQIESLMGSRAAAQKDSSA AOR_1_1302094 MFVLPTTFMSVSHGIYQLLFLALLATAWRIYSNRFRHPLSHYPG PFFWTISRLPYAVTYAQGTLHRRVRQLHDVYGDVVRVAPDELSYRTEQAWKDIHGYSR NFPKDMRFYQTSKNKAPSVVIAPDGVHGRQKRSILRAFSERAMKSHEHLLRPFVDSLI QKLQHASTSTEGGAVDLTEWYNYIMFDFMAHELFGQSLGCLENGVNHPWVDMLFGSIK VWAFLSQSKYFPNLSWIIKTAVRLFCRDLLNHRSKKLGCIASKIPEGEGSDSSLPTFN SFLRANKGPHSTLSTEEVLSNHSFMMMAGSETTATLLSGCTFFLLKHPQVYKKLTSEI RNRFSSSTEMTFSSLANMAYLRAVLQEALRMYPPLPLGMPRVVPPGGAIISGQFVPEK TSVAVASWATYQSSSNFNTPQMFLPERWLDTGPGDNDVKGAMQPFSVGPRACPGKNLA FGEASLILARLIWEFDLELSPQCSSWAYQRAYIIWDKGPLLIKLTPRI AOR_1_1304094 MVSINAANPRVTTILVDLGGVFMHPQLESKLATRESAISLRRIM STAVWMDYEAGQLSDRECFDQLAKEYHFQASDLAQIIKSFRDTICYDQATASIFKEIK QSGTRIFLVSNISKEDYAALRHRWDDEFWSIFDRVFTSSDLGVRKPSLRFYRQVLRAT RALPHETFFLDDRPENVLAALSVGMRGTFNMSELYRTLNNLVGDPVQRGLGFLRRQRG HFPTTTQHGEPIAENYAPLLILEALKDQSLVNLKAPPRLWNFFSGDPKYTSDAYPDDL DTTSLGLLTMPPDSEIVHSILDEMLDYIDEDGNVQAYFDKSRPRVDAVIALNVLTLFH KYGRGHELPETTEWIYSILLHRAYINGTRYYPNAEWFLYYLTRLLRVSSDPTLKERIE SPLRARVAERVGAAGDAYCLGMRILACNYLGIDNHLDRRILAEMQQEDGGWEASCMYL FPGAKRETGNRGVTTAFAVRALKEGPIYRSSSMGITGAGDTIPR AOR_1_800094 MRVSLTTIFSSLLCTVLVSSQDLDTFDKKCVENYGVPSADPVPG SFSNDDCTDVDGTRGAIQTAVDKLGDMNIYAVTKQVVNGINYVIFVTRNERTYRVPVY QDLTGTYSLQEEEICYTDGPPPAQIKPLDCGY AOR_1_802094 MLVTTVSLLALSAVASAHGKHQTPMSGPHQKLWYNTLPGDGGTQ ADSVFSGISTFARLPYFPCLSSEDEKYDIAFIGAPFDTGTSYRPGARFGPSGIRQGSR RLNLYGGYNVPLEANPFVSDLKVIDCGDIPVTSYDNAWAIQQIEEGHNSILMRKPFTD ANEYGLSRAGKTLPRVITLGGDHTITLPLLRSINKAYGPVSVIHFDSHLDSWKPKVFG GSPSQVASVNHGTYFYHAAMEGLLKNDTNIHAGIRTTLSGPSDYENDGYCGFEIVEAR EIDTIGTDGIIKRIRDRVGTENPVYLSIDIDTLDPAYAPATGTPETGGWSTRELRTII RGLDGLNLIGADIVEVAPAYDTNAELSTMAAADVLYEILTMMVKKGPLTVDSDGSVDL AOR_1_804094 MYRQRSPRYGDTPNIEEEPTEYTSLLPKPTDLDSSEDPGCYESH DSDDEGWRQYLTELWILFKGSIPVILAYTLQNSLQTVSVLIVGRSSPENLATTAFSLM FAMITAWMIALGGTTALDTLASSTFTGSSNKHDLGILLQRGFFVLSLFYIPVAILWAC SEPVFLLLGQDPQLSRDSARFLTCLIPGGLGYIYFEVMKKYLQAQGIMRPGTYVLMIT SPFNALLNYLFCYTFGMGLLGAPFATGISYWLSFILLVLYARFIAGSECWGGWSREAF QNLGTFARLAFLGVIHVGTEWWAFEIVALAAGRLGTIALAAQSVIMTADQVLNTIPFG VGVATSARVGNLLGSRNAPGAAKAANTAAWLSILLGGVVLAVLMGTRHDFAKIFNSDE RVVRLTADVLPYVALFQIADGLNGSCGGSLRGMGRQHVGAMVNLVSYYCGALPLGIWL AFHGWGLKGLWVGQCIALYLVGALEWMIVALSNWNKEVDKAFSRMDVHERLEDGL AOR_1_1316094 MPQIPCTKLDFINMDEEKKTEIFPGHMDTDIAHGDEKRPPFPSE SVERDGVKIHPPPTSDPLDPLNWPRVQKHSILGIVMLKYFLFTYITTTTVPSFPEIQS QFNINYSQVNWTVAIPALGLSVAISLVATIGAAVADTYGGYMTARFFQGFGVSPGSTV GMAVVNDLFFDYERGQKLGLWVLAIDSGLLLGPTFGGFLNLVSAAWINWFNAILFAVL LLLELFFMPETLYPRTRMLQHMPKDPSPYSTDIEQPQEKREATLQTTETDKLPRAKAL KFFNIRPIPGLRHPKPWDATLRFFLTFQFPVVVLAVIGYSFLWYWWVLSVITMVPAAY ATYSPLIQGLLFLGLFIGTLVSEVCCSGRLSDYIVKRLARKNEGVRVPEMRLWLAYPA ILITAIGLIVWGISIDKNYHWMIGQIAFFLFSSGIQIGNTVTSSYIIDSYPLQSTSVV IFYAVFLNLSAFINPFFIANWQASSGWTWTFTAQALVVLVAGTGVFAVLQRYGAFLRS RAKVPGWVNPEFDS AOR_1_808094 MGNGAKAASKRERNAKDAKGTAKSQLKVNEAAKDIICIVCRQTF LKTTRGPALTEHAANKHSKTLQDCFPNYVETPKK AOR_1_1318094 MALLELPNELLLQIIENLESEKDILSILLTSPRIYAIQQAGPLY KHNIQFSASSALGWYSARGRKSAVEALLEKGADLESRCDVGGTPIVYAAKYGHESAVR LLPEKGSIVNRPTLSWPRWTPLSWAVHNEHKDVVRLLLEKGSDPKFKGTEYDEIQLLG AAQFGDAKFVNLLLERGTDLECNHYLGRTPLSIAACHGQEAIVRMLLEKGADIESKDF FGRTPLIYAAGKGHESVARLLLENGADIESKNEDGCAPLISAVNVGQEGMIRLLLEEG ADIESQTHDGWTPLTVAASHGYENIAKLLLEKGSNIEAKDDDGWTPLICAAEKGHEGV VKLLLEQGSNIESEDHDGWTPLRCAVEKGRKGVVKLLLDKGADLERAGLTTEQVADVI RLLK AOR_1_812094 MTASPPGSPSGAPQRLSGIMRTPRSNSRLSMSSKQGGGSRASDE DGKTAVKVAVRVRPPLQPDDPNYELIPQRFQRSMVHVTTPTSLAVDVPQGRKLFVFDR VFPETVDQAGIWEYLNDSVTSFLQGYNVSILAYGQSGAGKSYTMGTSGPSEQTSSQGM GIIPRAAQYLFEKLEGPPKHNRNSSTGLRTPARYSISSTSSFSKVNTEKTWQMKATYV EIYNEHLRDLLIPESTPASERSTVTIREDTKGRIIPTGLHQVNINSIDDLLGALNFGS TIRQTDSTAVNAKSSRSHAVFSLNLVQRKSANGITSTKDKRMSMPADMSSGSDVSVTV ESKFHFVDLAGSERLKNTGASGERAKEGISINAGLAALGKVISQLSSRQAGSHVSYRD SKLTRLLQDSLGGNAYTYMIACVTPAEFHLSETLNTVQYAQRARAIQSKPRIQQVHDE GDKHAVIERLKAEVAFLRQQLRNAEDNERRSVGPQDRSERQNEREADLQNQLLDVQES YNALSQRHAKLISELARDSETEEGTDPNDAASYIGKNSIERLKRSHSFAESVEQVVLE YEKTIQSLESSLSNTRSSLSATESTLLERETRCTYVETVNTQLQARIQKLLERESGTE NYLHELESRLDGQSSGEERQAAIISELRKELTRARESEANCEDYITTLEERLAEADQD MELMQREVDRLEHVIERQRSLGKLDNLLYDLDHSQQNGSATRDHDEPEMETPVKRAYH PQRRAASLDVLTEAVETAIPESDEDLGEPAPEVEGDTLISEPPAEASEDLKALENSTD RLQIDRTPSPTQSRVVADKLDTVTQELIDLRMQHESTVSEYEILEAKYEQAMKELADL RQDAADEARHSSPDARHLISPAPTSRPVSFLEDAKAPDSMTGTQQSSSRSLSSELSLV GEPAASHDSSNAKSAPETENYVDAVSESDEAKAQEVEHMRRLLSEHQEGVSIMTQKYA ELQAEHAETLHLIESLKSELQKPRVSPPTTPGYKPVIRRKSSQTLIGNVDRAHRSLAA LRNIAVEEFDSRPGTMQNFEVHLDSAMHELHNRMERIQALEAENQSVKKEMETKSTII SGLTRERSSLQGGGSSVDMGLVSQLRDQVVQQENIINEMKEAHEAREKHLLAEIEELK ALLKTQEEAAKAYDAGAEEQDKKIGLLEGELTQWKTKHQSAVESLQSSEQQLSTTLAE LNSALATLDSMHAERAAAGETSSAEKEAAAKALENERSQQQELVEGLKRDIEQHKSTA AAHVDTIASLEKSHSAAQQQLSELIASKDVSGNEIEARQTRVSELEQEIETHKSHADS YKKDLDSLQESHKAELVELEARAKAAAQAEYELQLAEKDTEHDNALKALRTEITESRD ELVKLLKMVSNLLNSDVTADNLADQIQEILTQKQHFSDKYAELMDTNEDLRKQIELKS NDTDRVDELTKSNTEKEAKVNELAVLVATLEDTLNQRNEQIKKKEALVEEISAEKEKS VRLVEELEEQITNSFDQHHNRLSIIQQERDQALEDAKAKIVTYESDIETYKVRIEQLE LQIKNSSGQDSTSHDRSSSITSNLRKSSSATSLPSPPPAIPLPPLPTIASATNGASGS ISPPSSRHASKELANTQVVEDQEARIRTIEKHLYAEKQLTATLEEALGDLEAQSNKVK SDCEAWKKKAMQLDEELITLRKERNSQRLSLQAVEEERNARREAEAARAQLEERMNAL NKKKKKSTLNCF AOR_1_814094 MPGLKRSNVIIIHPDLGIGGAERLIIDVALALQNRGHKVTIYTS HRDKSHCFEEARDGTLDVKVRGNTIFPAHVFRRFHVLMAILRQLHLTISVLGETSRTS DTNEKSKESEVLEDDIFIVDQVPACVPFLKTFARQRQRILFYCHFPDQLLAFRGEAGS LLSFVKTLYRYPFDWFEGWAMSASDKVVANSRFTRGVVKEVFGGEKLGDVSVVYPCVD TEAAALRDPVVVKDGETLWGGKKILLSINRFERKKDMALAIRAYHGLGAEKRRGTRLV IAGGYDNRVQENVQYHRELDELATSLGLQTATSKTVISALSVPDSIDVLFLLSVPSAF RDMLLEHAKLLLYTPINEHFGIVPVEAMRAGIPVLASNTGGPLETIVEGETGWLRNAK KDADWTAVMDKVLYGMDQKEFDRMSIAAKERVEREFSLTAMGDRLEAEISDMLARERR PFAGLQQILVLLALSGVMLSLLAAFALKMI AOR_1_816094 MPEVINLLSSTPPPPPPSYQPRRPSLPSSPIPPPPRPFTLPILS SDWDLPESTYNNDDHNNDNNNNPPKRPRLSEELPSPDKPPSPKPTHIPASRNPLFLFS DDILPSSDGIRPCGGGREEEDPIVFTSSARGRENETGVRGGVVREGGGCGVDTITIDD DDDDYDLVSCNGNGDKNVIRNGGTGRGNMRDQIEGFSDDIAMSDLNELLGVDATTNKR PGLSSRTASLLASLDRSKSGSSGGVSSGRRGRVDVEEEVDEVEEVVPSRKTKAPRRTA TKATSADKEAKAREREAMKAQREREKQLEKERKQKAKEEKAREKQLAADLAEVNKLKV DKKESTPEMIIDLAREFEGLSVGNQTVEFMKRLKVEQTFFDSEIPNVVKWRRKVMAKY NDTLGHWEPCALHIREEEHVLVLVTAQEFVDMVIDTSSNTNDLDHHVHRLKSAYANCK PIYLIEGLTAWMRKKNNSRNRAYQAEVRRQYSQSQTQDQPTTTTSRRKKTTTVNKPET APPVSDDTIEDALLSLQVTHSCLIHHTNAPPESAEWIKNFTEHLSTVPYRRERMEGND SAFCMDGGQVKPGENKSDTFIKMLQEVNRVTASMAYGIATQYPSAVDLVRGMRRHGPA MLEDVKKSANRNGALTDSRIGPAASKRLYKVFMGLDPSATDI AOR_1_818094 MPPSNGRDSSIDKRDFDTNRPLLAIYDSGGDTECRDLHSRPESR ISARRYSDGEDGLLNDVVEEIVERDRRRLAKEVVRICSFALGIVTCLGAGSITAFSLY GPLFLTRLHYTQLRVNAVSIAAGVSMYLPVSIFGYLCDRYTPSPLALFSGTVFGIGYL LAAIVYKNGPPPDAGGNGYPFWVMVVAFVCVGTATSCMYLAAVTTCAKNFGRGKHKGI MLAIPIAAFGLSGVIGTFGLRIVDEDEEKYIDEAVEELERSGLLEESEFFRSRSEVRA AYGTFSQSDNADDEERTLSLTQTEEEREAARLEKEREEEERRKKNWLLNWETKVFLKD HTMWWLALGFFLVTGPGEAYINNLGTVVGALGPESSSSNAPSPAGKPSTHVTTIALTS TIARLLTGSLSDFFAPPATHLFPTNSEAVRPSSPSGSKRVTLSRLTFLLPSAVLLSLG YLLLASPFPVQHPELSHITTGLIGFGYGSAFSLCPIIISVVWGVENFGTNWGIVAMFP AAGAALWGLIYSRFYQDATDGGNGSTDGQCHGWKCFGYWALGCALSVWVAIAVWSVAW RSWKRRGVSV AOR_1_820094 MSSPPSASENTWSRPLVTPVASAQNDCSDGPERRDHKQPRHHAR DQLLHHGIQKAPSPSRAESPTAHQWAKPSAGFGLKRATTVPHEKLSRPDLFHSPQLSE RDSIFATHYLPSDNELSNSPQLPPEGDTDNELSTSLNLAGENASASPSPIPSSKVLPR HTIANLSHMEVDVHRNPSFPPSLLPSSIPARRSPLFSVGPDVDLDRQHETGQRSPQIS GWENLQPLRKTTTDGTGIESPEESPVNRSLISSSLNDASVLQHHSVVPLDHLPAETQQ ETTSFPKLEQPSPHAAPELGIGRSSSRGRRGRVDHSIEANLTNAEPTSHVRSRKSSHY LGLFKENTTSPDRKRREDRGRRHDDPLEPSEKHQPAIHSEPRHLPELSESQPQLLVST DDSNLRSSKSLSHLSMANAPVIAPIPEGDTSQRTMNDDVRKPYKTLPRSLLEEIRNFH LTPGGARGTSFSKSIPTQFAEGGRDYFSKEVPGLSPSSDTDRERRRGSAQYEDEDDEQ ISSAVYYPHERVTVSEEVDHVQSYPDGQHGAEPFDPSPTKSSHVLVPKRHSVSDEQEI NHVDISLRSKNDSRILHGDLQDRQAPQLEELIEKPPSATTDRGSEYSTCESEFGSADE SGMSTRDEESSLTDEAEMTPTATPTQRQRLPRRGRKHSADAPLGAVELKPYRHQLNNR ENEFYERIERRHPEMLMFLPRYIGVLNVTFSKNPKRSKLTSEGNTEISNGEHATGETN GAQQTNSLTTDGSRPSETVDQPRIFSQKQVTGIIPKVILENNRHIIPADLFSRPQRPK TADGITMSRERCAHGVAAMPGEQRLKNGSLDDALTSPKKPKISWGATTVNRKLQEQVL REVFSPPAIHHHRRHARGHITLPRMSSDSVTRRRANLSEDHTASSRRNASVPNEPPRS AAIDITKQIGEGPGLSSSASTALDSTHNRLQQIRIEEPQPRSNSVSRSRRVRRRHSGS GLQRRRSMSPGKKEGELMFFEDDGYGGDGEDEIFSMEADAQMVPSAPQNVKPPNFATL NPDIADAAQAEDGSAADMPPKDSILVQPKEDNRFLLPSNPKEAQTRKDDRVQFFLLLE DLTAGMNKPCVLDLKMGTRQYGIEANEKKKKSQRRKCQSTTSQQLGVRLCGMQSWNVK KQEYTFEDKYFGRDLKSGREFQDALTRFLYDGVSYTSVAKKIPVILEKLSKLENMIRK LKRYRLYASSLLILYDGEQSSPEKVSQADKSVDNKRIPLQRRTSDEGHNNIDVQLKIV DFANCVTGEDELSPDAPCPPHHPDDIDRGYLRGLRSLRMYFQRILRELTQDEYIERGE GETIALRSQVSGQEDLSDRYWDEGVMESDPGEVSY AOR_1_822094 MHRQLRLDTSVGGNQRYSFIETPLEMHASGIRNGQQQQEIPPSQ PLTVPNPDTAPAQAAAEQEQPQRLPLLNEKAQYVRQEAVAPGNLGGPNPEEHPAISAP YADAVPQPVQQHDAVVPDYSAHGDNST AOR_1_824094 MGDDVRLAPRQIWAQPTTSSTKTYQPGCTPFVLPSEGYIYLNLS YSIALSENAVFEPVCTSTATASRGPSPMLDTRDPFYASVTPQLYAIGCATVVSYLLVI ILLITPRTFYVGGPGGGANFLGRHGMISGSYSGNSSVVGVGGRPWLQKVAALLVAISL TIATADSFKVAEDQYIHGYSDADALASEVIDGMEIRVIRVISSTFLWLAQVQTLIRLF PRHKEKVMIKWAGFALIVLDTIFSILDKFLVQSNTTRPRLYEDAIPALSYLFELALNL LYAAWVIFYSLSKHRFAFFHPKMRNICLVALLSLCAVLIPVVFFVLDIAKPEVAGWGT YIRWVGSAAASVVVWEWVERIEALERDERKDGILGREIFDGDEMLEVTPSEEVDWPRQ NNRNDRGGGTGTSSGWGGMMGLTQRPLRTRVGHPGGRNRQTRADAQNPAISNDPRHRG AARPTPPPAAVTPVSRADTTSAASTVYNVHYHPVSSPTPPVAMPHMEEENEADDYGDV AVKELETAVEEHRSNSAHERVSAEQTREESPQIINVDDRWRTILNPFRRRRASLPKEV ASAQAEEEQEDPSRDGDELYHGETSEQESSRPVVNRLFALNRKPRPGSGRQGSDTPLP VTVIPARRRGQHTWLAQLRLGQRPTKENSVMAIMNYVMTLKRQRLWDLVNLILIRCRL ITLIMIQTSTLKDPPLLKGIAQLKS AOR_1_826094 MAAVNRNMFDASVTTDRPRGASLMVLPLNLIASIISHLEDPGDL ARLCRTCRVLNYMTLPQLYKSITLTSYDRIRYRGDQPEGMGSASPFSMGLNAIITRPY ASLVRSLTLRGNWRESELEEHARIGRVTDSSMMLNIVVRAAIDKMKELESFSWELNTK MLETVYLGLAQQPKLTSLTIRFPSSRHPRPTIVIPPMPHLRRLEITDIDPLCYPDDIS TLLWKSKKLQELNLHWSPRMREEQEPSVMVHDYFRKCISAKQPLRVKKIGLRNLYALH TEEFNLAFDQTIVEDVTILTNVKSDDCNFMNTFVDSTWPISPPHIIRIKSIRQDRFNR RHADFLGNFTGLERLYFVNATPNPNDMRSPKHLEYPAPTPPFVDHNHNNPGSAPAYTP DTTPSCSPGLQASIRDAYLNNIIINHAATLRHLLLPSYWPLSSNTIARIVHSSPQLEQ LAFATEFSSMETLGLLLPFLRNLVAVRLLVPTGATSSPQTPRPPKASLGRLSSCPAED VFASALSLADIVEADDDILIEKFSESLADPQVFSQLRFFGFGWKAFELLDYYTIPAVP PLERTVESQSQSPADLVGEEVNGCREPYQNGLSHKDDGTGASSILTPAPVCQTPPLGP APRTSQTPSLLGKRRREHELNPGAPPKKGMSRENPIEVSEFNTCLPTTLTNGRVWRRR VRRVGWDVVKRYEIWGLDTQEL AOR_1_828094 MLFNNPSTILVGALGCMSLLSQRAYAAHIPYRHRHSQHSPGGVY DSAPPVSACGTGAPTATTTVTVTETIDASSTELNVITPTVVPASYTTVDISSSSSSST FTSVVAVSSTSTSTSTSTSVSESTSVSTSASASSSGNSTSKAKVIIPYYLYPSTGAWT PLEELIVANSDVQFTVIINPDNGPGSNTASDANFLTAVPRLASYSNALVLGYVSTQKG TRDISEVEKDIQTYAAWPSTSGKSSFAVHGIFLDEAVADYNADTVTYYKNLASTIRSS DGLGPDNYIVTNPGAVPDEAYLDIPDSTVIFESAYSEFQSAYSANEFEKVKGLDLGRF ATMVYGIPSDADLSTLITQLRSISSHTYMSNLDTYLAYDSLWSTVVSLLSA AOR_1_830094 MVILSKVAAVAVGLSTVASALPTGPSHSPHARRGFTINQITRQT ARVGPKTASFPAIYSRALAKYGGTVPAHLKSAVASGHGTVVTSPEPNDIEYLTPVNIG GTTLNLDFDTGSADLWVFSEELPKSEQTGHDVYKPSGNASKIAGASWDISYGDGSSAS GDVYQDTVTVGGVTAQGQAVEAASKISDQFVQDKNNDGLLGLAFSSINTVKPKPQTTF FDTVKDQLDAPLFAVTLKYHAPGSYDFGFIDKSKFTGELAYADVDDSQGFWQFTADGY SVGKGDAQKAPITGIADTGTTLVMLDDEIVDAYYKQVQGAKNDASAGGYVFPCETELP EFTVVIGSYNAVIPGKHINYAPLQEGSSTCVGGIQSNSGLGLSILGDVFLKSQYVVFD SQGPRLGFAAQA AOR_1_832094 MMAASNAQPDTGLYTQNSQNQKSPSPQAQHRRGYQACDPCRKRK VKCDLGSVDNPRPPPCVRCRRESKRCEFSATRRKRKPSEVEETVDGVLRRDKRMMIGD PASNGSPSDGSSSYAQPEPASFESNSAIPQQKWSAERSPTTTTQVPQPTTTTTTATTN HRFTPNTPSSTTHFPDARNTRLVYPLGDRTTSYGLDGGQTMMNRTAVELLSPAISNSH DALHLLSEAAGRTEDLNRQSLENRYAARQSVSSFNSPMSPLTQAGTPRSAGGSFSRPA RSGMQMNNYFPTTGSSSIDHQTSDGRGQSGPSEGLQDPGFADAVKAWSRLRFVRAGWL SVEEAMAYVAYYYKHLAHLSPIVIPDFSHHSTHRTLLTDEPVLAVTILTTASRHMKPK GDGAYTRSFYIHDRLWSYLRGMIERLFWGQEKFGGDSMGISKPRSFDLAPTSAKVNLK GNLRSLGTIEALLVLTDWHPRNLHFPPGDDENTLLDLDAQGQTRPEKDSEMDPDNILN RNPNASAEGRLAFQKWLEPAWRSDRMSWMLLSTAQALAFELGVFDQKNDAKAASEYPV EHTRKRRLRRLILVYNTQSSGRIGIPSMLPLPQYANDIQPTNVANVKGGDANIDKMHD CWIGITKIMYQSNQLLFASNEQTSELIRSGRYRDQIDRFQPFLREWRQNIDTLDLAPP MRMLLMIEYEYTRLYVNSLALQAVVDRWTTMSNEATQSARPGSGATSANSWFNVLMEL YRVNEQYIQEVVDASRRILQTVLEELIPGDHLKHAPVRTYFRILSGMIFILKTFTLGA REDDVRVSLDLQDRTVEALRTHVVDDVHLSHAIARLLELLTTSIRTRFLRFAPMDRGN DVEHDRASAPTSRPQSPRARENRRDGTSNGWTPGQNTTQNLSYVDSNGHSTGTPMTSV HDPLAGIPAQPINSSNINVSFMPPPPSVYHNYYDPNATPPAGDLDGSNVPSQSMQDSG ALPDWFALPLDQFFNSSTLVDQGLGGTGPMVGEFDMLEVLLNEQYDGHTDNLDSTGGG TIPSQFLQSS AOR_1_834094 MSLTITSEGIGHDDAGNRRERSWLFPSNRKLRHLQGISIRNLVI DPPSRTRGKTIDDEDIPNSFQSPSKILAQDASRPLNHSRSFTNLKSVNAAGDKCITRE PPPRRQPQRRNTLPWSDPNPRTRQVKLEDITRSRMADTWFSMHCDKIEEPVYVSEVVK DATNPSFRSFDLNMCGPLVSRSDNLTLKLWAKTAAMEEYMLLVELQLHLQSLQFLGKS LDSFHQPLPSNSILFHFADGVYGNLTDLPPVWVPLPAKPSKASDRNALPTSSYDALMR LANLDECIQDALITREKLEAQISSILERNQKALAVTSEVSRARDRVALTKQAVVSERK QLRLTSKRKEKLIASLRARREAMARGRQTQERARSHLPDAQEKLHSSAKLLEKETEDT KGQIRRISEDLLAIYPIEPIPDKPLAFTIAGIALPNSNFTDIHRDAVAAALGYTAHLV YLLSFYLSVSMPYPINPYLSNSQIQDPVSVSLPQRTYPLYPVNVHYRFEYGVFLLNKN IEFLLNKQGVRVLDIRHTLPNLKYLLYVLTAGTAEIPARKAGGIRGLLPGRLTPSMSR RGSEDSVAYSESNLPRKMMSSLVKSNGDVALDKGKKSIPVLNTTSASQVA AOR_1_836094 MASQSVTKPFQKILDPTKIGTWNVVRRPPIENHSVIQGKAREQN SNAFKTHQWKEGVRLRKAINAITHGKNIFVYHNIRTNQVVYSLTRYLEKNNVLRQLVY HGKKTVPATLRKDMWVPYYSVHFNEPKVGLRAYHLLREFAMQRQLSPPREMITISERF LDQKRPKDPEGAKKFDEKYADKVGWLMEKKHRARALMDQKATSVADVSAVLSIQEEEI ANGFADGKRGYLTRTARRRRREARAKEEAKAAEQAERVAELEKTLSTSEVEYKVQEIE STNGLEGNGVKILWTDIHDARLAESWPERVRHGELDLSRDHVMPGQKRNYGVEVLADE TFKEKQPEQKA AOR_1_838094 MFSGSSSPPKDKSNSVPHSGAVDTQSLSVHPEEGSAAQTSKSLL SGGFFTRRTSEDQAPAGEKKRRSSTVTKAATFFTNAKNSLSLSSSPRESSSFNYTVRS PQTLQSLGSMDPALSVPQGSLNNSAGDSLPTPRSSFKVGVTEDRNRKCRRTMEDTHAY LYNFLGTPAPLARADGENEAGSSLAPDEASSVVETDNGYFAIFDGHAGTFAAEWCGKK LHLILEDIMKKNPNTPVPELLDQTFTTVDQQLEKLPVKNSGCTAVIALLRWEDRIPSS HSATGSSALAPAAAAAAAAKGDSNSEADDTPTQATSSGPSILPKLQEKAIRQRVLYTA NVGDARIILCRNGKALRLSYDHKGSDENEGKRIANAGGLILNNRVNGVLAVTRALGDA YLKDLVTGHPYTTETVVQPDSDEFIILACDGLWDVCTDQEAVDLVRNVPDAQEASKIL VDYALARFSTDNLSCMVIRLDTNRVKEVINKTAEPIGVAGDPSMDVEHGVSEADKIIE GARKSMANADIADDGETAEKGKNDILHKMVDGEPGPEMSLDDSNDAPTVSHLNKTNAN NGIP AOR_1_840094 MSCGAASGRIYEDSTLCLVGTDKLYITHSEWASGDSYSASAGAG GGKGGDNAPFKRLPFNFCSLSLQPFAHPVCTPSGTIFDLTNILPWIKKHGKNPVDGTP LKNSDLIKLNIAKNESGDYVDPVTYKVLTDNTHIVALRNTGNVFAWDTVERLNIKGKL WRDLVTDEEFGRKDIITLQDPQNIESRNLSSFNYLKEGESVPGQKEEESNVNASALGS SAKILKAKEAVAKARSERAQRADSSAVTKKADGSTTTSTQSKTASFQSGKPTPYNAAK YTTGMAAASFTSTGLTPHTSAELALLSDEEYMLKRGRVKQKGYARISTTSGDINLELQ TEYAPKAVWNFIKLAKKGYYKDVTFHRNIKGFMIQGGDPSGTGRGGESIWGKYFNDEF EGPLKHDSRGTLSMANKGKNTNSSQFFIAYRALPHLNNKHTIFGHVIDDPTPSSTTLN NLETHPVNSSTNRPTPDIRITDVTIFVDPFEEFLNQKKAEEASGKNKKVDPTEEDRET QQEDDDQVTWTGKRVRGPGSTAAGGDAGSGVGKYLKAALANQTTQEEDEIVEFVDEEP EPEPMRKKFKSRGGFGDFSSWD AOR_1_842094 MASEFIGYNVLVTLRTPPNATVQGVVANVIGQRLMLRDVALSWS PQKFPTYFIEAADIADLSLGPNPSAPSHNQQAGREIHNIARVPPAIPAQQSFVDPAIL SFSKPSSEQFTSQNIQPPPQVHRDQSAVLTEPFSNLELNVEGRATEPRNAPQENELHG SVPAGKDDFTTAPRSATQVNPKGGRRVGQNKSQRAGLTPVNEHDGAANTNPKTRGWRQ TAFVQPASPQKYREREPNSRRRKKDRGSYAEDPSGWATEDATDIQELGDFDFQSNLSK FDKRRVFEEIRNDDTTADEARLVSFNRRVPKPGTNGGKNLHWTENVLDSPLGSETGDT DQEPSDAKLSSGNYSGRELSRGSARAQSRKGSGILGQPLVPPQISTVGRGQLSASRTT SPRPGSKASVSASPISGPGVPGASLRLTTTNRSCPTVSPLQALEVEQIAVAELGLTED MITENAGRSIAEAAVGLLSNDAAAPTMLVLTGNHRTGARAVSAARHLRNRGHRVTVCM LGIEHENELLESCRKQIDVFKKIGGRVHRWEDLSTRLSTSEFSPDLVLDALFGIHIAF DDLRTDDQAVAFEMIAWANRSNLEVLSVDVPSGFSASSGEVTVMEGGRVCVSSKSVVC LGAPKTGIINALLAGEGLTWSLSVADIGIPQIVWRKYGTRRRHGIDFGNRWVVPLRYQ PSSA AOR_1_1320094 MNFALYSTARQAPEETVMFKKAVQDHSGGSAKPLQSSLLRSNGM QPPKLPPQSVGVKRKIEMANASGSALGSLHSAVYFDENDFDDDLDLDSEESEPVIPPP KIVRPNNEQQIPFHGTNPTTLATHTNKPAQVSTPAMKNDDLEIKYPELPPIPDDDPVP SSSIPVPWSSSPPSHFQKPTSSRTLPWLKKEEPTPAVQYKKPETPVRSKSTAIWNKSA SAIKEEQKELRRQYKSQKSESVQHRPRAKIAPLFLSDEQKHVLNAVVEQGKSIFFTGS AGTGKSVLMREIIKKLRDKYRREPDRVAVTASTGLAACNIEGVTLHSFAGIGLGKEPV PELVKKVKRNPKGRNRWLRTKVLIIDEVSMVDGDLFDKLEELARRIRNNGRPFGGIQL VVTGDFFQLPPVPDGSTREAKFAFSAATWNTSIQHTILLTTVFRQADPDFANMLNEMR LGKLSQRTIDTFQQLQRPLDFHDSLEATELFPTRQEVEHANGARMQRLSGEVMTFNAA DSGTIQDVQHREKLLANCMAPQVIHLKKGAQVMLIKNMEDTLVNGSIGRVVAFMDEAT FDFYRENESDFAGDQGAQTDEEMMDRARKKLKSLAHKEGGVVVSKKWPLVCFVQPDGT ERHLLCQPETWKIELPNGEVQAQRVQVPLILAWALSIHKAQGQTLPRVKVDLGRVFEK GQAYVALSRATSKEGLQVTRFDARKVMVHPKVTEFYSKLISITDVLKPKGGPRTIPGH YPEDDFEDDYLDDL AOR_1_846094 MAEKGASNKEHPLAGVVLCFTSILPEQRTELATIARQMGATLKL DLTSDVTHLIVGEINTAKYKFVARERADVTVLKPEWVEAVRQSWMQGEDTDVRGLEEQ YKFPTFAGLCICITGFEDMAFRNYIQDTAIVHGAEFRKDLTKQVTHLVARDTESQKYK FATQWNIKVVTVKWFTDSIERGMVLEETLYHPLVPEEQQGAGAWNRAQPTPREKDPGN ESSSNPRPRKLRRIASAKLVDQNEGIWGDIVGTGSRGSQQKDDSQLLAKRASMVQEAK SFASETTFAEAFEQGNDKIQKPRQKSSETTSHRDGFLDGCYFFIHGFSSKQTNVLRQH LSFNGAQLVGSLSEFSRPDIPKRGHGLYTIVPYKMPRAQVPSTDDLAFECEIVTDMWL ERCLDAKALVPPESHIANTPIPRIPIPGLDGLIGATYNEYLTPTASVLLCNDPGSANQ EKLRHTYEWNVPAVTVDWLWTTIQKAQKQPFEPFLIRKPISESSRDPEKRAGSRPEQK EQSQEPNKRNNAIKSQSHPNHLQTNKLIRQTSRESDKAPSRHSTPLQEKSEILQKEPT IRESPTKEPSQQHAAYISPRKRPASEQTTDPTSLTAIETTLSGFLQQARAANSRSTSD TGENGDRPRSRRRKPLLGRAPSHASVRSTEHKGFSRASSIDTLNEDGCGSAIDSVNTD GIPSLVNSGRFDFLEGDRINEEEESETPPMTQLNYEDPDAVAMREKFLNQAGKLVEKK PANQDFIVGEVKELENVGWGSGRRTRNAGKVAEDNTF AOR_1_1322094 MSQEYFPGNGGSSAGEGSSRHPPQQEASEYPFFPNAPPAYMTVG NGSTSESATALMASLNRDSGYGDSIASGSVRDGDAGGDWRTDMMVDRPTPMHTPTLPG QWNPAAEHERQVVASHVHQLLYNSNRTKLGRAITRTLETLKDLQDMNRQWPAHYPSVR DAPDYPSDRPALRQAQSFFDDGDIQQGDFKPGPIRRAATVSNSGDLAAAESSAAAERR PAPEPRLMTPQIAQEFSILKLDLKLGALSQVELVHSLEKASIASLLDGKISQSVKHLL SLRDRIEDTSSKVLITGDLNAGKSTFCNALLRRKVLPEDQQPCTSIFCEVLDARENSG VEEVHAVHKDVDYNRNDESTYDVYPLTELENIVIDNSKYMQCKVYVKDVRTIDESLLN NGVVDIALIDAPGLNSDSLKTTAVFARQEEIDVVVFVVSAANHFTLSAKDFIHNAAKE KAYMFIVVNGFDQIRDKQRCERMILDQINKLSPRTYKESAELVHFVSSNAVPVAPPMS VESSGSGGGGGFDSDGDDDDDDSKPKGKGKDKGKEKQKIQDFENLESSLRRFVLEKRS RSKLAPARTYLLNLLGDLNSLASVNRDIAQSELKRVTEELAELEPAYEGGQKKKIELD VQVEKNIDDSCEDVYNHTRSTLSDTIARVSEADLGVEYPGIFSAFQYAEDLKLAMLEQ ISAAASACEDYARVKTVDGVEAIQKIGLLHVGDKFAPLNFRADMMFRRSRRHTFAKQV DTEVELLDFFDIAGIWERQEKVAGTGMAMTAVTVLGGRLFGGISWVDSAFSAAKFLGP NNLRRLLVPGIVAAAALTAAYVLSTIPTTLPPRLSRKIAAALEEKDYVHSNATRISTE VRRVLRIPANNLQASLAQDIEDLGRRKQEVSKTKVESENASKYFSNLFRESGENRRSV ENIDLDGPLPGAMGSHEP AOR_1_1324094 MNVIEWAFGKRMTPAERLRKHQRALDRTQRELDRERTKLENQEK KLVQDIKKSAKNGQIGACKIQAKDLVRTRRYIQKFYQMRTQLQAISLRIQTVRSNEQM MQSMKGATMLLGSMNRQMNLPALQRIAMEFERENDIMDQRQEMMDDAIDEATGMEGED EDSEDIVKEVLDEIGVDLSQALGETPTDIQKTAVGETRVAQPVGAGGSSADDDLQARL DSLRR AOR_1_850094 MARPIVFFDIAVNGTPQGRIEFELFSDVTPRTAENFRALCTGEK GFGYKGSSFHRVIPQFMLQGGDFTRGDGTGGRSIYGETFPDENFQLKHDRPGLLSMAN AGPGTNGSQFFITTVVTDWLDGAHVVFGQVTKGFELVKLIESFGTPQGTPRARVQITE SGQLQ AOR_1_852094 MSTIEARVKTIIAEQLDVKMEDIKNEARYVDDLGADSLDMVELV MALEEEFNTEISDDDSEKLLTVQVTIDYINARQ AOR_1_854094 MPEPNIIPFPYPLGVGTDICHIPRIRRILTHENPNHVHRFVKRI FCQSELDAFQQRHEKVLQLKKGLDTGIRENEESSLQQDKYDRALWRLSSWVAGRFAAK EAAMKAVSPQRLGWHHAEVLVPPGQMKPLLVIHSFKHADTAERNGENPVRKHAAQLSI SHDGEYAIATVLAAIH AOR_1_856094 MEHPVDGFDLEYLQSGLDRDLLPTFASFLKNGFYATGKSCMLSF TNPNNVSIITGAPPSIHGIAGNFFLDRSTGKETMICDDSLLRGSTLLEQMSQHGVRVA AITAKDKLRKILAHGLKGDAVCFSAVEASECTLAENGIADMLALSYCKEDKSGFLYLM LSGYVQHKHGPGSPEADDFVKAIDDRLAKLAVMAIVGVVGDHGMSRKSNDAGEPDVLF LEDVLDAKFGENAARVICPITDPFVRHHGALGSFVRVYLKDQSLLESVLALCQALPET EVALSGEDAARKYDMPLDREGDIVVISTSSTVIGRCESVHGLSTVKDFSLRSHGGLSE QVVPVIMSRAVEDVQIVSLRAWKDYDVFDLVLNWSK AOR_1_858094 MKFLHTIALIATFTVASATPAGSTPSQCTAAQANKCCTGLTNGI LNLNVLPALCLPLVGSCNNQAACCETNGIGLLNCLTVQV AOR_1_860094 MAIINQKPTPPAPPAHHAPVQQPVNPALYPAVYQGLPAGLYHPS AYPGAYPFAHSASRQASYPTPHPGSHSAAHQASHPAPHPAGHPVIHPVGHHGGHLGVH PGGHQVGHQGGPQGGLQEGYPGASRAIHAAIPRSIDPVKVAEAMSRLNVNPERAASGN RQKAPDSTPAKDSNAAKVTDSRPYFYIGYTFFKKDATPGHKPTWGQVEKSQMHLTQAE LIGMVQKRAKKLPGVQQYQSLSKAKRTHVDQLINELKKGDSHLEWTCAYVKEEERLMK GKNSKRGDYETVSMDVVIMGKPITSSRPKVAQVEFDLPSKPKEKIEPRAEPKAENPVV IDDPRPLDTEVDNAPQWARPNMGHAQQPPMVQVHNIPRQLNPEFTQQAPPPPPPPPQP QAQQAGGRPLVNRGHLPQEVPDHFSFGVHPHAAMPHVARAAPEKGPAIDVLKEHARGV ASGSVQQHIPHVSRAAPENGPSAEVLKEHVRGVPVGAHQRPQVAMPHTARAAPENGPA IEVLKEHTRGVPSAGAQHPGVNNVYNPAGVSVTNNNPRKEPFHPYESHSFENVTQGGS VKPPNMAEPELELAPDSSSIGDDDSEIFDFEDVSSVTDDSEHEGETRKEAQPWRGSLF RRHSSTSRRPGPSRYRSHYRKQPSGASDNRHGRTKYPSDYVDVIPADSRDSDKQLWRV HSREVARQTRDRPKIIHAPVSSEDLDAPEFDERYRGPRARNDIRTRILDDREARLERR EKQLDYRTRMLDVLDERLDDALRRRMSLRDAGPYYSRQYYENY AOR_1_862094 MPRQFFVGGNFKMNGTAESITAIIKNLNEAKLDETTEVVVSPPA LYLTLAQQVADEKKKVAVSSQNVFDKPNGAFTGEISVSQLQDAKIPWTIIGHSERRVI LKETDEFIARKVKAAIDGGISVIFCIGETLEEREADKTIEVVTKQLNAAAKELTKEQW SKVVIAYEPVWAIGTGKVATTQQAQEVHAAIRKWLADAISPEASENTRIIYGGSVSEK NCRELAQERDVDGFLVGGASLKPAFVDIINARL AOR_1_864094 MLDFMDYIQLAFAEGTNWNRDNSYSSLTATAQSLLDFSTPERLR VHLSSLSTPNFATSYTLGTVGLIDGSVSYLYSTVPFTNTPSRSALIPLRKLSPGYRQV APPSAPIEDWNLDSILDNPQNVQPSFASKPTLLHATLHLPPPTTLNALFLRRISPTMH LTLAVCSTRGPPLSKSAPQASLLMQLSHDTGKYSNEYLFSTDNALFGWRGLWNFGPDP RSSTDTAAPRLSLLSAGAEAYYSPVSSLIGMSTGLRFSTLPAATDVPASTATGFATAN QSTPISTFPYTLTLTLTPLTGSLSTTYSLRASPNLAFSSRFGFNVYSWESEMVAGCEL WRKSRKQDDGLEWARRKMRASEPLSLPSLPAESKERQEEEEATDSVLKIRVDQSWNVR VLWEGRVKELLVSAGVGLGPSSFSSSSWAAAAAGSGQSSGGGVPSYWRGVGVSVLYSS AOR_1_866094 MPRSKRARVVHETKTAKKSHKEQTRRLYANIRESVEKYDHLFVF GVDNMRNTYLKDVRTEFADSRLFFGKTKVMAVALGHNPESEAATNLHKLVPYLTGAVG LLFTSRDPESVTNYFETFRPLDFARAGTVSTRSFSIPNGLVYSRAGEIPASEDEPVSH TIEPELRKLGVPTRLVKGKVMLELTGGQEAFPVCREGEVLDSRQTTLLKMFGVATSEF HVALKACWTRESGEVKILEKEQGGMEVEQ AOR_1_868094 MTDKLPPPLLALFQPRPPLRYVTPIDRAPEDCKKSTLGGVAQYL PDLKEYEEEYPYNATESWIQRKLRQKQEKKENIEKHLTEGIHTFDPSNDPQARGDPFK TLFVSRLSYDVKESDLEREFGRFGPIERIRIVKDTVTPKGSKKPHRGYAFIVYEREKD MKAAYKETDGIRIKDRRVLVDVERGRTVKGWKPRRFGGGLGGRGYTKALPSRPIGPGS FGAPSGPGGYGGGFRGGFGGGRGRGGFRNERFGGPRGGVGYQGGRNGFGGGGQAPPNA PSGPGGGRSGGFGGRFGDRDRGATGSNREPVRPRDGFSDRDRRDDRDRDGGDRHRDRD RDSYRYRDRDRDRDRGDRYGGREDYGRKRYHEDDSYDDPRAKRRY AOR_1_870094 MPVQGIRAVTTARNGVGAFILPCKRLDFHYCDWAGSSRGMVAFL KNTLPSFAKANPQIEIRVSPRPHKHPVIKGHYINGREKAVCVRNLEPEQIFQKANLLK EASGEKLKRTKKPVTSINESVRGIWSPYHGDLKSV AOR_1_872094 MNDDSGVQFVAARPRKRNHQQMSRTSHSPNRRFFSQNGEPSSSR APTNQLPPMRYAGDGLDMRRPVVSASPQTDEVIDLTNEPDSPPQQRDRSARATSRRPR QPRFGRDIMADVVDLEDEPDNTIDLDSPSSPEVQFVGASVRPQLPRPSPPRPRGFDFG SGLVRFLRLDDPRVQHLSGRGLFSRDPGWRTRGPRRPPQDVETFWIGERSGGAVDLTI NLDMDGPLSMDYQIQGFSPDRGSRPTYKPPSPPPEGFTRKLGEDEAVCCPNCDVELGT GDEIQQQIWVVKQCGHVYCGQCATHRSKSNAKKASQPVKPFSKCQVDDCGKAVSAPKS MLQIYL AOR_1_874094 MLCAISGEAPQVPVVSPKSGSVFEKRLIEAYIAENGKDPVNGEE LSTDDLIEVKSQRVVRPRPPTLTSIPSLLNVFQEEWDALALETYTLRQTLAQTRQELS TALYQNDAAVRVIARLTKERDEARDALSKVSVGATRAAGGEAMQVDSNGLPDAVLSRV ESTQAALSKTRRKRAVPEGWATSDAISTYKPAQSTEPLYPGGKALSVNASGELALVGS ADGVVGVFSLSQKQVVQSLQANGPVTDAIWAGDKAVVASSTGSVKVFENGNEVADFSS HAGAATALALHPTGDIVASVGADKSYVLYDLTTNSVITQIFSDASLLSVKFHPDGHLI AAGGVDGQIKIFDVKNGSPAANFALSGPVKCLFFSENGTFMAAVAESSTVVSIWDLRS ASEVKALETGNQIDSISWDYTGQFLLTGGPSGLTVQQYTKSTKSWSEPLRSAVPAAAV SWGVAAQSIVALNRDGGITVLGAQ AOR_1_876094 MSFKSKNLEYEAKEPAFLQRLRNQYGDTSGRLERPIARPRKLKD ADDDDEPTYVDEESNEVISKEEYEALVRDSNKEVEDTGKGEPDQEQPTSQDKGEDKAS TAQEVPISKQNMAEIGGPKKRKQAKVIGEEEPSAEKEETLPRDPGSRKPKQKKKKIKL SFDEE AOR_1_878094 MPVVNVEDLVRLQRKPDDIRNICILAHVDHGKTSLTDSLIATNG IISPKLAGKIRYLDSRPDEQLRGITMESSAISLFFSMMRRPAPDAAPVAKEYLINLID SPGHIDFSSEVSTASRLCDGAIVLVDAVEGVCSQTVTVLRQSWVEQLKPILVINKIDR LVTELKMSPSEAYSHMSKLLEQVNAVIGSFYQGERMEEDLQWRERMEERANAAAEKDR TKKQTQDDESTQGGADTADYVERDDEDLYFAPEKNNVIFCSAVDGWAFTVRQFAAIYE KKLGIKRAILEKVLWGDYYLDPKTKRVLGQKHLKGRALKPMFVQLVLDSIWAAYEATT GGGKGKGDPALLEKITKSLNITIPAYILRSRDPRNIMTTLFSMWLPLSTAVLVSVIEY LPSPPAAQEARLPALIEESPGADFVDPRVKDAMIKFKTGSDEPVVAYVSKMAAIPESE LSSSKKRSGATMSADEAREIARKKREEIAKMQAEANGEQQDDGYARITSAFETVTIDD NDQAPEEEKDDPEHLIGFARLYSGTLSVGDSIYVLAPKFSPETPNATPVPQKVTVTDL YLLMGRSLEPLKSVPAGVVFGIGGLAGHVLKTGTLCSQLEGSINLAGVSLNAPPIVRV ALEPANPADLGKMVTGLRLLEQSDPCAQYDVLPNGEHVILTAGELHLERCLKDLRERF AKCDIQTGQTIVPYRETIVSVPEMAAPKNPDLGRGGVLAVSASKQLSIRLRVVPLPEA VTEYFTKQVGTIKRLQSQRHAAADDKATNGTPDSTQQVETSDATDEAREGSVLSLKDF REELNKIFDEEVKEDKELWKDVVDRITAFGPRRVGPNILVDATAVNTCEKFLLEDPKQ QPTVNTETSSREALIVRDFCDKITYAFQLATGQGPLCQEPMQGTAVFLEEITVNATEE ELDLGRLTGEAIRLVRESISQGFLDWSPRIMLAMYSCEIQASTEVLGRVYGVITRRRG RILSETMKEGTPFFTILALLPVAESFGFAEEIRKRTSGAAQPQLIFAGFESLDEDPFW VPATEEELEDLGELADRENVAKRYMDAVRSRKGLVVQGRKLIDAEKQKTLKK AOR_1_880094 MASTLPALAIPGQRLGPVTSYSAGPGTHVQQSNVYASIAGPVVV EPAQPGSKGKATLSVSRSIRAPGTGNASKPVTTAPGAKPAATSATPKPKVKYNTLPAV DSVVLARVTRVQKRQATVSILVVLDESGSTQGVNPSQTTSDNDNIEAILSSAANPENH SSSDELRFQALIRKEDVRAVEKDRVVMDEMFRVGDIVRGTVISLGDQSFYYLTTARND LGVVMARSEAGNMMFPVSWKEMRDSVTGQAEMRKVARPF AOR_1_882094 MSLNQAAWFDAEGQPFRVGPAPMPKPGPKQLVVKNQAVAINPAD WKIQAGAPFIKTWPIVIGIDFAGIIEEVGEEVTRFKKGQRVISHSQSLRDQDPAKGAF QLYPLAEEVFTSVIPDSMSFEQAVVLPVAVSTATAGLYLPKYLGLPYLPSSDPKPTEK ALLVWGGASSVGAVTIQFAVASGLKVISTASPANHEFVKALGASAVFDYRSPSVVEDV VKELEGSDLAGVFDAISEEPSFEPITEILKRVGRQVKVAAVQTRQKPSEGFDPIFVFC YEIATTPNEEMGEAIWGKFVPEALASGQLQAKPDPVVVGHGLSEIEHGLKVQKAGVSA KKIVVTL AOR_1_884094 MEPRQTGYQSIGNASQASGSSIPHRGQSPDDLETISAAYQVLFY IKSSASFQQLLDSLPPATPGFLMKPLVCSFLAYFTQLQSHTFLGQVSTWGFDTVERVF RNSKVSPRWLANDIGPDGHTRQDLDDQDCLRWDAIGIVYATVALSLTVRNGSSGFDSD VSRRDSRARETILKDLTHAVNTCIRFQEMTGTKTELYLWLLIQDIILLIRIYGGKSRR VWRRLGDIGNVLFTIGLHKPSLRNQVITVMHIRQQLFLTAYTLDKTITTLVNRPPRIP RQYCMDLDNIILAFQAADGISLYISTRLSHSLSSPASLIEKVSFVMSIVREDAHVARS TLHDSPEGSTPENMSRLLKGVWQSLRISCSVQPENWQIERLPHQGYILLIAYLEYLYT QVYIWKHLLPGQLYTLGDMCRRTLETTICGVQSLGSLEEYRSDYAWRLALYGLPTAKI LASLSLTHSEQQMINASLAQAADPGVTLSYPPSYESTALPTL AOR_1_1328094 MTARQVYQGGLVPQASGIYRANSPPCSPTILDICNTDVQQGAAL LKCLNGISALAKLAKRYIRLRSHMIPVPAFLAQTAIASLEVDPSIEKMSTRDRLAKSI LITGNTCRELELEVYTSPNTFCKMFTGPALRWEALGLLFTWAALALLNSPADDSLAAK LIAAHEANKAGSASTMVYCSNLCISLCKEYAPASEVLLWLLHESLTLTLQFYGDCSKF AIYTHQAIIVALKASLHFYGKLIVLGHQAWQRLSDLATEVLDPTIVKLGARIPFIFTQ TRRKIIASAYWIDKSLASLHGQVPRITCLPQGFETPLDIDDDELLLKGFDLLHSHSSD WNQSGYTCPATYIRARYILGAFRDELFQLSLIASTADRLPKLE AOR_1_888094 MLQEIQKAQSETQIIWNNLGKRNAYNYYRSMVNLFKRGHSCIDQ LPTCKPVFRRSSQVNPFGEYTPYIGCTNDSSTVIFSTKGSYQPLKIVVFLRLYHPDRN NVIEIILKDQDD AOR_1_890094 MKYTRSSAIPMFPLQAWSGNAASLRSSPSEASANTDPVAFSFDT TWDGGSPISTPAAPPPTPQDSLLDITPRKCSFSTAFGMSNACAFPSWPNRPALISTDT EVSTGSAYISDEELCFDLGPQSESAVEEESAVEDAVRPGDLTTEQQIQMLRAAAEEEA QRARFLAQVQAHARAQQAMRVAQLASQEKENAKRKKRQAMPQKKRRAPSATKVVIRA AOR_1_892094 MDVELYVYDLSKGLARQWSLPLTGTHIDAIYHTAIVLNGVEYYF GHGIQTAYPGSTHHGQPMEKVHLGQTELPLDVISEYIESLGEIYTPESYDLFLHNCNN FTQDLAMFLLGKSIPDYIRNLPQTFLSTPFGQMIKPQIEAALRPVTQAPSTDVPSQPP AQIQPANKVIQVTNLTQLNHELNQASHSAAIIFFTSATCPPCKALYPLYDSLAEEAGS KATLIKVDISSAYDVAMKYGIKATPTFKTYLKGQETETWSGANASQLQGNIKLLIEMA NPAHPHRKLDLPTFQRPIPASSYIQYKNTPPLDKLIQKLPQETRDNKTLTSITTFITN SIKSNDKIETPLPQDLPTYPTYIETLLKETPSAAHFAIIDLTRLLTLDPRVASYFASQ NPPSTLLSLLLSLSQSTQDTQDTQDKKETPYNQTLTTLHLLTNLLTTPLPTHTILNTP SLFSQTLNTLTTSLFHPNPKIRCAATSLAYNLTAQNHNSRIEGKGDIISEEDQVSLVA GLVEAIANEEESGEALRGFLMALGLVVYECAVGGEVEEVCRVLGSRETVLGKKKGGLF GGGEEGRKLEGLIGEVVGLVGFCS AOR_1_1330094 MYSQPKTLLSAFNLRNKPSRHQQPQLSTATPQHHQGEMPPKKRS APSAPKKARQSKLAKAHDISATEENEIKEVFQLFATSAADFPNEKEGVIAREDVRKAL VALGLAPADSRELHDILAAVDPTTTGYVLYEPFVAVAAAKLRSRDEDAMAAEVDAAYQ LFTRNTDGPITLGHLRRIARELKEDGLGDELLRDMILEANGGAGLEAGVSLEQFHDVM TRAGVF AOR_1_1332094 MHSHRGANGVLTQSPLNHAKNNHYDTVFQYLQDHPSIASTLAIA ILVFVALTQSGSIPATVARTLWDVIVYMTPPRVVAALDKKANSTPIDESAGPMTFEAK SEAMKRILGLDNSSLSSLFPRAPTLPGFGTALLGNKDSLPPGLGNWDNSCYQNSIIQG FASLESLATFLGRNIDLFGAKGAFSTHQALKGIIERLNSPDSYGQRLWTPADLKSMSS WQQQDAQEYFSKVVDQIDYEIQQATRKQTRNLGLKVASPQENVIGAGSTPGTSADASV GARIAEIRSFGNPLEGLLAQRVGCMQCGWTEGLSLIPFNCLTLPLGAGYEHDVRDCLQ DYMDLEPIEGVECAKCTLLHAQKQLQNLLKQIEEDKSLSNTPDSPSVSEALKNSAQER LKAVEEALEEEDFAEKTLSKKCNIPSKNRVSSTKSRQAVIARPPKCLVVHINRSLFDE MTGMLRKNYAALKFPKILDMGEWCLGAAAGQAGQNSEIWDMDPRESMLAQADKIADGH GQLYELRAVITHYGRHENGHYICYRKYSSETFPANVPESVIEQDGEKQTTERWFRLSD EDVQMVSESNVMAQGGAFMLFYEAVDSSSLPAETGEPDLAEVDNSVSTSSAVTPEDMS TTSAATDDTRTSQATSVSTPEKAELPVANARPASEVD AOR_1_898094 MAKARVQSKHSRAARRAASPSLDVDKSLTTLPRAEDTVIQRESI LSDRANAGVAKKKSKAKALTKAQRARQQKGIERAENILDQLETKVEKSVKRGKTVKAR RAEWEDLNRKAGATMFQNLNDEADNDDDDAMADVSAAPKTTKPQPQPAQNLVADQHAD IDVDDDIS AOR_1_896094 MAPSNPLANWERLGDSFYRKVPIYDAIFDEDVELENYIVAGAPY GGALALHRDDTKPYRFRDAQTAKSSIGIYSSSGKLINRLNWEHGTIRGLGWSDKEELL VITEDGTVRRYFGLYGDFTSFSLGNGAEEYGVRACRFWTSGFVALLSNNQLVAVSNYD EPRPKLLAHCPEGEVSSWSLIPPAFTLSRSVEVLLAVDKTVYLVDPTEAEDKVLQNGP FKHASVSPTGRFVALITAEGKVWVVSSDFQSKYSEYDPESRVTPRTVDWCGDDAVVIA WEDEVHLIGPNGVAARYYYDGIVHVVPEFDGVRLITHDTCEFLHKVTDVTEAIFRLGS TSPASVLLDSIDLLEKKSPKADENIQRIRSSLPEAVDICVRAAGHEFDAYWQKRLLKA ASFGKSVLDLYNSDDFVEMTEKLRVLKAVRDYQIGLPISYDQYMRLTPEKLIERLVNR HEYLLAIKISEYLQIPADRIYVHWASQKVKVSTVDDEAVCKLIVQRLDGKPGISFELI AQAAYDEGRAHLATQLLNHEPRAGKQVPLLLNMEEDEIALDKAIESGDNDLVNYVLLR LKSKLPLASFFRMINTRPMASALVETTARGDDTELLKDLYYQDDRPIDGSNVLLSEAL SQTELPSKTEKLHLASRLLVDSKDATVVLQQKLLSEASQLLKVQEALDKDIADRSEFV GLSLNETIYRLIRSGYGKRAQKLQSEFKMPDKTYWWLRLRALVAKRDWGELEEIGRNK KSPIGWEPFYNEILGAGNTKLASFFVPKCTNLPVEDKVEMWVKCGMIVKAGEEAFRAK DFNTLELLRTRASGPAVADIDRMINQLRPRK AOR_1_900094 MDDLAEISSLVLELSDLEVALYLCLAAREHCRIDATADNINDVA KELALICTHTFDLTYVVVDCSETTTLDEFCLELIPANARNSAGPNNAGNRVVNVVVAK NLDHAADRIQLKALELMRSKKINTHNGFLEVPEDFLFIPLVMRKTEHDQPALNFHLND HLIISHFHGTRDGFIYLEENNDYFSDGQMSASSVVRKSEVPLHKGHPNIDRKALDKLQ QASDRVTMGADIIRYHQDITVFLRLSRAVAGGISARSNVYFRKLSKLLAALHGIDYLT PSIVALAAKKVFRHRIIVANPEDDRSLQYGSDLKAVAQVLSYATPDTILDSVLTLEAP L AOR_1_902094 MYRPLLFCFVFLSSIFSLIHAHSVVPGTSLNNCQNLERLNRTLH HVSPILEKLSHVSAGSGKAVVPTLNGTVDATHKRGLSDKLQQIQSAEAMLKQSKDKVL SKMTTCQEPNVGANNVLQSTKRDDEEKCTLDEALEELLDEVTDALECLLSVAFPLLGG VVDLVSNILGGIVNLASEILDLD AOR_1_904094 MDSAADFIVIGGGPAGSTVASQLANSPKHPKVLLLEAGGLNAEH DLRVDGQRWLTFQNKHMNWGYKTTPQEHCNNREIDYSRGRGMGGSSAINFGVYTVGAR DDYEEWARVVGDDAFRWEQIQPRFKALETFHGDLPAGVDPKYAAPRAEDHGSSGSLHV GFASEWEKDLPPLLDVFEQEGFPFNPDHNSGNPIGMSVLINSAYKGVRSTAADLLKPK PENLTIVTDAPVQRLVFDGNKAVGVESNGKKYLASKEVIMCAGSLEGPRILMHSGIGP AQQLEKFNIPVKLDVPSIGQGLRDHTFVPIVNTRVENSTQRREFYGDEKAMAEALEQW KKDGSGPWSRFACELGIGWFKLDKVTSSEEFQKLPEEEKKYLLQETVPHYEILTHFPI HWFIPDFAKEALNYSCLLVFMFNAQGRGEVTLQSSDPNVPLLFDPKFLSHPFDRRVAI ESLRDAFRIAKSDGYTKDNVMELAGPKSDSDEDLLEYWKQNISSSWHMTGTIKMGKKG DTDAAVDNDFRFMGIDGLRVADMSVVPVLANCHVQAVAYVTGATCAEKLIKEYDLA AOR_1_906094 MATADPEAFTLLSLGLVFIIIRIYVRWTSVGPANFQVDDYLMPL AGLVYTAETVAAYLVGAKFGGLTNSYMTPEERAALDPNSREYYDRQWGSKIQVIGWSF YACILWLVKACVAVFYSRLTTGLQNLPGRVRFAYILLGVTYLAVALSILLGCQPMHKY WQINPDPGNICQPTKSTLYVLIVVIPNVLTDLYLMSIPLPLLWTVNIGIRRKITLMAL FSGASFVIMAAIIRAVTIMTSGPEGAVSGSKWACRETFVSIVVANLPIIQPLIRRGAQ KVGLSALFSSSGGPSSYGRHRTQSFPLSSRNQPSKRSRHPLSIPNNTTAWGSDEHILG DGSDKGAGKKNDITVTQEMIIESEPRRTGHQIDEALPSPNAWDSNRGRAV AOR_1_1334094 MLSIEDEAILTEFEKDEQEHPSWRKIVDKNYVRYASRKLSLPRN DLWGQPVLCDLGEARIGNSHKGNIRPDIYNAPELLFDMPWRSSADIWNVGVMIWDI AOR_1_908094 MAHTRISCPIDRSREVFRFIKGAIHGAIIVPVLFHALFTAFVVY LDLYIFDTVGLPSSIIPSLSIVVGLMLVFRNQTSYNRFWDGRSSLTTLTTCIRNLVRT ILTNGYSTSRPLRQDEKEDIERTIRILMAIPYAVKNHLRAEYGAAFYSFGDDVGEDGV AAYNPDYANLLPKGLEGHEDEGLTLPFQLSFFIDGFIKRGVERGWYHAPGASQMQAQL NSLLDAVGKMEMIRLTPIPVAHLIHQKQVLALFGCVLPFGMVDDLGWWTVPIVSLVIF TLYGIEGIGSQLEDPFGYDRNDIKMDAIVGDSKMEIDVVLSEWRKLMSFVEAETPRTE SRCVEDHSDRASPSSTRKLRSNGSTEVPPRSAQAIFNEIVRKIPTIREFTEIVFESIP PEQGSLICRSLRESSVFESRNARANFNSFTGTLWIRVMPTKLHDVHHRWVNHATRRWT RQGLTNEAEDDLMDFGVGTTFDGFTGQYTHSSKEPDLFLCPATDDLPSIVVESGFSES WPRLHADKDLWMYGSTTVNVVILLKWSKCVRNRCKGKVEVWTRNPAGGLMMCEKPIFP RPVPAPDPDTDVVQFTKLDLFGKHMVAGQNPMTVLSLGLSELRDHARHRMSLMGLTPA AOR_1_910094 MSASVSASASSNFPSDARSGPLRRLSQLRAYTQNHFSSQSSSSS SSTSNTNSQQPIARRHTFSSRVSWFSPASSASTGTESAHPPVGTNNPAPCPDSEPSSS TLARYSSVLFPGYRGFDLDLRSQNRSSESSTSPPSSHEPRRTQTQGRMARLRGLSQTP DTRPEVDTTPASAPTNLQNGLLDCPDPVTNENSSSSPRPKQKATIRFFPHQDSHGNSR PSLPFIPISRTLPSESSVIKVGRYSERDGLPVANPTEPSDAPVGFKSKVVSRKHCEFL YLNGQWHIKDVGSSSGTFLNHMRLSQPNMASRLYTVKDGDIVQLGIDFRGGEEMIFRC VRIRIECNRSWQQQPNEFKYGPHTEVKAKRRTILGARPYQCLFMAACAHVWHYKCVSR LIHTPDYPMFQCPNCRAYTDLSAEVDDSNDYEEVEQKPTSTEEQRDSSQDRRSETRTP QLETNPTPEASNSSQDSVDALPNSLSAAAGLTHDVGTMHLNENRTSRESDDETPRVAN PSPVVTGSIGIPVPTASALQCRQAQLRADTPVRSESSDDNPLTPRNDSGPLVFDGRAS MS AOR_1_912094 MSGIASACLSCLSTVDRWCHITACLGPIGGRSRDGIYETTLADN EREAVSDLLGYLENRAETDFFRGEPLSALSTLVYSDNVDLQRSASLTFAEITERDVRE VDRDTLEPILFLLQSSDIEVQRAASAALGNLAVNADNKVLIVALGGLAPLIRQMMSPN VEVQCNAVGCITNLATHEDNKAKIARSGALGPLIRLAKSKDMRVQRNATGALLNMTHS DDNRQQLVNAGAIPVLVQLLSSSDVDVQYYCTTALSNIAVDASNRKRLAQTESRLVQS LVHLMDSSTPKVQCQAALALRNLASDEKYQLEIVRAKGLPPLLRLLQSSYLPLILSAV ACIRNISIHPLNESPIIDAGFLKPLVDLLGSTDNEEIQCHAISTLRNLAASSDRNKEL VLQAGAVQKCKDLVLKVPLSVQSEMTAAIAVLALSDELKPHLLNLGVFDVLIPLTESE SIEVQGNSAAALGNLSSKVGDYSIFVRDWADPNGGIHGYLKRFLASGDPTFQHIAIWT LLQLLESEDKRLISYIAKSDDVVHMVKSISDKNIESDEEDQEDGEGEVIALARRCLEF LGNGPRQTLVEA AOR_1_914094 MPATLPLELLSEIASLLYEAGDCLVPYTTVCRRWQAAFEPFIYS DLIVYSDDAHKEESQKGISLAHFQKATAGSGAIRQVWIRKLQYDILVPFELLDWTTHK DEGYSVDNPVREANDQAFQTAITALFETLSSWNQSHRLSLELGLLGRQMGEEPEPHTW DYEDAGEYRLYAFLVDNSPGVSELFNNAPRSLKVLNYSNQYEAPWKSTLPALNVLSTE ADTFTINLRALSTVLQELKLYNTSLSSDFLWPLDKAGPPLPNSGTLQWPNLTIIELND VPPFLPSGKWLALPTPEEQAGLDEPDEEISSPDDVIWDYDRGYASRKMVDDEEYHRFF TSMGHAARHMPRLKSIKFHLEHSTRLNFSFQPDSDPITAEWHSRAIPAYHPDERVAEA WGFPIEDFHFDPEEFDTVVNLDCWPPGDV AOR_1_1338094 MTVDLLDQMCTDECKKSIASYRAAVENACANDEYEDLYESVSAG NSSETYRPIILPDYYFTNYNQRCLKNSEDSYCLFHLQSTDSQDECDSCGLRMFQAELS NSYFYNDDLAEQYSSLTSSCGASTLDLPTPSSVALASPSMTVPTPTACADRSATIQSG DTCDTFAAANNVSTWRMLIENGLNGGCTNFPSNGTLCVTGHCQTHLVQPDDTCHQVYV SYPNNATSEVNTYATGAAGATTAAPIPTDVVAGTNINCGKYYHVKGMSSPALMLNLLR CLHEEDNDYCQGIAMAQGITVSDLLFLNPELNANCSNLYINYSYWNIETYPGYGGTTT TGSVSAAPTFFYGTRIAWDDLPIATGLTSWKPIATPITAPLANSTRKDCDEYRNNFAG SIPCDWIARGVALADFASWNPSVNLYDCSLTNNTRYCTLLGPGYYLDNMESDDSEIYA DVPSNAAVNSTKQCYSWYDRTKGMDLFNYALILPSAITAT AOR_1_916094 MPIFYESLSDNLRDWALRQPLFFVSSAPCRGRHINVSPKGLPDS SFAVLSPNKVAYVDSTGSGCETICHLRENGRATVMFCSFDATPRIMRLFCTGSVIEWN DPRYAGYVKEMGVKSLVGARAVIILDIFKVQTSCGFGVPLLDLTVDPETNEPKPCLIN RPRLGKFAEYTINRGELPEYQMQWNSRSLDGLPGLHSAMRDKGESIWWAQVTNWASYY HFQLDIIKTGMALMFLVMVVAQWVGYVQYQW AOR_1_918094 MTVSQVRRVAVIGAGISGVVSTAHLVAAGFEVTVFERNQQTGGI WLYDEQTPLECSFPSPGPSLADKVEKNARFDREKLRLQHAPPGPCYKNLTTNVSTPLM RIKLRAWPENTPDFVHHSVVNEYIRDIALSTGVDERTIYGARVEHVYKNGGKWHVNWS VLDDNGSIDGLEERRLISTFDAVVVASGHYHSPHIPDIPGLSEVKKRWPSRVIHSKRY RTPEVYRDENVLMIGGGVSSMDISRDLGPFAKMIFQSTRNGDADPPALMLPDNAVRIG EIDHLELLSGTGDTLPEGDPLPLILCLKSSQRLCKIHKIIVCTGYQIVFPFLPDYHDD SMPLQDADDTILVTNGTQVHNIHRDIFYIPDPTLAFVGIPYFNTTFTLFEFQAIAVTA VWSQTACLPSTTEMRREYLVKQKQTGGGRKFHSLKDKEKEYVRDLMAWINDGRNAHGL VPIEGHTAAWFEAMDKLWDEARAAMKGRKEQQEKIIKRIPFSADCTSVELPHLN AOR_1_920094 MESSTDMEPQVRLKDYREHVASRKAISHAYSVTNTRLFEPQVDG ILSELISLLSESATEKRLVDIMEYGSWFTYDVTSLFVCGKPFGFVEKRTDVKGLIQNK NKVLFIIFIMTIQENLSWIVRNTRLGRRYLMPHPTDQSGLGVVMAERDRIVDAVIDND GKVKRHLLVKGSLLSSLMEILGTEGCPLSLVDVKAEIFFAMLAGSSVTPSQLARVIFH ISRNFKVQEKLYEELVAAEQDGRIPPLSAIISDEQAHRLPFLSACIREAQRYAPTMSQ LPRYAPEGTGLELHEQYVPPGTSVSTSPWIIGRNKDLYGEDANSFRPERWLEASPEEE RRWDHFSFHFGYGARKCLANNFGLMQLYKVAAEVFRRFEVKVEGSNEDTVSGGPPASA RFRFDRRARSWS AOR_1_922094 MKLILTLLVSGLCALAAPAAKRDGVEDYAIGIDKRNSVEDYAIG IDKRNSVEDYAIGIDKRNSVEDYAIGIDKRNTVEDYAIGIDKRNSVEDYAIGIDKRNT VEDYAIGIDKRNSVEDYAIGIDKRNSVEDYAIGIDKRGGSVEDYAIGIDKRNSVEDYA IGIDKRNSVEDYAIGIDKRGSVEDYAIGIDKKRGTVEDYAIGIDKRGGSVEDYAIGID KRHGGH AOR_1_924094 MAERSSNGYKEVPVRQSEESTIAEEEKDTLLEDRSYSRRYRKRS CSKAVWFLIALLLLSNIGLLGGLIHYFRKTHHKEKDVPWLPPKTTPTRKLFVFQTLYG EPLNPEAEKAWDELMPIGRGFVNINNDTALPDQPGLDQSLPQQRAMISVFHQLHCIYM TREGYYAAREGNLDQVNAAHLMHCWDYLRQAIMCHADTTLEWIPAPPNDKGSTGWGVE HTCGDFDAIARWAEDNRLKTTYGIH AOR_1_1344094 MGFSWYGVLVYVQLISSTIVYASDPCAQIDHYVAWGKKQGRNKI SGIPGHLAYDCLQSMPFRSDLAVKFVDDYAKYLQFHATASMLKDPPSGYISTGVDLWG GLQRIRQKASDNVYSSQYDFDSDLKYLTSRANDGHLSVGLCSLEIMHFEHDMPLVSIS LDGMAQSEEYAIDDAEMKLRGTEAAISSVYRIEDMDPVYYLQANIGVTIGLQDPDARY NHLFPSPAAAFSGMYTGGLWTNNLGSWPGKANQTVEFSNGTKLTVETTASVMLDRGLD FSSGESLFQTACMPNKESRPPDPRPSLAVGKPPYSIPLGGPSMYPDPIIHHKKDVVRG YYLHEERLEDVAVLQLPTFRLIGESPVSLARVAVQFLERARKDGKEKLIIDLSNNMGG DINLGFNLFRILFPDKPIYTATRFPSTELIGLMGRVFSTSQGNEAVEHDNTLDLPLVF QNAVTPDHRHSFGSWEKLFGPVEIAGQNMSHLHATYNFTTASTEDNPISGYGGIEFGP STQLFHAENIIIMTNGICASTCTILARLLKQQGVRSIVFGGRPRAAPMQLLGGSKGGQ YWSLVTVSHYIKKAREIAVNASGAGSPILSEDELARFLELAPPPLTGFPIRIDSRGGS GVNFRNEYDEKDPTTPLQFVYEAADCRLFWTAENYVFPESSWVAAADAMFGDASCVEE SDGHHITP AOR_1_926094 MSDLYAHRESDEYLLKPEHFAEKKNRPKRWDCLRPIIYTSLAFV GFIEILFFGIFFAQVTRKTPERLLGELNGLVGDFPARRVIFRSDPLAASDHKTEESRN ATMNNWLSYMPRGNGFIAVNQTERYTLPPPIKQLGQDTYSIAVFHQLHCLYAIMSVYD DLAAAKSAADLNAHHSRDDTHSNEHPHEQVHDHSHDHVDHCFQYLRQSLLCCGDTALE GQDPRTDNPGTDGTGAVHICKDFDGILAWADSRRLVDAKHN AOR_1_928094 MASKWIEEQPVVHRRDIRISRKSRIAAGLLVLLVLWRYGLPSSI HFGFSSEESKQLGAVASEHALCSRYGADMLERGGNAADAMVATMFCIGVVGMYHSGIG GGGFMLIKSPDGDFEFVDFRETAPAAIVALGKNISAGLRSGVPGEVRGLEYLHRKYGV LPWSVVLEPAIRTARDGFLVQEDLVNYIDMAVEETGEDFLSKNPSWAVDFSPSGSRVR LGETMTRRRLAATLEKISVDGPDAFYSGPIAEDMVASLRNVGGIMTLEDLANYTVVTR DTSHIDYRGYQITSTTAPSSGTIAMNILKVLDTYDEFFTPGTTELSTHRMIEAMKFAF GLRTRLGDPSFVHGMEEYENHILSAEMIDHIRQSISDSHTQDTSAYNPDGLEVVNSTG TAHIATVDHQGLAISATTTINRLFGNQIMCDRTGIIMNNEMDDFSVPTSSLPTFGHTP SSTNFAEPGKRPLSAISPAIILHPDGSLFLIAGSAGSNWITTTTVQNIISGIDQNLAA QEILATPRVHHQLIPNHAIFETTYDNGTVDFLSQLGHEVTWYPPAASMAHLIRVNADG GFDPAGDPRLKNSGGVVALQRRKFW AOR_1_930094 MKSVATSSLDVDKDSVPLGSSINGTAQAETPLENVIDVESVRSH FPVLGGETAAFNNASGTVVLKEAIESTSNFMYSFPFPPGVDAKSMEAITAYTGNKGKV AAFINALPDEITFGQSTTCLFRLLGLSLKPMLNNDCEIVCSTLCHEAAASAWIHLSRE LGITIKWWSPTTTPNSPDDPVLTTDSLKPLLSPKTRLVTCNHVSNVVGTIHPIREIAD VVHTIPGCTLIVDGVACVPHRPVDVKELDVDFYCFSWYKLFGPHMGTLYASRKAQDRY MTSINHYFVSSSSLDGKLALGMPSFELQLMCSPIVSYLQDTVGWDRIVRQETVLVTIL LEYLLSKPSVYRVFGRRNSDPSQRVAIVTFEVVGRSSGDVAMRVNTRNRFRITSGICL APRPTWDVLKPKSSDGLVRVSFVHYNTVEEVRAFCSELDEIVTRDT AOR_1_932094 MANPQTTRVAVVGAGISGVLAAGHLLATGLEVTVFERNAAPGGV WLYDERTPIEPSYPAMKPSKADPPATNEQETSRFMLQHAPPGPCYYNLQNNVPTPLLE VSLKPWPDGTPDTVRHDVIQRFIQDMSIEAKVHDVTRYGARVKKVVKNGAEWKITWST PQVGLQSETSEFEQVSPFDVVIVASGHYHAPRVPDIPGLSDTKRKYGSRILHSKEYRR PENFRNKNILMIGGGVSSIDIANDISPFANTIYQSTRNSKFDLVESMLPENGVRVHEI SHFEIQSHSDEPLSDDEPLPLTIHFESGQNLHGIHMIMLCTGYHITFPYLEEYHSDET TLQDADENILITDGTQVHNLYQDIFYIPDPTLVFVGLPYYTFTFSIFDFQAIVVAQVL SGTVQLPTETEMRSEYNAKVERVGLGKVFHSILGTEENYVHDLLTWVNTSRAAQELVA IKGFSPRWYEAKEALRQKYRAQVNK AOR_1_934094 MAVEYFQEKLNKWRYSPVAGSPDEEGGNATVKPKASFVPVYAGL TIISLITVTVSLVHLLSGTGTTTTFPPCKNPAVRREWRSLTSSEKQNFTQAVICLASI PSTWQPNGTIYDDFAILHGGIGSWCHRSASFLPWHRYTLVVFEKALREHCGFTGQVPY WDWTLDWMNLANSSIFNSVDGFGGDGDRTGQEVVGGGRCVIDGPFAGLQPILYNHTYV RHCIARGFRDGDQAGRISGEYYRPESIGGILRKQSYVELVREVEIYLHNPLHQGVNGD FLAMTAANDPLFYVHHAQLDRLWWRWQQESPDLRLKEYHGKHMYNSTGNATLDDILMY GGFAEDIPVSRVMDTKGGFLCYTY AOR_1_936094 MSGTSPPTPRDHITMVDHDYSDCSEDVSLIGADREHRRSSTPDG LYQHKINRHYNPLYIAVVASLTFLITDIAGQIIVAPRLAIFEHIICKAYYTQVSGAAG TGMGDCKVEPVQSELALINGWREMFDNIPAIAVSIPYGVVADRFGRKKVLLIAMVGCL LSDIWVGVVTWFPDTFPLRAVWFSGIWQLIGGGGASISSMAFAMIADSCPADLRTTAF SQVHAAVLVAELVSVPAGAALANFNPWIPVFGAAIFMVLGILFAYVVVPDVRPAGSKR EGGSDGDFLSSAQESHPTWLMSIHHRWRKIVDEFRKDSSWIRDVNVLLIMASFFVCQL GRMISGITLQYAAAKFHWKFDKASLLVSLRAGVNLFVLAAIIPALSYILVKRFKLNDV VKDKRITQINGVCLIIGSFVMFLAASPGTLVFGQTVFALGFAFSVTARSFLTGMVDPM HIGTVFTGVTTMLYGGLVIGSPMLAKTLQWGLQLGGIWVGLPFLLAAVLFTLALGAIS AARSY AOR_1_938094 MNTSDMGSPIQMGLGSSDSSIFETSSLDLLDIPELGSSLWEPTL DIIQVQPPPSDAPSALQFPSILGDGLEEKEIDLIMYYVVEVFPRQHSSYQGTSVMERS WLLCVLKRSSSFYYTSLSLSAHYRLMSMPEGGQGRTALLQEYERYKTCSLFRFQELVS SATRPQSPISTGVVGESVICGVQIAMLELGDNTSALDSSSISTNTTPPSSVLTTDLYH AGSMEYKALRCFSIILIWNDILHCSAQQTIPAAAKTYQKLLADESFIPLFADIVGCEG WVLVPILEAMLLASWKKDQEAKGQLSIRELLTKVDHIESILGDRMKRLAPAVLRQKEA GISSQSPEQIRLVHTYIFAHASFVHLHTVVSGAQPSVPEIRQSIDKSLAAWQLLPPSL INFKTMAWPFCVCGSMAVGSQRELFQKIMSENFQNQSTSSNLHCLKSVVEECWKNFDK RVPEQSPSSYNWKVVMEKLNLSILFI AOR_1_1346094 METILSSCLYDPNLKTKFYIPRFTHRLLIAHAWGITPGQRILDI GCGQGESCLVLAHLVGRTGHITGIDIAQPEYGSPYTVKESHDYVRKSELGSRITFLRS DTPSFLRDLHRPAREVFDSVALFHSLWYFPNSQSVYDLFRTLAVDAQAPRVYLCEYSY EISLEEQNVHALAAQTQRLFYRYRRPRAPGDLEQNVRAGLDQASILEAARGAGYRVVR DGKVTPDPSFLEGHFEVQYVEGEKFMRRVKEEALTEAQESEILASLARLREVHEEWKR AGHETVRNLDIWWAVLELGA AOR_1_940094 MTKTEESPLHFFDIFSTLPGTSKSWSSKVLKIRMVLNYKGIPYT QSFHSYPDIAPLLQSLSVPPHKQGRFKYTLPAICHPSSVKSSPSGAMMDSLPIACHLD ETYPDPPLFPSGEASYALALAIGKLMVPAALKTCDLLLPKAEEVLDDRGKEYFVRTRT EIFGKPLSELRPKTEEGVRAIVDGMKADMEVFISMLRGRGEGKKSGPFLEGEKPGYAD FILVTFLSWSHRFDMELWREIMDMGNGEFRALWHASVQWLEGQGEEKEWAVPQLSTVD AOR_1_942094 MTASETTRLLPENPPDLVSATKGTEDPSRSSRPQLLITIGLCLS IFCVSLDQTVLATAIPRITDEFQSLKNVGWYGSSFLFVFTATQMMWGKLYQGYTTKRM FLLGLAIFELGSLLCGIAPSSATLVAGRSIAGLGAGSINSGALFIITDTIPLNKRPVY MGFLGCVHAVTKVTGPLLGGLLTDHVSWRWCFYINLPMGLVTAIVILSLVPTNQPKMA HSSLKDKIKSMDLPGSLLLICGTVALLLALQWGGSEYAWDDWRIRGLFMLSGIMLAAF AGVQVWAGDKATIPLRILCNRDMLSITLWGIFNGGAMIVFIYYLPIWYQAVEGFSATK SGLMTLPTQLGLVFCSLAGGIFVSLVGYYTPFLIASSVISTLGAALLSTLHPSSNLIS SLIFQVLLSLGIGLGSQNSTLVPQVAVQKEDVVIAISSLTFVQALSSSVSLVIGQSVF HNRLVENLRVSAPSIDPSMVEKGVTMLRDTIPPEMLADVLGAFSRAITDTFHVAVVMC AFSLIGSASLRWKSIREKTVEE AOR_1_1348094 MARTAQTARDPSDPPIRCMQREYVDHAGNTVILGKVAAYESQFY RPEDGEAYRLQVYSTTPIQPEELNKLYEYLCFYLSQRPFLEIYSYNPPDGLACVEHQR REVAHRKRLQAEQRHGEYDESLAPLIPTMRTGFDDQFMSGFCFLLTSKSYLQGSFRDN DHGTGPLWISFDRSLPSAVKKLDMIKRLGSPATNLRTFAEWGILVNPETRDINVKTTA DQSEMGSDLKELMTGIYSTYVYGEIDYGLHEPPPPALSETLTFHHTQQILEQQRQMIE GQSVDLNVLHLTWGPEHKTVTVTSYSLDSEYDLQYVIYVQFLADIEQDKTALLETVAR TFTAGIISHLPASKTIYFEFRIPGSSSLSSLLSAPPNGFDVGASHEFEPGTTMRALPL INRDFSIRPLPHHFFTVVLDKPSCIQEPGVLFYTLWTDPRQYIESQTADIIIETRRSA GIHEAARRLAMLAVEENNQDSARKLIREEHIELLSLSPEEYEQKMIF AOR_1_1352094 MSTFTNPIIPGFYSDPSCIRVGDVFYMANSSFQFFPGIPIHKSK DLINWELIGNAINRPSQISLNQGIHAPTIRYHNGVFYIVCTNLTDTANMPSNTDFHSS NFILTAIDLSDPNSYSKLIYFDFHGIDLSLFFDKNGKVYVQGSWIYGYDQNPATVIRQ AEIDVATGQLLTGARDIWSGATGKVPEGPHVYYKDGWYYLLIAEGGTHARHKITMARS RSIWGPFESDLANPVLTAEGSSGVVQCVGHGDLVYDKDGQWWCVMLARREYGNFYPLG RETFLTSVEWVDGEFPVFKDVKIKQRTKRQVARKTDTKWPPGPLTLLGESNSPHNAST NSVHVRWAAATEQGIYTDNVYTSVLIVAPTLNASLTGSGPEGLAVPDGTPFGCSDFRT YNIDFRNVYAEYYQDTVYVGKLGNAYFHSSIVAKQTDFLYGFGTAWLELCGLVLRGCG AGITAWKGTNTTYPNKFGVYVHASSINAANASVVVEQKGRCALGRPWNSGHRSIFAEI YEDGTIQDSGYVLWQAPITEQTLMGVYENIGPG AOR_1_946094 MTLRSISGDINPHKFRVVIVGGSIAGLTLAHALAAKKIDFVILE AREEIAPNVGASIGFTGNAHRILDQLGVWDELAELATPIIHNYAWNDKGHQLGYTEAF KLSQVRHGYPVIFLTRQQTLHVLWDKLPDKSRVLTGKKVVKMEQSTTEATVQCLDGST YTGDIVVGADGVHSIIHKEMSRQMETIQPKDSLRSENKGMVMQYRGVFGISYSVTGIR EGEMHNVFVKGASVLVIGCKDHVFWIVGVKMERTYYASEALRFDPSQLEDSLAFLMNK YVCAGVQFKEVYQRTIRCNQLPLEEGMFKRWNCGRVACIGDSVHKMTPNLGQGGCCAI EDAATLANAIIEIVEIPEKQQLPNIESRLSSWATASKPRMKLICTLSESVIRMQSLDN VVYEITGPIFSKYYMDAFADLISDMGVGGECISFLPLPERQRTGTMPFGKRHYIGAPI IPSGRLLWTIPLLMCLFLSIITSPGKSSASSSWDVYSVVADLGIFQAIWAMESARLCN AITFMSL AOR_1_948094 MLSLVLVAPYAAVGGLCTLLYFFVFPFIEYIRDPKGLRKYPNLH PISGMSVLPFMFMASRGARSQELSELHKKSPVIRTGPNTLSYGDVRAIKDIYGHNTKA SKDPSYIVSAGTHYHLADVVDRADHARKRKVLSSAYALKNLETWEYKVSDKIERLVKH LDKCCTAAPVSGGRAFVPSSEDLTVDIRAWINFFTLDAMADIGLSEKLGFLDKGNDIC VAERKDGSTFECGLRDALYPLAIKQCMVLWNYEWFPIINKLVDVFPYFRNLQKKGDAW EHIIWRRSSERLRRYEAGEKLNDFFQALMEDKNGRPNNLEFGEIAAEVNIMMNAGTVT TAIAITNVLYQLIRHPEAMAKLREEIDGVLGPDEIVASYDTVKHLPYLRACLDESLRI LPPTPHGLPRQTPPEGMEILGEWVPGNTLVSISAYVAHHDESVFPQPHKFIPERFLGE AGKELGPYFITFSAGARSCIGRNISYLEQTKALATLVHRYDFALPYPDWEPKRFESMN HILGEMPIKIWRRSFDG AOR_1_950094 MYYSGTLFALVGFSNPVAVNLVVSGTNMVSACINMPPVDPVGRR CLLVSTAWGMAAGLLAVAISFVYIPVSLDTLEVQDNTITPTAIVVLVFIIWFVAFYGV SFGNTAWMSADFFSTEVRAIGTMFMTCCCWGSDLIASSAFLSMMKGITPSGAFGFYAC LCFIGWILIIFFHPEMSGLTLEESQEVFQHGFGVRYARQLRKERRRGGE AOR_1_1354094 MTEKHVFPEGEHIEALKPKQGITESDEIEQTDSGARPRIHNNSL GHALSASEKAITSLCSAGPFLGAIIADLTADKYGRKGPMLIVGLGVGSAAMIVPAYIA EIAPMKYRGRMVGLNNVSITGGQAISYAIGAAFASVPHGWRYMVGLGGVSSILLAILL PLCPESPRQLISYGKVEEAANVLHRIFSNASEEQVSAKIQLIIETVQEAQLSTQGRSR WDIVKELNRNPAHFRALVCACRLMAISQMSGFNV AOR_1_952094 MDGMKNKNTKTGNQAWRREPRKWAPKSRLGCKTCKIRRVKCDLS QPSCLKCLSTGRTCDGYIEMPLAVKPEKTEMDSTHYQNSCKSDHPSTTISTYESKQWH SKYHGIMLQNLGSFLILPVTGPTQAEAMCFFNDISIKHLNGYRPCEPWRNTLMFFSQT VPSARHAAIALALIHRNYLECHSNGQVYQPPALKDRLPDKAPLLHYNRAIQLLLNPES DDSAEITAVTLLVCYLFTCFDHLAGDDVQAMKHLRGGVALARNTTLNCCTYGDAQSSG VHTTICQVTQQIRRLDMQAAMFLVDWTPANIQETSLSDSTFWSLDQAADHLQVLVAQV MRLRNTEQQISPTGTMSPLPSSPKDIVLAQLGTWSRLFENLLQQGSPSESDVATHLLV SLLRLQHTIAWTLLRGYGPGREMDYDNFLPQFQQCVALAGEVAAAHQRYSGSRPTFTP EIGFIPVLYIIGVKCRHPTVRREVLTILRRQPIREASWDSISTAGVVERVIEIEDGAA GEQQMVPYMEQIPVWRRIEALSYIHIPCGQSADRVDITYTFCGQEGIHVESLMIERTE RYIMSNN AOR_1_954094 MNRLVNPLILFMSLGLKAHSLHDRGMVYGFDNIEPSANLTWTPC FDAFTCSRLEVPLDYSNRSLGTTSIAFIKLAGKNATVASPSIVLNPGGPGGSGVDLLL TYQDLIGQMLGEQYNFVSFDPRGVNNSGLHLDCFSGDTKARSAFYQLHRTGATNISST SLEEQYYSSSIYGEWCNNAVVSKSAHSYYVTTPAVAHDLLTFTEAEAELAGQSPSNAK LWCYSISYGTVIGSTFASLFPDRVGRMILDGVLNAEQYYNNYWTDNVNQMDEAMETFS TFCHSAGPEKCSFWGPTPANITARMDGIIRQLQNHPVPLSGVGGPDLPTMVTVSDLKT LFLNALYTPLALFPRMADILHQAEGGNVSALAGMYDQSNSITDARLAITCTDSYRRNR LTTIEEFEIWVEYTTSKSKYIGDLYPIFLEGILCRSFRPHLPDSMVVQDEISALNVPT SFPILFASNTIDPITPLESARKMSSRFAGSVLLMQEAVGAYSRDVSSKSSIRSSTRGR RIVTLDIFRHTSKAQFHRLTLHAPDSTFHS AOR_1_1356094 MPSKRKCLGVDCHNEAEALQCPTCLKLGMKDSYFCSQDCFKKNW AEHKTVHKTAQATGLYNPFPTYSFTGSVRPVYPLSPMRTLPTSIKRPDWSETGIPKGE RRLHRSKIDILDAKGQEAMRKVCRLAREVLDITAAEVRPGITTDYLDEVCHKACIERN AYPSPLNYNHFPKSLCTSPNEVVCHGIPDQRILLDGDILNLDISIYHGGYHADVNETY YVGDRAKADPDSVRVVETTRECLDMAIELVKPGTLLRDFGKVIEKHAKSRNCSVHTTW GGHGINTEFHPPPWIPHYANSKVPGVCKPGMTFTIEPILTLGKPREIYWPDDWTNVTV DGKRTAQFEHTLLVTETGVEVLTARLEDSPGGPIPIPTTDNGNSA AOR_1_958094 MPSSAEQTFLIYELTEHIILQLDDPVEILRAQRVCRRWRDLIQT SPVLHVACWYQSSSKLKHAQTRPISDEKAWRLNPAFDQIGVPLPKAPGEDAELIDELQ TKGHFMFEANLDDTPVSWMTMLATQPPCQQMLIECHRDYSGYQTMSYHIESMTGCLLM SDVIAFLAECQKPGLRLLVVREHQKGYLSDCSNIFRLLSDVM AOR_1_960094 MPEEHIDQTVQHWNTALQILCISATTLCVALRVYTRMFVFSGLA KEDAACLGAWSLGVGYSAIALAMGYHGGGLHIDKVSDHDQRIFHKTVYGTMIVYGPAA YLTKLCLLWIMTRVFHPFRKAVILIYIFLGLMLAYYIPALIVKICICNPISKFWAPAT PGSCLNQSKITLADAVISVVSDLIILIIPVAIVSTLHLPTRKKVRVIALLSAGGLACA SSIARLVLIVSTAKSQDITMSFMRINMLGNAEISLGIICACLPALSALTTHIYHQHLH SQHTCICSLGSSEHSRRRGFWRRASDQDALFQNAQADPQIRAIIYGQGDNFGSTGNAI EIFRTVEISTEGTSA AOR_1_1360094 MGLPDPNQFTPITLQIPAKLNLYLHAGALRKDGYHDITMVYQAI SLYDTMTISPSQDP AOR_1_1362094 MSSTLPTFGTVLVAAGTGTRAGNAKCSKVYRELAGETVISRVVR AFRAWDPGHPIVIVRHENDAALLANAIEGADGQVYETVGEATRQASVLEGLIFLSSLE QPPSHVLIHDAARRFISPSLLDKTRHGMREQPDVGIILAIPVSDTIKSVDENGLISRT VPRKGLYRAQTPQAFSLPTALKIHDQLAYDTSVEYTDDASLFEQAGLSPRLPEVSIPD VRVGHGYDTHRLSPATEITLCDVKIPHTSGLLGHSDADVGLHAVTNALLGTIGADDIR SHFSPSDPKWKGASSDQFVRHARKLVSDADGVITHGDVSLICERPKIGPHRDALKTSV ARILSLDKSRVSIKAGTNEKVGFVGREEGIIGFATVTAVFPGGVPQAEINPDYDTTY AOR_1_964094 MPCDTPGSSAQAATMPPIMRPKLKPLPEMEEQERRIQAAISDVK QGKFSSEEEDALVQWTLSAIERNQTAPSRAQVEAMANTILAKRGTPINETVGGTWVYS RLVYDTVVGSANAENGSPSANPDSETGQPGPQRLALPPPRGHSTHPYLEATENLKRLE TLLSTFKELETEQSEAGSSSTQTAVDKLIKGCEMIVESGYSLLKENRDLRAALAEKS AOR_1_966094 MSTPQRSSPPNEEERRVQLPLNPQRGIGLATLTYTRNGPVGEDR RFYFQDDRIIPAEMVSRMNRSQETRSQDLEGISSQCSLSDDGESQEEPTEACHCYRDT EIDESSHVFNGDMVDGMKAPRVRKHHMRGGSVKGKSKLVNGDLDRHTFLAFFCQD AOR_1_968094 MPRLANLRTGSTDYEYGQLPYNHTPDGERDVANCKFPSIPKKMD ERWKRNATWGIIGTAFFLMVSFTGVAIAFTVVFAVKSPNVGGYRSDSPEYALYKNTRF EECYRAPGSTTNCTAMRVALNSSTITGFGYLRSSAVLNENNSSEWCEAVSCFNDYKVI PSTPRDSAMWPTLLTSWATCAGFLVGSLFQLLLQQKALYSPRNKPCKGLGEVHWYSWL FIGYDLVSFIWWWVSFGKLAAAPESAATPFIVGWVIPWKYAGLFRYHPFSCAFGTNRR GKNVARGIFYILAVIQWIASWYVIHINLPSLTAPGPGWGLRAPDPSYDCVQSQIDAAP GASTCSATQICSRNWLFVDFGFHLLYLNANPLIAIGLIFLALTLLALSPLAMMIFACF LRDKSPSLSPRSMLRWADPGPIAIISLLSVFEIITGCILVVDMVKRLNGTPDATVAFD WECQAIHVALSPWRYYIDLDYERGWRIAKLWFNS AOR_1_1364094 MRFLRAGALAASLSATSALKNNTYDYIVVGGGPSGIITAERFAE AGKNVLLLERGVGPTVSTGNNETLSWNRTLTPIDVPGLSADIANLDVWNQYMCTDTDG TAACVLGGGVTVNYMVFVHPPDHDFDDKWPQGWKWEDVKPASERLYQRNPGTTQPSAD GNRYDQGLYKVLSKFFNQLGWKSVDMIAAPNEKHQIYSYPAWNIVNEKRAGPVRTYLP LVKDADNFTLRLNSKVNRLVRSGSEVTGVEVVDAVTGKTEVVTLKQIETAKKSGVAVP PQEEWIDLPVGVGLKDHPIFTINVDTGADFGLLDYDSIVNGSDTTDINLYRQNSGVLT QGKHRMIFFTSNQVNGHTRYYQGSCAPAGEGVVSITAYMTHGLTSEGLLGLDEKGNTV IEQSPYLTTAEDRTAAKLFIDQILYDITDSSTGFKLQGNTNTSAILASPSVGVHYVGT AKMGTDDGRKNGTSVVDTNTKVYGMDNLYVVDGSMHPDLPTGNIQATIMVAAEHAAAK ILAQH AOR_1_972094 MIVRYLESPVGPYDEILWAPGVFQDPRQDKSVKRYRITRIYVSS LDSIYNGRKNWNIPKTLAKFEFIPSDANHPPYRQIKVSLPDTPEEPFVSLDLQPIPLI SRPLLPISTAYVPMNLEIVMPPIPQSENWKENGLVGSDNNEWRSVRVDIAGKTGVIKV RGELGDGISFPELNWNGLWFWVDDAKMSCMNVGE AOR_1_1368094 MFLPSRKALAFLACLASHSVALLTTSENSTHFNLANDRFSIALA KSNGHIVDVQLDGQDLLGPVDGNAGKGPYLDCSCIPSGFWTPGSGAHLELINGTDSTG TAYGGLYMSATYAGTNQTLSQWFFLRGEETGLHAFSRVTYFNETTPSLRSLGELRTLF RPSTDLWTHFSTSDGNYGPKPLGSNSGLVVQDATTYIGNVTDDPYVSQYSDYFTKYTL AESWRNHDVHGLFSDGSSSSDGSTFGAWLVHNTVETYYGGPLHSDLVVDGIVYNYLVS GHHGAPTPNLTHGFDRTWGPQFYYFNRGDSETTLADLRADAAKYADPEWNAEFYDSIA DHIPNFTPSTGRTTFKGKVSLPKGAKRPIIVLSEDGQDFQLNVFNTESLQYWAEIDKS GSFSIPRVVEGTYRITIYADEIFGWFIQDHVKVLKSQSKDYSFTWKEESAGKEIWRIG IPDKSSGEYLHGYAPDTSKPLQPEQHRIYWGKYDYPADFPEGINFHVGKSDPSQDLNY IHWAFFPSQGNHLRTEPYYDNVNNWTVTFDLTADQLHNTNTATFTVQIAGAKTANGNA KWTPVEGKYSNLPWTVNVNGRYESTWVIPYWRSGSCGVRSAVSCQNIEQKFAFPSKNL QEGKNEFVLSLPFNASSTETALLPDALYVQYDALRLELE AOR_1_1370094 MKGYYSLVILALIGIAFALLEEKLVAFEPIDGSIEFGNAPILRD ASDPVGVKIAADSLASDLKEVTDVEHRVLVWDETVLVAEGELSTSGLVIIAATVDSPL VSRLEEEGKIIVDDIRGKWETYRTVVVDNPLPGFKHGLVIVGSDKRGTMFGVFTLSEQ IGKSPLHWWADVPVTKHKEIYALSKTTTQGEPSVMYRGIFINDEAPALTGWWAKHGNV DDYTFNAEFYGHVFDLLIRLKANFLWPAMWGSFIPTPGRIFFTDDLRNQQLANDYGIV VSTSHTEPMQRSSNEWKKDPTPGGWDWVNNKENVIRFMEEGVRRAGDNETYFTLGMRG ENDSPIEADDPIAVLEDVFSTQRELLAKYHGNDTSLQAWTVYKEVMTYYAAGLVPPDD VTLVFSDDNWGNVQRLPTKEERQRSGGIGLYYHFDYVGRPKSWKWQNNNNLPKVYKEL SQAYERGADRVWVINVGDIKPMEIPLSFSMELAWNASRLDFDTIPAYLKALATRDFGE KYADQIATALMEYSHLAGLRKFEMLEPTTYSILNFREAEHVLDQWRTLADKAQEIQQS LPSERRDACYHLLSYPATAGFNYYQTIIGQGRNRQYSFERRNSANLVAGQVLEYFEED YDLALEYDSLADGKWEGIMSTPKFDMGIADWRPSSRDVVANLSYVQLRQDFDYAFGNL GIYAEQSLSAYRQGRICGSINPSLPTEEGLAPMLPLMDPYGPESRLIELFHPISTPYS WVHVSQTSGTLSKEHPEERIVVSIDWPAVPSNFTETIQLRVEWEPSPYFDLIHIPIRN HRVPSDFTGFPESGGFVSLEGPHFQRSSSHAVSFKHIQYLGSRSRSGSIALRPYMQAR ESEEDAKDAWVEYDFYLFNSTDPFNATIYVNGALDTDPDLPMKLSLSIDGQEANFTRL LGEPEEPGDMPTGWTEAVADHVWTRDVEIAALEPGPHTLQWRVNSPEVYLEKIVLALE GYLDSYLGLPERTLVGGA AOR_1_1372094 MAVRRLASTLLALAACANAKWIVPGARWYDTDGNLFNAHAGGLC VDQETGKFYWFGEYKVEGQVEGGGFSVYSSDDLATWESHGLALEPIENHTYISPHNRI QRPKVLYSEETGQYHMWWHADDDKYSWLLQGLATSDNIAGPYTFVDATSPLGNWSQDF GAFTDYKSGNSYALYSNGDSVEGRDVYLSKFNSNLTAVEEVTYRFPKYDFEAPTILQT ENSYYALMSHKTGYRPNNVVALRADKLEGPWSQPFFVSPAYTRTFSTQSGFSWRIQGT KKTTYLYMADQWDMNTLWESRNVWLPIEIDDREGSLKVVWHDVYDLNVKTGEWKPIKG ETYISKHAKTSGDAYLQEATFASEHRIATGIYGNDSTITFTVQGQGQDQWVSFYHQNI DDMGFGDQPMGQPDRINGTWQLRRISSVVVNGDTENVHTLYQKDTHKGIILSTPLLLP LKKGENTITVGGLWNGFNYKGADLDRIVVYPPEGVKKRWFW AOR_1_980094 MVGLSVTSLLTAVESTVTSTALPTISRDLHAGVYNIWFASAVFL LRLVPASPSSFTDRITMALSAPRFNRFTDSLPTFLVNDGPAVALPASGSGISGGASSS RKRQSAGQYCLLLPYYFAPTSASDSAYLHSVSVSSSIGPFIGGALTQHVT AOR_1_1374094 MSGSPSNGMPKTVVPSQIEPVVSAVEVTEQNAGSDRIVQEPSRD GLSISDKDSALFQGGVQRVRAITSLWSKNTMWLMFVLLYLVSFVDMLLVSVQTSLNPY ITSSFHKHGLLTVVSIMSTILGGSSKLTLAKIIDIWGRVEGFLFMLLIVVIGLIMKAT CKNIETYVAAHTLYWVGHIGMMYVVDIMLADMTTLKSRMIMLGINGTPSIASTFAGPR IATLFYINLDFRWAFGAFAIMITGTSIPVVGVMLYMQRRAQKVGIYEKTVSERSWWQS IIHYFIEFDVIGIVLITAVFSLILLPFSLASYAPKGWASGYIVAMEVLGVVCIPAFYA WERYLSPVQFLPWKYLKEPTIIGSCLLYCVMFTSCFTWNSYFSSYLQVVHRLDITTAN YVLNAFSLTSYIFSPIFGLLIRYTGEFKWTVFTGIPILLLGTALLIPFRQPTTHVGII TMTQILVGLGTCIFTVCGQLAIMAPVTHQEIAVVVAIWGLFGSIGAAVGSAIAGGMWN NILPSELYKRLPDESRNISATIFSDMVMQMSYADGTPEREAIVGAYADVQRKMVIAGV CFVPLCVACVWVWRDINVRKLETEQTRGNVW AOR_1_984094 MQLYKVFAVLAALQPALAKSLVDFSAARGDNPSILGLRNLESVR DTKLDENTKDLYIKLDKDPKGTPALHFHRKKDYIRAEYHSLKNQIEVDKTYYIGYKFS LGAIQQSLMIWQFKEYSANSHGGANIPLSLEFKSGKLNLQYQASGDAKRVSQWSKELK TDTVYSIGLVINTSRPGWVELYFDGEQQTLSSGSTRLKANTFPGQADPKFGAYRGEEV QIDTYVYNIQIGTTIDDIKEAAGLGSSPKPTATSNPTPVPTCAWEGHCEGATCTTEND CSDELVCKNGKCTADGAVPCSWEGHCEGATCSSENDCSDELVCKNGKCTANSAVTCSW EGHCAGAKCSSHDDCSDELACTNGVCA AOR_1_988094 MPIKSFASRLALSLAICGTAMGQKVNGADYNKPDGGPPAKFFQA SSSIPVAAIQAAAAKASKVPSHATYPIGQGSTKSTIHSDWAGFSEGAAFSFIADMDVD CDGLNHGCKGNPDGQKETNWGALSAYEVPFIVIPQEFLDANKGTLKGNAVAAVICATS SNGKMFYGIFGDSNGDSPQVTGEASWLMARTCFPEEDLNGNKGHTAADVTYIVFTGDK AVLPSSALNKNYITNFDTLRSMGDSLVGALAKNLNLGGGGGNPPTTLTTTSIPEPTGG SGSCSWPGHCAGATCSSNDDCSDDLACQNGKCASDGSAETCSWEGHCKGATCSSNDDC SDELACISGICSVDNGVETCEWEGHCEGASCSSHDDCDGNLACKNGKCSA AOR_1_986094 MASTEEQSDKTAKSQITTNVEVEQGHLDELPEGIDEKVEYDYES ERSPFPEVRAVVPETDDPDLPVNTVRMWFLGIVFTILGSGINQFFSLRYPSVHIVSLV AELLAYPCGVFLAKVLPAVTINLGPLGSFCLNPDHYFNIKEHAMIVIMSNVSFGYGSA DSTNIIQAASPKFYNFGLKAGFSVLVVLCAQLLGFGVAGLAAPWLVEPASIIWPQVLS NCAMLETLHSRANSVANGWKISRLRFFLYVTAGGFIWYFFPGLMFTALSYFTWICWIA PRNAVVNQLFGMQTGLGLSPITFDWSQVAYNTNPLLSPSWAAVNVFAGFAAFFWIVVP GIFYTNTWFTAYLPLMTSDVYDRTGAVYDTARVISPQSTLDLEAYKSYSPPYLGATFA FVYGLSFASITGVLTHIGVWHYKDIWAAFRGKNRLDVHARLMKSYKKTPWYWYAAIIV AMTAISIAMVEVYKTKLPVYGIFLALAIPAIYMIPCGIVQGITNVNANQLNVLAEFIG GYMFEGKPLANMIFKILSTDVVGQGIYFAMDMKLGHYLKIPPRSLFLAQGLATILGAL TQAGVTIWMLGHISDICSEDQPDGFSCPNGRTVYSSSVIWGLVGPRRLYSVGQIYSSL LHFFWIGAIAPLVTYALYKITKKEYWKYVSWPLIFTGTANVPPATGINYSSWALVNFI FNHFIKRRFFAWWTKYNYILAAALDTGLALSGIVIFFCISYPGAAFPDWWGNTVYQNT ADADGVPYKPMPKIGYFGPANGTWS AOR_1_990094 MEPVSFAFGAVSFLEVCIRLGKALYKRCQAYQHADRELQEANLC IQGHWIKIEHQLSALRDVWPALGNDLQVHQNLVLQVLQGRLQTAVSRLDSLIDPQRLS GFDRAIARVADPARLRYAVRAKTALVAIIDDLERWQRAFDPSWFFLARISVPLIDEEL TRERADQSDVVSTVVHLRQAHKTNRTDSEPLNSMFLSGNYAIDKQELITSSVFMGYHA DQKIIVDHIPLKEYMDVTQTTKDVRSLVRVLSTVGPDHFGLLSALGVIKTTEKETLTG FNIIFAVPPHLSQSQPRSLRSVLTAGNEYNLDERFKLAISLACSLVYLHSSSIIHKNI SPENIILFPTPGRLGVPFLVGFERFRPSEGRTYMTGDAKWERNLYRHPRRQGLHPEEE YSMQHDIYSLGVCLLEVGLWTSFVQYKGSRPLLGPGLPIGEFLCDRDGRRSAVQIKQT LLEIAESRLPVLMGKIYANVVVSCLTCLDRDGSFGDKAELEDEDGILVGVRYIEKILY EIEKIVV AOR_1_1376094 MEKKALDPLWKVYHARKKSIDSDGGGAVKSVERLTECLQKLGKH DQALKLLLRHYEELKARPDPYGGAFLDIARCLAPCLRELKMYVEAANVYREIAESWRL FEVSFPRFESMIASGNCLLAGSLFKEAEVLFTEVYEQKVREYGVDHWKTSYSVHGPGA CFYGLGASFYGQGEMKKAHEMFRKAYECRREDLGDNHTLTRESLQRMIEAESGTQKLF NRLSRASKRMARSIR AOR_1_994094 MYGALDLVNDSKLSVRFYEDFFYNEVFDFNSKKPGGPLHRRKKE QKWIRERRLGRGTFADVWLYKCDEDVGTKFQAVKVIPRLSHSTKAIDYTRELEAMFKF SHRKYKGLFVEALGWFDNPRSVFVTMEYIELGDLEQHLDPPLGEAAAQQISSQLLEGL EHIHSNGFVHRDLKPAKDPHWLVKIGDFGVSKRTREGDSAFQTVAGTPGFWAPEVNMT LGRDDVQYTQMVDIWSLGVMLHYILTGCLPFSQHLQLRKYIQDGQFPTALLDARGSLL HVCLQKMRYCIRGSKAFLIILMSTVQS AOR_1_996094 MEYSLYRASRENEINLLCKLPEELVENVAAFLGAGDTGIEDLCA LRLTCAHLYEKSLHYFGRVVLHTDHVHHLLIRGVLNSRMGLGFQWTRHNSGQLDVEQS PGARWLRDILLSFPNCRSFTVHLDYGWNDSSEHSRLGPSDGITIIMDIVAETGIPVRS FSVYAHPSSSGFAFNNVDPRCLQFDILKDPAFINSWAQVEKLKFHHNFVPGNIGEWVA SVITLAPRLKTLEIGFDYTPDAQVITTRLSSGPVVLSELRELVLTEASEIEWDELLTL LRFTCRTLRCLTLQRLQLKGGTWIPLLAQLYQFPLLEDLTLFWLSTSQKRVLHFVSLP KSLRGTLQGGKLKLDRKQFRGIWRTVYVAYRGHDMQVLLNALLQSARDD AOR_1_998094 MPYSLQRLKEKWEASIETGHWPSARAQGNAHGGRYCFLLQLPTE LLLKIASYLSAVPRACLALTCRRLYLVDDVALNSELLHFSPDFAPLFKHYQNEYNFAT DRWQLIRLLEDRRWLACSRCLKLHMVASFPQRERKKRPEDRTCRLGDLAGVVELCPCI KLSFRGKMDLVDLLRTRQQTLTALATQVGASSLERFCWHSCVMNYGSSEMKIRVFPEL DKTDMLKVKVEYRLTIEAGQLGKEESMIPLLGCAHRAVDLWLASVCNTYVALGRMASH ISACSICNTSLICPRKGPLLVDEGSGHDVYDFYIEKCLGPGNGSVPDHQWAVQRIHPA GDRRTVGDCDERYLWSPRKYHIRS AOR_1_1378094 MKSLEWTAEPDPQVIEQTIQSLLPSSTVQVTFLAQGALNKIYDG KIDNEVFVMRVSLPVDPYYKTMSEVSTVNWISRTANIPVPRIITYQSSRENPIGFELI IMTKMPGRPLKELWRSLSFSAKISLVGEVAAYSSCLFRNQLQGIGNLYTPISILKDSG LPEATWPAGNPAYSKKSSRSEKEHMPAALSDVGRIVSMHFFGGSHIFQDVHRGPFTSS SDWITSRLSLIEKDCQSTLDNLPPGDLDSDDEADADDATRTLEIIGKLKTLLPSIFPL DGDNPEPSILVHDDLSSRNILVHDNGELAAILDWECVSALPLWNACNYPAFLQGRPSL I AOR_1_1000094 MTQTAHNGQERLPPTLLTGQEPDRVLLAHFETYGGIIKDTEREM KEGKKVVLDCLAKTMVEMRDKEDLDDPDMAILASTFMIGGAETTAAIMQWFSALIPVY PEIQNQPRKNSILLLDVTISLVSKMNKICLTAMLSLKRSREFTIHSGWYIPKETVVVL NTWTMHYDPTRHSNPETFDPDRYINDPLTSAESANVANPMERDHWMFGAGRRICPGML VAGREIWLSISRMLWAFDMYEIPDEPIDLK AOR_1_1002094 MVRVAVAGGTGNVSKEIVSILGESGKHEIVVFTRSDPTKHSMKG VQFVQVDYNNKEDLKKNLKRIHTVLCFIWDTSLQMSLIDCCIEAGVRRFAPNEWAARC NAGIFQYADKDIVHAYLQNVNREKKVIEYCLFQPGLFMNYLSSPIPSTTHHAIYTNMW DVANRRAIVPADSDYRLTLTTIQDLARVVDEALGFEDEWPEVGGITGSSTTSSEIIRI AESIRGPFVIERVSKENLEAGKLATSWTPMLPEFPHLNFDMDWAVFSEQVIAEIMKGG LQGAWDVSDEWNKLLPDLKLTTLGEFLTRYWKSPS AOR_1_1004094 MVLTGSCMCGLIKYEADAEPAVKAVCHCLHCQKFSGSAFTTNFI IPRSSFKILSGTPKSGKYTADSGSTYNTFFCGDCGSSLYGQPDSMPEMMSIKAGSLDG DSANLSTGNIDAEAFIERRVAYLKPIEGANQVTGMIKP AOR_1_1006094 MSTAMMKQWVVDHPEKDMGGMDLREAPLPTVGTNEVMVKFEAVA LNYRDCAIAKGTFPFAHKYPIVPVSDGAGVVVEVGEKVREFKKGDSVITIFNQGHQYG DIDPYAASTGVGGTIDGCFRKFGVFNETGLVKAPKNLTPLESSTLPGAALTSWNALYG LKPVKAGQWVLVQGTGGVSIFGLQLAKAAGASVIATTSSDAKAEELKKLGADHVINYR AVPNWGEVARSLTPDHAGVDHILDIGGTETLEQSLHCIKMEGIINLIGFLGASEKPQP GLLDALNHICTIRGIYVGSRSMLQDMVRAFESNNIHPVIDPQVFQFEQGKEAFEYLAA QRHFGKVVVQFNHSD AOR_1_1008094 MALLLKILLAALPAVVAGLPPTATVKNGTYEGKYVALYDQDLFL GIPFAQPPVGELRFQNPQSLNSTFGTRNATEYADSCVGYGNSAAWPYTLSEDCLTLNI VRPAKSGQTKDDELLPVGFFTHGGGWSMDYSANGVYNLSFIVEESVKMGKPFIAISAD YRMSFWGFMASQDILDAGVANLGLKDQRIAMQWINENIEAFGGDPAKVTIWGESAGGG NVCYHATAYGGRDDGLFRGVIAESGADGSHMKNLMEPQQIYDTIVGIVGCDGSSDKLA CLRTVPFEKLNATITEISGSFYPIVDGDIIPDLPSVLLDAGKFTKTPILLGTNADEAT MFAGTGVNTDEDIASLIQSSGLDANTTEILMALYPDIDSLGLPANYRVQPDGPVGKQF KRSVALLTDQMFLSWRRLRTDAWSKYGAPAYSYLFESPTSSTAYKGTSHFTEIGYVFY NRIGLGYAAGQSPLANATQDVLDLAKLTTRMWISFITDLDPNNHGVSGVDHWPVYNAT GGYGQNFYLHRSDGGVRPDTFRLAGTAFMNSLAEQYGR AOR_1_1010094 MRVTSLLTLVSTFALLAAAARPAAMVRRQSNAVDAPLSLDDLVT KFDSLTAEQQDEAVKKLSPKEILRLKNCLYFKEIPEILKPTCPESLTRVAELADVLDL FNAHNLFEKDPRVGIST AOR_1_1380094 MSGKLDKSLDEILVNRRQGARRRNRRSTQSKAAPAAVGGVKKST KAAKPSGKAAQAGHPMSTESKIMVSGLPSDVNEANIKEYFSKSAGPVKRVMLTYNQNG TSRGIASIVFSKPDTAAKAAKDLNGLLVDGRPMKIEVVVDANHAPEVPAAKPLGERVA QTKSQPKPATASKATDSTRGRGRRGGRRGPGPKSNRPKPKTVEELDAEMVDYFSNENA GPAEGNAPANAAAPQPANGGEDLGMAEISRQVTSAKSGWLLVG AOR_1_1014094 METLKAVFFGPDPQAQMRKCNALIRANTRQLDRDIAQLRTLENK TRQYIMNASRRAERNPSQAKQATQEAKTFARELVRIRKQSTRLHTSRAQLQSVQMQVN EAFSVRKIQGSLKKSTGIMKDVNTLVRLPELNATMRQLSTELVRAGIIEEMVDDAMPD NELYEDELDEAEEEVSKVLQEILQGKLAQVDTVKAEEPLEETPAVEEQFEDQEATLEQ MRGRLEALKS AOR_1_1016094 MPELSKVHPEVTWAQRSSADEAERNYLYVNIKAPDVDRKEATLK ITPTNVTFAGDSKKGVRYEVSLDLYAEIDPENSKVNHSDREVELVLRKKELKQEYWPR LLKDSQKVHFLKTDFDKWVDEDEQDEAPEDDYANNFGGLDALGGGEGGLGNIDFSKLG AGLEGMGGPGGPGGPGGFGAEGESDDEEMPELEEADKDAEESAKSTKIEEVS AOR_1_1018094 MDSSDNISQLRVIYNKGDHIVLQDEERKTIHKCGKEGFKIYEAL TLIYINDNTNISVPKIRSIQYEDGRELNVRYDEAGKSTNIRYEDDKVYEVKYDEQGKS TYTPCGNDDVVQITMGFVEGDTLEKVWKDFTYDQKEVLAGDLKRYVSELRKLKGEKIA ALNDGKVRVLSGSRYAQEGGPFESREEFNQFLELIGVDMMLHASLDDNSS AOR_1_1020094 MDFMPGKPLQEAWKGMDSTRKQRVAEELHGHISELRKLKGSYIG ALGRGKAIIGKFSSLEGGPFDSEQEFNEFILVDMVRTAPDLHRHYTKHALSGDHEIVS THGDFAPRNILVDEEGYVTAILDWESADWPIYLAQILPPKYEKEYIGMTFLNLFLRT AOR_1_1022094 MPTKTYIAIYVGDPLDYTRYRHTALDFEFPSKPIVLHIVGAPGI YEYDRRENYNPRERNEMRILTIHMIG AOR_1_1024094 MPPKKQNNEPKKKKASVEDKTFGMKNKKGAQAKKQIEQLRTQEK SNKSADAKRKEAEKARREAEKKAAEQAKKEAAELFKPVQVQKIPFGVDPKTVLCVFFK QGNCEKGKKCKFSHDPNVERKAAKKDLYTDSRDVKATEEENKKKDTMDDWDEEKLRNV VLSKHGNPKTTTDKVCKFFIEAVENQKYGWFWVCPNGGDACKYKHSLPPGFVLKTKEQ RAAEKALMDKSPLNTLTLEDWLESERHKLTGNLTPVTPQTFAEWKKQRLDKKQAEEQA RKAKEATGRTLFESGNWRAEDESSDEEGDDDDTFNLAALRRETERIREQKEEERLARL HGAPVPISNDETIAQEGEG AOR_1_1026094 MPEKETIVVIGAGVIGLTTALRIQETLHRNQTIQLIARDFPNTT SLNYASPWAGAHYRPVPGSTPQALREETQAKQTYSYLKQLAKSDPSSGVAIIEGIEHL ENPPSEYLDERSIKECYGHLDGFRILGKDECPEGVKWGARYETAVINSPVYWQTCLVR NPCSQTVTRQNSDGSWSFCIPRPLSGGTIIGGTKQPRDYDPNPSVETREKLLANAAKW FPFEPGSEGKFDVIRDIVGRRPAREGGMRIEVEKVGEGRFVVHAYGAGGRGFELSYGV AGDVVKLMVGNKLVGERASL AOR_1_1028094 MGVQKKTRKFAQVKRAIKKHDDRAKKDNNAPKQDKAKGDEVVRA IPQAPSNMFFAANTALGPPYHVLVDTNFVSHSIRAKTDMLKSMMDLLYAKCIPTFTDC TIAELEKLGDKFRLALRVAKDPRWARVRCDHPGTYADDCLVDRITKHRIYIVATNDKD LVRRIRKIPGVPIMKVARAKYVIERLPDHFE AOR_1_1030094 MQSLTSLPTEILHKIIRFAEPESLKTLRQVCRSLGEIVKERHFE SITVYAKEESCDKFTDFLENEDRDCLHLVTKVYLDLSAFEYDGYRVYNYGIWEGPREK TFRRLVRLFPRLKELPRLRSIVLGFYPESPGGVDGVLDVPYTLGIRSAAIKEFLSAIT TLPQVPQELAFRELLNVNESNQDEVEKIEKVLQNLRSLRLNITNPHNWGDPETTLHHD DMHTFFSTLPSFWLKPALQILEHLTIYSNVYFGYYPSWISVVYISRDSGLWHLEKLYL DDCTILWAVAPSNKERTYLGEDSYTTHPNLVGRGYATYDTRWHHYFQSFHELRHLRHF RYGRSEYWRGNTIPFERETEIIIGMHEESYLTFSDSYAQELSYDYGAWLRIKWEEGGP LPWIEEDQTSLEELLAKIGQRYVIDEATEHQIALARELRRPRAAVAPIDE AOR_1_1384094 MKQIGYLRTLTAKLLCAVFSFGLLGMARGLDEGLISTTVAQPSF IHEFHLEDADLSASEKANRLSNITSMVHIGSIPGAIFAFIMCEHVGILWTMRQLCVMW AAGVIIVITAAGSIGQVYAGRFIMGLGIGQAGVVVPIYLSEVATPTLRGLMVGTFATS EYMGIMIGYFSSWGTTMHISNNSSKQWIIPQSVQIMVAGILLLSSFSCEESPRYLCKA GRFAEGTRALSRLWNLPIHDPRIQREFEGILNQLGDTPAGTSIQRLGRALKQLVTDKS NPSRLAFLAITELLSQWSGATSVTTYAPKLFSLLGETGQSQKLLCTAILGAVKFVASL ICTVFLIDYTGRKRPLITGIIIQFIAMLYIAIYLTVALPSTHPIHSKAEHDAVIVAII CLYLTGVGWALGWNSIQYLINAEIFPLSVRTVGSSVLMCFHFANRFGLSKAVPSMLLE DGLRPEGTFWLFAAVTILGLLWVWMRLPETARRNLEEANILIS AOR_1_1034094 MTSHTPRETDRLLGNDDDSSTPLQKYCRHRLISHLTSDINPNHG DLILLFCYVITGLLDSSAVFVWGSFVSMQTGNTIYLGLGLMGVDESTRWLRALTSIAS FCVGSFSFASFHRRFSPRRRWVLCLSFTIQMLCVAAAATIVTTHQTSRDSPLTWKVIV PLMLVAFQSSGQAVTSRALQFNGLTSVVLTSVYCDLFSSPELPPLSMLHGVENRRRGG AVVCLLFGTMLGGLWAKSPVGLMGAFWTAVLCKAFIAVAWLIWRGQSTENLEDDELDQ AOR_1_1036094 MGITKPSHDDYTIAWICALPLEMAAAKAMLEKTHESLPQPQADQ NSYSLGEMSGHNIVIVCLPSGVYGTTSAATVLSQMLSTFSSIKVGLMVGIGGGVPSES VDIRLGDVVVSMPSGSSGGVIQYDYGKALSDGCFQRTGSLNSPPQVLLTAVSQMRSNH MIKGSEIYATITNTLDSNRYMGEHFSPPDRDLLFNATYQHPKHCLDCSKCDQSQVVTR ISRKSHEPQIHYGLIASGNQVIKDAKTRDYLAQKLGTLCFEMEAAGLMNQLPCLVIRG ICDYCDSHKQKDWQGYSALSASAYAKVLLTVVPISSSNRTDARIHMQSNKEAKRSEAA SFTFNSYGSGQQFNATGNAQNNNTGNGNQFLGSFAGPVYFGHPPTASKDT AOR_1_1386094 MSFTNDHGSGDMFNSHMSTQNNNTGNGNQFPGSNFPGTVNFYQS TQNERSLQSRQMDVLKRLNVSKYRDQKDRNPVRVRGTCDWFVTHPTFRAWQETQTSQM LWVTADPGCGKSVLAKYLADSVLTSHAGRTVGYFFFKDDFEDQRSIVKALCCIFHQLF YQNRDLLTAHIIEQFEMDERIVDSFGSLWNVLISAAKQTDTTEIICLLDAFDECEPDG RSQLTVALERLYTDESRHNFNLKFLITSRLYGDIRQGFQPMQMEGQPLIHLSGEGTEE MEDISREIKTFIKARVETIGARLKLTEEEKSILLKSVTRVPNTTYLWVYLTLNLIENI KDIDKTRILDATSDLPKTVDDAYERILSRSEDCQKARKLLHIVVGATRPLTLQEMNLA LILSEKHQSYRELELRSEDRIRENIRDLCGLFITVVEGKIYLLHQTAREFLIEKNKPP IQGNTSDSKLRVLHNAAWRNRFRDTHKRSNDSIQSLSWKHSLKLQESHQIISRICIKY LLLSDFRKTLPRRIDIGELTNTYIFLDYSTRSWATHFLEANDDTDNMKQSLVTLCDLI EDCCPAWFQVYWASLGTKFPTGFNSLMIGAYFGLIPVVQHWIEMGKTDVDAEDDIYGR SALSWASGNGHTTVIKLLIKRSYRTWWKMARVDARDRHNRTPLSWAIINGQVEAVSLL LKAGAVIDLKDDIGGTPLEYAICSGNNEVWNIVKKKGSQNHHLSIDKIQTRLLISAAR KGNEAIVTLLLENNADIDSRDENGQTPLSCTAENGHEAVVRLLLENKADIDSRDENGQ TPFSRAAENGHEAVVRLLLENKADIDSRDENGQTPFSRAAENGHEAVVRLLLENKADI DSRDENGQTPFSRAAENGHEAVVRLLLENKADIELRDRNGQTSLSRAAKNGHKVVVRL LLEHSVDIESTDNMFGQTPLSWAARNGHEAVVRLLLENKADIESTDNIFGRTPLSWAA GNGYEAVVRLLLENKADIDSRDENGQTPLFWAAENGYETVVRLLLENKADIESTDNTF SQTPLSRAARNGHEAVVRLVSRYKSP AOR_1_1388094 MINNYGGKASYYHYEGKPLVSTFEGPANSDDWLIIKQSTGCFFV PDWSSLGAKPAVELGTADGLFNWAAWPWGGQEMNTYVDASYTEYLDELPYMMPASPWF YTNLPGYKKNWLWRWQEIWYLKPPFVQIISWNDYGESHYIGPLRDYAMEAFDIGRAPY NYVTDMPHDGWRLFLPFLIDTYNSGGTSGNTASQLQIEFHPTEIVQDHIFFSVLLSAP ATVTVSVGGVSQQASWTWQPDGGVGIYHGSVPFGSSLGDVVVTLSRSGKQVAQVHGSS ISTNCVKGLTNWNAWVGSASASESVNAKPETNLFNQKCINGTGANNFAGLCEFGCKYG YCPLGACYCQLQGEPRETPNATGPMGYPQAGLDASYSGLCAFDCPHGFCPDTACSTVS APLSTPTVSPFLPPACIGGTGEGNLAGLCDFMCNLGFCPINACTCTAQGALHTLPEAT DKVGEAGPGMDETVYGPLCEFTCKYGYCPEGACAISTSGGEGDDKGSGDVYVDPGIFL KPSPVVGCIPPCTLIMPDLLLNNPTTIYLSPVATSITLGTTTIKTLYPSPITTTAMSY FNMPVGPGQTRPFSFYLTPSYSPEPVTLEADDIATSTITTTTHRAQTSPATSATSTTV VPVWVQAGGFYWSPVPQPTPKPEDIPVPPLPSFPPIPKAPRFKLFDLFSIDCPPNRFL PTTTFTSHAPFPSCTDINSPGCGHRCTSNCGSSSSEESTAQTATNYWVTCSDTSCSTT KTATFTGCSVTNSATTTGKYCPTGVKINPNDDQGANGYPPRTTSIITTSIPEIAVVGG DPYTVTGGTINVDGTTIRVPNVDGNEQFSTTIDNVPIPSYSGMVAVPVFPTAKPTSDS GSHSTTSSTTTSTITTTTSSAKPAPTSADGCDLMKKQGVCWNKCDPITGKAVGGGWKK GDPWCWLEHDGVGAFCNHQTDCPTTFQCQPSSWA AOR_1_1042094 MFVWSILLTLLLAGTSHGKAVFTHFMVGNTGNYIGSDFHDDITK AKEAHIDAFILNMANADPVNDAVVSIFFQEAERLGFQLFFSFDYAGNGP AOR_1_1044094 MDGDQEAANQAVSRPISEWTDYEDVARYGWELDEDAEINDAMGQ DLLEAAFEKLNIDADKNEIIKIDQIVPVTVGGNEYEPSGAEYKCLFNAGERMIVVNNV RSPEHAKKDSTPMSAIVPLSVFANTQSLSIMRQAIGEADDFNDWDDYSPMETRGKTFR PGSDECYALLYCSNGRGIGWLLTQHKAQIGLLTVSSITVFGADGDAMLYFKIDPVEQN D AOR_1_1046094 MSANHLLQLFLDKAKILFSQLPPNAQNYLSRPLVHKAIALVAAI QSLRIANRYLSQRAQNNWVRSRPWDASKELVLLTGGSSGIGKQIMQDLAKLNVKVIIC DIQEPNFSLPSNVFFYKVDLTSSAAIKEIATKIRRDHGHPTVLINNAGVGFGGTILDE PEEKIRLTVEVNTLAHFWTVKEFLPSMIKNDHGHIVNIASMASFVALGEMADYACSKA GALAFHESLTQEIKHWYGSRRVRTSVIHPLWVQTPMINDLAQYRSQFGQSIMTPEKVS QAVMKQLVNGNGGQVVVPSSQGLAAMIRGLPNWIQERLRDRASQSFVRLRRLEQDLAG SPEEEGREEPQIVIKSSATQDAGEGSPSESTHSGSSTHLEVEDFYVLHDIYMFGLTFA KDFQQALEYCYRHSADLLDETFAAISSCLSWARFGLLTADQVDVRSGAASVEKLRNAV IVDTHDALAVLMLGQALAAFDSLVTSTGEISILRCSLSLVHPWYPDIARNRLLEPIAI APIFWDTVWCLLHREVPVIRPLFNRAGVVDRVAGLCTSLLYILYDLCVVSKQLADGSA QETILEEVEHRIRTWSPDDSGLCLETYSELEILSMRTQATMYRTAALLLIHRLRHPLT FDDTTATALASDILDARSQFFADAGTGAKLQNTTFPLFLALLEIPISLEGLWESSTWL RTRPACVDRLFVFNQYYWDQRRSGFNGSLFDLIESGPEFVPGP AOR_1_1048094 MVTTSFDVLIIGAGISGINAAHRLQTDFPNYRFAILEARNNIGG TWDLFRYPGIRSDSDLYTFGFKWFPWNQSNPIAEGGDILRYLEDAATAHGIKQHIRLN HRVNAAKWDGHEWRLEVESENPSKKLNARFIIFATGYYDYHNPLEATIPGLQNFKGEV IHPQFWPDKFDALGKRIVVIGSGATAVTLIPSLAEDSESVTMLQRSPTYLTSIPNARL NTIWNILLPNSALYYLRRLWYLVVPQLFYRFCHTFPNAARSMLQKGMGAQLPLGVPVD PHFKPRYNPWEQRLCICPNGDFFRALNSTKAKVETGVIDTVDEGGIQLTSGKRLDADV IVTATGLRMKFFGGIPIYIKGEKLNTSEKYTWNGLMLQDLPNAALVLGFVNASWTLGA DIAMQLVCRILQHMENKGYSTAIPTRENQCPLANKAVMDLKSTYVKRAAKELPKASDQ RPWVSRTGYFSDLYFATFGNLRKGLVFS AOR_1_1392094 MAQEAKWVDKVNMARVDGHLCSWATGFHPRNLSCRLDGGFLNGS YNVGQKLVFDDGTTWLLRLPRASRVSPEYADEKIAMEVEALHVIREKTSLPVPEVYAW GLARENRLGLGAFILINFIHGICLGEVFGGGSSRLIREDIPDADIEFLYRQMAHFMLQ FYRIDFSQIESLPTLQTKFPAPGHPLTWKAHEILRAGGVDTLVIEPMVSLRPETTLST LSVKTGDNYGSNQIQLRVPTVLFVATLALEMSLYESQDDLTITGVVDMEWVYAGPAQL FGSAPWWLLMGRPLNEEWDFDEDDAPEATDRYFKYLEIFIRVLSDEEGKMTGNGRKEL AELVNGLRILEPCGSICFCRVTFLIHLPCMQLRKFKGTEWWDERLKENGDTEEVETFV ASKLEDLAAYDKIKDKVEHFKALMDSKEITTTDFISSVYSLLRSAQEKCSD AOR_1_1394094 MLEQTGLAPRFLGHVHEHGRVVGFVLEKLNGRHGGIEDLSVCQA LLQHFHGLGLLYGDVNRYNFVIQQGCAKLIDFERRRSCPGETEAMNAEMNSLRDQLSE ETGCGAGIIFKEIETDGN AOR_1_1050094 MVELSWSAATVPDSRPMRMKTSVPPITSEAQTPESTFVPCASTA SLFLYAEGSAIVCLHHDTLAVERRFENHTEDIGFISVDNVSERGAGRLVVSYDVSQTA VVWDLFTGSVIARFASFEQLKVAAWMRNGNIAFGNVKGDVILFEPSTSEHISCRTIFD PITALAPSSDCRTYAIGYQNGSILIATLHPTFTILHTMSTSRGPSRIVSLAWHASSSK QKSDMLATLSANGDLRVWSVAKPAGKDAPRVIRVLKRSDTSSTTEPKWMAWSKNGRIV QYLEGETWAWDVRTKHITSEPVPTIDNPRGFANYGPTATLFTLGPRHTVQQYDLESPG LVANVQHPPGGALPASEEARPRGASARMLQDPPEMKESGAMFGTRRAPFDPSGIEAAS GASYAYASSVSMSSAKSSRAGSRLRNEVHPSPADKPMDLFPFTRERLNDVPYSRQQPL DESHLTPDDLRRQMLSVVFGWHDDIDELINDELSRHTPGTQSAILLAQWLGESDTDQM VSMLSPGSASTADWMLLAFSQMRCESQANKVGQAFVQKLLEIGDVHTAATILLGLGDK NDAIEVYVSQSYYMEAILMACLVTPTDWQRQSYLVRRWGEHVVSHSQQQLAIRCFMCT GVEPSEPWTSPAAQQAASFAEVMSRRSPANSPEPPYPNPLGLLPPGSQTKPSNSRQAK TPALKLITSFDSQPSQKLRFPGLKSDDRTPTNAPGVTPIAESAVADSALSPGGFGSYK LNNIQSLNNAMNSRTGTPAFGRGRLPSIGETPVDVQPPTFPSSGSKKLVDYGSTSEND DQEDQSGNEKEEQISLLPSSTYHPDDAFKPSPQTAVQTNADKFAGIKGIPSPAAGLFE ALKDRSDSRNGSRDRKPDGLQLHLYPTEYSGTDAADQCDSGNFRSPASTLNSFSSAKS PSVSGRSIDQYISSLDEANYHSKKHHNYRSNGVGQRTTDETASQTSERRHRSRNTSQQ ARGRHEKRYIPPAKRSPSSPVPMSPEEIARYQAAQEDHDNARRMKQRSKTRSASRVRK PRSRNSSERRNNRSSSRHTASRVGTEKHDRGRSADRKGSYNRSPSPPLPTSTTDDAFR LVASDRERRSQQRSSSRRPDKGKAEPRARSRSRHDHEPTMGTEHGQYDVGATPRGLVV EIVPQSDHDMLTESRAIHSATVPPAVPHIGLSEHRRKELAAAELEARRLSLARNPSAP NIPFPGELQHSRIPESPPFSVNSLGPRTPGRRRASSSKASPEHRNSSDSNSSQSGPSG LATTPRAMRHPRYNQETAPSVPNVPDSTILLSDARYQADAQKIGRSMSVPVPELKQPG TVPSDLPMHPRFNPCLPRSRSTSRTRNMGHRRENSKEQGGYSYGGSPVDISIEEGIEN AMELKYYETPPVLPELQHLNALPPPPPPAPVMDCASPRESSGTIDIAIDNENMGKLLP RAMTAGPAVSMETQPTLHRRRMSFEHRRGKSVNESFSSKIRNLARMGSVNRAPDSWAE THFPYESIPVADGRI AOR_1_1052094 MVLENAQTDPIPPTGAEPTNDSDNTPNTKYQYHSLYIKRDLIAN PDPFTLYFGFFGRWNYDRKVTANVLRRVENARVLIQRLPTQDELDAMVTHSSRSLYHE RIGAPLGGLVGSALLWNQARKSELYPAYFPKASGPEGKMPSPGEIIQAAKRFAVAEPT VARRAAFVMCFKMLFYSVAGATFSSVYAVYKETTNTMGDSRLKEFLADLKKQNPDEIR KRKMESRGRRSVGEQHGVVEMDQGGEYASEYSGGDVQSTGVSVPDRRPVLEPVGGGGL GADQGRGGDFFDEDDASPTAPEYRTSRAAQAGYSQGSAWERIRQQNTSAPAQSSRQDA SSQQSPQWDSWSSPSSESDKQREREQARAEFDRLLDAERNIGQESASEGRNKGWGKWN AOR_1_1054094 MKPVTLLSLASLAAAKNLIPTTCFDSYTSLEEYFSYLYPWGSDH NGSARMVGNSTEHDYISVESGTLTLVAKPTSGQPATSGGQEINYLSGAVHSKNTFTVE AGSGFDIEAEFQATTDKGTWPAFWLNSAATWPPEIDMAEWKGTGQLTFNVFNTSSEVM NHNTDYPSPSNFHKVKTQIRAENDADIMVKYFLDDVEVTTQYGADYVGKPLYLIINLQ MEGSSGSPGPSTETYYRVKNLSFDQI AOR_1_1056094 MVSLKIVQASNASLRAIPNITALFVGGTSGIGQSTLRQFAKHTD NPTAYIIGRSESRAKPFLCELQQLNPEGRFNFIESDVSLIRSVDAACKQILQQEKHLN FLFMTPGGISLGGRNETSEGIDYLFALRYYARMRFVQNLLPLLESAGPSRVVSVYGGG FEFGIKTEDLDLKHNFSLLNAYKHSITMTSLSMEHLAKTHPAVSFIHVYPGLVGTNIY TNSFPPPISTFYNYGMWPLMWPFSVGLQESGERHLFHLSSARYPAQNGIMAQGVPVKS GDVAKGTTGETGSGAYLLNWDGEVRPSRKIMEEYREQRVPELVWRHTQDLLDRAVCR AOR_1_1058094 METWDSNLPTKTSEEQSQHALASRHNTNNNNLRACHSCHISKVR CNQPIPGMPCLRCQKACKPCFLVEKPQKNRQEVNGRILELQSKIDKMISAAVKQEATD NRTRNTESFPAGPTDLTPISHIPGQHGWGSSPVGSGVVDLKTSIQSVLDKSITPYLDN ATTEIIFKRYITNMAPTFPAVVFPPGTTAADVRKDNPILLLAILDVASSGFCELEIQR RLRKLVVQTYVHCMLRSDQYTLGLLQALIVSATWYRSIEPLEPGEQMDIYQIGHTAAN MALIMGLGDKLNNKNRSSALPGEGQTDRRQAEFLGARRVWLGCHYICSNTSMSLRAPN VMRWTHCMDECLEILETSPDAFPSDKVLCQHIRLQRITEEAAMQLSLKDFSASRSSRA IQIQTSYALSKRQLSDWRNSIREDGFDGALQLSYYFSSLYLNEVAFCTASENPSSHAE APSGNQTSATIIIPADIFSECVETIDHIFRVLTSLDMSAIRVLPAVHLIRMIYTALIL VKLHFAAITSSNADAQPQIDRLQVSKPLACIIQMFAGWGPLWPATKLMAVFRRIRSWF EDDDMMKRDGSWLNVWRLGPPSLSPQDTQSSTDLLGPDDGAFLSPRSQDPSWMGSVDP SILDTIPLSLNPPLELSNSGFTPTTSDCMISNMIDTRDTDATFNMDLELEQLPTIYFD SHSPQLPLSHGSNAGIYESSRAENTRTND AOR_1_1060094 MASKPFAIIAGVGPGTGASIARKFAQAYSVVLLARNPANFTPVV DEINANGGQAAGISADLSDTNSVKSAFDKINEQYGGSTLAAAVFNSGGGFVRKPFLEL TEEEFASGFESQGKGGFNFAQRTLPLLQKATGLQHPPTLIFTGATASLKGSASFSAFA SGKFALRALAQSLAREFGPKGVHVSHVIIDGVIDIPRTKAWTFEHEDAKLDPAAIADS YWHLHTQPRTTWGFELDLRPYVEKW AOR_1_1062094 MSITIDTSVDIDLPTPPQSNGSQKRNLLLAPPSVAAHEEKLRDV FSTFDRSSTDLQMFDRLSAGFVSLPPNTYDLVLVLTDAQSDEAVRLLTRDVYTALVPA MKAGARLQLQQGSLGASEGLEAILAGLVEKDGGFEKPVQEAAVPLKLGGRKKKDKTNG VNGVQNGVATNGASTNGVGMFDPAQNNDDELIDEDALLSDDDLKRPLPRPQNCVPETA KKRRRPCKDCTCGLASQLEEEDRAREAKAAQDLNILKLNTDDLNDELDFTVQGKTSSC NSCSLGDAFRCSSCPYIGLPPFKPGEEVKIMNDMVQL AOR_1_1398094 MFWPREKVETWKKQVSGPAGTESCSNMMCMVNVAQNLWGKARFA LKPLSISEDQKVLKVQFYWLPRHSYSREMPAIRTPSPFPGNLSSSTVNGQHSAKLFNI ATDTKLCSGDIITFETNDPVGHPLPSMELLNMQWVLHRVLALSGVANATDEDLEPESY QEDTESDTEEE AOR_1_1064094 MSSETPLLSDLCTICGVRDPAAYLKKNELATESAFDRDFNFITG IERTLERAERDAENRGISVQPGTSGRGVDLAVVGLDGEEESAVGGIENGRKRRRIEGG SGGFAKGEFGFLRGAEEAGVTVLRAPRGMSRNKANTSKWMPKNKCLNWTVEWIAPDGE RRHRLCLELLTLAEAYDRSFPLSKEEREQKKKDQESKSEQVDVDSSEQLPDTHDLTPS TAEATPHETVPQGDVLPNPENDTKPDIAPEISKDQPTQSTDEITSHRDLYFYLHRPRT STKQPVLVPLSPTATLTSALRGRTVLEFPTIYILSDSPNTLSSEDENTKFLLEKDYLR TQPQGEIESGETSETDGDQPAPGSVDISNLDEKKVLEVLQKDLLEPV AOR_1_1066094 MNVGGVDFVETRFIHDLSPTSYPYLPYSVPFHHTTMTATLERSL SRTSSMSMPISSPRLSLIHETTPPSLSDPALSHIHDRLTILDTSVFQLRSTVLTKDGY VERRNREDDHIRREFEAHRSISNRIVMNVVALRTDVDQLKSGVIQLKTSIGQTGNETV FLRSDVDRLSKNIDQIQSDIENVQTDVCQCRVEISKLHATISQLRTDLITLQHETSRH LNSVFDRFSLIESRMKHSERVRFNSLAHTTHAPITPVPVVEDDGTLQWPEYFPRTVWR FWCLKKRSRINRLVQLAEFYQLGGYQYWGRMHQTEAMFASDSDSSDSSDYPSNLTRAE AVRLYPEAAHQALAATLGLVYYKIRNEVGEGPNTHIPRPPKRQQEDLPSVSSGSRPKP VKMARRPNNTSPTALHRLVTGPSLESKSIVSDESDKLGWNAHSEVSDEAMSKLRNIVS EEVGTLLRALERGRIRLKPGRSEREKMSPIESKTSIRNGRYGGDGAQDDDARTFPNTV PTEILSLSDKTDKTEEHEPELPATESDATSPE AOR_1_1068094 MPRRRENIECVHCGRFHPQYYGAALKNHARVHQDRRQAVQRQRE PSTETEDDSVSIPQVAALAGRVRLLKEEQLTAGNVAQKVLEVWGHDDTRMALLRRLMT PHLPPGRGANSLLATARSAILTLVGPVVRAGLMSPTYFQPGPLQDPTWPEQEPSSYPV HLSLVRTSIGLALLRDDVRAVEMLVTLEMNPNGMLLCGYSILAVAVLISATEVLDYLL SLGDEIRIDQQANAYGEQEETAISLAWKVGNKDAFRKLLKHNGGEAPGRSLFLICAYE HHDAFDEVLQLDLDGRELLRAQHPVNQETVLHAAVLNTDHAVLDAVLQLAVRVGDSEN DTYPQYLQLRNRQGQTALMYAIEHKRYRAVVRLLEDQDIDLNERAWGGQTALWYAARV MDLELVILLLVLGCDAGNPLPLQFPTKGTPLNALLYAYEDILQRYTHELLEGVQGAQR RFNEGKEDILEIAQTLLEYGCQSNVGDDRWRMPMTERLDAFPEWETLFT AOR_1_1070094 MKLNNITLLPSLSSIPTIIPSPTPISPPKNNTLCDCYIISGPDP GYFTNYRFWDFRNIPLANATQPPAPDLLALDTTLLLSNTPFINDWLPQTWTKTGTTEL LPITNAETNVFIAPNPDPQSYVSPNPTYLLLQTTRHADHVSTAEIEFLRWNILHCSLR VRMRLMSNETALGPRIIPPSPRKPEDKHWGKGRRRKVRHNIVPKGACVGLFTYHSKTC ESDIEILTSDPPNVIHYSNQPDYDPVSDTAIPGAGSMVNLSVPWTAWSTHRLDWFPDM SRWYAGEALQAVKAYGVPREPSTLVLNLWSDGGNWTGNLRTGESVFLGVEWIEMAFNV SSVAGGSVGPGESLTRSRGLGARR AOR_1_1072094 MAGVFATTQRVVMDAEDTSMGSEEQYYDPMDISPLPSKEQSRNI TQPIDVNSDQNVSRPDYWVHFTPPKSGGVPKATRLDGKPATRAPIIRPLTRFSPQARI DPSTGRFKQQFIAQPFLRNTFTSNSIIGHLNSKTNAITSTSRYTSHSNNILKSLPHKP VTIGISVPTTRDSSFNSISTTKSIWDRKTPSFESTTSITTATSFNSRKRSVDGGFNEP THGAMPETDSPSSKYRRVSEEGFPNSAIISKQDTQRAEAPSTHAAHIQKQPTVPTATS PVPRDASTQSQYLTAPVAFAAETTRENISHCADVIPGGWPEQSPHGNLSPTAASNAPS FNSVLRLGEEPLMTGALIVPSSTTSLPGPSGSITTSDESDNADHQRIQAIDTLDGNFY NGQISHMLRPYWATCYTLVQGAYAASGTVKNVLTKTWNLIGQPVIDYVQRRRRPQPSR RSPGLRASPSRVAALRRLPEEQRRMIRDHERRRQRGYPTVQNLPFPDLTLDTPQSLAI GSSLERPSDSFVMSHAANSARDPRKRSSRSPDHWAIGGIKKPTGVQKSPQVKSMSPNL KRRLWPGRNLERGKRELALQKAWKTGDFSEVLKDQGTRVSTHIELSERTERLGQLAPK EGPKPKQKKRVRFKDPIEQFIPEPTLPDLAPYLRPTSPNVDRVSHKRASNEQKENVPP VSSSVVKTDTENKQIGFGGHWWEEQIEHPLGRPVSAVSLFYPEVKPLPPGRTESVYAE EWRKIEEEEKRKQIPARVRVEGPAVRPLSGEWMSRVSNAMATNSNRRIATTLSGDPLT KKDLSTCFTPMAWLNDEVINSYLALIVDYLRRTNHNNGRGDKPRFHAFNTFFFSNMRD KGYQSVRRWANRAKIGGASLLDVDTVFVPVHNSAHWTLIVIKPMERTIEHFDSLGSLS HRHVGVMKDWLRNELGPRYVEEEWRVLPSVSPQQDNGSDCGVFLLSTAKAVAIGLEPL SYCARDIVLLRKKIVAELMAGGLEGDFDPASGGEVLL AOR_1_1074094 MDKGPNGTPDAGLRSLNHYRNQLPSWRYWPRQKLLPLVRYETPY LAWLQEKVRTPALDSYFAFTANLGTHTFFMVFLPILFWNGYTSLGRGMVNLLASGVYF SGFIKDLLCLPRPLSPPLQRITMSGSAALEYGFPSTHSTNAVSVAVYALALLNLPDST LSPAVNVFLQGITYLYVTSIVFGRLYCGMHGFFDVVIGCLLGSLLAVIQYAYGTAFDE FVVSASGKQIMLVVLVILALIRLHPEPADDCPCFDDSVAFAGVILGLQVAYWHVVKTD ITWDDPVPATVPYRFEDLGVLKTAMRLILGVALIVVWREVMKPSLLRVLPPVFRGLEK LGLLLPRRFFTAASQYTKVPTQLKDHEVFPTFSEIPSIFSNIRHPRRRAISVGPQSEA DAYETLAYREKRRRESLSNSNRTSPLVEEDSRQDISEGHHPQLSRKRSKLHEYETMMG TGNPRLATGVDGAEAPPLTEPFPDLVLDPEPDEEEMFARIKRPRVRYDVEVVTKLVVY SGIAWIVMEGAPFVFDKVGLAPI AOR_1_1076094 MSSHMYDDQPYAVSRRHSVKTPPPSSTPRHSRGRSQSVRVSNGT ASTNTSISSGRMSEATNITQPPAYSKKFVVVGDGGCGKTCLLISYSQGYFPEKYVPTV FENYITQTVHRASGKTVELALWDTAGQEEYDRLRPLSYPETDLLFVCFAIDCPASLEN VMDKWYPEVLHFCPTTPIILVGLKSDLRNKRTCIELLKTQGLTPVTPEQGQTVARRMN ASYIECSSKEMRGVDGVFEMAVDTVVSAEEQFSWNNRQNHSASGKATGGGGGPKKVKK RTCKIL AOR_1_1078094 MSMTPGSKSRSSTPTVFEQQREELVREIAVGMEQVLQNINRLNR NLESIVAVGNEFSSVEALWSQFENFMGRPEEEKAESGVKKEELHEDHTELHEQELADE RSELDG AOR_1_1080094 MWRMNYCGTPAVYLVALIGYGANKRRVFTAACSATALFPAYVSI ESSLQRVCTIRNAQLLQAELDETGLSFFRLSIHGRIKYLTIGRNIFSTTEMAFGPSLR SLLPEFPPGDWNDGLIVKDKSTGKPYFARAVRNTFPSVKNQWHEYSVDYSDIKVGKRL QTGIYEAQCPGFDTVVVAKFARFHWEIRYMESETTAYEWIKDHDIGPRFLGHLVEDGR VIGFLMERISNARHAVLDDLEACWDTLSRLHALGIRHGDTSRFNFLLTDSWAVLIDYD TAQKCDDHNVLCEELDGLAESLASTSNRGGGGLL AOR_1_1082094 MQTRLLCLAALLLPQYGLAVDDQVGAKIDYGTFENPAARVRPRF RYWLPDASVDPTIVQKNIKDAGANGAGGVEFLPYYDYGNVVPGADWVTYGFGTPAFVD IFKAALQAHKDAGLVMDFPLGPNQGQGVPASPGDEGLQWDLSTASVAVPLNGSYHGQI PGWGTGELIALVSAQVLSSQNISNPTESLIPGSEVQPTATQYVLRNSTLQEWSQNVTS TGELSLSFPTTGGQGYRLFAFYQHQTLHQNVPSTSNVSGTIFNGGSYVVDHFSAKGAE TVTRFWDEYILEDEIKQMLVAVGNYGWEDSMEITANVSWTPDLPNIFQRKHGYSIKKY LPLIIYKNNNIGLQTTAPGTIQCLLDTPDQGSGYINDYRAALGEGYRAYLEGLTQWVN AMDLQYSSQVGYNLNLDVLAHVPDVNAPECESLAFGDSIDGYRQFVGPAALASKRVIS NEMGAVNYKAFQHQVTALLWEIARAIAGGVNQFVLHGHTFSGNYVGTTWPGNTPFHFL FSELYSEKQPSWNHGFSEALNYVARLQYTQQKGQPKLDVAIYNKDSATDAQFGTIYNE TDLLEEGFTYVYLSPDSFALPQACVSNGTLAPDGPGFRAMVIPSSGNLTIDAVRDIKK FARNGLPVILSGGLPNAYYTGDGNTDALAMEISSLEEVQNVHIVSPGQASKILQSLGI APRVQVQAKGTWYSTWRSDADGVDYLFVLGDTVDTAGNLSVATTKRPYFFDPWTGSQS PVLEYQQSSGRTVVPLHLAANQTTILGFRGTTSNTSLHATQVPSSVIGYNYGNRENKV QLHVSSGSTDQTLSLSNGKTISQLTTKDPAPAYQLTNWTLTVEHWERPDNLSDASIIA AKHNTTHKLTSLISWQDIPSIANASGVGYYTTNITWPPTTNTADGAYLFLPQTPNAAR VYFNGHRLQAFDFQAPKLDLSAYLVSGENELTVEVPSTMWNYLRTMLDELYSAGSLPQ LEGSGPDPVHNGLIGVVSVVPLATVSQRYHVSLERLCAMAGSVNQGVPIPWAAKDIVC ISIIGPLMLAAFLEWLLFLAAFLYCLVKVFQKAEHWSIKVLAVTMMIIFTSLRGIFLP IMVVTLPLPPQLTQNYPPEFVFFLHWFAFWTFSALLIIPWLFCVHRLVTSSIGRTQRI KEVLDDRTAPKTVIVMPVYKENPAVLIKAINSVVDSDYPTNCIHVFLSYDGVNIDEPY LRVIHHLGIPISLDTYPQSIDVTYREARITVSRFKHGGKRHCQKLTFKLISQVYEEYN RKHDDLFMLFIDSDCILDRLCLQNFMYDIELKPGSKHNMLAMTGIITSTTEKNSLITV LQDLEYIHGQLFERSVESGCGAVTCLPGALTILRFSAFRKMAKHYFADKAEQCEDLFD YAKCHLGEDRWLTHLFMIGAKERYQIQMCTSAFCKTEAAQTFRTLLKQRRRWFLGYIT NEACMLTDVRLWRRYPFLCLVRLMQNTIRTTALLFFVQVLALMTTSSRFVDLPVGFIA ISLGLNYVLMFYFGIRLKRYKAWLYPLMFVLNPFFNWLYMVYGIFTAGQRTWGGPRAD AAKADEHTSPEEAVEQARAQGDELNVLVDTFRAKADKKGCFNRASEQIDRRLSGLPGH RGSHISNHDEAMVPLASLMTSPLDVPRIGLHPNCSSDSVVTDSSSSSISLPLDVESLM NEEDRAKRSTALQAQELTGILQQFATSPDDGDIHTQGPARLQSEGPETHIASEQSRGA PAFASVQKDDTVHCIPLRQPQGVLQSAYPHQSVELGVITGNHRSLRPSRGRRLQKTRR QASQSRDPEYMV AOR_1_1084094 MSVVGIDFGAQSTKIGVARNKGIDIITNEVSNRSTPSLISFDNK CRYLGEAAKTRETSNLKNTVANLKRLIGRSFSDPDVQIEQSFNTATLCDVNGQAGVEV NFRQQKQKFSATQLVAMYLTKIRDTAANELQIPVSDVTISVPAWFTDVQRRAMLDAGE IAGLKVLRLINDTTATALGYGITKLDLPGPEEKPRRVMFVDIGHSDYTASIVEFRKGE LNVKATACDRHFGGRNFDLALTEHFAEEFKEKFKIDVRKNAKAWARTLAAAEKMKKVL SANPAAPMSIESLMEDVDVRAIVKREELETMVQPLLERVLVPIEQALAEAKLKPEDID SIEMVGGCTRVPSIKEAVSKFFGKNLSFTLNQDEAIARGCAFSCAILSPVFRVRDFSV HDIVNYPIEFTWEQSADIPDEDTSLTVFGRGNVMPSTKILTFYRKQPFDLEARYASPE ELPGKTDPWVGRFSVKGVKADANDDFMICKLKARLNLHGILNVESGYYVEDMEVEEPV EEDADAMDTDAKGDEQPKKTRKVKKQVRKGDLPIVAGTPAIEPSVKEAWIEGEKAMYL HDKTIAETDEKKNELETTIYDMRDRKYGRYARFLEDEAKKQAFDDKLDELENWLYDDE GGADTTLDVYAGKLQEIKKLVQPFEETLEDERQQALAEELAKKRAEEEAKRAAEEQAK KAAAAAMNFEERNETMPDAPAQEEAPAGDKQ AOR_1_1086094 MHLWGLLALTGAIWSARTEASSASSSGSAEQHYGKVVNQDDPDP LWDKYGLNKSEEFKYFHEPGHDDILGHYDSRYFTEPVADEERPQTMTYMVRAYLNFFE ENGLETWIAHGTLLGWWWNGKVMPWDWDMDTQVPDTTLRQLADRYNQTVVQYSTKNAD VQRTYLLDINPWARQRENGMGLNIIDARWIDMQTGLYIDITGLSKINPDKPNLWMDKH EHQYRTEDIYPLRKTTFEGVAAKVPFDYDAILIEEYGKNALTSTHFHNHTWIPESEEW IPDDQVADAIDDDKQYE AOR_1_1088094 MPGISKHAVSHASNPVRTGIPAVWMRAGTSKGLFIHEHDLPWVK DLWAPILLSALGSAEGGKRQLNGVGGATPTTSKVAVIRKSKIPVVDADYTFVQVAPDQ AQVDMTGNCGNMASGVGPFALDEELFHVLAPLLNWQMDITVFNTNTQQILVETVHVTP DGRFSEDGDYSIAGVRGTASPIRMNIIKPAGSMTGRLFPSGAKQEMLTVGSAHTPTPF IVRVSLVDAANPFALLSASTMPAAYHGSEPTSPLSLGIIEEIRVAGAVRFGLAEDTAT AGRVIGTPKIALLYPCRREVDVGRHIDEADIEVLPFSLGQPHPSLQLTGAVCVGTALS IPGTVAWDIQRQAPGVHHGDSQTREVVAQTPQWTDGRGGDVGMHENGETSVESVSMFR TARRLFE AOR_1_1090094 MWNLLESQSNGSDSGTDGRMTSIRVYLLAIVTSMGAFLFGYDMA FIGTSIELNSFKKDFGLEHASKAVEDAFSANIVSLLQAGCFFGSLISAPLSDRFGRKL ALGLAGLIFCVGSTLQVATLGREAVMFVGRFVGGLAVGAASMLVPLYTAECSPPHIRG RLVGIFEIGVQVGMCIGFWINYAVDQIMAPSTSQWLTPFAIQFIPGGLLIIGLLFLPE SPRWTARVRGKRLARQTLSYLRGLPESHPSVESELNDIITQLEDERADKPGKRLWIEI KELTHPGIRARLFLGFMIMVFCQMAGSNAINHYSPLIFRSIGLTGRKTTLISTGLYGV VRLVAVCIAMYWTVDGFGRTRMLMWGSALMAFCMWFIGAFVKLHATTNHVDVKGHTSA GSYAAAVFIFIYAFGFCFSWAGVPWIICSEIYPLRVRSLCMAICTATHWLLNFVIARS FPYMIRNMKYGTYFFFASFLTLAIPFVWLMVPETKELKLEEVDDAERGKTERVRDVKS AGVKEVEKIDTLKRYWLNTLPD AOR_1_1092094 MGWFSDDSEQAQHYERFNNYNGSEEHKASFTHELIAGAASFEAM KAYNDHCEKNGQPQSHETAKELLAGFAGAFIDREVETKGLDFIDREKAKRHAEQQLNE ASGRDW AOR_1_1094094 MFSRTIPAAARLFSTTGKPPAGIPVIVCGRTSQIGDVVREELQP EYEVTHLFLSPATAKSEIPALLRQTGSTTSTETDKSPAAIIMGGGYTQTDLQEIRAAS QGPDTKPVAWLKVDPAKTPSSIPVGPEYGRAVAKRTKDRLDELVRNGGIDRDEVHFI AOR_1_1096094 MAFIKIEIISDAICPWCYIGYRNLQKAISLYRKTYPGGSKNTIE VWWKPYFIDQEPPKESILIQDRMLRRMDPKMVAAAQTRLKRVGADAGIRFKLGGYIGS SRLAHQLLYLAAREGSELQCRVSELLFHYQFEEETDISQLDTVIAVGVQAGLREDDVR EWLASSAGVAEMEAEAKKARADGVTGVPHFVIGGKHHMEGAMDMSELFEAFVAVREGQ SS AOR_1_1098094 MRVATQLRVGIVGGGWNGCHLALELKKQGHRVSLFEQKPDIFQG VSGNFGIRLHKGPHYPRSKATRDSCREALVKFCETYPELVVHHESAIYAHGEADALGN PSKVSDEAFRDVCYESPECTAVDPKANGFQGLISAYNLDEPSVAIGDRLRNTFKEKLG RAGIYVHLNATVDRIIHTEDTNRIQTGDGQYVFDVVINATGYTSLLPQNIADALPVDI GITYQTCIALVYEDQQPQEKPLSFIVMDGWFPCVMPAIDTNEPLQKKYILTHGSYTIL GSFDRHEEGQELLDSLDEEAIAARIKPHCEREITRFWPGFLDRFQYRGWKGSVLAKLK TTSEFRSSLTFEKDGVIHIFPGKVSNVVTAAEEVVPLINDIARRRHGVVREWNGVRFT VSSAFHTHSKEIGDKPGLGEHHTSNLQTYVSLVTAN AOR_1_1100094 MSLNTDDSGRIRTRQRAKRACETCKLRKRKCDGHEPCTYCLRYE YQCTFKPHPRRKPAASKSSARPSEEEDSPKFLDRVDANQEHMEANSGTAFPHLLGMRL NPQGAPKVYGFSWNLGPRDEPLEPFTNLTDLISREEMEDLASHYLKKIHPVYAVLDPD TLRQKIVARWHDPATAASYDPILCGVAALGSLYSGHQEHPKEGALVQSAKEMLETTRI SKTTLLHHATAWILRTIYLRSTNCPHASWMASCSTMHIIEAIGAHQDPELVSLVYSDT ADVSVNDESQRRLFWVATVLNSWISYEYGRSRVILRGVSCKPPLPRTGDFTTDLISMY QISERLDPDQNNKLSDLEDALSRVERLTLSHDALILSQSNLALTIYRRLRVASSNISN DILTRIIRLGNDGLEAAVRLAEDRSPWWHVANIPFQFLCILLAIDTRESLSYVGPALR SFRAITRHYSTPTLHTALETIESLVRLSQNKKERDLTLLRDSMQQEDPGLTEQGSTTS QAFNDASWLGATGDLTLPDNFDWDWNVFLDTQVPFFDEGEAGGQRYR AOR_1_1102094 MQSFRQYRRMRRDLQESIKLHGPYAAAGDRHVQPTDDILEDADD ARLEKGIHSMNGHGQSPYSTPGIVLHDGQRVTVPGVNLRRASEICERVNTKTLFIVGF DGPDDQLNPKNWSIGRKWATLGIVGTTGMLVGWASSIDSTVIKQGQEAFGVSEVAESL ATALFLFAFGFGSLVAAPFSETVGRNPVYIATLSILMIFTMASGLAPNFGAQLAFRFL AGLFGCTPMTTFGGSMADIFDPMDRTYAFPVCCTLSFLGPFLAPMVGAFIGQSTHISW RWTEWCTLIMAALVTGAIFLFVPETYGPVLLQWKAKQLREITGDPRFMAEIELRQTSL VTRLMHSCSRPFHLFFREIMVALFTMYLVVVYIVLFGFLTGYEFIFGRTYGFTQGSVG LTFIGMNIGFLIAFAMVPHIYFSYKKRLQNAIENGHNGLPPEERLWFAMYGAPWLPIS LFWMGWTSYPSISYWSPLVASVAFGFSVQGIFISTYQYLIDTYELFAASALVSATFFR YIAAGAMVIVSIPMYGNLGVHWSLTLLGCISVLMTPVPYIFYKYGHVIRQRNKKTP AOR_1_1104094 MMQGEALRFAQIFLIVCPSFILFGYNQSGVGGLTDFPSWVRQFP EIDTVNTTGAQKSHNATVQGAVVASYTLGALFGSLICTWIGDILGRRRTIFAGACIAL IGQALECSAYALAQFTVGRVILGWGVGMLSATVPVWQSECSPADKRGRNVVLTGMFIA FGFALTQWINFGFYHMQERSASWRGSLAIPALFSLTIMGSIFLLPESPRWLVLKNRSD TAQQTLACLRQKDAVSPEIMHELRGIEVSLEESSSGNMGLRDIFTMGEEKLFYRFVLC ILLQFFQQMTGGTLISVYIPIIFEDNLHLGSSLAKVLAACALTWKFICCFVGFYVVDR LGRRAAFMISGGGMSMCMLALTVSNSFSNNHTASIISALFIFIYNFFLPVGFLGANFV YPAEVAPVRLRVAMHSFSIANQWLWMFVVAMITPTAIADIGYRYYIVFTVVGGLIPPV VYLFYPETMNRSLEEVNQIFHDAPSIMSAVKMSRTLPIGGSVIGEIEGKKIVEEIE AOR_1_1400094 MSQALFSQGSSTVKLPVKSIKYHGLDEDTAMSKEIATDPAIKLI LSSAFTHDDELVYTFKSKLDLMGEADTEDPGDLPNPFTYPLAAASLLNKVVVITLGVP SRKLWERQSGLVCQALHGKQFTLSEEEEEYIALNRNAINKDAEKEHNCLTVHGCQIKS VAVGERILLGIWPKKDRPFRIYSGCTLVLRGEHWFAKENAHAYRIPGEKGLTEDWMAT VTENSPKWSALIDAPVHAVLLNDVHIKHVGEVNEDGVKVHHVANPMVQIEMLTTRKLS EHWGEENFDTMCREFEGQEATIPSGSKRKLLSSMFLASTPWVATYDPWDTSLSNLNAL VPRGWNLNEAQTQAATQLLTHSFSLVVGPPGTGKTRTIAAAAMFVTQIIQRRSVGKAR LAVLVPTHAAGAAVMDQLSPAFERNNYGKEKLTHKTNCYTVYPKVG AOR_1_1402094 MSPLHQTAPDTRIKKRDVGASNLLLGASLNMFEVTTLGQPLEVI KTTMAANRSDSFITALRRVWSRGGIAGYYQGLIPWAWIEASTKGAVLLFVASEVEYHS RTVGASEFLSGIAGGMVGGAAQAYATVGFCTCMKTAEITRQKQAAAGLKPPGALETFV TMFRTEGLRGINKGVNAVAIRQVTNWGSRFGFSRLVEDAIRRATNKGREERLGVVEKI LASGVGGALASWNQPIEVIRVEMQSQKADPNRPAKLSIGSTFRYIYAQSGIRGLYRGV TPRIGLGIWQTVCMVALGDVAKEMVESITQKHASR AOR_1_1110094 MLNDPTDGALSRLGASGVEPSSDQVQTSSTGNPKIRIPRLGTAP VSAHRQRTSRACAPCHQRKTKCDGQKPQCKQCRQLGIPCTYVGSKREQQKWALESVQA KIQSYESLLQRIITDSSEDPSKFKLIEELITVPTFSRHADDTGSASGARVFGGPKPVP VQTWLIIKPIIQITGIHHWTSLANNDTASHLLSLYFTWENPTWQLIDKEMFVRDLECG HGKFCSALLVTVLLFFGCSLSYNLDKITDRRQEKLLTKNLYAEIQRLWEVEKHLKSLP TAQSSILIGLLCCTFGLDRFGTQYIMHGAQLCLNLGLQNESPSYFYGGAPDEYGHLAR SHKLVAWAVYDVQGLASQVYRKVPAWKEPPPVKFSPIEAAGLDAGVEWSPYPFATPIS QPFFFTAACFRSDLVTIVHQIAKFALQFPDAVMNNDDWEYGRQLHQKLLQWKATLPPV LLLEHNTTPHVICLHEYYYATIASLCQIFCANLGSTDDQISNPKDFDPYIIMSQALDD MGSLILLFKRCHGWKSLPVVMLHYFCVAGVHSVSKLNAHEPKWSYILEDCVVGLWHMS LGWGRLCTAFLRTIELVLKQNNPDPSLVPSRVVEIFRKLNEGALWTVTDISSLAADYV VYTATQSDSSSSPSSAYRYQGLQDLINDMDNLSINLSSESPESLSSVKEPYDSRSSED PDIRKT AOR_1_1404094 MTSPDPPTPSTPEIAFISGPLDIGPNNFYFHTHYIPQINAAIER GHHFVIGPVAGVDRAALDYLLAYPIPPSRITIFVTPTENILMGEEFRSRAVNVHVVDG GMNITTRDRDAAMTRASSYDILRWRPRKEAREFYGRMYREGYVTNTEMNWRRRRDINE MEMVREEDVDIFRDEKHSGLTWWW AOR_1_1114094 MRILAINLILAASALALDQAPLQLQDSSSGNIPDIIRNNDTHGR PNIVFILVDDQDLQMDSLSYTPHTNHYIRDQGVFYKNHFVTTALCCPSRVSLWTGKQA HNTNVTEIYPPYGGYPKFVSEGHNENWLPLWLQDAGYNTYYTGKLFNAHTVDNYNLPF AKGFNTSDFVLDPYTYQYLHPVYQRNHDPPISYSGQHTIDVLRKKALDLLDDAVAESH ERPFFLTIAPIAPHSNFEMTNASDYTTFRFSAPIPLERHKDLFPEVKVPRTEHFNPDQ PSGVNWISTLPQQNQSSIDSNDEFYRARLRALQGVDEIVEQIVQRLEDAGVLDNTYIF YTSDNGYHIGQHRLHPGKECGFEEDIRVPMFIRGPGIPSGEEVGFVTTHIDLAPTIFE IAGLDLKEEFDGTPVPLTARDVEEEKLSKGRHEHVNVEYWGKAGFEGEMSRAPDGGPI AFRNNTYKALRVLGEGYNLYYSVWCTNEHELYDLTTDPYELNNLHPSVSGNIEQKLLG YPIQKVISRLDALLLVLKSCKGLTCVKPWEVLHPAGDVQTLSDALDDVFDVFYDEQIK VEYGWCEEGYIVEAEGPQVPAIYQGTRWSDWW AOR_1_1116094 MQLTRLSAVLLLSGAYAAVAPEGPAPTEIPGGCNPAHPGSCPET ATEIPGGLGCNPAHPGSCPTPTDIPGGCNPAHPGSCPTPTEIPGGCNPAHPGSCPEST EIPGGLGCNPAHPGSCPESTQIPGGCNTAHPGSCPTPTEIHGGCNPAHPGSCPTPTGI PGGCNPAHPGSCPSVTVVSGSSTYLSPVAAVTPSGFSSIAGIPGGCNPAHPGSCPSVT VVSGSSTYMSPVPVATSSGKFSGNLTSSSLNPLFTGAAPNNQLHYGALLTGVIALAAR AFF AOR_1_1118094 MATMARFKATILSSVLLPLLLSSHGAAVPSPPSCNADTRPYSAW MADSVISRGQAVLPAGTTPEASTFLQIGVFQNAILQLKEYYGSPENACAQADWDTYLK ESTQSVTPWLLNATKDTQYPLDRFSDGNGLFYQYERTDNETYKAALDALQQSIYLQPK NKYGGYWYFKYPNWSYLDGMYSLIPFYSTYTARFAAENSSAVGKDLVYQLDLLWSHCH QNNTGLLVHGYDASKTAVWANPVTGGSPIVWIRSLGWYMMALVDILEISRQQGVLNQE QWNHVHQRFVALSNAVMAAADPETGCWWQVMTDPNREGNYIESSGSAMFTYALYKGAR LGLLHGIPEEALLPASLASKCYGHLLQDFVVDNKNGTLGYNGTVSVCSLSSNATYEYY VHQPLLYNSLHGTSSFILASVEHERATNVSGN AOR_1_1120094 MRGDHRQSKPSAEHVEMTDEGVVKPLEATLDAATKGQAVSGYED LGIWETIKTFKLCTIVCFAMAFSAATDGYQVGINASIIANQGFVARFATEIGKDGKPA LASPILAGWSSIMSCGQIVGMVSLPFLSSSYGRKPAMYTFWVILVCSVLAESLARSWQ VWLVGKLLAGIGVGCLQSTVPAYIAEVAPNRIRGGLLMCYSFWWSLGSFFAQVALQHL AQDHPMNYLTPVYTQWAQIGLMFLIYILVPESPAWCIDAGKADRARKELLRLYRGVPG FNVDQQLSVLSLAVEHERAIAAEQRREKWYSIFRGTDGVRTVITLWTNTTQQLIGLTL FGTFGTYFFQQAGLSDPFKIKVITTSIQIATVLILVAIADRLGRRWLACGGTTLSWLA CVAIGIIGVVPQSNGSTYAFVFFACLWNVGLAANGATGWGYIGEISSQRLRPYTAGFG AAVTCVVGVVMSNLVPYMTNVNKWDWGLKTGWFYAGVGFPFTLGMWFLIPETSGRSAA ELDELFERKIKPWRFHKTETATQRLVQIRRERDS AOR_1_1122094 MNVNMFKAGDDILQDVDQSCKDRLPAVEELPLPPSFTWGTATAA YQVEGGAFQDGKGKSIWDTFTHLDPSRTNGENGDIACDHYNRMAEDVVLMASYGVDVY RFSIAWARILPLGGRGDPINEKGIAFYNNLIDCLLEHNIEPVVTLYHWDVPQGLYDRY GAFLDTTEFRADFEHFARLCFSRFGDRVKRWITFNEPYIISIFGHHSGVLAPGRSSAT GGDSRTEPWRVGHTIILAHTAAVQAYATDFQPTQKGDISIVLNGHYYEPWDAGSEEHR LAAQRRLEFYIGWFGDPIFLGKDYPAPMRAQLGSRLPEFTSEELDLLRRSAPINSFYG MNHYTTKYARALPDPPAEDDCTGNVEEGPTNSEGKTMGPLSGMSWLRVTPAGFRKLLN WVWDRYRRPIVVTENGCPCPGESQMTKEQALDDQFRIRYFGLYLDAISRAIYDDGVKV EGYYVWSLMDNFEWSAGYGPRYGITHVDFTTLVRTPKQSAKYLHHSFNKRRATSLR AOR_1_1124094 MALVTNVQFGHYHPPNTLGVQERNPRISWSFKNTPRHFRQEGYA IEILDSEHTVLSTAKRTSQQSYLVPWPSDQPLKSRQKISLRVKVWDGEGYISPWSEEA YLETGLLERSDWQCERIAAPWGLETTGPAPEDLYRKEFSLTGPVRQSRLYVTAQGVYE AEINGQRVGDYFMAPGWTTYDGRLQYQTYDVTSMLSADTNCIGVRVAEGWFCGRIGFE GGHRNIWGPHTALMAQLEVTYTDGSVDTISSDRSWVVTTGPIRLAEIYDGEKYDATRE IPCPRGHIVRLSHAEVLEHKELGARPLRICQAIDEYTLKGDCQGEHYEPRFTFHGFRY EASRYILML AOR_1_1406094 MLRNWLVDVEYDQNILGGVPAMVTPNATLPDPIWCRRIPCAIWH DVTILAPWALYEETGDESILVQQYASMMTWLKRLPRNQTGSTHLWDTTIFQLGDWLDP AAPPDAPWKGATDAKLVANAFLIRSLDYMSRIAGILGKEDDRGQFAAELKEAWKDFQD EYVTPNGRIASDSQAAYALAICFDLLTPNQRVHAGNRLVELVRKNEFKIGTGFAGTPY LCEALTLTGHIQVAYSMLLEKKCPSWLYPVTMGATTVWERWDSMLPDAPSIGAELTYA SASHATPYGQASCSWETTHVEEGLHKIQLRVSVPHGTTCEVVYPSGSGEETETVGYGE WSFEAIFKRDYEWPIKPLPPKS AOR_1_1128094 MVVGGCFCGKIRVEYNGEPIISALCHCYDCRKLTGTLFTYSFVV HKADLKITGSPKEVAKRADSGNHIKNYFCSDCGTPIYGHKITASGTPEEITILRAGVF DDLEFLDRHRPKAEIYRLGRVKWMCPLEGADQFIGMPPLP AOR_1_1130094 MELDSTTKALSLWEILHPILLNLDMRTLHHAQRVCRVWYHIITR SRSLQQALFFLPVEESQATGPVKRIDQINPLLKEILWPQLSWLATSARKLKFSERRRQ AKERGIPTLRSETASWRRMLIRQPPPITIGIQGEDEDDADSPAPTIYYNEDISTECLW ALTEISTFADHCFVQCIFSGDDGWKEIYPPEQVDLVSEQDLQKCDMLLVGHCPPNYLL RMIQWILRYAYYDPLMDGWVFVIANHHGPIWTYNVDDMALGKAPILESYMHKTQLNPL TEQEATAVKHIVDDGSLARTVGSTTSYTDRLVRVIAKLVDKGILPKFTLEEHEIIYRV R AOR_1_1132094 MMASHPLQALGEAVGAAGADPRIKQLNSLFQGANDGPARTAAKI TGVQQGGKREDDGPYFTNNEGIPFPDPAHSKTAGGLPLVSDTFLLQKQQHFNRSKNLE RMVHPCGSGAFGYFETTHDVSNLTKANFLRSPGLKTPVFARFSTVTLGREFPDLARNP RGFALKFYTGEGNYDIVGLNFPVFFCRDPIQGPDVIRSQSRNPQNFLLDHNSLFDLLA NTPEGNHAGMMFFSNHGTPKGWRNNHGYGCHTFKWVNKDGKFVYIKYHFLADNGQKQF NADEAQYHGGADPDWSKRDLWQAIEKGEEITWTAHVQIMQPEEADPAKLGFDPFDVTK VWPKKQFPLHEFGKLRLNKNPENFHRDVEQAAFSPGSMVPGIEDSPDPLLQFRMFFYR DAQYHRIGINLHQVPVNCPFMASSYSSLNFDGQMRVDGNHGMNPQYVPNSFVNKFRPD VAEAPYQLSDNNVGRKSHFYHEGKASEYDQPRALYREVMDERARRQLHDNTARLLRLV EFPVIQVKYLAQLFRIAPEYAKGVYDLLPEKSFPFSDVEKQADGAETAMKEPKFRPSA PTDKLVGMCPMKPVYNV AOR_1_1134094 MYSSRYLFKPFRAPTHYSLARTTLGASRGFRTTAPAATRVSEVI KNDHRELEDQYNRILSAKTKDEKEQWQNQFTWELARHSIGEELVVYPRMEKVLDNGKT MADHDRHEHQIVKEDLYKFQGLQPDDPEFIPTLKTLWANLAQHIKEEETQDLPALEHA LSDSDSDGMARSFGRTKKFIPTRSHPAAPDKPPYETVAGLMSAPMDRLGDLLRKFPDE ARS AOR_1_1136094 MRFSALGLVAALLSQVQASPVARASKTPYFFLIGDSTVAVNGGW GNGLLAYLKDPAKGENRAVSGTTTVSWKANGRWDDLIKSVESNAANYEPIVTVQFGYN DQKSLTLAQFTSNLESIATDIQGAGGTPIFITSLTRRNFDGDEVKQDLKDWRDATITA AQAVGIQYLDLNTASTNYVNAIGEENAIKYNLTPDDRTHLNPAGEAVFGRMTLDLLLQ ARGDLNAYFEPNEALSERIANGEYATGDE AOR_1_1138094 MPPFRVLIVGGSITGLSLALMLEKNTIDFLILEAYLDIAPQVGA SLGLQPNGLRILDQLGCCDELLEHAKGHTVQESIYRLPNGERIWDFRKLSDHLIERHG YPIAFMDRQTVLQTLYNKIQDKSKILTGKRVKAIDSSDPTVVKVITTDGSIYSGDIVV GADGIHSTVRQEMARLNVNTGRDYLEEKSFSATYSCVFGISHRTPGIDACTLQDVFNE KFSYLIADGPGDRTYFFLFEHMDRVRFGQDIPRLTETDRDEIVGRHLNDPITPDVRFR DIYERRIRSGITPLQEHVYKYWHYGRMITLGDASHKPHPLTGQGANCCLETAACFTNG LVKLLRSTPSETPVSEGDISSMFDTVQQTRQPRVRFLIEAAHKRQKLVAMDTPEHKSY VATKIPGLPIKVVHSEWLKIFPPAVSLDMVPLPARPHKIPYHDELGAQDNKRSRDESK L AOR_1_1140094 MPPDPDPGLIQPKTGGVTKLQTYIITVFATIAWYNAVELVIICL TTFKRYRGCYFWSLLIASFGLIPLVLGWLFFIFYFGLTRWVSASIIIPSWYCVVAGHS LVLWSRLHLIMQAPKVLRALLILIIVDSVLLFIPPTVMFYGILIHDEGFRTSARFAAA YNVMERIQLVGFCLQELLISGIYIFETTKLLRLRPDPVHSRILIRLVVINVVVMILDV AVVAVQFAGYIAIQMMFKPVAYSIKLKLEYAVLSQLIQISKGPNSDPEQLCSCSQEHN STSTCRSDSGRNGTADSDMRQYSVDTITTVVSPRQTATY AOR_1_1408094 MTLTPVHFFSHGSTMMLGEESSSADYWKQCGDEALRNGIKGVIL MGAHWDCFGDQIQVSTNPNPGKSPVAYVHPSKYVDYKINNDLKTAQRCIDLLDEAGYN VSGNDTFDWIHDTYLILIRMFPNGCPPSTLISMNARYDPHFHMKVGSTLRQLRKENYL IIGTGGAVHNLYRNRWAPMLRFRDNFAQDSPPEHWALEFRQSVEDVFLKTSGPQLRRA MTRLMKHPEYRDAHATDDHFMAAMFVAGAAGDFEDEGTPAILATETWELTNMCNSQFT IGSWPKVAA AOR_1_1144094 MDSKSDSPSHPRQFSAIIAGNIDGRTDNVRYRQRQFHRLQASIL QHLTELKEAISQDSGHSEEEVQTEVCLSLKEIRSHYSSLNFEKSVQVEYRVARGEDNV DRKKGAGIVYIIPSTHTIFYSVIAALSAALAAGNCIIVELPKTTSRVTALLPGVLTGA LDSDTFAISESRPDAEFLSTTRVLNQQSSDRLTPSILESPNTLRTVAVVDRTANLQEA AIDLVRARFAFNGSSPYAPDVVLVNQFFMQPFVELVIKHVAKHVGSDNRGSNSLPKRP RQSSVLDQIGSEKGAKVIVSGTGWGVALIQDRDSPLLRTIISEKLLLLHPVSSLDDAI NLCNASGTHAATYTFASAGAGKYVSESIDAHTAWINHIPYDILVGPVVAHSHPVDLNT RYTTEHFEVPRPQVVNRTVNTTIARALLEGKLVQSDRSLQEILSPLPAIQQRPGHKIG FFEQGIITGGLITLTSLITIVSAAGYWALRMR AOR_1_1146094 MEQGNCAPFAQWYKLSTIMSTTTTETPTTRTAVSVLTDYELHHS EPQASAPPNETLTQVSVQQPANWPVDHLRVPPYRPINRNLDWNERTAGTHAAEFAFIQ IMLHGVWINAAASRLWHNTLGRVNDRIFRYEVGGEW AOR_1_1148094 MQSKPKGLKVLIVGAGIGGLAAAIALRQQGHKVEVFERSRFANE VGAAIHLTPNANGLLKRIGVDARKYGAVLTEQLRDYTFDGELQYTLDTSLISGSWQHE WVLIHRAHLHEALKDKAQAPGQGTPIVLHTSAKVADVDAQAATITLEDGQRFEGDLVL GADGVHSVTRRHVSGKEVNAFSSGRNAFRFMIPRKEALEDPETAPMVQTNGTVLMWHS ADSKVVIYPCVNNEILNFVCIHPDNLTNEYVTQGWNSGVGKDTLLNAFKDFEPGVLKM LNKADPETLKIWPLLDMETLPQWVNGRLALMGDAAHPFLPYRASGGAMAIEDGLSLAV MLPGDVSREDVPTRLELYAKARQERVLQIQEYTRESGRRHVGGKEAAIISSYIYDHDE WDHSSEVLRQHLWSQNQQVYYRQPTVFGPMPGPRQDFWGRSRAAASTKAKFCTASIRF KTSRTLLKNLLPSSSYSFTGMGSVAYATFSQTTLDGLDWLAGGGYNHFGLYIHGVQYK SADGQITEGSYLPVLFEDLADPILSGREELGFPKVFSSIDVNRRRHSYHVTASWRGGV WGQLNLTGLEEKSEEETQTNGSTKTPPNLLLHRYMPSVGKDRKGTPEAEYPVVVDSAE DLTVVPSRITRELRATDARLEIDGLDWNQLPTLHHIVSRLAEVPVYQVIEAKVVEGEG VADVSSARRIEP AOR_1_1150094 MPNPIQDITRVDATSFPYIYEENVTIPLKDNAGLIRCNVYRPKD VENSPVLVTYGPYGKDIHYKDFHSKSYSEVNPEHHSDHSAWETPDPGFWTQHGYAVVR ADERGLGQSPGVLDTMSRGTSEAFVDVVEWAAEQPWSSGKVGLLGISYYAGSQWRVAA RQPKGLACIIPWEGMSDYYRDRCRHGGILSNKFIKFWWDRQVITNQYGRPGRAARNWG PDTIEGDLSEEELLANRNDQTIDNATHKFRDEPYYASKEYNMEDIKVPLLSVANWGGI LLHLRGNVIGYMNAGSEHKYLRFITGRHDLPFYYHEEVELQRSFLDAFLKGEDREGWS TGQAPKVDVLLRKGNVGFDNAEAERAYTRRTENEWPIARTQYTKFFLTPDLQLQTQSP QSKLVKVDYRALGTLESPQLVQFSTPAFEQETEITGHIVAHLNVSVSPDKGGPLPSDI DLFLTLRYISPTGEEVYYTGTAGDPVPLAKGWLRVSMRKVNEKHLRHREYLPHRDYFS TDVLPVMPGEVYPVDVEIWPSNVVVEKGGKIVLEVSSGDTQGSGIFQHNDPNDRSPEK LQGTNHIHFGPAYENYVTLPVIPSK AOR_1_1152094 MSQHNKDIRPLQRFVTTHDDNGQAIFSNRLSEDMPVQNVDQVTF SLAYTSEQFPSQLSGDADIASYERRLSSPPGLSISTGTVCRIVDMPPNTISPMHRTVS LDYGVVLEGEVELLLDSGEKRLLKRGDVAVQRATNHAWRNVTPNEGWSQPERSVAESS DSGEQVDDTGIPQSQEEPAPQDFSVGLMDDFYSAAEEVIDQAFLENNRLPLMSPNEYD YFGGNISPKLGLTEADLEYLASLNNHDLAHERPNTVAQYHTQRSVPEALGLMDKEAYH NSPLSNWTPRSEDDAYMDQQYLSVPKHLDRSISSGTAEARVFSECLSKESRDKVFSIF VQVCQRRDFGRMMHYFPSAELLDSLIQDYFLHQRSEIDSWIHESTIDLNQESPEMIIA LAAAGAVLSPVNAIQRLGYALLEIARLELSSKYESDNTYTRNLRQQQAYTLTLQIGLW SGDKRRVEIAESFAQPIVTMLRRASHLRSEGYPIISPSVVDDEETLNQKWHHWVESES HKRTVTAPEESSGRELRTESALQHGTFFLDGEGSPSGALRRFVISGQGIPALSSGSSP AYLDNQAAVMRLFQQVLRSNYPSQAIPQQAQTLYHAFSALGSIRRVKECEVPSKKLPP E AOR_1_1154094 MTPRPKELYPPRDASDAEINERTHNNPVTDPEDQSAESPSPRTS FTLRSPEEMDRMARSEDPAERARGQTLQCYQMQLMLLEQQYKRYKMVMERDGKDPESS SS AOR_1_1156094 MHILIIGGSGRTGQLTIEELLRRGHQVTALVRKPSAIIQQIGLR IVQGTPTSRDDVRAAFRADVPDVVIVTLSAPRASDSPFAAPISPPRLMADCNANVALA MKEFGVKKVVILQAFGVGNSWKNMNCALQLLMKKSNMIYQYEDHNHTDREVRASGVDF VLVRPARLVDTDAQNVKLWPQDGKGVPLMASISRVSVACWLVDAAERNDWDNTAPVIT N AOR_1_1158094 MRNIFGKAKGVVVWMGADTPLMSAMWHTVTVSYWSRVWVIQEIM VATEILLMSGSGTIAWSKFVKRLDLFVEESLDPDNLDVELNAEYFASNCRKLVQLWTK RSTQKLSLGTLVSFSEDSLATNPRDKIYGLLGLVNHGAGGDIVPNYGHSPCSVYCIAI KKMQDDTHQDLSLLHTQLSNLKHNPFDEDDGNSNCDGVECGVWTFCKLFALRARARQG AOR_1_1160094 MEATSIQSLMEGPSSGQPRKRPRPVISCLRCREKKLKCDRVTPC ENCTKAGCPADCVYNQCLNLNDNVKRVRLSSATIDQQSAPRGESGGGAGIGIIEDLQQ RVIRLEERLALGSRVVNPDLAEDVSVPQISSDLRPYEVVSESDTPRPFLGTLVVKGTR TRYHGQNNRITLLNQFPKAKEFIAQCNENSTIVDLAREVRFLQGKLPGHLDSPASTVD GRSSPELVQLRASLPAKTICDLLLRTYTNNFEKIFRIIHVPSFLREYAQFWAEPDQDF YQSSSAFIPQLTAICTISLALDGQCTKVNDSALWEYLSGPAITLIQLWLQNLTRKQRT ELATLQAETLLLLSRRLLLVPVEELWKATGALIRSAMVTGLHLNLAKCTELSVFQTQV RRRLWITIVEMDLEASIASGMPVMTSPHDVGPPPANLDDSDFDESTPQLPPEKGPSDL TDSLYQISLATSLADRLRAMSVVRIAREQIDLSELAQQGSRIVEHLQQIPRVLKPGNA STNNNDPAMLLNCVLLSVYTRRPLLCLYRPLVLGDPRDDPIFPEICRICLESSLANLS YQDNFNPSVADLEVCNLGAYWDLFQTFCYNDILWDALSVCGYIRLSSQRNSFESQKSG RALGSSMHSKASLIRSVESTLDSLTARIGEAGSNLKDILLLAVVLQSVRARGSTQVQG ERMSQGATKALSACRQHLLPAVAEDSLALSLTDFAQMLQTTQPMFTSDGQGSFTSSAQ LNLPEDFLAQSSALAMEFSNFQGDPFIFENNSFTWDV AOR_1_1162094 MSTPENVAIIGAGLSGLTLALALHRQSIPCTIYEARSAPLDIGG AIMLSPNALRILDILGVYQRIRPEGYEFDHLYFRSPDNKLKDTFEFGHLKYGYHGLRI YRHVLIKELSDMVAQANIPVHYNKKFLHVISETSSDVTWQFDDDTTATAACLVGADGI HSRVRKYLYPDLEPRFTNAVGVTAAVPTRQLEVPDEYGLPVTIMNPKHGAFVIAPQLK DGSEVLIGRQKHGPQLGREGWDRLLNDKQWCIDFLRDGASDFPEIVQRAVSQISPAKI NLWPFHVVPKLDRWSSHLCRVVILGDAAHAIPPTAGQGVNQAFEDVYTYSLIVARKSQ DISLEKALRLWQQGRQARVDKVLELNAQIDKRRMPKQDGYNEPDVHEAFDLEWLYSPD FDAMVDEWQQMHEDLA AOR_1_1410094 MGFFHDFLSRPTTYAILAVLVIPVTALAWDRLPPLLPSAKRLLV GKKNPSKITSLECPYSYIRQIYGTHHWAPFVDKLSPSLKTERPAKYHMILEIMDGIHL CLMLVDDISDGSDYRKGRPAAHHIYGPSETANRAYYRVTQLLNRTVHEFPELAPWLLQ CLEEILEGQDLSLVWRRDGLSAFPVQPEERVAAYRQMAYLKTGALFRLVGQLVLENQS YDDTLSTVAWYSQLQNDCKNVYSSDYAKAKGAIAEDLRNGELSYPIVVALNVPKGQYV VRALVFRSPHNIRQALRVIQSDQIIRGPVDYLLKCPGKDIRRKLMQAFNEWLRIPEDR LNIIAEIVGLLHTASLLIDDIQDSSKLRRGIPVAHSIFGVAQTINSANYAYFAAQEKL RELNRPKAYEIFTEELLRLHRGQGMDLYWRDSLTCPTEEEYIEMISNKTGGLFRLAIK LMQLESEVTSDFLGLVDLLGIIFQIRDDYQNLQSDLYSKNKGFCEDLTEGKFSFLIIH SINSNLGNQQLLNILRQRSEEESVKKYAVEYIRSTGSFAYCQDRLASLLHEAKMMVNV LEENVGFSKGIYDILAFLL AOR_1_1166094 MCDKDRFKVIIVGGSVAGLTLAHCLQRAGIDHVVLEKNSDLSPQ VGASIGIIPNGGRILDQLGLFDAVEKMTYPLSMATITYPDGYSFRNNYPKTVDERFGY PIAFLDRQKFLEILHTSYPDPSNIHTNCRVTHIRRHDSHMEVVTSPGQEYTGDLVVGA DGVHSVIRSEMWKLADALEPGRVSKREKRSMKVEYACVFGISSPVPGLKVGDQVNAFH DGLTIITIHGKNGRVFWFVIKKLDDMHTYPDTVRFSSADAVRTCENIAHFPLVNGATF GHVWENREVTSMTALEENIFNTWYADRIVCIGDSIHKMTPNIGQGANTAIEDATVLTN LLYDRLSKNGHKKLAQQELLQLLREFQSQRFRRVNKIYQDSRFLVRLHARDGIVKSLL ARYIVPYMTELPADLASKSIADSPTIGFLPLPSRSGPGWLQWSRKQRRPATPWILVLL VIVVSFGLHSPELVIPTFWSNSLVSKTVE AOR_1_1168094 MAEDRSLEVRVVAAVFLALATVATALRCYVRLVIVKAFGWDDVT MLLALGFFGMFSGCMIGGSIYGTGRHLTELTNHQRTTAMEYWFLCDVAYCLSSILCKI SVGIFLLRVTVDKIQRIVIYAVTTLAAVFGLMFLILLLAQCKPVEFFWMRLSTENPVS GSCINMTVVIVALYIFSAVSFIFDLTVGVLPVFVVRNLQMRRDVKFAVAGLLGMACIA SVAVLVRMAYVETLRNPDFLYATVGIAVWSNIETGLGIFAGSLATLRPLLRMIRPGTG RSYNKNTPSAPGSRTWPNSAFHRSNAVPLSSLMTTEEERQNRLKGNVRASTPIETGPG MTSSSVGTEDELSDHELILPKDSDYQYQINVRRDFHITSTENPV AOR_1_1170094 MSDILSIIGLTLLVAFLTSVVFFVQRRKLDPREPPLASSAIPLV GHLASFLYYGLEYFAIASRKNRLPAFTMDMLYTKVYIIASPELVSAVRRSRNAMSFGP LFANVAENGGGINGRGMQLLRDKEYGGQGVGQQTADSMHPALLGSGLDQMNGKMIAVL KTIIDELASQPDNVVDLYEWCSHAVTVASTDAVYGPLNPYRSESNRRAFWAIESNLSL LMMNVVPWITARKPWKGREQLTQAFIQYYQADGHLDSSQLAYTRWKVQHEAGAAIEDI ARLEALTALGILSNTVPTCFYFLFDIFSRPDLLGKIRDEILDGAFSVDSAGVHTLDLA DIRERCPIFVSTFQETLRTRSNSGQLRVVQKDTLLDDHLLVKAGSIILMPAAVINKHP SVWGADAGTYDPERFSKIDPAQKRSKASGFMSFGSSPHICPGRHFASGEILALVAMIL VRFDVRPVRGTWVEPKGNTKAVAASLPPAVEKVEVKFSETSKFAGVKWEFRLTPGKGT FGLITG AOR_1_1172094 MVHQEVYHLHPYGWETSPQEERYKISTLDYLTGLCYTHFAIYFR LDDDRKPKAAAVLKEGLERTLGQVGHLCSTIEKDPGGGHSFVKRKESTVQFVIQWLDA TSDADRFPSLDDMESSSFAGITLGDFKYWNIEPMTYGEKPEAHPDSSPLTSAFLLNFV HGGLVLVTHMHHYANDVMGWRGFVQQLADNCYAVENQTPFPTWDPACNDVSIVSKPDP PVEQLVDGPPAPQQHPDQRPGQCLLFHLPKSKAAELKRLATPQDGTWISTYDAFAAFI WRTTTRLRQPVFGIPLETPMFWCEAVDMRRRMKNPPVHSQVQHNVLWAALSDQAPFPP LTHGDVISDKPLWELAAYIRKITNTQTQENLDAALTAISHIKDKTNLNIRINSKPPMS IITTDHRDAQVTNADFGFARPLCHRHLQQGTGVTVGVHLVYPPKLDENPDSDEGNMFA LMYEKELAQDLINDQEFAKFFEYRGVDSE AOR_1_1174094 MVSKNLLAILAAAVAVQGSPLDKRAVVNHDSITPFPETVPNTAT GNTYKKLEPYLHIAHGCQSYPAVAANGDVSGGLQDTGSATGGCRDQSKGQTYVRGGWH NGRYGIMYAWYMPKDMPNSGVSTGAHRHDWENVVIWVNNPANDNPTLLGGAASGHGSY KKTNNPQRVGDRPKVEYFTNFPTNHELQFTDTLGRDLPLIAWESLPEAARRGLESAEF GKATVPFKDSTFQGNLEKAAL AOR_1_1176094 MPGGTHLTRVHVTRSDFSILASYIRVREQVGSATNRGHLAKAGA LRDDGANTVFVAFHLQPHKVLCVRLAVEMGLFDSLPPSAPFTLQDLIEYAGADPEFTG RVDSLIRTMSLYVAFFNTTSFVTPYDPMSSPYAFSEGVKNIDFFIILYQDPKAARTFN EARTTFKDPLGDFHSISSLNPGEDGILLVDIAGGNCQSVQSIISTNPEIKGRFIPQYL PVG AOR_1_1178094 MKFSVISILAVAFLTVGPAGAIPIDSIQDQTTADGSSKTDNVMP AGPSTTMASDKSNQVYTPAHDQGEAEYANSTTADDISRTNDAGDGETPTSHKLDHDCT CHQGVEDAGVATTDATSHNGDTLPPGADKTLTSHNLVHNCTRAHAHQGVEDAGAVTTD ATSQTDIAIPAIPKAPLTSHNLDNNSTDGQAHEDGKDADPATAITAPKSDEQMKASVK RAPPQRGTPDSMEAQRASAPEISSSSQGNSPSPEVHHPQNAIEMPGTCTSDNICHVTL PGDTKEREVVCKEDSPAWTDGSGVDEQISDENPITVTWDGEHDSANPYNWSPAQKWTL TLLAVFTTYITMMNGTIITTAHAAITEEFHVADDAFPHSYWPVTSWALGGCCSSLFIL PLMEDHGVRPIFLSAHVVFMCFLVPQAVAQNFATLVVTRFFAGSSVAVLANTSASVIG NIWETEKSRSIPVSLYIFSYLAGSSTGPVIGGAIFKGLTWRWIGYLQLIWFGALLPVY YFLFKESRGAVILATRAQALRKQGKPAFTLLEMEGQTGSAFSGFVQSSTRPLVLVCTE SVVLVSTLWSAFTVGTLFLFTQSAEQVFVDLYGWSNTQAGYVQAAIVIGEIIGWLINL FSARLYFGSASRNTESPGVPIPEARVYLAVVGGVFGIAGGMFTYAWTSFAHIPWIAPA IGLAMVGAGSVIVVAGVSDYVVDSYSKYAGSAMGAVATGEKLFSALLPLATMSMYTNL GFQWASTTLAFISLVLSLAPTLMFVWGKQIRARSPFIMEAAMSSEHKGAHSV AOR_1_1412094 MNPAPTVSDDHSPVSFQRQIAKCSCLRGSSFAKPPSGGQEVTGR GTRYLRDLQKQAYNWQRASGVKRSWDSAFKIGDEVDEESTVHDDQVAAEVVDPQSRGS SASQGSEFTCNIWTSPFTLPSTVIKDPHKEQRNWIWLAPTSAWSLTARLMVMMTEKLD LSSTHNIPKFYLDGDIYPLAWDRATASEPFDTSGLPSVDYALYLFNIVKFHLGQMYRF FDEDTFVSQMHEFYASDAAEKASKPRFWFVQFLLVLALGNAFVSRPRNQSSPPGAKFF ARAMSIMPNHTSTGKDSLLAIEALALAGLYLLVMRLQVRLSQMLSFILSTIYKTEKTQ LGRFLEITRNILHAMAKHAEEIEKMMQISFQSSMDNVPQEMRHLILLYHQCVIVATRP LLLSVLKERLEKLGRAEEDWQKFLALPKSLISIGIKSAEKTLQILSDENGHLETFLPF DLEFTYAAALHLTMANTLYPPGTNDDTYSKSAHSILDEMIMCGNKVAEVRKDELRCIE GLFQEFAKRVQQEGLQVLTLSGRGLAEAGPDENPSQECRGQTPATEPPTFTESSAQSP SINQSLTASVNPLDNVIGISSYEFLSIVDQIGGSEMPYVLNAGLDWMDGGAIAYPFC AOR_1_1182094 MVANTLDCHTTLAHSLRFVATTVGRDKLLRTAQYFSQFYIWHLH RRNYKRSAIDPYHALRKQLGTTRRILRIGNFLGNLQTVARLMSQKNSSEPVLKYLAIG GQLGFAGYLVFDNITSIKAIGIHELPSAERLDILADKCWAAGLIFSIMACLYILVHTQ PKKRAKPAERERYSDENKCAKERSDAWIQLISDLCDLTVPGKSLGCAAFNDGLVGLAG TMSSLIGAWSQWKKTA AOR_1_1184094 MAPAATNVPTTPPRVVGPATKKATRPTNQLPQSMIDEARMVRKE AFDPKVHLNYDPPRRIYTMKEIGNVNISFGDGTTATWNRTTDSEDGTSAVAWHYDSFP FVCVTMLSDCNGMVGGETALRRPDGHIMKVRGPAMGTAMVLQGRYIEHQALKAVGGRE RISMVTSFRPRSPIIKDETVLTGVRGISDLSTLYSQYTDYRLELLEERLRLMLKEERR RQIANHPFDLPKVRKFLVEQKEFLDSMLEELIEVHD AOR_1_1186094 MLDVLVIGAGFSGLQAAYSAQQAGLSTAVVEARDRVGGKIWSVP LASGRGYAELGGAWINNSLQPRVWKYVERFGLEVVTQRLEGTAVMQETQDSRLEFPFG VSPDWSEAEKNNLAYIRDHIQAESLKPGLPSAQDDNVSLDQYVRNLGALPKVANMVNL WARVMHGVESTEESAAWFIDYCCRNKGLLAIRADDSTGGQYMRFKDGAQSIAEGIARL VGAQNIHLGSPVASVNEHGSHVSVVTRDGRTFNARKCILSIPSTMYKELSITPGLPQP VQEVTDGTVLGDYNKAIVCYDRPWWRSEGFNGYFASYAGPVILARDTSVDERNHFSLT CFVNGQPGRDWSKLCPHERRAVVIKQIAKIFKADANSEAFRPIEVFDQVWKHEEFSRG ALAPIHALGHLTKYASVYGKPVGNLHFVGTEYSTEWKGYMEGALCSGERGAREVVEAV KKVPSKL AOR_1_1188094 MSLLTGSADVRSEASVPSALAGPNNDLLLPIASPGIQSPREDER EPFLHELNPTQPNVVARILERLTDRWLQTRQFITSEEGIGVLKCGLAYLLGSLATFIP MIAALLGPQEGKHIVATITVYFHPARSKGSMYKALICAALAFLYAAFISLTSMYVTIY FHQRRMIELGHALVLIVFVGLGFGFLAWTKQKMSDPLVNVACSLASLALIVVLTKEGA IQRGSVSLAKVSQVLKMLLMGIGAVMTVSFLVFPVSAQKKLRSNLAVATRSMAIMQST ITEGFLRRTQDDFQGFDYTGASTRLKKAHGELDKLLYETKLEQYVAGWERAHFHEERL VQWAHGIVHTTGALHSSALLAFETLKRPKFADHPLDGPNADASMATRYEERSAIFAAD VPILQAVDLGMLETNASGNGQPVSGQLDRVSDGLVPESSLVTELFDSFVDRLGPSMRS LTLTLMNISAEISLGLSLDNRVAMSPGSPAILTQAIEEYREAQKEAFGRIYREKCDMS IDKFEKEAYFKELAAICAHFSYSLLKYGEQLGELLTILTAFQVATAGYHRKKSWSWIK FWRRDPSRSQYLDRSNARLDPRPSLTEVAPGYHTELPGASSFERPSRLQPFRDTISRH IWRTLNFFQKDETIFAFKVGVGAALFALPSFLSFTRPMYLYWKGEWGLVSYMLVCSMT IGASNTTGYARFLGTCIGALCSILVWSIAGSNAFGLAFLGFVMAICTFYISLLKGQGP LGRFIMLTYNLSVLYSFSLSQSSADEDPDERSGNNPDITKITLHRVAAVLLGCIWGII VTRGVWPIRARKKLKSTLKLVWLRLGRIWESDPLARRITNPGVAALYMTPEDRRTMQS LLSDLETLRVAARYEIELNAPFPDTAYGKIIQHTQSIVDDLHALDLQLQGIPPSEQQL SLLRYTSRERQNLAGQISHLLGAIASSIAYACPPNHVDLSKAKHSRDRFLATIFMYRS GDETSSSALEETYSLLYGYVLVIDQIIGKMAEILVNVRRLSTGVEQDVVN AOR_1_1190094 MHFYPKARHQPHPSIHDPAVRRRRWGLLKAAGLNFIVLQLLFLG LFCYLFGSLFQQTTHIHNLHVVYVDYDGGAVGSAIRTAYEQLKGPGFPTLSEQSVSAY PQPSTVRSAVCNIDYWGGLYTSADASIRLAAAFGGGSAAASYNNSDVLTLVWNEARYS TVVDSAISTNMQTLSEAARVAYFKINGMQALGDLNSTDPAAIAALTNPWTLASINIQP TTQGSRLVYNTLVIILILIQEFFYLGYINGLYQQFQLYVSIAAPRIATVRQLISGLYT LSGSLCTTGAIWAFRHGWHVNGGQFALTWMALWLFAHSNFLVLDVFTVWLPPPAVPMA LISWVVLNVTSILLPFELAPGFYQWGYALPAHSIFQVLVDIWSDGCNPQLDYALPVLF AYEVIGLTLSSIGVYRRAHYAVIAKETEEKTWKERVAAALSEQQQQQMLEESPSPSTP DSTLTESQQQQDRARRRSTAAGIADQEALTGQLWREMSRVDKAQTSRQSTGPCFDLPF TD AOR_1_1192094 MAFGMLECPDGESPPGTVILSHQALVGNNSDTAPSSVKAHHERH GIVLVPQPSDSPNDPLNWPQWRKDFILLNVSLLSAIVGAYGPMLGPGFVEISEELDVS VNEISPATSYLVLAIGIALLFSNPLAKCYGKRPVYLVSGILLFASSIGGALTHNYGSF LACRLVGGLGMGPFEVLVQCTIGDMYFVHERASRIAFWNLFLVSGISAGPIVSAYIIQ YSGYRWAFGVCAIFYGVLTLALFFLAPETSYIRVPNTTHSSTEASSIDQPDAKAVPGE DVAQPRSETIDDIEKEPRAHNDQQQANHPPIITERKDSYWRSLRVYTGRYSTASVVKV ISRPFILFLYPGILWAFLTWGTTITFTIAFSYVNGVIFNEPPYNFTTSQIGLINISPL VLSVVSEIISGPLCDKICLYLTKRNNGYYEPEFRLVLMVVGFVLGVAGFYGFGATVHY KTHWAGPVITYGLVNASLAFCSTCVFGYIIDAYTALGEEAFVAVNSRNFLSFGILYVI NEWLEEDGTLKVFVVLGSLFIFTSLLTIPIWIFGKRCRGRIDKIVWLKNYMRDS AOR_1_1194094 MGSTTKPSPPLSDKSRIHIVIVGAGFAGLGTAIECHRQGLSVAV YERFPELKPLGDILSFGSNGGRIFARWGPVVDRMLPVSINLQDYGFRIHKYTGEHVHT QDSMPFNRQAPTINGHRGELHQILFNYARDDLKIPIHLGCEVTGYFESTTGAGIQLVS GEKIYGDVVIGSDGVRSQARALVLGCETKLESSGYAIFRAWFSNEDILADPLTRHLCE NGDTFNGWIGPDVHLLVSSLKGGKDVCWVLTHKDTSAISDRWSFPGKLADVYKVLEGW DPICKRIVSKTPESSLVDWKLIWQDPLRTWVSKQGRIALAGDSAHAFLPTSAQGATQA LEDGVTIAICLSRAGKSRIPDALRAFETIRYERVRKVQETGKTTRDKWHNARWDNVKR DPKAIELPREDWILNHDAEEYANKMCEEIFGASSNGMGRGESRL AOR_1_1196094 MPLSETGVATNSHTPPEEQQIIANIDSMETPHQGTVNEINSLPT KKYSLRSGGSHQHSIEEDDTEETETSGPCLLPDSSLAELRWSDRHFEDIQLLLDPILF DKVEHNHALQLPSAVGAPCIDIDPADTFSFLARISSKESASLQTRYDCSSLLKRGWEG QGMSGDSIEKQGVLPFPVPASSLTDTWPSGRSYEIIHQIRTLSQNSTIMHTWSQQVEG DCIRFFSPSNLQRFISIYWTAWHPHYPVIHKPTFSITSAPAHLTAAMAVMGACFSQNA NDNHNVKLWLNSVEEMVFSNRYFGDLMLQDPATVNIRDIVQLLQAAYCVCTFQISEGS HISRRRIRRQRFSMIVSLARDLNLFSIKHKDLDNVRGGDFCWETFIATEECIRTMLFI YIHDTGFAIFTLCAEAFQINSLISVDTQLQPMRTAIHNWKLAWNQRFAIQDSFGLPKE EDTMFVGIEDYWRRLGFFQNASEYWLLLNILIRRIDERQRNRDDLSVQSDVELTSSVI DRPYTPSRCDSPTMEDLNNLISEHHRQFKPYA AOR_1_1198094 MSFLQRAPEPATPLGRLRPLSASASIRVSPLQLGGMSIGESWSS FMGSMPNSKAFELLDAYVEAGGNCIDTSNNYQDEQSERWIGEWMKARGNRDNMVIATK YTTAYRDYELGKASYVNYSGNSRRSLHMSVRDSLQKLQTDWIDILYVHWWDQTTSIEE VMDSLHILVQQGKVLYLGVSNTPAWVVSAANYYAKANGKTPFSIYQGRWNVLRRDLEK DILPMVRHFGMAIAPWDSIGGGKFQSKKQLEARKVTGEGLRAIGPATQSEDEARMSEA LEKVAAEHGVESVTSIALAYVLSKAPYVFPIIGGRKIEHLKDNIKALEIRLTEAQIEY LESIKPFDFGYPANYIGEDPGITGKASGVLATTGPLAFVKYPSPIKPSL AOR_1_1414094 MDYIHSLPSNPALGLSCCIIFILLAIVLHDVYMWKCLPPGPPPI PLIGNKFQIPSKHPWIKFEEWSNVYGPIYTIWLGRRPTVVISDPSIASELLEKRSTKY STRPRFVTMGEIYWDMASILVQPYGKEWLIRRRLLHSALTPRALDNYTPLQEAESSRL CYQLLESAHEWEPLFDRLASSIVFAVSYGHRVDSAQSPVIKQRLDFMQYASSLNVPGA HLVESFPVLKHLPDWIAPWKAEIKRRGRLEAEANMTLVRVVQQDVESAKESPGAEPLF NSLTKQLLETRDSDPTAFPLSERDFSYIPASLFGAGSDTTSSTLCSAMLAIVTNPRTM EVAQLELDSVVGRDRLPTFEDIPNLPYLRAFSKEVLRWRPVAVLGGTPHACSEDDYYR GYYIPQGTVMLGNSWAINMNPKYYPNPDQFNPLRFLDMDPHLLPYLPKEYTASAEQEK GSGHPSKLGHSSFGWGRRICPGADLATNTLLITLSRLLWCFDIRPIPGQTCDPINIVK L AOR_1_1202094 MATRTERLATWASGLQYDDIPQDVIQRTKDLFLDWFGCTIAGRH HPAVKAIAIFVQQMGPTSGKSELVDHELGFSTSPAFAALVNAASSHVVEQDDLHNRSI MHPATVIFPAALAVAQDLGANGRDFITACVVGYEVGCRVGEFLGKSHYARFHSTATGG VIGVAAATARLLGLDSAAMLSSIGTAGTQAAGLWQFLSDATHSKQVHTGKACFDGIFA AYAAKSGLLGPKDVLEGPKGMGVALVPDTPIPSAIDTDLGLDWAVLGSSFKWHASCRH THPSVDALLQIISTYGIKFEDIDSVVTRTYQAALDVLGLSGRGETIHQSKFNMGFVLA VTAQKGQALITDFTEEALQDASLREFQDRVKMELDEEINAAFPQKWQGKVIVTCKSDE RYEQFVEYLKGDPQSPLTRTEIETKVKALAQYSQVKDTDRIQQIIARAWDLENQDSLR ELWL AOR_1_1204094 MKFSIVALVLAATSAIATPIVTKRDGTFVISGLKARESLSNTMS FKLLDGDASIDCNLIWSAKEPEENARCNDGKHLIQFPDGFDFKKFTLAIERIEPNPIG GRAYLDATDGKWNCVDNPEDHVYTDCTYDGDYTIQL AOR_1_1206094 MQLSIFTVILPSLVAFASAAPSEKRQISSVSITFYTPDGEKWSQ TFPTDMTSHQVETKKTVSHIYNPGGAICGFSGVQGERVDVPIGDHKLETSQVLTTGLC AHL AOR_1_1208094 MSLKSASGVLEGATDQTTSTLTDSALPTAQRHAKHLGTTVTQPT NLTTPGEFPGEKTHDNAAAQDTSSGPWYAPMTAWFRDIIPNTMDWFESTVKWLLAWIF PPPRQAALFEAALRRPYATSFLVCQLICCGVPFFVFLAGTFVFAAVAVLLWAILSFVI LGPIFLVACMMGVYLWSWSLVLYAVIKWVDRTFLGGVISKFWLSQVPQEDAPQDHQAH GDRKEAGEETRRTD AOR_1_1210094 MIWKALYVIAALLTKVWGLPSSTEVDPRQQQSKYVFAHFMVGIV KPYQLSDWIADMTAAQAIGIDAFALNCASIDSYTPTQLALAYQAAKQVNFKVFISFDF AYWSNGDTEKITAYMREYAAHPAQMQYNGAAIVSTFVGDSFNWGPVKQGTSHPIWALP NLQDPAEATSGASRAIDGAFSWYAWPTDGGNSIIPGPMTTIWDERFVKALAGRTYMAP VSPWFATHFNSKNWVFICESLPTLRWEQMLQLHPNLIEIISWNDYGESHYIGPYSANH SDDGSSQWAAGIPHDAWRNLYKPYIKAYKSGAMAPIIEQDELVYWYRPTPKNVACTND PLPQPNGVNMLHDSVFVATMLTKPATLTVTSGSNPPVSIEVPAGIVTSNISMGVGVQK FSVARGGQSIMSGQGGLEIKDNCRHWNYNVYTGSVVRNA AOR_1_1212094 MNLWLLTLWVTLVTAWVDNQGTTCTLYPESLTHQGQEVDDSPSI QQAFDMCGTNGTVIFSENVFHVNTVLNTTNLLNCDVHLRGELRFSANVPYWRTHAISV VLQDQVTAWLFGGHNVSFYGEGGFYNGNGQAWYNANRNESNQAGRPMSFTVYNSTDLR VDGLRVIQPQFWATFVWASKNVSFTNLFVNATSDSEWGTMNTDGFDSWQSDNLLVENA TIIMGDDCIAAKGNTTNLLAKNIYCEGGTGVTIGSIGQYPEMPDYNLNITFENVTIKD AMDGAYIKTWQGTRIFTPSNGDWGGGGTGLVKNVTFRDFVMDNVGLPIQVAQCVYSAG SNKSCNTSTLQIEDVKWENIRGTSRFNIASSIYCSDEVPCPNMSFENVNITSLNATRG QAYYDTDIQYELFQCTNVVGQNSSGIPCNQAAPSNFSQWIYGNVDSSGLATALSDHLG N AOR_1_1214094 MVIQALAAFPFSQLTTQRVLQQAWIDTLQLSDEKFGLEADFLSL GGDSIAAINLVSYLRCKHLKISVRDVLKYPFLGAMAGQLKRKSDNTQQIKQETFVSPP EVDAAISATSLQPTEYEYIYPCPSGQAEFLTQGAHPEALWSLMTVQKVGPDFEPKRWI DLV AOR_1_1416094 MVEIYDNPFIRYAILHLSTGKTEIVTKLDRGLYDGTLLRIFGEH FEAYQRNSALERFTSFKVFAFHIWQMDKSRTLSFWKQSAKRPITFGFPSASIKEPRIN SVYVHTINLEFDAFAKSTGATVSIIFQSIFQLWLALRSNQRDVTFDYLYTDRNVDLVD LHTINSIYTNFLPMRSTVNALIPVSEFLHQTQDKFWQYTENSTVGMDEIHKACETTRE GLSNKTLFLFQPFEPVIATEKQYQKWIVMAKSQVTMPQPYALVYEVVKTADMNEYKLK FSFDNRIYEKEDVQNETRVIEKMLAKVIEHAEVSVGDVLASLRS AOR_1_1216094 MLPKYLQSGYTRYKNDTNSFATAYSRQPLQVLAEVVANSALTVP APVLTIAKRAIKLRKHVTSSVLGQGDTENNKRHAHFIAALEKPTQTTSTKDGDTDLDM FLNKFAVLTVEEPQEPEQEQRASPGSQKLVKVELVKNDENKATPSYFGHMFFKAFCLF QDLHNIRAFISHTWSEYRDKRIDLMNAALVTDSALQLARDLAQEVVDDWASSTLSPDD NIQDLVFKAACVIRRILPNPSAEIGLPYNKHMADKGYFGIYDPKANRAGMSLGQKFNE DKILLQFLPEFCMIGTFGIRMPSSDSITKGLIEFRKTKKVDPWLCFASQILLDVHHTM RYNTLSVLDDLRMSGLRIQKSLKTT AOR_1_1420094 MYAHRAVTRLIKETSTLVAWDLRQVNGIRLAQVEWEMLHAVGWV TLSLIVWYFTHSEIANDDLPVLAQMHTHPPIDKDNSWILRLDRAADNLSTLTHQGAWI PEIWTISHITAAVVHLRTINPDPIPAHSCWQTQLHQHLRTLRRSRETTSIQYDEAWVA LARNARIIEANMEQSVSHTWILVSYQTLLIHLLFPCTGNMPITTSQVQQLSNIIQFFV DHFKIFTTLTTAPSMACRSNRSLVSSYVTGLAACGSAIEKVSIQLQERKPWLEPNTPQ VLVHLLDLAAQLDQLFASDTLLADRRWRIVKDRFRRLSMAREDTRTADASGWDAAFSG LPPVISTPAVLPLLGAMDGLPEVPENSLLWSP AOR_1_1218094 MSAYTEHETIQVLIVGAGIGGLTLANICKRLGLRYLVLERSAAV TPVGAGISLAPNCLRVLDQLGFLPEIEREGQRLRKIRIFRNTTQWNMLDFDSTEKTFG YPVYKIERHAFHSALYRVAGEEHVLLGAQVVDVVDDAEKKLVTVTLADGREISGQIVV GADGIRSATRRALAKRGGETIINSTIRFTGRTHMSGYTAPLEHLGPEEEGVGTWMLYD DSIFTTWPCKDKRQWFIGVQRADLRAEDRSVWKSVNKDMINGVYGDHYHPFGETGLVK DVVGRSERVTASDVFEETSFPAMAAGRVALIGDAAHAMTSFFGQGACQAIEDAAELGN TLHEYFQCETAVDLSELLDRYRRQRECRAKDLVHFSNIFALFHMGRILPLFGPLLRRM AYTYAPAWCWSWSLRWLYGYQPCVKALDRDLS AOR_1_1220094 MLEALLFRPSLLSFISAWLGTLGLALLLFSSRRASTKLPPRANG GIYFISDILVFLASPVQFVKQATGKHGSVFRIDCLVKQIFYLRGQKWNRFFLEMKEDT WSFSGGIGMFLNKAANPGYFTHGRNLLGSINRGVNRSAALQSYGRLAGEEAHKSLQHW SQMPDVEIFESISRFVHRVIVRCMMGEDFYDHHVDELYDLLQQMESLVGHPFNLLLPS WVPHLPGRQLAQARNRFAEIFRERLAARQLESDVWRDSLDYINYTLNDPRTAQLADYY PSHHVVLMFAAHTSTVASIAWTIVELLRHPIYQEEIRESLATMSDIHQCAPLLACLRE EGRRYSGVHMFRTTKQPVSLEGSDYTVPEKSVVLISPYLTHHDPAIYPEPHEYQPHRW LLPDGRLNPWNGSKEAAFLQFGAGNHRCPGENFAAIIAREFLAALLMKYEIEWGRDGA PADLSRLDFTKVGSPWLEGDAAVRIRPRVFGS AOR_1_1222094 MTGTLTGTLSIREKRPPSNEEKAHSPTPAASMEEDVYPRWRPPL VVVGGFLTYFCTYGFMNAWGTFQFYYHEVLLAGMSNSTLAWIGALQLFILLISGLVVG PLYDAWGATRIFVPGAVLYVLAIMFTSVSSQYYQLILAQGILLGIGTAMLFFPTITAV SQWYGHSRGLALGIVVSGSSLGGICWPLMLERLIKQIGFPWTMRTAGFLCLALLAPSV FLVVSRPRIVREGMDDQDRCPPNVMHNLFKDLLYIAFVIGMFLVQWGMFIPFFFLPTY GSSNGMNTDEANNLVSYLNAGSFVGRIASGYVADVCGRLNVTFGCSAVCAVLVFCLHA ITGKGSIIAFSVLYGVFSGGLISLQAACVSQITADTRILGLRIGAMMAACSFAGLTGS PIAGALISHDHGAYGDMINFSGIVLSAGAIVLAGARAMGAPGVKVF AOR_1_1422094 MSASVTSSSLQSSGTNSPKSASPPRTSSWPSPASSIKGPDPAVM VGYSCRVAGADRPSKLWDNINAQVDMRKEIPADRFNINNFYHPDGTHKGTTNCKYGYF LDQDIGMFDRSFFRISPKEAEAMDPQQRLLLEVVYEALENARIPLEDIWGTRTSVFCG SFSNDYNAMTTKDLEYYPKYAVTRTGNAILANRISYLFNLQGPSVTIDTACSSSLVSF HLGAETIRDGESDVSIIVGSALHFDPNIFIMMTDLGMLSPEGRCRAFDARGQGYVRGE GICAVVLKRQSQAELNGDRIHAVVRASSVNHDGTKSGITLPSSTSQEALIRATYAKAG LDPAHTPYVEAHGTGTARGDPAEMRALQAVFSPAHRKDPLLVGSVKTNIGHTEGASGL AGVIKSAMALERGIIPPNMLFKYPNPEIKFEEWKVQVPTEATEFPNCPDGTRRASINS FGYGGANAHVILEAYQPMPLLTPPPVLPDTFLSAVKNRPFLIPLTSHTEKAGSIWADK LGSYVKEQPGNVADLACSLSSRRSIHAYRSFVVGKSRAAIAEQLECPAPWAKAAETPR PRLGFVFTGQGAQWFAMGRQLIQHSPLFRQTLQRCDRTLHALPDGPEWSIIEELSRTE EHSRLTETRLSQPICTALQLAIVDLLRSWGIEPTSAVGHSSGEIGSAYATGILSFDNA LYAAYYRGLHMSSGGRGCASTDGAMLAVGRGPTELNKELKAYEGQISLAAVNSPSSVT LSGDALAIDALQSQLEEQRVFTRRLQVAQAFHSHHMYPLAPAYTEALKNCSGFSASDS KARMFSSVTGRLADPSRMGPEYWAANMTGCVRFWDALVGILMNEEEEQNVDILVEIGP HPALRGPSRQIMKSLGMDLPYMGSLARQTADFDALLTLAGQLFQHGYPVNLDAVNSDQ FLVEPDIPCQAPNGKFLEDIPTYAWDHERYWAETRLIREHRLRPHRHTLLGAKLPGCV EQRPIWRNYLRIKDIAWLADHVIDGKILFPAAGYISMAIEAMCNVGDLGATPDSSILL RNITISSPLVLDNSDMGTEVLVELHAPMTSAKSRSGTWYEFTIFSYQARQGCVEHCTG QVCFGDEPQESKAYDSVHQLLSKSTSSTPASTYYRHLTEFGLAYGIPFQLLSGLIESG PGFAVGTLTFEPLKYATQAADVTVAHPTLLDASFHTIFPALEATLQRPLDEASVPTFV QSVQVHPAMFVVKDSTDTQRVTTRTYTTPTGPH AOR_1_1256084 MSQIRIANSSYGNVEGSSEEDDNDEPPKSVIHAPPGFNDFIIYT QQSSGGITTSRPGAFSNSETERHPAPPTISEGTEKKFSNNPLSPPPLATAIEPTKDWS ERATPRAQTMRDFDEIDKKAHSGNLEDIPEGTGPEKASWRMRETGTFNLTIEHFSDGE AELDTLKIALAECWSLCNTLARLSYIHRQRSNLGADMQEEAWKSCWRLCQVLYICENE NYAHQINSTLDLCRNFCQTLFESRVHENEPTDSVLRVSFELNNHLYNMHDRDLPDAFR ERTLDFYITLCHRLMKQRALVTEMDSLLSACWSLAEMLFSIRQSQKGGGRLSEVLLGS AVQACWELCDIFREGWTQRSLRDSDRGTPRPSQAIFNQAEQQTSQLRHSLRGELSEPH RHPETPTTIFEDATAISPDERPVPNIFVLGQVSPAHNSNMNLSSNSSIISTKTHSSGQ TSSTNTVTIPTNDPNLSSLRILVTKAAANSGYQRNGPQSLSSFVKSLPSDAFGSLPWQ MSLLESYKKFVGFDPAFQNAGPQAQASAVDVSRAVKELMQRGKFTWLRDLYRLVFGFH VEEASNWEGPVLQT AOR_1_2084 MVLSLENLPEEILHTILCYSHPCSAAALQQTAHRFEHATNEPLL WRFYCQVHFKYWDSKHDILQKLSAPACAVNWKALYVTRHLTECTASYLLDSILAGQTG RIEKFHALINLGYDVKDTLIRNISPELETDDHLARRYYGKVLLTCLHRSIALPVWAKL RNGGNVTLERALGAFDLFIPESGYGSLDEITNKLDEIVGRLSSLYPSIHMSTPREKAR TIAVYLKSNNLTGIQPDREYHCIEHNFLGVALNDPNHNSLPLVSAAIYCYVAQRLGLN ARPCGFPFHVHVIVKPPPGLDINGNMLAPGVCGDPIYMDPFRSDRETPVTNLQSQLNY LGASTVEQSTFLGESRTSEIVLRCSKNILNSVQRMSQYPDVHLEPVDTVSAKYAALWS TMLLSDPSRPAEFRHHLPWLMELFATEFPSDIYLVEQYVVPMFRGLLEYEHILESLHV MRAVDEIPKQVKRRYSGRCDVKYRIGQVFRHRRYNYIAIITGWDTECDAGEQWMRRMG IDRLQGGRHQSFYHVIVQDRSVRYVAEENIELLAPNITELPTTLTAIAGRHFKRWDEE TRTFVSNIKDEYPDD AOR_1_1258084 MGQTHSSNSHEGAGSDVNQPEEKKVDYYELLQVERNASGEEIKK AYRRRALELHPDRNYGNVEAATRLFAEIQTAYEVLSDAQERAWYDSHRDVFLGNDGKP EGADYSYDTRMTTSDEILKLFSKFSPRMEFTDAPTGFYGALRETFAQLALEETMACRW ENVACVKYPTFGNCNADPEEVVRPFYAAWGSFATKKSFAWKNVYRYSEAPDRRVRRLM EKENKRLREDAIREFNEAVRSLVAFVKKRDPRYKSNTQSESQRQEFLRQSAAAQATRS RAANQAKLRDHVMQDWAKAETLGDESSDTSEDEVEYFECVVCHKTFKSHNQFEVHERS KKHIKAVKQLRWEMRAQNEELGLKGNVSDPEEPHWENSAYSIPQDGPVHNMESSVQQP RDGETRNGIGTDKYTSSDTRVDTKPDEGSLPHTDCHRDSIPDLSEADYVPRECVERRL GSQPTLIQRTGEMESPDNLSQRFSATEIEDSQTSPPKVGKAKQKRAKKAQRAMNQLQH IKCATCYAIFPSRSQLFIHIHEFDHAQPSNMGDVQRRGQ AOR_1_4084 MARHSKAKARIKKQKPTMKFSIEHQPGHLTMQQEARNTEGRNLW RTGSNLRHQGVRFVSASNPQRDENNEGEAREEDALRPEENNKQPLIGGVESKEDAQEL KNESATCNASFFIDLSGESAGHTGLADPITALSLSERDSSSEDEIVFHGRRRLEERPR IIVEGHAMKADKTNHHSPKELESPHEARLPAPDYRVCPVSDGTSTYELPQRTPPIAES IEQTKTVMPCTEKVTQEASEIEDDDILADYIANMAEHYCADIQSSLAGAIMHEPEHGA EMQPQNYTAHAAIHDSIRRPGKGKYSRRDILSEYDESGVDVTNGAVSLYPLDDAASAS NHVPHSPQASDSDEGNNLDTDLDVEGHRALECEVQGHPAISQQNHKNPQYDIFVSATA FADALEIDPYYGLDIMDFNRPSLRKKQRGKHRNPDLVLSDSELELELENAWRNDREKK KGRKQKREELRTQDGDTHFPTVVKNQFGSSAYDEAS AOR_1_8084 MNSVDIGRCRKRIVQYIWDPEPRNDEEPDASIWCLGVEYAPQPQ KITANTTPDQDELEAGTSKIDDVTAHGWPEAFVSDFESKIWMTYRSDFPPIPRLDNDE ANHPMTLTVRIRTQLMDPQGFTSDTGWGCMIRSGQSLLANAMLTLCLGRDWRRGDKAE EEARLLSLFADHPDAPLSIHRFVKYGAESCGKHPGEWFGPSATARCIEALSAQCGNIA PRVYVTNDTSDVYEDSFLRVARSGSGSIQPTLILLGTRLGIDNVTPVYWDGLKAVLQL PQSVGIAGGRPSASHYFIGTQGPHFFYLDPHTTRPAVPYSIDGRLLSKTEISTYHTRR LRRIHIQDMDPSMLIGFLVRNEDDWEDWKGRVGSVVGKQIIHVFKGEEATYNQGRRGA LDEVEALDDA AOR_1_6084 MAPKAAEKKPSTGGKAPAGGKAPAEKKEAGKKTAAAASGDKKKR GKTRKETYSSYIYKVLKQVHPDTGISTRAMSILNSFVNDIFERVATEASKLAAYNKKS TISSREIQTSVRLILPGELAKHAVSEGTKAVTKYSSSAK AOR_1_10084 MTGGKSGGKASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVLPNIHQNLLPKKTPKSGKGPSQEL AOR_1_12084 MALHPVASLYRRSLKLALDWAVHRQIWRGQAVYIRSLFDANKNV RDPRQQKVLLRETEKLLETWKHPDPYRAPTAPGGNKYERNLPARQLPYASGSH AOR_1_14084 MAFRLPFHRLPEQNLRVIPRYAQSPRNISLGTRRNLTSAALRSC VRNTKRPASCIPQVLGANVFRSSFAPLGGYQVRTYADTIVKVPQMAESITEGTLKQFS KQVGDYVERDEEIATIETDKIDVSVNAPEAGTIKELLVNEEDTVTVGQELAKLELGGA PETKTEEATEKPKEPASTEEPKAPEPEQPKSAKDSEKPAASEPGSSKQPQPAASKPDI PDDAKPSPGNREERRVKMNRMRLRIAERLKQSQNTAASLTTFNEVDMSSLMEFRKLYK DDVLKKTGVKLGFMSAFSRACVLAMKDIPAVNASIEGPNGGDTIVYRDYVDISVAVAT EKGLVTPVVRNAETMDLVGIEKAIADLGKKARDNKLTIEDMAGGSFTISNGGVFGSLM GTPIINLPQTAVLGLHAIKEKPVAVNGKIEIRPMMYLALTYDHRLLDGREAVTFLVKV KEYIEDPRRMLLG AOR_1_16084 MIQGSLNAHLVLWKATKKCISMAWYCSGSTNAELVDNLFKAGLV KNERVKNAMLGVDRAHYAPSRPYSDSPQPIGYGATISAPHMHVHACEYLIDFLRPGSR VLDIGSGSGYLTHVLANLVTDPSIPDELDGHVIGIDHIPELVDLANKNMHKSDQGCKL LDTGKVKFITADGRLGWPEGAPYDAIHVGAAAEKLHPVLIAQLHAPGRMFIPVDTECD GSPHGFGGGQYIWVVDKREDGSVHKEKVFQVSYVPLTDPPKK AOR_1_18084 MILLSLESLTVFLAVSSASYGLQPSQISSDTPLSSLIASAKTHL AGGSPRDALLYFDAAVSRDPTNYITVFQRGAAYLSLGKNSQASDDFDRVLQLKPDFES ALLQRARLRANTADWEGALKDLEKAGKKSSLEYNEIQEARDAAALAQNAEKHGDWEAC VNQANVAVLKASASLSLRQTRAHCRFERGDVEEGINDLAHVLHISPSLVGPHLQMSYM LFYSLGDQERGISQIRRCLHFDPDSKPCNALYRKEKKFLKQLRKLQDTMSSRKFSNAI NLLVGVGDESGLLDDLKGEVREAKEAGHIHPAAPNNLYSSLVERTCEAYREAHMPKRA SPYCSETLDMNPYSLPALLFQSQLALDEERFNDAINTLNTAKEHHPGSRDVQSLLQKA HVLLKRSKQRDYYKVLGVSRDADDRTIKRAYRQLTKQHHPDKAKSQGVTKEEAEKKMA AINEAYEILSDPELKARYDSGDDPNDPESHRGNPFQGNPFGPGGGQHFFFQQGGPQFK FSGQGFNFPGGFPFR AOR_1_22084 MLNVPWICSRCLTRFGTRAAIKRPWPTLQWRGLNTGLDLSSSLL SRARSLAAEHSKLSAHLASSFDGRIAKRVGELAPIANVLDEWDRANESISELNALLFD PDTDLELKSLAIEDLETVKAALPTISENLKKALVPRHPFAALPCLLEIRPGAGGDEAG LFAFELLRMYTAFCSRRGFRSNVIKLEVGDGPAEDRLNEAVMEVEADGAYEILRTESG VHRVQRVPATETKGRTHTSAVSVMVLPSFPETGGAMDNALNFDDPNSDYYVDPQEVRS EKMRAGGAGGQHVNKTESAIRLTHMPTGIVVSMQDSRSQHANRKKAWQILRARLAEAR QEAREQKFVELRRGVLGGVARMGRGDKIRTYNYGQSRCTDHRSSITIHNLNDVLDGGE GLETVMESVRSWLIDQEVAALVADELAKDKEASRP AOR_1_20084 MSAMEKDHNRKPSSLRSIIAGSTAGAIEIAITYPAEFAKTRSQL NRKLPDGKKLPWPPFGKQWYAGCTTLIIGNSLKAGIRFVAFDRFKSLLQDENGKISGP RTVIAGFGAGFTESLLAVTPFESIKTQLIDDRKSANPRMRGFLHGSKLIFQERGIRGF FQGFVPTTARQAANSATRFSSYTMLKQLAESYVAPGEKLGTASTFAIGGMAGFITVYV TQPLDTVKTRMQSLEASKNYKNSFVCASRIFKDEGLFTFWSGAVPRLARLILSGGIVF TMYEKTMDALDSLDSKRQYI AOR_1_1260084 MAEALASQLNNTTLGEASSDTRWKDQLKAPAKDARPQTEDVTAT KGLEFEDFYIKRELMMGIFEAGFEKPSPIQEETIPVALTGRDILARAKNGTGKTAAFV IPTLERINPKSTKTQALILVPTRELALQTSHVCKTLGKHLGINVMVTTGGTGLMDDII RLNDAVHILVGTPGRVLDLASKGVADLSECPTFVMDEADKLLSPEFTPVIEQLLSFHP KDRQVMLFSATFPLIVKSFKDKHMRNPYEINLMDELTLRGITQYYAFVEEKQKVHCLN TLFSKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHARMLQQHRNRVFHDFRNGV CRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLINWDD RFNLYKIEQELGTEIQPIPQNIDKKLYVYESPETIPRPIANASQAQLATSGNQTQNMG ERRHNNHSNGGHYQFGRGRGSYRGGRSQGQRRNMQNEMNKFGTSQNQQQSGKSQPAQV SPN AOR_1_1262084 MSSLKRPQRPLEQGEWDEGDDNRLSSSSSACHLRKRIRTSKSPA SYEGTGVSDSESEGRIHHSITQALEYDEDELELRATQLIQEKYSFAGDEPNVPAEHGI LERVECYNFMCHDHFYVELGPLINFIVGKNGSGKSAVLTAITLCLGGKASATNRGQSL KSFIKEGKESATIVVRIKNQGDGAYMPDDYGKSIVIERHFTKAGTSGFKIKAENGRIV STKKAELDAIIDFFTLQFDNPMNVLSQDMARQFLSSSSPAEKYKFFVKGVQLEQLDQD YRLIEESADQIEEKLRGREQDIMILKHRKVAANQKLDMSDQHESLRNRVRNVRSQMAW AQVEEQERMRSSLEIELAKVDEKIATAEAGLGSFDAAIRVAEEETEAAAECVRQGTTK LEQAQSEKVEITARWDEQMTERHDLQAQQRQIRDYLKAAEARINETQQKIEEENQRLV NLSGGSYTRKQEQLERAKVEAAHASTQYVEHQRNADRLYRDLEVAGKEVESLAVPLNR TKADVEQAEKLLWSLSKEGGPKNTGFHDKMPSLLRTIQQEEGFTEKPVGPIGRHVTLL KPEWSSILENSFGTTLNSFVVTSKRDMEILSRIMRNVNCICPIFIGNDGYIDTSEHEP DHKFDTALRVLQIDNELVRRQLIINHGIEQMLLIEKLEEASSVLFDGQKPRNVKRCYC IDQTDRRRGIHLSYNRAGEPSQAPVPAYSGSPRMKSDLASQIRVQRDVVADLRRKLSD QEERFRSARSRLEGCKQARVRHGKSTNELRVILQRKEDHVEELTDVLDKERVEDDHLD VLRATLQEAEEEKRINEGSLKDSMEAMEAMMKGLKAIKQQLASKDADIAASTEELHIT QSEVLRAQDKRRKIINDKNIAVERLDDIRREKERINEKREEVSARVIDFSEKASLVSP RVPIPEGETAASLDKKLDRLNRDIQRYNQQLGASRDEIAAEAAKASAAYDRALKQVEE FRLLAGILIETLKHRKKRWVIFRSHISSRAKAQFTYLLSERSFRGRLLTDHESKLLDL QVEPDITKDSTGRGAKTLSGGEKSFSQVCLLLALWEAMGSPVRCLDEFDVYMDHINRK MAIDMLMLAARRSVGVQFILITPGSRAEISLAPDVRVKELAEPERGQTRLVFRQ AOR_1_26084 MAPQLEPFFKQVDGLAESFIERLRKAVAIPSVSAQDENRKDVFR MAQFLASELEALGAEVHQRPLGKQPGKEHLDLPPVVIARYGNDKNKRTILVYGHYDVQ PALKEDGWATEPFQLTVDNQGRMYGRGSTDDKGPVLGWLNVIEAHRKAGVELPVNLLC CFEGMEEYGSEGLEEFIQAESKGFFKDADAVCISDNYWLGTEKPCLTYGLRGCNYYSV GVTGPAQDLHSGVFGGSAHEPMTDLVHVMSKLVDTHGNILIPGIMDLVEPLTEEEKAL YPNISYTMDDLHQSLGSKTSIHPTKERTLMARWRYPSLSLHGIEGAYSAPGAKTVIPA KVIGKFSIRTVPNMESEDVNKLVFDYIKAEFAKLNSKNTLDVWLQHDGKWWVASPKHW NFTAAGKAVKQVFGVEPDMTREGGSIPVTLSFEQATGKNVLLLPMGSSTDAAHSINEK LDKRNYIEGVKLLGAYLHYVAEEPMVAA AOR_1_28084 MATCLTAPKRPFLALPSFVPSSCPSITLQTRRHQSSYRRTKQRL RVKPDASFGVSSTQFHDQIIHNPPSSAPSVYHTPTKFLPLDDVRRTLRGASMNNGNSA QLPSVFKTSVEKRYHLNPSDIEEIRRLRLSDPMTWSRWKLAKRFDCSPMFIAMVCEAG PQKKEIQKQVLEAVQSRWGTKRRMAREDRQLRKESWGRDE AOR_1_30084 MLQDIGGPVPPNTDHAVSVSLPTWKANVGYEEGEPWVMSKMQCG YPRFFVHPTIQELAKEIVQCYGNPNTESAMLFPSAKTAHICRSFILSKSSADDSHSVR VVDFVPSPRTKAESANITSFLSSVIYPKELGSIAKQVWQHTGNGVSSRRGEFCHSALR DGFLVEKQSTMTETVAQRICKGPRRYQGKDTVNGSFRAGGIHPSPTDSPMVTDGVRDG REHIQFIEERFGRNLNTSLADQAKRAVRRRIAGVLKADVELIEALKKTSGEGRVAGLT ESDVFLFPTGMSSIFNSHQMLLAARGAMKSICFGFPYIDTLKTLEKWGPGCLFYGNGS SEDIDDLEARLDSGEKFLAVFTEFPGNPLLKSPDLKRIRSLSDKYDFAVVVDETVGNF LNINVLPYADIVVSSLTKIFSGDSNVMGGSAVLNPHGRYYSSLKDTFARDYEDNLWAE DAIFLERNSRDFVSRIEKINSTTEEITEMLKGSSLVKNVFYPKCNPSRPLYEAFRHSN GGYGGLFSVTFYSTAQAVAFFDHLEVLKGPSLGTNFTLSSPYTLLAHYGELGWASSFG VEFDLVRISVGLEDVSDLRYRFQRALEAVAKVKT AOR_1_32084 MARNESSSMDGLIRRKKSDRSAELALASGVYKSNLFKLQLDELL TESRPNYDKHVSKLQDTLHRLKEVIDNIPERPPKPAVEAEKEFRSTHGILVPYPEPRP GKDTKYTVSYSKPTNVNVVGSFVLRTGVRASEPYTVDLAVTMPSSVFQEKDYVNYRFF HKRAYYIACLAAGIKDVENLDFDIKFAQQDGDSLRPLILLEPTDSAKNGSQSMRSQIR IFTAIEDTLFPIVRTLPMKNNVRQGSPDQPEPRAPTPFYNAALRSEATVAPFHKLLHS TAQICDSFRDACILGRIWLRQRGFGSSFQQGGFGGFEWTVLMSLLFEGGGFNGKPILL KSYSSYQLFKGTIQFLAGRNLLTPLLLFASDISSPTGTPMVYDGKRGLNILYKMSPWS YSLLRHEANITLRILNESRDDNFDKVFIYKVNEPMLRFDRLITLPISESGTVLRTIHN HNAIYEVLKKALGDRVNLIYLFSHGAEPWSVERKFSRKAASAAIHVGLNLNPENAMRV VDHGPFAEQKEEAETFRSFWGEKAELRRFRDGTIRESLVWSDQPPSPSIVYQLLVYIL RRHFNYEEDEIGYIGDEFDEKLRNSGNGIFLYSNPAFQLVTDAFNSLERSFQRMDEVP LTVRQLALASPLSRYSALRVQSASGLIRDPVDIVLQFESSSRWPDDLVAIQMTKVAFL IKIGDSLVSSAAASSCKVGLENESNRTLNNAFLDISHTSGVIFRLRIHHDREQLLLER QLKEKGASLQVKQEAAYTLSAYKRLFIQSPRLTQAIRTLCTRFPLLSPTIRLVKHWFN CHLFTGHVNEEVIELVVARVFTQPYPWDTPSSVMVGFLRTLHFLSRWDWQQDPLIVDL CGELDQDTIKAVRTRFSGWRSIDPAMNTVALFVASDIDPDGVTWTHYEMPPKVVAARM SMLAKGAVKLVRENGPTLDVSDLFHTSLAPYDFIINLRLKSLHDRPTSLLKYKNLNEL DNKAQTAQLKVVKSFVRDLQACFSPNILFFHGDEHCDVLAGLWNPQTTKPKNWSLKLT YSSSPSILDGTKKEDGEVMINRDAILNEISRLGHGLVDSIEVFGTE AOR_1_34084 MNDTPRLRSAFPQTPQTSQKSRAFYTSPSRSKQRDAGLRQSPSE ALPTARDASLPLIPVELIDAPSQRLYIVAFYVALNAWRLYESWKASDDLDATWLFLKW ACIDGVFLFGLQALRIPWLEWAFPTTLAIFLIHVVGNVFLMFRIPIPVGAWVSGIVKL AYDRELSISERSVKPGDIIHNASLILGKQIVHILPEGSAVLNPDQTPLCIDSEKTTIN LPIRINQTDPILIELFRLDFTSGGNETITISSKQLKQMKRQAGKKNQGSGTDLHLDLL LPIRRPGIYRLQRVVDESNLDVREILEPSSCYLHNPPEPELAVRYEKIFDKCANNPVG LLVDLDFTGSPPFRLRYVVEHSKGIETKTQLIEGLRTQLDLTPSEAGLYRYRFLDIAD TVYAPRPLKDKAPVLEQHVKPPASAHFLGPREVRKACFGEPVSVDVALLGEAPWTLQY ELVHNGKKTKYVLETENEVSSIVTEKLVSGGEYNLVLTSVKDRSNCKRILKDSIQIDA RPKPPHVSFGEIEKSRKYSALQGSKVDIPLRLSGERPWTLKYKTSHDSLVVEKTLWQE NSALTVGHEGRYELLEVTDASCPGSVDQAAKIFEVSWIPRPRITAVDGSPVRAGGHKE KRDVCQGENDNLELRLSGSPPYSIKYEQQRKTTRGSPSVRLQNLRTVLHAASMEMDTS EAGLYTYTFTEIGDNLYDHDPRSNPVVVTQKVNPLPSARFDAPGRIYGFCKEDVSGEE LIPITLDGVPPFSLEISIKHHSKAKPELVSISNIVSNRHMLPIPRRHLDLGQHVVSIH KVRDARGCQRATENDASSVRVAVSDVPTVIPLESKADYCVGERLSFSLSGHAPFEVFY TFDGVARKATSRTTNFRRIAERPGVFTITAVSDGASGKCKAHKNVTKTIHEMPSVRIS RGQTSVVDIHEGGEAELHFEFWGTPPFEFTYIRSSIARRGKRAEILDIKHDISYEHFK TIKTSDEGTYEVVAIKDKFCSVSSQTHLEKSEKPTVP AOR_1_36084 MTLRVKRRRLSRQPTMVNQLDNSANDPCRPATAQEKEKWNGFCE LESEPALFNVMLREFGVKGVKVQEVVSLDEEMMAFLNKPVYGLIFLFRWREDDPEKQE ASCPEGLWFANQTTSNACASVALLNIVNNIEGLELGDNLQHFKDFTMPFTPALRGDAI SNFDFVKRIHNSFARKMDMLNSDLQLKNEATSRRSRSSKGNHDETEMDAGFHFIAFVP ALGKVWKFDGLERQPQALGPCTPNEDWLGLVKPHLITRMTEYEEDQIEFSILSLVRDP LVDLIGKLAVNIKCLELLNQRLTTQAPAVAHSELPFASRILENTILGPDKSFDMTRES IDQAIVPVVLERYNLFSAQEIVDFQQKLCNEQQALRAAIRDEQQTQRADDDYAAGRRY DYGPAIRTWVRFLARKGVIESLIPMGEV AOR_1_38084 MSPATASDAPENTPDDSSKLKTFLSILKKFIGVADIASVRFSLP AHLLEPTPNLEYWNYLDRPETFASIGQSDDPLGRTLEILRFWFTKDLKYIKGKPCKPY NSTLGEFFRATWDVDATLPEVQVHSKPGIATGISAAKDGNAEAVKVCYLTEQTSHHPP VSAFFIDCPQRGVSARGFDQISAKFTGTSIRVAPGQHNLGIFVRIAPRDNEEYQLTHP AAHLGGLLRGALAITVSDTCYVTCPKTRIKVILQYLEDGWIGRAQNRVEGVVFRYDPD MDTTTKIKDVPESDILAKISGSWHGQVYFTLAGTGEPQLLIDIAPLFPATKSVPPEGS QLSNESRKFWSSVTEAIVDKKYSQATRCKQNIEERQRQRAAERQAKNETWQPRFFTDA VTPLGKPELTEDGRKALKGLYNGDYSLEESETKGA AOR_1_40084 MEDRAQFDINESLKYYLSDPTSVPTADAEPELLECEADPDQLST TLIDNVLNPIVDAVAENPEGLARPSFFDSLQFFLKHCSILPIRSLSKLLDLIVSGLSV EADIVHGDLESDEPDGVQHHKHLLEMYGFLLQWALSAVEVKAAERPAEAAPARRGVGK SGRSKASMRDGHWDWTAQIQISMETMCKVMKLKLSRIFLTTSDRDTFINLFTRSIYLI LESEQRVKSMTIRMHAFKVLCIAVKHHGHAFGAQTSIVQSLTYFEHLSEPMAEFLHIL AEQYDYPQLSDEILKELGNKEFNSNDTRGPKSVSAFIVKLSELAPRLIIKQMTLLAKQ LDSESYTLRCAVIEVCGNLIADLSRQEERSDNYKTQINAFFDVLEERFLDINPYCRCR AIQVYMRICDLDQKFPKRRQAVAELAARSLEDKSSNVRRNAIKLLSKLVSTHPFSIMH GGQLSYKEWMARLDAVDAELNSLRPPETPGFDGGEASHVDSELLDDATQMPDESPSKA PRMTEEEKAAAVKRAAEQAATSELLARLQLTRKYYNEAIRFIEVLHTASGVVTQLLSS RNKSEAIEAMDFFVVLDAYKVETSRSGIRRMLRLIWTKGNSDEGKGVQTHLIDCYKGL FFEAPDSFSPNDAANYVARNMISLTFGSTPAELTCLEQLLSTMMKAGHISDAVIAKLW QVYGVQRKEISKTQRRGAIIVLGMLALADPDVVIKEIEAMLRIGLGSLGMADLVLARY TCIALRRMVPGRQAKSKEVGIPKLTNDHAILTQLAAIVEIVSDNKEWYGVAEQAISAI YALSKHPDVLCSDILKRKTRSVFQPQTQRSSSQGTSDGDEKRPGTASTDNPTTRKPSS AVLSQLLFVVGHIAIKQIVHLELCELDFKRRKAEQEKNKASTAAPQMNKDPTEGDELD LIGGTTEDDFTDAMAHIRERELLYGDKSLLSNFGPLVTEICANSNIYPDRNLQAAATL CMAKLMCVSAEYCEKNLPLLITVMERSEDPIVRSNAVITLGDMAVCFNHLIDENTDFL YRRLNDDDVSVKRTCLMTLTFLILAGQVKVKGQLGEMAKCLEDNDKRIADLARMFFTE LASKDNAVYNHFVDMFSLLSAERNLEEASLRRIVRFLIGFIEKEKHARQLADKLAARL PRCETERQWNDVAYALSLLPHKNEEITKIVSGGFNKVVTAST AOR_1_42084 MSTLHPAPTGIFEVQKPGPSRPPRAPAGPRYARDDDAATDSDAS VMEHEDEDLQDEEDVDDSVKEDMKKLEDTFPGISDRFRLVNRIGEGTFSTVYKAEDLL YDHYRNDWDMFQGQQCDSWTSPPSKRRRVEGERGQSLPTKRRKPRYVALKKIYVTSSP FRIQNELELLHDLRGCRSVCPLITAFRYQDQVVAVLPFFPHTDFRIQYRTFLVADMRH YFRSLFTALHSVHKHNILHRDIKPTNFLYNPKIREGVLVDFGLAEREGSEYTGTCLCA NPSYVRRSRLLQSYYSTQCSTSTLSAGYPKNDSRPSRRANRAGTRGFRAPEVLFKCTS QTTKIDMWSAGVILLTLLGRRFPFFNSADDVDATIEMASIFGTRRMKMAAAMHGQIFE TNIPTIGEKGYSWEKLVKWASCVEELTESEKQATRLLAGLMELDPYKRLSARDALQHE FFTDPIEHDVEWGGNPEDSADSVEEDEAEKDDEADEVAMI AOR_1_44084 MRFRIRGPGGQSTVTLDDSATVNELRTQIVDKTGLTAYDVKYGY PDLKHFLLDELQPNQRISDIGFKLDGEQLLVTKREVPPSNEGLTPISQEPPEKPPTSH TSSGAISDDPPEIPSLEHAGTFVLRIMPDDNSCLFRAVGSALMGGMDAMNELRSVVAQ TIQQNPGLYSEAVLEKKPDDYCRWIQNEDSWGGGIELSILSKHFGIEICSIDVQTLRI DRFNEGLPTRCILVYSGIHYDTVALSPSDPPHTHAYAPPEFDTKVFDAADPFVLEKAL ELCKVLQSKHYYTDTAGFRIRCNTCGGVFIGEKGATQHATQTGHYNFGEASQD AOR_1_46084 MEKKSEPSQPQPTREELVKSIEDDGKGDSAATAEGVTDEQWRSM MDVVMAIYEYREEDGHDPSKLFQRSVNKRNVPDYYDIIKEPMALSILKQKINKREYTK FSLFVRDCALIPHNAQTYNRPKSQAYEDALVIKDVFVAEFRKLVDQGIIPAEVAELPD LGEIPEADPLPEEEEEEEEDDEDDEDEEDSDDDGRRKKKRGPRPGSKREGGKDDGHKS NDPELRKKRGRPPRVDTPMEARIKAVLKGIRKLKGPSGALKVRHFERLPDKATYPDYY VEIKEPIAIDIIKRKSKRKKYNSVDHFMRDMDLMFNNAKIYNQPESQIYKDAVDLQVE SRKLAEIEKKKPDSEYLMEDGRLPLPDGILYKGELWKVGDWVHIQNPNDVTKPIVAQI YRTWQDSEGDKWVNACWYYRPEQTVHHYEKHFYPNEVVKTGQYRDHRIEEVVDRCFVM FFTRYNRGRPRGLPPDKDVYVCEARYNEEKHKLNKIKTWASCLPDEVREKDYEMDLFD VPRRIKKISSPIKHLLKSDAKETDDLPKPTWGAENAPPIVGAVHRRPRDENESPPPEP TPSPPPSLPPQNLPSAPARQASISQTPQRPSVDSQGNTTVAGTTPAPPRSPAAPIPPV QNAPVPVPPATYQQPQLPPTQTYQPAIQRRSSGFVQQTPHPSYQTPAVSHPYAAVQPT PYTPYQTNRIQVPPGTVYNPNAPRPIEVFHLSDAANATIPEDIRDQFHCDNQGHVLFF SSPPLDIVPPLQQKLGHSLKYLAVKEERRKLVEAKKRKEVEERDVQDQRVKRQRADEE ASLAVRIEYLTMKAIGTMADHIGTGTEMIYQMLYHDQAEKARKANSSALEQKVLADRI NKEKTRQIQAQSRSATFVPLKENIMCMDEI AOR_1_48084 MKEKGQSEKMPNNGTVNDGPTLVLDYLRKQNRPYSATDVSANLH NKVTKTYAAKVLRELHQSQKIEGRASGRQAVYHALQKGADESTLERMVVLDDHILQLQ EQLTDLKGYVKRARAELATLRATPLAFDLQKSINQLQVEKETTFAILTQARGTSAREV DEEGRTITKRVWERWQKRGNCKFGAKCALAHILPDGRRVNRPNPGVGMGGSHLNLGGR VNPQAYVNQDSALTNSVLSQQRMNGQEPRYGPQVHSQEEYATTHSPQQPYDAIPTIDA GLASDAGSKYGSPIDDMRFPMSPNHRHLTALDAPLPASFDSQGISHAARYGPVAASMP SKFGLKLSPPAQRIGAPSDALRSLRDTAYGSDLRKPPSFMGSSPPGIPEDGPGPRFLH SQRSVKPRMLSASVPRLTALDDWDDSNFPMEEDYLPINLHDDVLTPQERLRRLSRTDY EPSSSHRDLSGLGMTGTSLSKVGSPLASSPSRFGALFAKQRQKKEEDAHGTSLPQVGS PLRESSLNFGASPSLGPIGSRQTSGDVSPFVSTPSRQQSTSMISQQLSSMSLHPGPAR HSSSVGASGRLDRTVSSPVSTSRIDEEQGDLVFSMEEEENNKRNCTSWSTSKAESHDD DSTPTSSSGFQS AOR_1_50084 MNRPPQGRGQPRLGATWYPGGQDDFYMPEVISPSPQRVMPEVPE TMQDNIAHLEHEARSPHRSQYAPVQYDRSHFPERTSSAAVVQGQPITAGYEDTAHYEQ AAVYDTMESPNFSAFPVLRNPPPNVPPTDEQREASLERARMAVLSSNDPEMQLAWAQD ALAYVEVAVQNEARLSLIQPPRPQTPQVEHQLKVDAMNIVNFLADQHHPKAEFIKGMW LEFGKFGYRVDKKEAFRAYSRAAEKGYARAEYRMGMQFESSGEPEKAIRHYEKGVALA DSASFYRLGMMILLGQHGQRQDYQTGLDYIQLAAQSCDENAPQGAYVYGMLLARELPQ VSVPENYLPLDVNAARVNIEKAAYHGFAKAQVKMGAAYELCQLGCDFNPALSLHYNAL AARQGEPEAEMAISKWFLCGHEGVFEKNDELAFTYAQRAAQSGFPTAEFALGYFYEVG IFVQVDIKEARSWYAKAAANGNKDATSRIDSISRSKTLSRRDHEQVAIARIKSRYGSH QRNESMQSASENLEMPDPSRMSLSDNTPPSAPYPDRPPSRARPVYPPGYSVPDPRPSS AFGINPNIRTSAPNYNRAASYGPGPMGYRSPAPVTPTTSGPASPTSATPKLDIGYSAP IESPNSRRPQRLDSTPPDRRPVRTPVSAQGGPVGSPKPVTSPSSATFPQRSESMPPPS APLASSTTPKPSSASASVSQKPAAQPAKSQGGLPGKGPKTFEEMGVPTAQKDNDCIVM AOR_1_52084 MEPQAEEFHHPYSPYDIQLQFMRALYSCIEKGKVAVFESPTGTG KSLSIICGSLTWLRDHKRKVFQETVNNTTYDDGEPEWMLEYAKRESSRAVSEKRKELQ ERLAKARHKEEKQKIALENSSGPRKRQKFDVPSRASDAQTDNDFALDDYDSEGEEKSS SRKRTDYSSGLSTGTLELLKRFQHKSSAHPRQDEDYGDEDIKILYCSRTHSQLTQFAS ELRRVNMPSSIPKDFSEGVADTDGLQEGVRHLSLGSRKNLCINPRVSSLDNVTAISER CLDMQQPGVAAEQRCPFLPSNENEAQVLQFRDHVLATVKDIEDIGKLGKDIGICPYYA SRSVTKHSEIVTLPYPLLLQRSARDALDLSIKGHVVVIDEAHNLMDAISNIHSVTITL SELQTSLFQLTTYARKFKTRLKGKNRNYIAQVIRLVTSITDHLKTILETSQAPEGPVQ PSDLMSGKGVDQINPYKLCRYLRESKLARKVDGYSEFSRERADRQADRKPSTPVLFHI QSFLLPLMNLSAEGKLFYIKAQGDIQLKYMLLDPMNQFREIVDDARAVILAGGTMSPM TDYIHHLFPYVPSSRLGTFSYGHVIPPENLIAQVLGKGVTGTEFDFTFETRDSERMIV DLGRTMAALCQVIPDGVVAFFPSYDYLSQVLSIWKRTLAGEKNRTVYDLIEGKKTILH ESRDVTISTEELLQEYASIVGSGRGALLLSVVGGKLSEGINFSDRLGRGVLIVGLPFP NIRSAVWQAKIHYVEQKAYKESSGSDANRQLVAKAAGKDFYENSCMRAVNQCIGRAIR HRNDYAAIVLMDRRYDKPAIQGKLPAWIKQSMASSSALRPAGATIGSLSKFFVAQRTS KT AOR_1_54084 MPTRFSKTRKARGHVSAGYGRIGKHRKHPGGRGMAGGQHHHRTN LDKYHPGYFGKVGMRYFHKTNQQFWKPVINLDKLWSLVPAEQRDAYVNGQKTDTAPVI DLLPLGYSKVLGKGRIPEIPIVVRARYFSRDAERKIKEAGGVVELVA AOR_1_56084 MADPLKPLDAAPRTNPSPISQKTYPVAGILTTVFGLNELPAQAS EVACLWLLHPRLATQERMTGIATAAITDWNNRIKTSYTNDSQSVKGLIAVAFDQRNHG TRLVDPTGNEAWKQGNPRHAQDMFSIFQGTARDVSLLIDYLPSFVFPQSEYKITQNLV LGVSLGGHAAWSCILHEPRITAGVIIIGCPDYVNLMADRARLSKLPAWTSSNPPGSQF LGSEAFPVSLLDTVRKYDPASLFLSYMDMKKSVEPLRNCTLPEPTEKEKQALQPLLAR CLAGKRILNLSGGIDKLVPYHRGEAFLTWLKQAVSPNGWFSNGAVTLEDVIDESAAHE VTPKMVDEAVRFISDALAANDEDLRKSGFVRESKI AOR_1_58084 MTESTVHPSEMRVPENPSDRLTPGKPEPLALSNGPRLSSPFPSP TGTTGTEYSPSDNREKAEATVEENQRVANIITDENGGKSHVGETDGSWELWDGFPRAK LASLHPRRPNESLDNKQKAMSQESQTSHHGLSSRRPSVQFTGEFIENDPPAEFARSRP SSVAGDDVDADLKGKQSIFGKLKAFAASPSFVSHSRSASAATIGDSRHANHDLTTPGS ERGEFRFPNTLEEEGSDIDADAEESAGEQQGRQQQQQQQQQKKKKQLRRRQENDSTPQ TEPNTPKASGRPSFHLPSSFGPFENYRTNFFPRRNNGDYAQQREGVSEDEGRDRLNRD NAWRRRSAWLANTRALNSGGRPIDSQPNQDERRPSNLRRFTGMAGPSENVEGLGAPWR RHRAERGSSLSAQRWRQIKAGLKLIGQRRKTDNTVDHAKSAELLAELTSGVPAALILA SMFQRDEHGSKRIPILLEQLKVRVTDSRIDSHSGDRHLVFRIELEYGSGMTRMKWIIH RTLRDFANLHLKYKLHFGTQKYIQLRNTEGAHNLPRFPRSAFPYLRGVRGLESDMEDE EDDGGYETAAEATSGNERAGKRQQSRRRSSGNISRRQSTVTNPDTENAAGASGAADAG PSKKESYPERQRKKLESYLQKLIRFLIFKPDSNRLCKFLELSALGVRLAAEGSYHGKE GYLIIQSSKGLDFRRALTPTMVKNRHSPKWFLVRHSYVVCVDSPEAMNIYDVFLIDPF FKLQTQKISIRNQKAKELAKSAKESARHPQHHTLRLENSERKLKLLARNERQLHQFED SIRFMVNNTPWARPNRFESFAPVRRHCFAQWLVDARDHMWMVSRAINQAKDVIYIHDW WLSPELYMRRPAAISQKWRLDRLLQRKAREGVKIFVIMYRNINSAIPIDSEYSKFSLL DLHPNIFVQRSPNQFRQNTFFWAHHEKLCIIDHTLAFVGGIDLCFGRWDTPQHLLTDD KPTGFETSDGPKDADHCQLWPGKDYSNPRVQDFYDLDKPYEEMYDRNVIPRMPWHDIS MHVVGQPARDLTRHFVQRWNYILRQRKPTRPTPFLLPPPDFDAADLEALGLDGTCEVQ ILRSSSAWSTGTSDLTEHSIMNAYVKLIEESDHFVYIENQFFVSTCEIDGRKIENLIG DALVERITRAAKNKEAWRAVIVIPLMPGFQNTVDSEGGTSVRLIMMCQYRSICRGETS IFGRLRALGIEPEDYIQFFSLRAWGKIGPQKQLVTEQLYIHAKCMIVDDRAAIIGSAN INERSMLGSRDSEVASVVRDTDMIWSSMNGRPYLVGRFPHTLRMRLMREHLGIDVDEL MEHSMATEEELRRIQIAEEGSKSPGDHERLDPESLMLEKQDERDMIERRHRIQDEFLS RSEDMHSFNHDVDWEQGDNPNLKSNRKLTADARVTANPEHKKDVDGYGPDHLSAALQA GLGEARDSQLLGDKSEVLVSPIASEGKGTIQQPRHCPQQEANGDNETRKASFILSDDV DDPGSVVEGLSAARNPALSGHDTESGGNGAVPSSKEKFDVTKHPHPLVADMKHVFIDK DCMRDPVIDVFYLDTWHAVAEKNTKLFRSVFRCMPDSEVKSWKEYKDYADYGERFAEM QNQHSAKAFRPAHARQTGPPGTGASLIAGGLTTKSNLLGAGPNAEGQMMGQKDQSSSE KIDLRQAANTQNHDRMRSDIAQQQKTLSSKDEKMTGLRTLDAPASGNVQNGRHDDNGS SGEDHGKQVSDPPVVDYSEALNRNATAQSRRRRRRATTLGSKREFHASDEVMDKQQAE DLLNQVQGHLILWPYDWLEKEEQGGNWLYTLDQLSPLEIYN AOR_1_60084 MPRILVSHSQCLDCLVSCATMRFYLSAGLLPSPSSVLRQHAFHS SQSKHQAISGTRFYAKDMAVFKNSLPRTLEAHRSSNRTSLVRKVYDRTPSRDLARPVI PVKEAMALKLPVKLVSPEATAQFVSSGPSTRTARNTRTLEKDPVITSQTIPCDRIRWN VNTEQGRWEQSPWMDYCDAGREWPDSFMQLDAEIRALEEYLLPTPPEQDRVSHIVTQV TSMLRDVIPHTPQVIGSRRTGFAMSHSDLDFILPVPDPARSIDRARRPSPTRPQILSL HSDLLSRVECTLQHCSSFSGRIELSGKCNSILTAVHHETGMRVQFHCGEGLPSSVEYI CDYHAEYPAIRPLYMVIRLILESQDLFGSHKSSIEPDTLVMLLVAFLRMNHGRFQESR SLGKQLLAVLKVYGSEVDLRSTCVSVDPPSFFDAGTVKDAIKRYDAEDLPAHLRGQRA LVNRKKTAAIKRNIPAASRLCLQDPANYMNDLGRTCSRTYELQDVFARAYDRLGICLG AWERRNHGGLKTSLLASVLRANFDDFSKVRAQIT AOR_1_62084 MSSEQRTTPAKIPSDQPDPVLVGPGVKVLGEEAYTKASTATPIP GGEKKQSFTTDAPSYFSKTPGEKMSSESSNATPTTPAQAAKDARSRIELLRRLSLRET PKVLEADLRQQHPGLRLSGRIISAAFCIPYKVYYRRESSWELKPRPGTSALFDSLAYL GSEETNWSHTLVGWTGEVEPVPEDTVPLQQIPINTSAKLPAATNGTAKPLNKAAAPVP VDANQRPPSHPLLDGFTVSQDDRSRLDAQLSSGRYGKIAPVWLSAETEIPEDTIFLED QGRWRRYAERELYPLLHYKQHGPTDGRSERNWWADYVRMNRLFADRILKEYQEGDIVW IHDYHLFLLPSMLRQRIPNIYIGFFLHAPFPSSEFMRCLAKRKEVLTGVLGANMIGFQ TFSYSRHFSSCCTRVLGFDSNSAGVDAYGAHVAVDVFPIGIDAKAIQNIAFGASEIEN AVTGIRKLYAGKKIIVGRDRLDSVRGVAQKLQSFEVFLERYPEWRDKVVLIQVTSPTS VEEEKEENKIASQISNLVSTINGRFGSLSFSPVKYYPQYLSQHEYFALLRVADVGLIT TVRDGMNTTSLEYIICQQQSHGPLILSEFSGTAGTLSSAIHINPWDTAGVAGAINQAL TMSPESKKASHQKLYKHVTTNTVSAWSTQYLSRLLTNLSSFDQSVATPALDRAKLLKQ YRKARKRLFMFDYDGTLTPIVKDPQAAIPSDRVLRTIKTLAADSRNAVWIISGRDQAF LDEWMGHIPELGLSAEHGCFIRKPRSDDWENLAERSNMGWQKEVMEIFQHYTERTQGS FIERKRVALTWHYRRADPEYGAFQARECRKHLEETVGKRWDVEVMAGKANLEVRPTFV NKGFIASRLVNEYGTGPGQAPEFIFCSGDDFTDEDMFRALQKFDLPQDHVYSVTVGAS SKQTSASWHLLEPADVIETVTMLNSSSTQDY AOR_1_64084 MLDRVPNGTSYSQPMVNEEEDDIRQDETASGVGNAPGNRESNED PCRTKEFFKTPTNVSNAGALASKTLSLVRTRESNREIGPPPDGGFLAWFQVALGHFVI FNTWGYINSFGVFQTYYTETLGHPPSDISWVGSIQIFLLFFIGTFSGRATDAGYFKVT LVMGAVLELFCIFMTSLSTKYWQLFLAQGVGQGIGCGLMFCPTIALVPTYFTKRRSIA MGIVASGSATGGLVFPAVVMRLLPRIGYGWTMRILGFISLGTLTPCLLFLKQRLPPRQ SGPLVEWAAFKEPSYALFAIGMFLNFWGIYIGFFYIGSFARNIIGVSQSTSIDVLLVM NGVGLLGRLIPNLMADWYTGPLNLLVPCSLATGVVAYCWAAVNSPGGMYAFSVFYGLA AAGIQSLFPATLSTLTTDLKKAGVRMGMVLSVVAVAALIGSPIAGALIQLNDGQYLYA QMFMGSAVVAGAVTLFAARVAKLGFSWQRS AOR_1_66084 MAPRGRGKFSKPSRGGGKHFSRDVQPVDKHGNPVGLWREPDDDA AASSQEEGEEKEEEEVSESEEESSKEDAKPGSSSPAAAEMTREERRAAAKAKKQAAIA KRNQVQPGDLPPSDSESEVSGVSEGDEELPSNPNHTAKSRSQLKDSNPDKDMSQLSRR EREAIEAQQERERYLKLHAEGKTEEARADLARLAIIRERREAERLRKEAEKEEKAELA KQRAAEIEAKLNAKKKGGSKKK AOR_1_68084 MNPHISVPRQHASPSNFGGTTPASRGSSIRMPRFFKRMFKFPQM DFEMAIWEMTSLLIAPKKVFKSIYYHKQTKNTWHRPDPSFTYLLCFFLLLTALAWGLA YAPSFGAIVRLSLLFIFVHFIGSSLLVSTIGYFVIGRLFGPDGAAASLSGLRGGRGRR RGAAQGLFVQPGEKDQLEFGYCFDVSNRAFFPLYLHLYVAQFLLLPLLTRSPSNLLST FLGNTLYLSALAYYTYITFLGYNALPFLHNTELLLLPILAFAVLWLVSLILGWGIVAQ GGSVEGLFWGA AOR_1_70084 MHASNSPPTTPSAASNYKATRRMTQSRPSVRETFLDEYNVGDNT NNGMDSGDERDPHDLSLSPKHAARTSIVDNMLLSLDQFASSNASVLDDYRLFNSVFES DLYGRCSPDSMTQRRYRGHTFSSSLSSELDYNPDDAAGLYGTQPGRARRSTSSSNYNS NFRRFGSTRTPDGPSSRGQLYDHRSNSGGGTSGVRGTRQGSKGSSSLHMDFGPPLSGN HRADLAPERRSASFDFGTRQTIIPFKETAVDYDPVSLDGVDAAPTPSIPGGPRKYQVS SQGEYPGTLGPQPSRTPVASRRNSVKSARTRSTAAMTGRENDLTQLGAPILEPPPAIP AATFDPPAPSPTISFNKPIFPTPSDPAPTRERPGFFWRVFGSSKNSTPGAENNQLDLV VSQDNDLRDLNGSTTNLKDRRQPLKSSTAGTTTVRQGAHQVVNKKSSFFRRRKRSVTE NVPPPILIPPESGSKPHEVMKPQPSPVSSLRKVMNPYLADASAPGLLHSTESHNRNIG TDKQENKGVDSHAEKPKESFHGAGRVQRPKHSLYPAASSDARDASILGSKIGDEDIPK VNHMDSTSSANPEQLVPRDGIGSRDSGPDSESDRVGGIRNRLTPQDLCVPSLSPVVER FSQKSVSPIESSEETRTVNPGEPEEVKHQSEPRLYDREDEPSPKSLPVDTSGSLKVST SNISNYYTASNTPVISPVESKSVEISEGKVDLTDNVLEDQPGDSEKEQAQKLYDSQDQ VVGNEPAAAWLGDPDRATIRKAYMEFFDWSNMNILAALRSLCNRLILKGETQQVDRVL DAFSIRWCECNPNHGFKASDVVHTICYSLLLLNTDLHLADIEQKMTKGQFVRNTMPTI HRVAFDAAPDGFEALHVAHDKSKVSARESLTSHMDESDRGNMVADKPANAPAKLVNRL SRTDLSVKLSGDPETNTGPLVNVPFNGTDKAWGQQVETVLRDFYTSIQKQRLPLHGAQ AEKEALRASSNHLLSPNPSGLRRSPSTVSRSGSDIYPRGRSADSRYGTARWSSKNRSR ARLYPSSVMGSSRTSLEEQSSFWSPSASSTWSKQSLGKLTSVSVDSFGSDYMRGDYQQ AIGFANALSQAIIREDSAYSIASTEEVEGTIPLLEDETLQLAGAPWAKEGSLKHKQHL DSADKRAKDRNWNECFAVIQQGWMRLFSFNSSTKSVRHKPKTHTHGGVVVGGGNWTEN AEEVRKFLLRQTLASVLPSPGYSKSRPHVWALSLPNGAVHLFQAGTPEIVHEFVSTAN YWSARLSKEPLVGGVSNMEYGWSDTVINSALISTENNSNRSPPSSSGARPSIQSSIRS SIDQQGGVRPRLPADRVHISDWAPPQQSMVASNLPEADQLKALRIFFSQTSECHKVHG QLGA AOR_1_72084 MSTGSAQLPSPSFETLNAIESALPPPSSSPLGRSLDTGNELSSL EDEFYATDIMEEGDARREHPLTNSSITGVDGGAHYTQDRTTADAKRDGRSTPAVEQHD LDESSASLNLPPLPDNDDSSLLLLSSDDGDHERLVNQTLMEEKEMRRKLMDMESSFLP EPSTIQIVSRNQHSSADDTYLVGVDERAPEFNKPDNAQSSFAVPDGSSYDMTSTYDGV PIPQTPSLRPEEDMTADSETTPAPPPEWQENNETDLEAVQSSPAAQAAARTVNRNQLS SSEGTRQIGQFFEEPTDGPPVSYLNQTQQSAHQDFRTASRSLSPSQAGPLSGNANYDV EAASRTSSRRGNRPKYLTSRQSVHRLSYSSVTSNNTEVTNSEATLGADYALQSGGAVP GNAGIVHHEQRNNLARSVSLGSMASGISGYSDENLLDKRNPSSTTECGLDTLNEEETP LQSRPGSSQQKHRQNQEEPMAEDTAGLMTPKAKAQDISFPIDTAIAERVKDVQVPSTF VKQFREDYAGRGLSPDKRAGATPAFARSGRSMTLKEQSSTIDRLSKENFDLKMRIHFL NEALNRRSEEGIKEMISENVELKSDKLKLQKDSQTLKRKIRDLEKQLKDQQSDKESMV NHDPEGSDDDGREPAQEEEILFLRERVEVYELEIERLRSESIARESEKRKLAEILKSL NDGRPMGSDVGAREERDMWKDMLEAETAAREQAEEENKRLRDDALRLKSEMSSIIVST RPTQRDRVESMHSYSAVSDRELNRNTNPSSSSSSTLVMELELLKQENAELRKEVSAQT SMLTSRNREKERLYQEIEELKLGQRRDGGRSIAGDSIFDRSASRAHGRPSSRASDGTA PYPGDDAEREDLEVRNGQLRDQVSALKLDNQAVRTELEEYKRELEEYKKELETLDKAY QERGKEVETFDKAYQADMDQAEEEMQKLQQDLQNLEQERDRALLMADEHNAAFQDLRA EAQDELEALGEELDQKTEECQRLGEELKIQDEYLRALQAEMRSASEGIIRLEEDAQNN MQRYKAVQQELEDCNREMESFEKSLFEANTKVQRLTVQIESSQNEIAFLREEQDGDKI RIGDLESELKTYKMSLQSEKDKARELEERLTEERHQREVVGSKEKQEVQRIVNELNRE ASAAKEEARKLKKSLSAQEIETNTWRERLMDLENNLRETLGDLTGSRSSLISKIMELQ KNLESTAWELESTRSKLDETESLLRNRDALLESHGLESRKLAELLERERHSRRADKQS FEQALKSHHQASRTITQNNSRITELENARNQDRKRFTNLEQQFKDQLNERNTMLLMIW KRLSAMCGPDWAHSNSLINGNLPSQEVIGNILFWPGFSRNLLLAVKTLENVILGFKNR IKGVERDLTKQYQAVEHTLSLRIKKLDRLEESMMSIRAQQHKLGQSGLSPEMAKLRGE NRLLKAELNLLQSHSRSRGPAGIGPGSPRLESGADLDPTSLVRHNSMVEKPANPNNSR GLTRSSTSGIPQPSHVSSTTTLADGAGAMVHSSRTRHAVGDQGNNEKWIQRLHELEKR LKQEREARLLDRNGARKRLEERDAENQRLRAQLERERVRKDMSTDYSGDNNAGLARGQ NLRMIQGTAHDSGDGYGNHRDDDDPSSSDGEGICVDIEV AOR_1_74084 MASTYGDFRHLLPSNYKRLITSWLEEDCPSFDYGGFVVGESDGE ARLLGKAKGVVAGVPFVDEVFAQLGCTVEWHVQEGEPIEPIKHCATVRGPIRKILLGE RVALNILARCSGIATKSASLVAALRAHGWSGTLAGTRKTTPGFRVVEKYGILIGGADP HRHDLSSMTMLKDNHVWACANNRVAKDGAGPASTESVAAAIPRAVQAAKVAGGFATKV EVECRSVEEANAAIEAGADVIMLDNFTPDGVREAAKQLKQGWADKKQSFLIEVSGGLN ESNAASYACSDVDIISTSSIHQGVGIVDFSLKVSLR AOR_1_76084 MADEPSVKFTSLGAIIQELNVGGQNIVLGFTKKELYEKYNTPWF GATIGRVANRIKDAKIQSLNNQEYELEKNNDPNALHGGSRGWGRRHFEGPTLLQRNGK DTLLYTYTSPHLDGGYPGTVEIKVFYTASKEDNRSVLSIEYEVELVGDECNETIVNVT NHSYFNLTGGETIEGTSAKLFTQHYLPLDKTGIPFGRIDVHPSKVTEPFTIGPNKEAF DDAFVMDRDVAVIPLDTRERPLQLLAEFHHPESRINLQVHSTEPAFQFYTGQGTNVGA VDGNPSRGPFSGFCIEPSRFVNAINEPDWRHMVVLKKGDKYGSKIVYKTWKDPQ AOR_1_78084 MTARQSSPTSDNSHSDSNVRKRVCKACDRCRLKKSKCDGGNPCG RCRTDNAICVFGERKKAHDKVYPKGYVEMLEQQQAWLVYGLQELYRRTSEGEGWPGEP LKCEANGHPLTHDLLTRLGALDQSKGERFEESTESMQQELWKQNAGHMQRQDSSDGSS ESAQSPVLPTRFSDAFARQPLTPPTFSPPLRQGPTIKTEPQIVPNTPSFIPPMAMHGD VVNPLALQDPQQWPNSLGNFDDMDLMATADYNNLSFDDPVSSPMFNRQIPMNCMSYMD AKNDYEDISQFLNANPPEITSS AOR_1_80084 MADAPNKITITICGDGGCGKSSITLRLVRSQWIHEYDPTIEDSY SVTRTVDGVPYFLAITDTAGQEEYRGLWAASNLKSDAFLLVYDITNASSLSALDYFME MIDIEAEQRVEDNQRLLKELGDSAQGLEVGMPPPVKIIAGNKCDLKEGRAVGAKEGLE YARKHGCGFMETSAREMVNIEETFALLVRRVVEARQQHYQKDRQAAHVPQTVGSLPAK RSAATDQNKGAALKSFNEGSHSRPFCCGIFSGMERRSQGQQQTDGNKGSVSSKSTAPR LWRRLSCW AOR_1_82084 MEGFSDGYYDAQYTAMMPFATPDQMHAAGFAYQSQIPDLVANSA SFVFPSHTAGLSLNLPEHPMPAENLGDRTSNAMLLYDPLSALGGSTPTAINTGDPFAY NAFQSPFPAMSLENFQGQQSLSFHNTCLPSQPMNLNPSVAYSTMQTHGPILNTYQAPP RHAELTTSAKPTGTLDKQPSTNFPQPAGQTSQRRRNRGFQSSGPTPTHRFIQPKRPSP TKAPLPPHPKSAAGETSQYASIYSSSGFDIMGVLAEVVSRPNPKINIGAVDLSCAFVL CDITQNDHPIIYVSEAFERLTGYTEQEIVGQNCRFLQGPEGVVQKGMKRTFVDDETTS RLRSTIEDRTEIQASLINYRKGGQPFMNLITMIPIRWSSQEYRFYVGFQVDLVETPDA VTRRNPNGTYTINYQRSRLPNYVVPPPDLYRSHPDLTTWFTTDQVSTILKSLNNSTLT YRNYLDRVLVENTDDIIHALSLEGEFLYLSPSCRKVLEYEPIELVGKTLSTVCHPSDI GPVIRDLRACTTTDPVSVVFRIRKKYSGYIWFESHGSWRMGERGRQFMVLVGRPRFVY CLDHIASIGHGSLAETDVWAKLSKSGIVLFMTSKARPVLGRMPDELIGKSLQDLMDSR AEAQKALGVARTGQRVTFSHKIRHKKGHMLPAQTTLHPGDTKEGVRPSFLVAHISFPK PPQGGNDELNSAPPPNRNLAVSKIHRQAVSGVSGVAGQNMLASVKQANPQIQKLPFFT ELVPTRGSSWQVELRELEKQNRTLSDELQKLLTRRKKRKRKQSTASVEKSCAICQTKK TPEWRRGPSGERDLCNSCGLRWAKQVRNAAQVAGRPNAY AOR_1_84084 MSSSLGAHKALVARSYPLFSTPFSPLPQHQFRRRDIYLTSRGHA SSSKTHTRPSSSAQPATSRVPSTSSTATTSPANDVNPPPSTRPADLNLPDPVSRSAAT ADKLKRYIAMGRAYLSFYKTGLKNVYHNYRASLPIRRSLGLPAYLPTSPPPAPPSSNS QSNKSTAFRKAIESVKLSRSSFQLVRRAAYDVRRMIPFTLILIVCGEMTPLAVLALGN AVTPFTCRVPQQLEKDRAQRVARKRAALVAQQAATSGSVTPPAAGSDLELDILVKMYT NLEWIESASAEEILRACAVLNLVKTHTRSSVLLSLYRARLQRYAEYLSLDDQLIRRCG GVRAMEGAEVRTAVEERGGVGVTEGKGGWDAERDERRWLEKWLERR AOR_1_86084 MDIHTNKMSLDLNLPTALVLIDNQAAFTHPTYWGTSRSNPSYED NILSLIQAFRAAIKNKTEGGNAKEIIHIFHSSTTPNSPLHREDPGNGIQPLDVAQPAS DGSEVIMWKCVNSSFIGTDLEAHLRTRGIRQVLFAGLTTDHCVSTTVRMAANLGVVDR YPDGPLTLDPEAGIHNQARVDRGRIILIADATATWAKGGFDAETIHAVSVASLDGEFA DIMKTEDVVKALKQMN AOR_1_88084 MGNLLNLAILTMLVATLYGPVYRRLTVLGVLRKATDEVRLAAQQ AFYRIEDTMQCEDLHYYTPTHQIFTACEDSVLPRFKWFPPLGNFEGPVDSTGSIHVID PRTMKSTRLAFENFAGPLVTHGIEVLEDPDSPDAVYIFVVNHLPNMVYYHAGPHSQEI PRACSQVELFHHVLGTNTARHVRSIRHPLIVTPNDIIAESPLSFYVTNDHFYRDGFKR HIEDLFPAAKWSNIVHVQLDSLESEQAETGVDAKVALTGLQNNNGLGHGQSKGELLIS SATSGIMYRGRTNPETRNISIVDEVHFDSSIDNPSYYTDPYRTSSEDAGGYVLAGLLR CIDLAKTHANPNGKDGVMVWYTRPKAAKEEGGVVEWETRLIFEDDGTNIRSSSTALLV PIEPKPQEKKKAWLFVTGFVSESVIAVEVLL AOR_1_90084 MSTSVSQASSVNPNTLITVKVLYNDNTRRFKIPLKELEARVLPQ KLRQLLGIPRDVNVTLERLSDSAGCYIHLDSENNAVYKQLYRAAKAKLKLRIKVTEVD SSASRSPLPTEDPSEHQDQVRYNYLQTVLSSPLPEGSAEAVSESPRDAAKPTPTEPDP KQWSNTGVVAPEPHYQDFSLSQDNLSTPVVSHKSPTGVFCIDCNHCGLSIPNEHYHCS ICDDGDYDLCLHCVDSGVTCPNEDHWLIRRIVKDGIVTNSTTETVAPRKLQTDVPKEA PEKVEKKLEFVPEPVYEETPRTSPVAVEAPVHSEARICNACLKEFNETKMVTCLQCKD YDLCITCLLKDAHGHHPAHNFTLLHDGPFCLKNLVLSRCKPGRRYQHAAICDGCEKNV VGVRHKCLTCPDWDYCSECFSHAPDTHPGHRFAPLYEAISEPSQDHEVHYGIFCDGPL CKNKAVPGYITGVRYKCSVCYDLDFCASCEALPTNTHNRTHPMVMLKTPVRNVTVSTL QEDRFGGSTVALGDRAQRSPSTQALNLVEPEASNTDVPVKEESRLSQDSESVKQECSL SREQLIKAEKSTNNAASGYDALFVRDTVPDSTIMLPNKVFRQTWTLYNPGPLAWPAGS DVRFVGGDAMFNVDTNHPLSLDSISAAMESNKLTEPLESGQSAEFTATLKAPSRVGTA ISYWRLKLPNGMPFGHRLWCEIQVREDAPSIKGPSSLEPEGKHGSERTESQMIFPKLE KESPDASAHEAGVVAPVPPSVSNPSEQDVLEDMESLSLGGHETENGFLTDEEYDILDA SDQEYMDAKSRE AOR_1_92084 MAPRRRNIGASRRKRRDEEGEDEGSIDGELEDDSLSDGSGISNP DDDDADGEGSDDSDDDGMSTSPQVAANGRRQINGRVPEINQELTPRHSVSPRKHPMTT AVSDTEAMMNGLRILDESSEVMEVHFDDLKGESSHQTERTPSAPPTEPKRDTFLDRKR REQERHIREKDESPVVVPTRGSFFLHDKRTTETVTNGHKPFNKSKSRPYGLIVDGNVR RTSVKPVASEGLWTHDLHDTVAGDEPSVSKPSTTSALTSIIPPKPVPTAPRSSPPNRS FSSTTLIGNVPVVVSLPGMEHPVPYPSVSKKQHTRLPQHRPPLRRDKPVRISLPGQPP RYILPATERSFIFIPRALRPNQQTFRGRGRGGFYGGRRPSLYANSTYTSSITVSRRSS FGKAPSQEGYHSPAGSVLSRHTMVTTENGKPVVRLPPPAIPQTTAGPVAAPTTVPQPL PHPQPPNPVFRESRPAPIPMHQPRPQKAVSLADIETPARFPFNPPQPQQEQPFHHQVP VSANGSGYGPDASGHPPPSAHRSLTPSSHVQDRTFHAPSHMPAYQQAFWPASYPPGAI YYPGSGTEFPPYNSAVGPGPSVPPLPPGQQPPYMVPVSHGSTEQPSLSGTVAHEAGGT VYFYDTTQMPPNSSYAMPAAPGISTVMDMGAPPVPFYYYPYPQAGVYYPAQ AOR_1_94084 MSAIGEANHKKRKLPEVSEIEIDVSAPEPASKKALRKAKKKAAE APPEPTTSEQQTEVAADHEENSKKRSDYGIWIGNLAFSITKDDLRKFFTSNCSFTDTT ITRIHLPKSSEKNGKAQNKGFAYVDFSNQKATTEALGLSEQLLSGRRVLIKDAKSFAG RPEKSQQENQKTGVAGAASGNPPSKRIFVGNLGFDATKEIIEEHFGKCGPVAHVQVAT FQDSGKCKGYAWVTFEDLTAAEAAVKGFVMVNEDEEDEEVPGSDSENYKQPKKLKQRR VWVNQIMGRRMRMEFAEDATTRYKKRFGKDGEGKKTQTTDVRGTEPFDDQDTTGRQPQ RSRSAKTRQGRTDYSRYDQETVQKLSGAIVESQGKKTTFD AOR_1_96084 MSQDADEAQSVAESLPDAPAGAPTKQSYRSFKKKFAKLKVKFEL GMRESESLIREELRIQDLSKRIQEQNDQLLEALLEFNDSIYISPDLRYDLNAPGDDLF PPTPKRELSPSHNDPSLASSMLRNAKTDLALGLMKVEHYCDLENSVKRNEVFAPRMRY TSLIRIPHTLPQPEENQSENIISEHSLGFFTPEHENEYYLATDAKLGDTSALMQLNDI PEKLSFVEREREAALRNPISVYNWLRRNQPHIFLQDNENASEKSASRPSNLRTSKKAA LNQSRKDEDLHDDDSILMDIGHGSGGSKGKRKREEDVGNKFKGSSSNRSSRKKKDDGS SNVVKRSSKRTSGVGA AOR_1_98084 MAITILPPVVDHVDICPSDSDMDSMSVDSDGGVDLATGRTSRPS KRPRLVEGTDITSGVVTPGEIVTDDPQWMRGHGTYINPLSTSIIATVAGTVQKTNKLL SVQPLRARYTPEIGDLVVGRIVEVQSRRWKVDVAAPLLAQLPLSAINLPGGILRRRTS ADELQIRTFFSEGDLVVAEVQTVHSDGAASLHTRSLKYGKLRNGVFLAVTGTGGSGAS SSMVKGGVGSGPIPAGAMGASGTGGVVRSRRQVWTVNTANGGGDVDVILGVNGYIWIS KHADDTAAACSTTDSVSITRMEEMVSSSIYSSQNDDIPPQTRREIARLAQCIRVLVQG GVRVDEENVMSAYEASLQVDLEVGDDDDDDDDDRRREGREYLEGNKARRILELVLERN AOR_1_100084 MPDLRRQVLESGKTISRKAASREGSRRTSRTNSAQNSHQSSRNA SRHPSDEEDSGNLSDDTALSIGSLDDLTDNPEVDSNNWAQELRDVIQEIGDRKRSSVQ SREECYAAFCRLLKCHYVEEHVRSSLDELLDAFCRSIKLESSVRETTLALRALELLVI TVFEDTNYRNVEPILTRTIRDSTSNLVKAAAIHCLGACAIFGGAGEDGILDQMTFFLD IAASDGQSIDAADDPSSVTAALQEWGVLAVEIEDLEGESEEAIQIFMDQLNSSESPVQ IAAGENIALLYEKSYTPQEDDDDENSEHDTDDESLDDRQGPKLIKRYDAYHNTHELEQ QLQSLATVHNKRISKKDKKNLHSNFVSILTTVEDPRRGPMYNTAIDQETNRHYGSKLT VKIGRQGVMNIDRWWKWIRLNSLRRILQGGFAVHYYQGNRAVLDSLPVMVRQPTPADR GAAKKTVKSRNSRRWAVHEQSDEEY AOR_1_102084 MAAMEELEIHSKSYFVRWVNVKPGHTISWSIQPHRKSLNFGIFK HPGHSAVLGSNNLPPADSHSTDSNENLPTTALNANSRQNASTPIIERLTGIGLKQIQW IGKCEADKIVKGTYDVPANEGGNYALVFDNTFSKQISKTVTLVLLTYPTALPPQSAPV PHAVLPSRGTDSSESGKPLASRIRGNSSAKVLPPSINTDPASVHTGILQKRRRKRHQG WARRFFSLDFTSSTLSYYHDPNSSALRGSIPLNLAAVACNENSREISIDSGTEVWHLR ASNDQEFISWKRALERASSSKTPAAEESHPEPLLRVPSQRVLTNAAEAREWAQVESLV SKISGSRDAVRRLAKDTDPKYLGYGAPERPRGRSPSPHPEANGEESMEARERRPFWKR KASGSSQLGGVKRATMTPSSTQLVVPGPSSGDTASLSGDRKPSSITSHPDQMEEVHDH LMAVLHDLDSAVAEFSTLIAESKHRRHPPGLTTQSRLSMESDVSQEFFDAVDGGSSSP LLKIKGDSDDEGANDFSDATARQAEDKVVVDDAPSDSEDEVSETPTPQGDRYAPLFPA KPKSLTPLPLSKVPRRSNIPAPTVMPPSLIGFLRKNVGKDLSQISMPVSSNEPFSLLQ RAAEVMEYSVLLDHAASASDAVERLLYVTAYALSSLSCNRVKERSIRKPFNPMLGETY ELVREDLGYRFIAEKVSHRPVQLAYQADGKDWSVAQSPMPTQKFWGKSAEIVTEGKMR LTLHTTGEHFSWSNATSFLRNIIAGEKYSEPVGEMSVVNETTGQKTVSAFKAGGMFSG RSEEVVTKTLDSSGRELPLGLTGTWTTSLQMTKNGSATAPVWNAGPLVPNAPKHYGLT VFAATLNEITPIEDHKLPPTDSRLRPDQRALEDGDVDQAEEVKVKLEESQRARRREME SAGQTWNPRWFTRVDDDLVGNEGEVVWRLKSGKEGYWEERSKGNWTGVVPVFET AOR_1_104084 MSARDLVEGEAMLDDEENEEELADDYDGEGEAHQGAGTANPYDS SEEDDDDDDDEEAARAVREGFIVDEDEELEDRAERRREKRKRRREEREREDEHLDEED LELIGELNPSLQAPVAAESKFKRLKRGHKDRDLRQPSQGIDDIFNSDEEEEAAGDYGR HGHRRHMHDEMDDFIEEDVFSDEELQREREDLEIARPAKKGMTGLGATDAAGLDENAL EDMRAAFGDGNEYLFALEMEDQEEEQEEDEEKHLDLKDVFEPSQLAEKMLTEEDNQIR LLDEPERHQIARKPYRNVVLTEEQFREEAAWISNLMLLKKRIEPELREPFQRSVAKVL EFLVTDDWEVPFIFQHRKDYMIHAVKAPVEGAGEDGDASQYTVRAEKLLNMTDLWDIF DHDLKFKALVEKRNTIQKTYDNLQSLFNVSDSVVEEMLPAAVTMEELQDVQDYIHFQY ASQLRDMTLMNSDVNGETHRRKASSKTFFERVRNGKAYGLVRAFGITADAFAQNALKE GRRQYTEDPAERPEEMADGFVDNDFSNASHVIKAAKSLFAEEIVMSPKMRKVIRQAYY MNGAVDCFRTEKGLRRIDEQHPYYEFKYLRNQQLSDIARRPELYLRMLKAEEEGLVEV KVRFENFDQFRQRLYPDIESDNYSEIADGWNRSRRDVLDMALGKLERLINRSVKENIR QECENHVAKECRETFSQRLDQAPYKPKGMVLGTVPRVLALSTGSGVVGREPIHWAYIE EDGRVLENGKFVDLSIGDRDRNIPDGKDVEAFVELVDRRRPDVIGVSGMSPETRRLYK LLAEVVDKKDLRGAPYTDDHDEEISDRLEVIIVNDEVARLYQHSERAKKDHPSFAPLT HYCVALAKYLQSPLKEYASLGRDIVSIQFKPGQQLVTQELLLKQLETALVDMVNLVGV DINEAVTDSSTANLLPYVCGLGPRKAAHLLKIVNMNGGVVNNRVELLGVNAQYPAMGV KVWNNCASFLYIDFENVDPDADPLDNTRVHPEDYDIARKMAADALELDEEDIKAETDE NGTGAIVRKLFREEAQDRVNDLILEEYAEQLEKNLNQRKRATLETIRAELQQPYEELR KQYVFLSTDDIFTMLTGETSDTLAEGMVVPISIKRVSDDHIDGKLDCGIDALVPESEL TDRYDIPVRALYSPHQTVSAKILFLNRKNFTCNVSLREEQVSRPVSNTQDRLRGEWDE RQEQQDRESLQEKTQSGGRTMRVIKHPLFRPFNSTQAEEFLGSQSRGDVVIRPSSKGH DHLAVTWKVADGIFQHIDVLELDKENEFSVGRTLKVGGRYTYSDLDDLIFNHVKAMAK KVDEMMLHEKYQDGTKDATYSWLETYTKANPKRSAYAFCIDPKHAGYFFLCFKAGENA RLHSWPVKVIPQGYELQRNPYPDMRALCNGFKLLFTNMQAGKRR AOR_1_106084 MADQFKARTLKRKNVKGLALNAAPKPLSNPSDGDAQVPGAIGNT DSNRTDTLEIGLEFRLDLRSEDLITLKELGAGNGGTVSKVMHASTKVVMARKIIRVDA KENVRKQILRELQVGHDCNSPNIVTFYGAFQNEARDIVLCMEYMDCGSLDRISKDFGP VRVDVLGKITESVLAGLVYLYEAHRIMHRDIKPSNILVNSRGNIKLCDFGVATETVNS IADTFVGTSTYMAPERIQGGAYTVRSDVWSVGLTVMELAVGRFPFDASDSSAGDRASA GPMGILDLLQQIVHEPAPKLPKSDAFPPILHEFVAKCLLKKSEERPTPRELYDKDAFL QAAKRTPVDLQEWAISMMERHNRKSYLAPPPPKSLKDEKEESPRRSPAPKPALSKSSR TPHYTPTSGEIPVNMVNEMSSHSRHYHVPPNPSPRPSRSTRSPPTISLEHLSLETKDD DYRSGRRPSRAHLGDPVSALEPPSRQHIASRSASSHNMKSRMPLQTSAMPVRAAPPPS GPLPPASVPGTPWQR AOR_1_108084 MSTEPAIRTAQDDLESRTSIDQTPRETRPRRSRRKKDEGQDAGR KDTPKPTKSKTKEKEKEKEPETGRQNDKELDKENHKDKESRDKEQDPKESKRGSRRQR DKSSSTSANPSNSSSTHARKKPKLEATPPDQSPSVPATSATAAASPPATTTVTTSTTA VTTAAPAPAPSAAPAAAAAPAPAPVQVPSTPAASPPASSGSIHLLQSHLHQQHRSPLH PSPSLPPSSHPTPPPAALGHPSSYPTMAPTGPPRPQSQPPPPPPTRTSGQNFDPIRSA FDTASPAPTPGPTSTFSPPARPLSPRPTFRASASPAIASIIDPPTTTSPSVYAPRPYA SPNHGASIYSPSPAATPIIAPTPHPPPQPISPYAHRSPYPTPSQLQPSHDSQLSGHSS SQGPPPPPAPPHLSAAPEPPAPAPSNNHRAPSPGPTPMDVDTDQHAAPKAPKKDTKAP PTAPPSNAASPKPSRATKEPPPPLPQGSGLISNALFGVGDAASTSDSDSRRTPSIIIH IPLQGTGNRIVNFARLAEEQYGFAALHPRLAAHKERLARVAAAGAALERNDKSGRGLS AGESADEDLSLEVERDSDLDGDSALGSAAARTNGPEAPDGKKKRRKKKMEEYDRDDPF VDDSELAWQEQAAASKDGFFVYSGPLVPEGEKVQVERADGTIKRGRGRGRGGRSRGPQ STSHQAPLAAAVPISQETGLPVRGPGSRGGSTTRRPRTKPRAEQDKSGGTSSTSQGRG GGTAGRGGSASTRGGKSTSMVELAPRPNLAPTPPGPSPIAGSELAMK AOR_1_110084 MAFRGERFVVDLDSDDDQSSSAPPASSISLPGLIGEIRERSPAA PPAPPKPAAAGFPAHRRRVKQSAFRQRREDSSTTNTSIASQNDEKRIIDDENRRQARI DSEESKTDTRSNPPVSIKHQVDPAEAAAPPPEPVSESKPLTSRDEQTHRDDLPPPQMP DDLRPAFESPLPSSVHFPTPPSQLNPMPNLDPSSPSFLSDLQAHYFPNITHDPSALSW LQPPSTDSDAPDATSAYHPASNAEAVHPAALRFSFLGTLLSPTTSLALPTDLGLHHHG KDPHAAGYTIPELAILSRSSFPAQRCIAWQVLGRILFRLGKGQFGERGSTLVEGLWSV IEREGVVAGMLAEADGTSNGATRSEKQEQDSARSKGGIGHHASATAWAVEGVWLWQMG GGGDRGVLKEGAVRSQ AOR_1_112084 MATVRSLGLHQPSAIPFLVAEGLLNPDPSDDHYAWTSSVDIGPN GPVEDEIVWTKGCVVWSRAGVVQRVYRLDLEKEEIRHALLTNFSVDQLKRTNGNASQA TKEGFFRTSNDPGRSRGLHGQQNGEEPRLEASGVTLNIRGRGAQGGNGSDSTRALVVV LKSQAHIFFLAGNSHVVPLPFEVDSIFATPKGLLFQRKMYEEDNKDTSLCPMAPPNSF MSTLPMTADFRASQSLEVPSSKGKRPSLTVSPAPGTSLRSPRKQPDLPRVFSLMDPYS EMGLVVTNQTSRFLQSSVTSRPSGLDVLGAADEIIYVSPRDELLESNRNLISGPLILV VTVNVNTGLYTIWTARYREDEANPSSKKRGRRETGGTWSKRRSSHFGMATGTTTPGAR PSAARESFGPRGDNWNTPGLSHSQYSTDGKPDEDDLASKLGQDFGDIGVPLKTSRRVS SLLARADLATGQDRITFSDLATGSQSSSMPHGNFRQSIGAASSRGSFGFNPRASLPPG NGSVYSTTTSFADAPVDRLLEELNSESLFEGLDNMDLKESASGLPEEVLLSKVESFSS RFSENFQTPVKSKSARKSKIITVCPSEGANSQNGNSAALALCVVEQAAKFMTVVNLRA DRVSIPKKDPVFSKRMKKRKPPDERSLLVQATSVQHFSGICDACKITDGEISRIMTLS MADDGQHELLLQTPWSRPITVNVPEKLMLYEPYGISATASVNRPRESGMNRLMTDSFT ITGLDHATIRGRVDLIDSEKRKHRLQIQMEPSNELVKRVFRICRFVLPDSEKAGDGIL TAWWETVKWLRESETTESDLEWTALVVVLFAMAIPFIDSDHSRTPAQRTRRKKALLRS SSGSHVDLESWETMLDQESGSAGVVSSWINNSAWGWIVDQDAEEERSAPSRRKSVKTE QPGSSRLTHRKNSYLLRCAALTREFLQTPKGIAAVGSDGHLPTALSYSQSLRQTALCT ILVGLHLLREEQKLSICESEESRKTLGLLAPVLAQIGGWLGWKSWTWSENAYYGLEIA GINRWQFEDTRISMLDVPQEQFTPPSVFSYLETGWRQSSSPFFTLLNLVTGSEYTPRK GKMWQECFMLTPRTLALDGFLSEVHNFSTPLDRIKLLHRWGFTRSIIETFPEGVSAPL YEAIMRSQIHASTSWSATLLELVDREDLSISMRTHSPCTPSAWQPAVSHEAMRDVHHI GVSALDIDAINSFEASAEADRFSVTKLIFREDKRFIEAARLLNQSKAPVAECVPEPDW NDSDLLEAQKEVVQLVTLRTLSIPTGRAMLAFSGRLPLLTEKLPIPSFSLQCVMKPSN VTISADRTSFSEEKVCWAFFHNGVSTGLAISKNSKGIDTSWILFNKPQELTNRHAGFL LALGLNGHLKSLAKWVAFKYLTPKHTMTSIGLLLGLSASYLGTMDTLITRLLSVHVTR MLPLGAAELNLSPLTQTAGIMGIGLLYCGSQHRRMSEVMISEIENVDQEESSAGREDL RDEGYRLAAGFALGLINLAKGKDLRGMRDMHIVERLLAVAVGTKNVDLAHVLDRATAG ATIALAIIFMKTNDETLAQKINIPDTTVRYDYVRPDLFLLRTLAKHVIMWDKIQPSDE WFVQSLPGIYRRRYRLTGVRRLKSEDMPFFNIIAGLCFTLGLRYAGSAQTAVRDILLS YLDQFIRICRLPAVNYDGKLSRNSVRHCQDVVALSAATVMAGTGDLPLFRRLRSLHGR VDTDTPYGSHMAAHMAIGLLFLGGGSYTLGTSDLAIASLICSLYPVFPTSVLDNKCHL QAFRHLWVLAAEPRCFVPRDIDSGRPISMPITVTNIGGSTRKITAPCLLPELESIAKV EVRSTDYWPLVLDFTLNSALREKFRHGDQSVYLRRKETYNPTGSSAFVSTLSGLSEAQ DVLPSSTSASNHGKGLPPAAWPNIATLLSGTTAPTPPPSPSQSMWEWIFNLKSLQGLD VREKALVLPSSFPAHTRTPSTNVVSYAPWLRQSAVDSKLVVENIVQNLARLHPDEIRD RLWQLRLLFNWVDSCSDERVPVIEKEIETSNDAIPRVSGQSQGLWLRQDFVEEARWKV WGVQTDDYA AOR_1_114084 MPSFPSRPLARPRDDGLQLSYQLPHRVYTAKGYPVLAPNGSSII IYGYENGLKVIWRGGRPFTSRKPSAPKDQPQEKTNRSNNDIMVIDSDDESSAETQQNE EPSYGFEEDEPEIDPLFPYETVLRQIDIPLGTRVVELAVPRILPETARSSLDPFPPIL RKLMVISAVCADLSTRVVTLPLTPPHPAQFELSSWVQALSISGGVSHQEIPRGVGIAL TYQASEPQGDEDMAQSQSGSNGNGPGRWDLLIATHSAESSGLLIIHRIPVVEETDHNE VVYRLSEDDIESKRRYLPAPAQNIAFNPSPYPSPRHSTLLVAFHSGCVKVYSCFSTKP YKASRRSSSPQSDFETSETEGKWLISLYPGFEQSPPGPPRRKTIVNAEWVLGGRAIMV LMADGEWGVWDLEGAGPGTMKGPLQRQSSVQGVTGGSLTAFSVSGRVLGPLSGARAET GGPIAEQRPKFAPLTPHTKRVREDTLLKGAMVRSIIPSLCGEISVYQTNSYRDTLPDE SILLRHGNQSAVIPSLLSLWRNAVKATGTFDASNRCRVSAIQDITLMGEHLKGIGHLP AASRQTRQAEGGDHDALLITEHRIIILTPRLNEPDESPALLGSVDETPTAETDQLRLR RGELDVEGMDRLLSGMTSSNQTLRMGSPIKRARIFT AOR_1_116084 MVQQPKQEKVWASLITNLSYLPGILTLSHSLQTTETAYPFIALY TSTFPAEGLAALHARGIRTQAVPSVQPGQSRVFLQDPRFNETWNKLIVFSLVEYDRIV LLDGDMLVRKNMDELMDVPLDGPGSGLSSEENKQERVFAASHVCACNPLNKPHYPKTW IPKNCAYTSQHSDPVRAQTSGAPAATGVAMLNSGLLVVRPTISAWAEIQARLHMPDRT DKYTFPDQELLSDVFRGRWVVLPYVYNALKTLRWEGVHDDIWRDDEVKNVHYIFANKP WHEDPDDGMDEPSRWWWEVNRQRQQLEVKKGITDGH AOR_1_120084 MAAPTQLAFRTVKGIGILDAAPVYEPLSGFERPEGNLRCSAYSP CGRYFAWASPEKVTVIDPSVGHIITNIPAENVFELGFSPLGTYLITWQRPSKDANGDA VKNLKVWKIVETAPDSNGDEHTIVGSYVQKSQTGWNLQYTSDERYCARVVTNEVQFYQ SDNLSKVWNKLRVEGVIDFAVSPGKSQSVAVFVPERKGQPAAVKVFMVPQFGAPVSQK TFFKGDKVQLKWNASGTTLLVLAQTDVDRSGKSYYGETTLYLLGATGGFDSRVDLDKE GPIHDVTWSPNSREFGVVYGYMPAKTTIFNFRGVPQHSFALAPRNTISFSPHGRFVLV AGFGNLAGQMDIYDLEKNYFKIATVEASNASVCEWSPDGKYILTATTSPRLRVDNGVR LWHVSGALVYNEEMHELYDVFWRPQSTTQHPLGDPFHPLPTPHPSAVAYLSTRKAPVK PAGAYRPPGARGQLTPLAFKREDQGGATFIRDGANGGALNGLGKPRRREIPGADPVEE YLPPGAAPGGGVVLPPGADQPEKLSKSAARNKKKREAKKLKDGEDGQPARSPESHGDK GHHERSRSKANNSERKNANQANGASPASTKPNNNAAVEAAGTPTAQEKKIRGLLKKIR AIDELKMRLAGGEKLEDTQLKKIQTEESVRKELESLGFNG AOR_1_118084 MTTPTLINLPPPPSDPVTPSDMGPGTPNSGTTSLSALSTTAIKD GHTGHPLPHGRHAHHSSSASMTSTTTLEAERADRISRLAGLERVVAARTGGGSQSNTA VPMSHAPGYFDTSSTLKERSTVGSASATGSVGARTTWASGSDAFDADKMSEEPDDGTS SVGNLSDEGNASLVGFGEGASTISGPISHPNLNRTSSVGRPNSLGSPSIPRANPIPSS SQQSGDGGMIPVALSPTGSTTPEPVQDARMVDGMTYDPDVVDTTVRTPRLATPLGRDD PSNMQGDRGSGLL AOR_1_122084 MPTIDAEARPLVSRSGNRERIPPPPPRSHHGKLINPSPGSTPPS PQPAPSKPANRFSFHGSPPESSSSPKPSQSGVDYITETANSEAKKSSEPLRRSQSQYK RPPTPPLSRRHSQMRRSKTTASKPNPSRLSMPAVGMEGTESPPPSPSSWSLNPSRTRD TRPGPSSEENPRRPSLQHQSAGAVTSTPSTDASVPSSQSTSRTPSMKRASIGNPLPPP PPPRRTRGSSSQSNDSTRPTSLYSEKRPEVHGEYIPHPSNASDILADLSRLQKEVDDL RVHYETRKASH AOR_1_124084 MPWTVTNSFKRGLMMKTYGRPVWRVYDDDQSPAAKKRRVQSGNE SDEAENSIQYAIRESSAAVLSSPSRRNSILLSEGTQDDDLSTPPSSPPPRLSSPPANT RKPTFAFLKRKQSANKETGNSSPLTEVNSNSVRASVDPPKKKAASQQPVLKQMQLDLG HEVRRTCATCGMEYVPSNSEDAALHKKFHDMNSTGVDLGKAFMRANASRWVYEATRFD EGYVVIVDRKASPTAKNQAKKVLEVINKELSSPEIQDDVLWSQTEPPTHLRKNGVSEK VDRYKVFLHMKDSRCVGACLTERIWESRPVEKPSSQTNRTDPAVTVRNETHPAIVGIS RIWTSGSSRRKGIAMDLLDCVVSNFIYGMEIPKERMAFSQPTESGRALAQSFFGDDEW HVYEES AOR_1_126084 MSQDIQAAGKRKRARTQSCPPPELPQLVAEQHVPIAANDKETQR LIVVLSHASLETYRASHGGRNGAGRDEKYSLLNSDEHIGVMRKMNRDISEARPDITHQ CLLTLLDSPVNKAGKLQIYIHTAKGVLIEVNPSVRIPRTFKRFAGLMVQLLHRLSIRS TNSQEKLLKVIKNPITDHLPPNCRKVTLSYEAPVVRTRDYIESLGPKESVCIFVGAMA KGHDDFADSFKDDTISISNYSLSASVACSKFCHAAEEVWDIV AOR_1_128084 MPVSHLTLTVSHLPTSTSFFLSCLQPLGYQFIGRHDDYIGFGQN PGEPADFWITEQKPGVPAGAAHVAFPAPSKDAVGQFFIHALKAGGKIHGEPKTRDSES GYYSAAIIDVDGNSIEAVYRPSSSSARSEVSRSALALLENGSVVSKTAPSIVSKATSK ASSVKPESVASPRSEARSYVSKATTAVERAAPSVISREVQPAPSPTYVVHHTTQKTDD GMAAKTIVGTLIGAAAGAAIAYAMSKGDSEPSETTPPPQYTPRDLAQLISPSQAQSPS QELQGFRAIEAPPARSVYSHSEVRPTLTRSVTSKNPRASTIYEGSEFVPRGGGGSVYL DQNGRRSSEGSVYSGSDYPIRAIEYPPSVDSRGYEATLISSFHDKSRAMDNGTRTTRR IILLVILPAIQLQDLIPVALRSGAKSGVSARNIPLPESVYDDVDVETNVTPDDSISQV DDSRRSSVSHRSHVSKAASRISKHSSKFDEPVKPSDSVSQVSSHASRASQRTIKAGGS VAGGSKAPSKVSSRRGSQVA AOR_1_130084 MALKRINKELSDLGRDPPSSCSAGPFHWQATIMGPGDSPYSGGV FFLAIHFPTDYPFKPPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKVLLSIC SMLTDPNPDDPLVPEIAHVYKTDRGRYEATAREWTRKYAI AOR_1_130084 MALKRINKELSDLGRDPPSSCSAGPVGEDLFHWQATIMGPGDSP YSGGVFFLAIHFPTDYPFKPPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRGRYEATAREWTRKYAI AOR_1_1264084 MIQMDDCPVPKENMFPDVEGLTGPFTCLNSARLGIAFGAMGALE DCLSRARTYALERKQFKGNPLAKYQLIQKKLADAATDAAYGTLAATQVARLKDEGKST PEMISMIKRQNCDRALANSRILQEVFGGNATSDEYHIGRHVANLFVVQTYEGQSDIHS LILGRAITGVQAFV AOR_1_134084 MKRSRLDFEANGDASSASPPRGALPYPASEANSRRESIHVPKIS RKIRACTECKRHKVRCDMKAGESVCSRCRRMGLECVVNKSLQTLLDDEAEWKTTIELA MADLLRKAQLPELSYYQAVGKSAETPHKKSGRRGSTVSTDDIHTSRGDVGRDATDGGA KSVDGISHPPSHTTQQRSQIAIDREEKLLVTAPMGSLYEVTQLSDIQERTPERHHTSD RDTDLISRGTVDPQEAEELFCFFDQVLNRYLWDGIALVHKDLTSVRNSSSMLSAAILA VTALHMPQKERLFDTCYTEFAKLASESMLDRHHTLDDLLRIATERNLHQSYRKATQGS PEHQEQARLWYLLYTLEHHFSIAYGRPPIIHEDKSITNHNTFTMSPTVTQSDLRLHSQ VDLFIILTRIYHAFGPDVDLEVPESEFTTIDKFDADLEEWQSAWLPRLAGSRYVGAYP YKAVYLHYHFSRLQLNSVALRTYHCSLSARPLSNERKKRANLAIESAIGTLLVVLDEP DIQRALVGVPLYLHSMITFAAVFLLKIAARSCSSCIPSKPGKQNSIASAGLSIDIAYV RALVGRVIEMMVSCSRRASERHLSHHIARGLRKMLTGLEEWERRNSYNGQPTGQSFRD PSQNSMFKPIIIPGAQPLGERDTILNHPPPLLGIAPLSAERGSGLESAAAQSKQQPGL SEGSVDPMMADLWGFDEEYFPTGVFDFLQSQMPA AOR_1_136084 MGIKHLYQVIAENAPDAIKAGDIKNHFGRKVAIDASMSIYSFLI AVRSEGQQLMSDTGETTSHLMGMFYRTLRMVDNGIKPLYVFDGAPPKLKSGELAKRTA RKAEATEAHEEAKETGTAEDVEKFSRRTVRVTRDHNAECKKLLKLMGIPYIDAPTEAE AQCAVLARAGKVYAAASEDMDTLCFEAPILLRHLTFSEQRKEPILEIHLSRALEGLDM DRKQFIDLCILLGCDYLEPIPKVGPNTALKLIREFGSLEKVVEHMESDPKKKYVIPED WPYQDARELFLNPDVREASHPDCDFKWEAPDIEGLVEFLVKDKGFNEDRVRNGAARLQ KNLKTAQQSRLEGFFKPVARTDEEKANLKRKHDEKLQEQKKRKKEEAKAKKEAKARPR GAG AOR_1_138084 MPPKKASTGAAKKTASTHASYRDMIKDAILNLKERNGSSRQSIK KYVLANNKIAPASTNAFDSQFNKAIKAGVEKGEFTQPKGPSGPVKLAKKEAAPKPAAK KSTTAAKPKKATATTTKKAEKAEKAEKPKTTTKKTGTTTTTKKSVGRPKANTAKPRKA STTAPAVVDQPKVIGKTKSGRVTKTTAKPAEKATKKSTKKA AOR_1_140084 MPSFSNDSSYQTATATATHPRSFDSPPGKPHDKSPVGNTFLWTN WPNGDTSHLDTPGNDRQPLANGSVYSLNGPRSSASSYNRDLSHSKDGSMHSLGHVSAR DPRDGGRPSMTHEQDFARKSTDAGAGAGSATASIASQQPNGTSLNPRSPNGRPMMNGD HVRRSADGLVSSESIGNVSETWQSTPQPNDTGRLSPDPGRLSPSPKSPHRNPSGRRSS RDHSEDAAYSSGRLSPTGGVRRTSFSLGRRASKTNRSDTFLDEANPDEDAARWAEAIK QRRASKRRRRDDDDDDRVIVGTKVDQNHVNWVTAYNMLTGIRFTVSRINAKMDRELTS ADFEAKHKFSFDITGNELTPSAKYDFKFKDYAPWVFRHLRTKFRIDPADYLMSLTSKY ILSELGSPGKSGSFFYFSRDYKYIIKTIHHSEHKLLRKILPEYYKHVEQNPNTLISQF YGLHRVKMAYGRKIHFVVMNNLFPPHRDIHQTFDLKGSTIGRDLREEDLEKNPRATLK DLNWVRRERHLECGPSKRDFFLAQLERDVELLKRLKIMDYSLLVGIHDLERGNEEKLR DKTLQVFQPGGDREEEANPNMLMRTPSKLENERKARELRMSLKRERPVPLDKATAKMP EEILDERKFHVFYSDDGGFRATHENGQPGEEIYYLGIIDCLTHYGMVKRLEHFFKGLS HDRNQISPVPPEGYGDRFVKFIKGITMSKEEAAAEKEAAKDVSFTHPRTLSTVRDPTD TNSTGPTSTLPIVDEAGEASSVGGQSQHSRHGAPSASEKKLPPLPNQDHLRPDGKRRA IA AOR_1_142084 MDGSQVPAAQPMVTQHSNLIRTDQVQKLPHLTEQQKSQHTQLVR NFWEVLNNRDPQSTEYQHAHSRLTQISQSLMKGMRAFQQNRQLQQQQLQGQPGQPGQR PQSVNPQTFNQLLPQIQQKVNSLQFSLPPNISQEQAQSWLPEARLRYGIALQKQEIGR ARVAELRQQFSQRQAAGNMTQEELQEFKNRQLAAEKLYREGGDFLTKFKEQQENFKAQ QQRAGVQNVTGQPQGATATPAPTVPAGSDGRPANTPVSMHPGQAPTPAPHTITSAVSA ARNQAGQTAMSPSVSQQGQVPVAQAAPVATPAAPAAPPPQPQPQQHPQPPPQAQSQPG APGSQVTFTQVPNLDGSTPTPTSAQPVNVQGPPRPLSQQAAMAQAAQNYTNNNANNNM GQQQNMPQPATNSHAHPQGYIPNRATDNTARNINMAIPKTLNVPPPEPVAMAPARPTL SGGPSHGAMGMMGQPAIQKHPGYVLEGEGQRVLSKKMLDILVRQVTGGGEGEGLTPDA EEFILQMADDFVDDVITAACRLAKLRPSSTLEIRDIQLVLERNYNMRISGFSTDDLRT VKKPQPTQGWTQKMSAIQAAKVTQGKAE AOR_1_144084 MSLLDSHLEQILLSSNAIAELPFPPPRIFTNALLGPHDITALIR DTETHERALFQTDPSVKAINASQRRSTRRGTVFPSETEGESMASRIYAARNSKSQSAV ARVLGSDMMEEIKRSAGTSSRGRGEVNVDVLLRGAEILCNVYPVSGAQEKIASLRYRH QLVTDSIVDLEDRVARNTAELEKMSHSYGGDYDDYESSGTLQPDVADLTDADIEQEMD EIRELEKMKRTLEARVSGMERDLGGLIG AOR_1_146084 MPAERRSLRSNSKSDGSSSANGEKARSTSQNSSSNKDKVAPTRA TASKTKSTKAASSNNTSNSGMGEQRDQPCTNGSEPTENGLNGSEDVEMGEDTAVPPTK GSRLSGDKGQDQEGDVAMEGAEGDETQKPEPEVDPRAKAIQDIKTNFTLLERAVAHFD PRFTLRVLRSISSMRKHITSDVLAEVLVESYPPSSPTASFLLEAIGETGAFESAVASS KMDVESEKTRSNSKEILPEIDTYLSILVQIFLYDNKEIQRGAKFSTSLIERLRTINRR TLDSLAARVYFYYSLFFEQITPLPPSPAATVTMIRQPLLAALRTAVLRKDVDTQATVM TLLLRNYLSTSHISQADLLISHNRFPQSASNNQIARYLYYLGRIRAIQLQYTDAHGHL IGATRKSPSSHSARGFYQSSHKLLVVVELLMGDIPDRAIFRQPALERAMHPYFLLVQA VSVGDLDGFLSIVNTHSTTFRKDGTYTLILRLRQNVIKTGIRMMSLSYSRISLRDICL RLGLDSEESAEYIVAKAIRDGVIEATLDHERGFMKSKEVGDIYATREPGEAFHERIRA CLSLHDESVKAMRFPMNQHRLELKSAQEARERERELAKEIQDGDMDDEDAGADFDAI AOR_1_148084 MKARAVPASGLVLPSRVTPATSICWQCLRNDLISIQINSQTRAY HPTRRKFASPFGAAVTAAQTLLKGLPKAPPGISVDPLRIVGKELKFLTKNIRQLLGSG HPTLDKVAKYYTKSEGKHMRPLLVLLMSQATALTPRHGRWSSSPSYTVNDPISSPSVL ADTNPDLNPLVSSSAEAKYDFAGDENILPTQRRLAEITELIHTASLLHDDVIDNAVTR RSSSSANLQFGNKMAVLAGDFLLGRASVALARLRDPEVTELLATVIANLVEGEFMQLK NTASDEKNPVFTDETISYYLQKTYLKTASLISKSCRAAALLGDSTPQVVEAAYAYGRN LGLAFQLVDDMLDYTVSDAELGKPSGADLELGLATAPLLFAWKQNPELGPLVGRKFSR EGDVQRARELVYQSNGVEKTRVLAQEYADKAKAAISSFPDSEAKDGLLQMCEKTMNRR K AOR_1_150084 MGSLGIFLFVYFLGGLTFIPLVLSLILLHAYLTLPSPPPVEQRC ELAKDPLRRPGDDQYSLKSGTDELAEKFHRTHESDVAAGYFAVCREYVPGGVNGKPPE RTTPAGEVIAAESPSVYQTMYRSLFDRKQTPTIEPTKNNGKSGKKARNVFYIVLRHGH LMLYDDANQVEVRYVISLAHHDVNICGGEGEIQEGELWLKRNAICLSRRLESLADLGG PSPPFFLFSENLSEKEDFYFAMLQNQSRMWNSPDAPPKHQPFDVKHIVTLVQRLHSSE EQLQTRWINAVLGRLFLAMYRTPEMEEFVRKKITKKISRVNKPNFISKIGLQRIDMGE GAPFIINPRLKDLTVDGNCCVETDVQYNGNFRVEISATVRIDLGPRFKAREVDIVLAV VLKKLHGHLLIRFKPPPSNRAWISFETMPSMDMDIQPIVSSKQITYGIILRTIESRIR EVVAESVVQPFWDDIPFLDTATQRFRGGIWQRDIPTPDTKVDIPDESGAQPPTTGAEK VDLVDVLKTKDERTMSAPVLSESIPITMKPRKGSKGELERNNSSASYAAIEKFGSSPP RAIRSQTFSNAADPVLTADNAKIDKVVYDGKDAEKSSAASAMIEISNRSPPGSPNRSP SGSPPTDSHMPQDNASQSRDPSIVESIESVGEFSTDSSVHPSTVHKTSSSSLRSMAAS STASPSGNKPRRSTLEALARSVTSSTTAEKSQVSLSLGTATSVAKRWGWNMFSKGEQN ATHESPRPAGTPEEPIGRGHPLPPPGTPLPRPESFVFKRNSVPVTKRKPVPHNASAEQ QPKGDGKRRVSKPPLPRRNPIFDSGDSENHPDELLVVEAPYDSGPNSPVVDVAPDNAL PGPSTQRDSPTSKVIMRRSNELWEKANGHDRSSLVEDTEADKHGMAILSATDGIIP AOR_1_152084 MSRTEADLAINIRKATSIEESAPKRKHVRSCIVYTWDHKSSAAF WAGMKVQPVLADEVQTFKALITIHKVLQEGHPIVVREAQQHANWIDSLMRGVGGDGIR GYGPLIREYVFFLESKLAFHRNHPEFNGLFEYEEYISLKTINDPNEGYETISDLMTLQ DQIDAFQKLIFSHFQSGTNNECRISALVPLVQESYGIYKFITSMLRAMHTTTGDAEAL EPLRGRYDAQHYRLVRFYYECSNLRYLTSLITIPKLPQNPPNLLSDDDERPALPRRPT KEIEQEPTPPPKAPPADPEPINDFWTTEAKRQQEEFEAEQRRLQQQWEEQQRQQILAQ QQAQHDFEEQQRLQAEQQRLAQEQLLRDQYQTQTQGRLAELEQENLNARAQYERDQLM LQQYDRRVKDLEEQMNQLTSNLNMQSASKDEQIRSLQEQVNTWRSKYEALAKLYSQLR QEHLDLLQTTKSLKLKAASAQEAIERREKLERELKTKNLELADMIRERDRALHDRDRL TGNNKEELEKVKRELRLAIERAENAERQKGTEISTLLSKYNREMADLEEALRNKNRAL EDISSRNADRQGDHDAVLREKDEEIEVYKSGMEQALMELEELKMNQGDVDNALDSQID TVLHSTVAKINDIIDSVLQTGVQRVDDALYELDSSMQAGNQNASPPYVLSQIEKASAS ATEFSTAFNNFIADGPNSTHAEIIRTVSIFSGSVADVLSNTKGLTRFANDDKSADQLI NAARKSAQATVRFFRGLQSFRLEGLEADHKTDVVINNNLEVQRDLQSLSKLVETFAPK STKISTNGDLGDLVDQELTKAADAIDAAAARLAKLKKKPRDGFSTYELRINDVIVEAA IAVTNAIAELIKAATESQQEIAVATSTNTLIETADGVISGRNSPEQLIVASNDVAAST AQLVAASRVKASFMSKTQDRLEAASKAVGAACRALVRQVQEIIAERNQDGSEKVDYAK LSSHEFKVREMEQQVEILQLENSLAQARQRLGEMRKISYQED AOR_1_154084 METTVSPLQRAFNAFLMTMPPEQLEELLKYLQDAKSQENTQSSY PKENFQSCLEFKADKNNGSTNPASANPRSSVSRGKRASDAKRRPLNSFIAFRSYYSVM FPDLTQKAKSGILRFLWQNDPFKAKWAILAKAYSIIRDDHDSDVSLEPFLGLSAQFIG IIGPSRYLEVMGWQLDVDDQQQYTIARVKATTANEADISTNYSVNDIVKHCYTSGYVS EKNRKSKASNNNSAPVMAFAAQPTLVVHKNNSIRVSGNHTIVTDVYKTNPAMEISSPE QTEDTFSPNTSDLSTIADEPPLDAMEVVGICNRPQLYSDSSTSNRFDFDNIQFPGLDE ENAMFTYDAALQTPLMPYDPLHYDPLEAYDFSRFVDI AOR_1_156084 MGFRITTWNVNGIRNPFAYEPWRGKRTFEAMFEILEADIVVLQE TKIQRKDLRDDMVLVPGWDCHFSLPRSKKGYSGVVIYTRNATCAPLRAEEGLTGVLCP PNSSVPFRDLPLDRQIGGYPTTEQLSTLELDAATLDSEGRCVILEFPAFVLLGLYCPA NRDESRDSFRQGFLDLMDARIRNLVAMGKRVFVTGDLNISRGEIDAAHASEAIRKGTT TEDDLNMQDWFCESDIQEGLMGSDHCPVYAIFKDSVCLNGQQVNMLDIMNPPGIFDNG ERRQEYTTKLLLPTSGRLIPEFDKRRSIKDMFSRKPDMSSQKLPTTSALTACASIREQ VYKIDAEENSLGASKPSLPIADSSPTPKGTVRKRSEKSDPPPSVKRSKSFPSQTRTTS VSAQRTLKGFFKPKGVVSSQISETKTPDTPVQAMERSSGPLPASSTISQPEEQEDLQG IHSVPAAPTSYMDSSGPAAPFVGQNSETVIDPIVSKEDWSKLFAKKPVPMCEGHREPC ISLSTKKPGINCGRSFWICPRPLGPSGNKEKGTQWRCATFIWASDWNP AOR_1_158084 MFPQRNLLRLAQRSAQQQRSAPVRSAIQRRFNSTDSKLPWMVDN EFNRERAAVKHHAASTSDLWRKLSIYAVIPCLILGSLNAYNLWEEHWEHWEHMPPLEE RVEYPYQNIRVKNFPWGDGDKTIFWNDSVNYHNKDKAT AOR_1_160084 MSRFLTPSKVALLCLISIYTEGVIPNSSAVHVLSFLVASLSPLD ADPSSSKNWKAQYSASITDLEGALSTHSSSIPGRTIWDLFLKKVWSIDSCDALEVFFS NVLDLLVKSREEQIRDRDNGLATELGCMRLSRCSPLGAFVRRAQLEFTRLQFHDSVKL WKGFVKYRLPTYHAWARRNPFGEQAMVDMNLLELGLDTGSQLAQVAYGNIEDDLEEDN YVSTKDVERLLEFQIGELQRMGGRVPDGMKACLERIIKSGATLPNLIHYLRFLDAWRA GDYPSSFDNLHRYFDYTMHSRDRSSYQYALLNLAILQADFGCYGEAISAMQEAVSIAR ESHDMNCLNFCMSWLYHFGKAFPEQMKDVQNTGMLGNEKEGLAFLKAKAKETEMWSLL STTLLSEAKFELQNGESLASSIENIIRASHLNVAKNLINSTGPQLLLQTALYARIGIT HLAWLSSETFQECYASKAPFEDYLKNNFRSSQLLAQQGRFKEASVRMNHIGPDKLRSL KANQYWTFFSGVLQLRRQIYRNDKTATEYLLSQLQAIQLPDNDITALLGFLHVEHLIR QGNCIRALEIVERIAQTIHRDNFDIHCQVKLLCLKAYILEKTSQPQRGFSLAMRAANI AYRSRLLPGLWEAICALSGVLLSLREFEAVVAMVESIIPQILESSDCALAARAYSLLV DANMGIAGKARSQGSGQNVEYMNRALEYLDCAYDQYEKVGDIKGQCEMVAKKATVMHL TGDLVLANDYAAKYLDLQRLGSTER AOR_1_162084 MPITHIVLFQFKAGTSPDIIKDICSRMLGLKDTCLHPSSQKPYI RTSSGGIDDSPEGIQHGITHAFVVEFASAADRDYYVKEDPVHQEFVKSLDGVVEKAQA IDFTPGVF AOR_1_164084 MKTYSDNRIGIEHVPAFQPSMCWVAMSKSILKHLNRRYGTPGAS IQIANALCISLILHSQMNTSNQARRLPSEHRSEATRANNPRRSVSPSPSDRTLAHGTQ SNYYQSASSDIKTNTSSPKSLSSKVNDYWVLEIASCCGSAGALVGIIVFLNAYNGKPS PDWPYGMTVNSILSWLVQIFTALLLDPIAACLSQARWNSLGSAGCRLSDISLYDFASR GAFGCISLIWHSKFRTSACMGALITILVIGTGPFVQQMVTVENRLALSAEPATVPRSL GIIEYLGRSPSLPQDILAALYSAILGDTTNSNGNLTRLLVAPDCPTGECEITPFRSLA ACSTCSDLTNSVSTSCMDNWCRANRTFCQHSLPDGLTLNVSEYNGRYGNVASKMSSRI GYYNASIIANFSSISVTPGTDLTKATAHHCLVYWCVKTYTAGMRDNKPWEKLVDTWDD LTMPMSFGQNFTFHVPSQGNLTSSKFWVGIPTMKAWMYSKLNINPSLHCNTIETPYDE FRYPMIRYGIPKFCEKLALGITSGVRLYNSTPATGTAWKMETQIHVRWAWVTLPAFLV VSTAVFLGITALQTKSGGFDVWKSSPTPLVCATLDQRAQELLCSAEHPTEMEQLTSGI RVKFHKEGLHGGGRWQLGMM AOR_1_166084 MHQFLTLAALLATTALAADRTPALVARQVVELPCSYQGEKECGS GCIPLSYTCCPNNLGGCPLGSYCDGLGCCPNGKTCTGPGGVSTRPGSTITVTNSLTNT LTSTSTSTHTLSSSEVVTPTYTPTPSSSTSSSRSVIPPSSSAVPPSSSTPAVSPTSPP LHTGAASHLTPGFYAAAGLIVGAAIF AOR_1_168084 MERQGSVRRAREMLEAGRRHEPQADAGVPPLRRDVAHMTQWPLP TENSQMNMVDSHVRHLTPQGPPPQRPPRPDMPSPSVYSERSVPDVAPSPLHIKRPVPS FSQPLSQSSPPRVAVQRPPPPSPSSVAASTPRVSVATEDLLRHSTVSSAASMASIPDF PFPAQRLPADPSQRNIANLAPPVGRQVVNRVSSVSPIPEEQSDSKGSYASSRAIPSWT SAKAESEILGTYLDGASDDDREPDHAVNGNSSTLVRQASIGKRGQPSVCMIRRSTAES PVPPPEDPARARPAAPDVPPPSFAKEISTGKARRGSFLTSSSDSSHFDLDKAPFVLDV GQQHPEHVNSEALAKEIEVFPRAMPTMSDKRRGGRRPPPLNMGAVRDAEKRGSLTSLP DLIRRATKLATNLEHGRTASRNDLLGGGPSRFPFGHQHRGSGSIKDILASFPPPAATP ENGHSSWPFFFRRSTLHQLNSRESGPREVQEKEQKRPRRCCGMPLRLFIILCVVFLII VLVAVLVPIFVVAVPKHNAASAKTGCAKTAPCENGGVSVSSGDVCSCVCANGYTGSRC TIAGDASCTTTQIDDDSESRNATMGSEIPRLFEDSQNNYSIPLDPLTIMALFSQNNVS CTTENALVSFRNVSNNKARRSLPVDLPAAPLPEDQIGGSIPTATPENVPTRTLAARGS TSTMNGIIFDGSEPTEVHGPPTSTTPSTTKESSAASSTATTTTTPTPTATVSTEVLDF SRIAVLYIFEKTGTLDAAMLSEEKIETYLTGPYPSSKDKYTIDLTNSGVNGNYTLNFE RFQITSPSGNVVGGK AOR_1_170084 MAQIPTPPASRHGSESPGIEYKQPPVVDPSDLLQSLDTLLERYL HLLDRHQKLQAELATTLSSGFLSLAQANYTCPPGRRYGADYYDERMKATRKVASNANE RGVIRDLEPPTSTKNVEASSVDESSDESTKRGDYKRIFTIKPATSDSAEEPSELTKGE NQSHSSDAFTSECEVPEECDPKAEDSTTSSGNPGAQEPTETKPRSSEKTLRSSDPIRW YGILVSPFLRSAQKSFTEAVGGPLPELASVVVEMQAVEKEVKSVRKKIDQA AOR_1_172084 MSVPALQRDTAFQVRSLFRSLLRQSSQFSNYNFREYARRRTRDA FREHQRETEDRRIQELIQDGLQNLRMMKRQTVISQFYQMDKLVVEGQKTGKQTGKEGD IVRQKDTGWD AOR_1_172084 MSVPALQRDTAFQVRSLFRSLLRQSSQFSNYNFREYARRRTRDA FREHQRETEDRRIQELIQDGLQNLRMMKRQTVISQFYQMDKLVVEGQKTGKQTGKEGD IVRQKDTG AOR_1_174084 MPPTFRSSRSGRQFGDGANRTRTGQIDHDVFEGLPVRRWTRQLQ TVSQTPKLDGQESFVEGPGGKQTIPEHPMPKDSHLLTPMSRALLRAARAGCIYIRKAT KDAEDEEKEVTDVEEQPTLQTAERSFVTRKWTTVPRHLENSEVEFLAKRRPGLPSLYG ATTSGANGAENGSAPMRRTRFKKVDPTSGNISIYEAWVPEGHKIEGEITDDSQLVPEN SETIVTPEAPAPGTVIEGVGVVNAEGVVVADPASAAVMTPPKRRPPPPKRKAKGFGKG RRKKVMFAPGEGADANAVHGAGTGAVDGIAEPGYGKEDADRSQMSVDQNGQDEEEDDG EEGEESDEGDESMLDAKTPETPLHQPSAEPTNDPASGPVAEPVPTSTAPATESVVASQ PPAPNPPAVASDSSAQAFPQPPAVPTMPLAPQQPFQSIQPSTDLPSSTVPPTKPTQEL TPAEDVEMTDVNPDLPGVDTNAAPLTQTTPSTQQQATPQVIETQTETTMAAVPAQPQD IPRVTAPDPFPVVKSEDPTEKPLEVPPMEQTDLVVQAGVEDENVKLKPPASLPEMPPP EHAPQPEQALQPQQTTQPGQTHHPQPTHQLENTPKLEQAAEPEQASQSEQIPQSEPAS HPEPAFAAQPAGDIMGNNESSLLDSLEASLGHAPGVEKGSEAQFGEQTEALSDHNAGH PSTETTQQPGSMEIDVDTMDPSTEPSLQTPAPQPTEPIEPVADQPPNQVPEIATGNSA EQAAELPKEHTQPPAETPIEPSIEPATQEFTQTSVEQPVEQPAEPAMEQTEPKAEEPA PVQVEEPLGEPQGAQQPEAQPEPISQQSSAEDIEPAPASNSEPPAAPLPEPPQETPIE QFPQPTEQEVAQPFLEAPVKPAIEQVGEGAGVSQPETTQPLQEDEPAPPQQPAEPQPP QAATPPAPTGTEDARPRPIETSETSETHDFTAPNFSPISDLNPELEKKEEIEAQPKAG EAGEPAPPGPDTVQNPSN AOR_1_176084 MESQLRSAGAPPATPATLSDLNKHTHEPADDTMAFEYSEQLLTP ENSRSETSSNNENASTEEKPPVRRRSTRVTRASLRGEAQLDGDMEIDNQGTLSTAGEN NPVVSGETLVDKAEGGKRSQASHLRHSIAVMESWSEATLAQGNMETEGDHPLAPDTPV SKSSQELQASDMGTSLQQRTLRKRVERILTEEGHGNKGKVTVTAKEIKSPVRRSSRLS LLEKASDLVGRASSVLGKRSRDVMGKGKELGRRASLRPRTTAPKEEPTKAASEAPAAK KRRISESDLPVKIQENEEAVQEAPKPVVRSRTKRWLAHGLYTGQEHTESRPLQRDRLL KQGRDFQLPFDIFSPLPSGQPKPNEWRKTNKNVFVGEASSIWRANKPLELSKCMCAEE TGCDEECQNRYMFYECDDTNCGVGPECGNRNFEELKQRTKAGGKYNIGVEVIKTEDRG YGVRSNRTFEPNQVIVEYTGEIITQAECEKRMRTIYKNNECYYLMYFDQNMIIDATRG SIARFVNHSCEPNCRMEKWTVAGKPRMALFAGDRGIMTGDELTYDYNFDPYSQKNVQQ CRCGSDRCRGILGPRPREKEQRSKEKELRAENEKKSSSKNNNEKASITKQKVLNGSTS RVNKRQLLGSKSIKSGVKKVVSKARASASKATTASRTSLKTTVSTKTSKTTNKKATAT PTRRQVKKDNTKSKKEVKLPKVKTTKTKARAPASARKPAQKNKTQSTPLTSKLSRPSE KTKAKTLQAAKGTNARRRTVKKEDTKPKSPTKRTSKAKETSPRGKAASKAAKNTKA AOR_1_178084 MAFSSTNSETSDAPHLGPTQHALGIPRPPSVGGISSRVTEDIAS EDGDQSQSNTGVSSHAQHRSRPSVSSRPGPPPVRSSIISQATNRPGSSNSRLSRSHIP SLTAQGFFRPLSSQRLQAHRGRPMTKGTESSEDWVDHASQNRRSLISNSTLAQSSIPQ EQEVPPSRGTEFTDPIIPDRNTSNASPIGNTTARSIGESAKLLRDKERHNQPSQPHLN LGVSASSQNGHDISQRSPLSFLSPPNRNGGQEHRDSRNHERLSSAGSSPGSIEKQSRT VSKSRLGKNYEYFLGNTIFCGGGRFQNSRDKPVNVATGVLVVVPSALFFGFSAPWLWH NISPAIPILFAYLFYLCFSSFLHASVVDPGIIPRNLHSMPPPDPSDDPLAIGPPTNDW VMVKLATSEVAAMDVPVKFCKTCNIWRPPRCYHCRVCDNCIETLDHHCVWLNNCVGRR NYRYFFTFVGSSTLLALFLIGASLAHILVYRSREGISFNDAIDQWRVPWAMVLYGAVA APYPASLWAYHLFLVGRGETTREYLNSHKFAKADRHRPFTQGNILKNWISVFGRPRPP TYMQFKKPYHEGDQRLSMVKRKYLPRDVEAQAGIEMQHVPSDQPQD AOR_1_182084 MSSIAAMASRQAFARQSVFRAPARRFYSSKLEEASLDKAPRRDP ELYVLLGVMSGAFLAAGWYFGKKPTSVTSESNVRIGDSAMPWEREDDGKVYKYQYHPH GDKSQPLRNAPSALNTVIVPNVTLPADLHERYNKYGKEEWDY AOR_1_180084 MSPKSKNALEVQPPTKSTRSSSRSPRPKNRKKAPVETLNYGSDG VKDNSIFSLPTSDYKALVLVTLVATAVRLFRIYQPTSVVFDEVHFGGFASKYIKGRFF MDVHPPLAKLLITLAGWLAGFNGDFDFKEIGKDYLAAGVPYVAMRMLPAIMGVLTVSL MFLTLKASGCRTTTAVLGAGVVIFENALVTQSRLILLDSPLVFFTALTAMSFTSFTNQ QELGPSHAFRGPWWFWLAATGLCLGATLSVKWVGLFTVAWVGSLTIIQLWVLLGDTNN VTPRLWFKHFFARFFCLIVIPLGFYCGMFAIHFLCLVNPGEGDGFMSSEFQATLNSKA MQDVPADVAFGSRITLRHHNTQGGYLHSHNHMYPTGSKQQQITLYPHKDENNVFIAEN QTQPLGPDGEIAGPFAWDNITAEYIEDGAIIRLNHLMTQRRVHSHDERPPVTEADWQY EVSAYGFEGFAGDANDMFRVEIVKSMSEGEEAKKRLRTIQSKFKLVHVMTGCVLFSHK VKLPDWGWEQQEVTCAKGGTLPNSVWYIESNSHPMLPADAEKVNYRNPGFLGKFWELQ KVMWTTNAGLTESHAWDSRPPSWPTLLRGINFWGADHRQIYLLGNPLIWWSSTLAIGI YVLFKGISILRWQRNCADYRNVNFKRFDYEVGTSVLGWAFHYFPFYLMARQLFLHHYL PALYFAIITLCQEFDFLANRIHALGLASRPAIGKALAGIFLALSIFTFTLYSPLVYGN PWTPDACRKVKLLDTWDFDCNTFHTDLGQYVTHFTNTNVAIPTTQAPPPEAPVAPPPQ QDQQILKEEAEEADDANVTPEPQPPRAKARVEYRDQDGNILDEKLVAALAKEGKVSFE TRHETRTRLEHGHEVEMINGQVAPPHPDVEGQNPETLGKQEQSADDGPASAAGDSSAA EPNSPEAKPASEGNEATN AOR_1_184084 MASTSNGNTPNLPEEVSKPPEGVVLPPKDIRAIVEKTAGYVARN GIVFEDRVREKERNNPKFSFLNPNDPYASFYQWRLTEIKEGRGTSVSAGRPGEPAVAP EPEQPTGPEPPTEFHFSARMPIINAQDLEVVKLTALFVAKRGKSFMTALSQREARNFQ FEFLRPQHSLYQFFTRLVDQYIILLRSEGLDQATSEKARLAELEHNVQNKYHILDRAK KRAEWVKYQEQQKQKKEEEEEQERIAYAQIDWHDFVVVETVLFTEADDQVDLPPPASL NDLQSASLEQKAMMSLNPLRIEEAMPTEEEAPTYYNAYPVQPEPMPQPVVQPAGPAFP PQVQPSQPLPVAAAAAQEEDQRIRERMEARDRAAATQAAAKAAPGQQPMRIRSDYVPR AQARRLNQSGATALCPNCHQQIPVAELDQHMRIELLDPRWKEQRAKAESRSATTNLST ADVVNNLKRLASQRSDVFDSTVLPGAPDPEEEARKKRMAFENAPGAGPTPPMVGPAGG PPNPQNMNIEEQIRHLHERYRQ AOR_1_186084 MADLIAFHTYYSRHSFLSPSTMIPRTNNHKRSNSSDNRTLSPDR TLTKARSANDLSGVANGKPVPTVRSTSVGNFPESGFSTLKDPRLLSTSVETEPTESSF HHPDLSNEVAALSVKLVQAINNQTTLDDTLVATRQELEQAQTKIRTLESENEKYRRDI DQEVFIKKADVDYEILRLKAALADEKAQRALVEKEKKGIEQELETLTAALFEEANKMV AAAKLEREAVEKKNEQLRAQVKDTESLVASHQEQLAELKSVLQEMNITKDDIEAGTIG STAPASPAKQQQQTPAIIKHSAETPVLAEPAPIQEELVPGPSTSFPHLIRPICRTDIH AFEEFKELFTLSSVSKPPSRATSGSYTGLNVMSLAAGFSSGGFGSASSSPAKSQTHSP NGSISSPQPANSHIPLKETRFYKRVLNEDIEPTLRLDAAPGISWLTRRAVLSGICDGS LVVEPMPASAKKYEFPCSLCGERRTGPVNERTHRFRTSDSETAQRYPLCVLCLEKVRS SCEFTGYLRLILDSHIRVGDTEDEKDAWEETDPEPVAGDHSDVSGEVKEVLTTTSNDD DESNDHDPRRASLSEDPFESAASASPVSSTAVSAPYDGSEAQKYGKDEVSEQPLEQAE ACVIANSGKHEDPKVLLQPGESAISQAAVTSCEKVDAQLSATENQQG AOR_1_188084 MADAELEEIRRARLAQLQQQQGGVPRGGPAPDGQDDQRKQAEAE RRSAILNQILEPEAADRLGRIRLVKESRAVDIENRLIMLAQTGQLRQKVSEDQLKQLL NAVAENQRKDEEEHKVVFSRRKGGWDDDDDLLDL AOR_1_1266084 MLIDLYQWYGVAQGHVYKGMSPSGFNMSVLRRRGALSLRELSLS TPVTVVSCRARSFSVNNRPPPKYPGHVPLNFVERGALAVGSAVGALLNPRRADLIAAC GEATATPYFIYRLRDAMLSDPTGRQILRERPRITSETLPLPYLRSLPENSVGRTYAAW LDREGVSPDTRDNVQYIDDEECAYVMQRYRECHDFYHAVTGLPTFVEGEIALKAFEFL NTLIPMTGLSMFAAVRLKPAERERFFALWLPWAVRSGLASKELINVYWEKILEKDVDE LRGELGIEKPPDMREIRRMIREQKKREKERLQQSA AOR_1_192084 MNPNWWPGEEHEQFTEWAISQGIIANGVGPARFPGRGLGMIATR NIEEDEAIVTVPLKAMLTSERIPSYFTSKFPDGTPTHALYAAFLTNGNAEDLEEFNAW RKTWPSRQDFEDSMPILWSESLRNYLPPSISSHWHSIQSRDKLQYETTHQNLLAQQEQ RLRTAWDIVVSVFPDTDWETFSYHWLIVNTRSFFYLMPGQEPPEDRNDAMALLPFADY FNHSDVACNVKFDGENYVFRATKHYDEGEEIYMSYGPHPNDFLFAEYGFYLDENESET LYLDDIILKDLSTSLQEELEFQQYYGNYQLTATGVCYRTEIAACINYMPLEKWRNYVL GYSAEGADEKKMEVMIQGWIRAYSNEADTVITALEKIESSQADKKDHQRTKMLRKRWT QIRDLCIKASEAASC AOR_1_194084 MSWALGTLERPVPYWNKDGTAENGNDSIDQHTEGVGNEELLAQP ADERIECLIVAVKGPVTVAAMDSVKRRLTPDSTILFLQNGMGIIEELNEKVFRDPRRR PHYMCGVISHGLARRKEPFQVCHTGVGTTILGSVPPADAVSPANKVDVDWAPSTKYLL RTLTLTPPLVAVAETPSSLMLYQLEKLALNCVINPLTAIMNCKNGELLYNYSFTRIMR LLLIEISSVICALPELQGVPGIESRFSPERLRMMVVQLANKTAKNHSSMLQDVLARKP TEIEYLNGYIVRRGEELGIKCVVNYMIKHLVLAKGLQTKQVESGAIPIDLLREPQI AOR_1_196084 MPTIIIQKMDATDLLPLLEQLDDHVDDLEEALAPVLNSAITDTS KKLPVLDKAKFHVLITYALESLILSYLRLHGVNAKEHPVFRELTRVKQYFEKIKALEA EPEQRTLTLDKQAASRFIKHGLAGNDKFDMERKEQQAKEKARAQLKASLLAKKAVAAA PESSSKKSADDSASGSDSESDSGETAKATTQSGDLAKKSKEMKVDKTAKRESAKNKKK RSKDDRKEEQKERRKKKQESRKARKAQ AOR_1_198084 MPRQRRGAAPTPARSAPTRPTAAPARPAAAPSAQHSQPHSTAAH PQSTSQQAYPHPPPQAAPVQQSAGPGLFGQMASTAAGVAVGSSIGHAIGGFFSGGSSA PAEAQQAAPAEAQPMDTGLWQSNTANSSYGNPACETDVRNFRQCMDENQGNLSICGWY LDQLKACQAAAKPY AOR_1_200084 MFFSGTLQEGIALAVSQAKAVICFVRDNDQTSNLWEEDYFADKD FAQLLGSRSVLLRLTKDSQEATFLTSFCPVTKFPAVVVIKNGMLREYLVPDISKDDFH SRLKAVLEDSKPTTQPSLNSPAQGAQGHTANPSTSSHTASATAPQSEPSPAPAAAVPA RAPASTEPPVTGSQRRPDQLEYNSLRAGGRTYRVETPSQAQKPQPKKQETPKPQGIGK PKDSTEEQKEPETKATKRSASVERTNTPADERKPQAPTPPKQYRLQVRLFDGSSIRSS FSPSQTIRGDVRPWIDSQPGDEKRPYNLKHILTPLPNRTLTIAEEEQTLAELGLGSTA NLVMVPINTYTEAYSATGSSLPARAVSSAYGLVSSAVGTATGLVGSFFGYGQPTPSPS ATSQASTSSPSPSGDGASRPRPSSSRGPIIRTLRDQRNEQNDSQFYNGNQLNFEPRQD SGR AOR_1_202084 MRSIFSVLQRAAATSWQPPIAASIRGPRMAFKLDSICARCRRQQ IRFYSKQLADDPRWLSVVDHPAQIVRTGRKHGPGLIILALIPIISFALGTWQIQRLDW KTKLIAKYEDRLVKPPLPLPPQIDPDAISEFDYRRVYTTGRFRHDQEMLVGPRMREGE DGFIVVTPLERGDGESTVLVNRGWISKKMKDQKDRTEGLPQGEVTVEGLLREPWKKNM FTPENKPEDGKFYFPDVEQMAELTGSQPVWIEETMIADMVEYYNRSGKGIPIARAAEV NLRNNHSQYIFTWYGLCFATSIMLWMIVRKRPNEATRRVRQNVNW AOR_1_204084 MSTPKPRGRRSPRESYISESFTPVGDTTLTGLQRLPIHTRYPLT PGRLAASGTPSRRTPRNRAAVAPSTPYGLRAMQRRAANTPARDRRKSGRMQRETTFDI LRNLGRALAPVSQPIRSSPQEEKPESEPELEKDEIEELDNEPEIERPRLSLPLEEVEE QEEASPEPRPPRLSLAFEEEDITVEYPRRATSEHDRARLSMMSFGNPRLSENFGDATR LESDSEDGGDSGIEHGEDGENQDETVMSQGAFDRGGETEDLGRFNFDFNFPSPPPPPA DDMDGPLNDDEGFELPPVDLVQDTAPMSDDSDDAAVTAGEFGLDLHMPSRASLSESPG IVGGGLRDEDTITVQGKQKKLSRHGIPVPNMPSGVIRKLATRFAPARAGSKAKISKAT LAAIEQASSWYFEQVAEDLAAYSKHAGRKTIDESDVITLMRRQRHINNSTTVFSLASK HLPKELLQDMRLSMPP AOR_1_206084 MSAEAAKSSGLSAKIDNKRKRQAEESSKQAGAATGNAEGPSNKK RKNGKSKLKKGGKDKKDKPQLDASEKEQRDAKQTETKGGIDEAIGKMDGRLLADHFMQ KAKRHNKELTAVELSDLSVPESSFLDTSSFDLPRQLEKLPAFLKAFSPKGSDLSKPSE EKGTPHTLVVCASGLRAADAVRALRTFQTKESPIGKLFAKHIKLEEAKQFLERSRIAI GGGTPARISDLIDAGSLKLGELQRIVIDGSYVDQKQRGIFDMKETHLPLLKLLTRSEF RERYGAEEKRIQILIF AOR_1_208084 MIPHSSAGVQSWGHPLRAVNNGSGHVDASQAVGPPDPQFEKLPT PVPQPQPRQPAVIDLTTSGGDAQELEPPPKRLRLDLPAAPSARDASPAPGSGGELRST PGTGGSKPPSLSWRNRPVWSFQAMLSEVPGSNVMNEEDATAVAQGGKPASPPSLPVLP WKYIPESLGSNPTTSRASSPVKEVQTIPYRIETPSVAPVLKGEKVADFSPWIGNHPED VLNEQTAKQGHYDRTQVSQNESNTARPSLYAQLKHRSGLQMLSSVFAAALEKRQNHSL VTAPSTFKPPPRVTLTDNKREAWLRDLANPSVPLRKLSRTIPHGIRGKALLDQCLNKG IPVNRAVWLAKCVGANEIRAFKRKGTSGTLALGLEAKWVRDWTASVQQFLEGVLGACG SAQWKMKMTYAVSLTARLFFERLLDHDQYLGWFLSSLEAAPVNIVPVWLLMLGIYWDN IMRYRKRGRRLAELLLVKLRQNLSERNSRLQLPKNHQDTAERSPQQRVIQLFDSIRSA HDISSASTACLKTIEDKAILISKLLEWTATPFRYGLCRVYTGVRLLRKWKMSGIDVDS YILSFLADVRVTSALNMENIYHIISELVRSQTFSPVPSDLCLLKQLPANRLPEHVRNL RNTLLYRAGIPVMEEDSAIAELKISIAQRLPNIFGAEMDSAMPTESSQPHPTWAVKSE IGQWIRHGIAGHCRDSPRKLSGVSVAVDPGASALTPDEFYSVREILETFGDLSMLADI LKQATRCDDDVVLASVADTVNCHFDCFCVIGATADLFRGLVESYARLKRLGNASLDLL FSLIELGLRIPSEFNTVALLRQDLTRLENKSALAAPSPLSDSIPLALSDVDPSFQEKL NQLLSSGGGMDESTMDTVFYSLMHILENSGSPAKLSANETARYLAYLRPFQPKHFDTM LIRWICGLLKSSTPSMSRILPPLIGVGCVTIHAFVFLVKKLLQSEKVAAVIPNLAGLR VDLLQLLVPLVSGKSKYADLVTYRFHVAQQEFFMKHPQETLDIICDAVALVNSETGSN PGQPDIAGCATELLDILLTQNPEVTVQYCLQGFIGKHSTSTTVLERALDNLLGFDSLA GPPTMSEAEKVVRMTDDFSLPFCQLKLQMLFNAESGRNVGNGIVDVMFKAAVEDTRSK GSNWVGFVGLMSQDIIRQIRERAERALFSIPLFEEQLEAHGHPGTAKSLETAKLYLTI IEKLAYSVPEAGVQSVAPILVEKMDLLLHRLVIMQTNFNNVTMNRHGAATTQILQSRS NFERSLAFWFSAFLRMIVIHRSAFTMPSPAPRANGLQEQSRLLISILCISLARLPDSV IRLFPAADYFPHPIPSQGYRPCPGILLQTHALDVAASLIDTFPDEARQQCARFLKEKC PPFLQYQNDSRFIYLLGPMSDAAALNSLQAASLPSPAAGGSTPTPTPSSALPGAPSNP QPTAMTPAVTSASLSEGINCVASHLRLQYRGRAMGPYPVRPWELLEDAAPIVGVNDTA VNLKYFDARRVRA AOR_1_210084 MASKKDMRRLDLAIPYVDPPANKDDADMSGAMTSTMPMAAMFTR NRMIGWVSFVFSLQNWLGETPEQKRTASTPAYMSVFMSLMALVVTYFPIFMPPPAVPA GAATATPSP AOR_1_212084 MSIENLKTFDPFAEADEDTGETKQSQNYIHIRIQQRNGRKTLTT VQGLPKKFDQKKILKVIKKKFACNGTIVADSEMGEVIQLQGDQRKDVQEFLTDKKEGL ELDAKTIKVHGF AOR_1_216084 MIKTAKEIPTDHSRDINSAHRFSVRSASCASPIRTLGHGVDSIG SFLKDGSIAGLTPVASSLCSLTSFSILLRNGRICRIIRLAPPRDAPPPFSPHARHPPE AAHLSTLDPDLHSQLLASISKFPADAFKKDVASPAPAAAIVPPSPDPSTPQTPNSNDS GHATVPSALHPRVAVILGVDRKWYLPLLICRALSTVPAAWWGLRCAFTFLAELLHIQA GMGHEGWTAAIVDGINQEWDVERRFRVTEVALAIMWCCASAYLSYFFADCMMSRWLLN YTPPAVVIRLLTTNGLIAYISSWVLYLSGASSDPRLLLPAWISITTTLTFLYHATQNH AMIKRETAAALLVVSIASFVSMSSLLLQLHLTRENEPEVPVFVITRKLWDWAVAIFLR MRVADRAGAREL AOR_1_214084 MATSLSKGPVFTAVRSNNALFQLYSCQCRFFSQSSQRSAMRGIP ENIAIKQPAQPSMATRGMGLSRSELPQDIGLLPGTYVRPLWRDMPSIFQQPQERLQLE WLWLKSGFQNFLGLVAYCKWFNSGLPLRLKERRQAARELHQRMYSAFAKGDISTLRKV CCTGLANNLSSRIANRPRDEKVSWSLDKYNRTPATLLTGIRVLSDRATQIPEIPDSGV RQVVVRITSRQSTGKSKVVKTGKSEVSVESTAPPKQQDCTEYIVLQKLRWYGEDEDWR IWGHATPTTVEDLANPMFVSGLTFAERMAAMKEQFEGKK AOR_1_218084 MADVSLHDGPAPSAPSPRDADAPPASADSAGHSATPAISDELKA RMDKVVYSDIGITTLLTRLKQSVASARDFSTFLKKRSSLEEEHAQGLRKLSRSLLDAS VRTDNRQGTYGQSHNDLSRFHDRMADHGLQFAVSLQQMADSLHELASNIERGRKQWKQ TGLSAEKRVMEAEAAAEKAKAKYESLAEQYDRVKTGDKQSGKFGLKGPKSAAQHEEEL LRKVQNADSDYASKVQAAQAARQELVSTHRPQAVHNIQQLISECDSGLTLQMQKFATF NEKLLLGQGLSISPLKDSAGNAAIAPKSLYEVIQQIDNEKDYRDYVLSHQNNPGAVTS EQIKYERHPTLGGTTGPVVPASQTSTQNKRNSSMLLQSFSQQHLPAQSSQQSPAPAPA PTAAPAPSTQQSSYPHNPDSYSSSTFQPPYPVSDSPAVPEKQPLNPPSMAAAPSPLPP AGGTTGNFQQHLPPLKPVFGVSLEDLYLRDGTAVPMIVYQCFQAIELFGLDMEGIYRL SGSANHINQMKQIFDNDSSQVDFTNPENFYHDVNSVAGLLKQFFRDLPDPLFTSQSYT DFINAARIDDDVQRRDSLHALVNNLPDAHYATLRALVLHLNKVQEHYTQNRMNAGNIA ICFGPTLMGASSGGNVADAGWQVRVIETVILNTFQIFDDD AOR_1_220084 MDLEFRGVMAPHGLGTHASLLTPSGTPSDLRNNPRMPRPVTGFD LSARNSEPREMEKIPPITGKRRGGSRKACNECKQQKTPAAACSRCRRLQIECKVEPSF KRISKRRRNAEMEKEIAELRRRLATSADHPHGVEATVSDELSPCSEEVFCGPDSAVSN RTRTLSAPLEPQPLATPLTIQRDASIMSQEDNMWRLEDVSLSRPRVARLFEQYFKYYH PFLPLLNPQKPPEEYLRRCPLQAWTIICVASRRAPSEPGLLTALSGPFSRFLWSTITG VPQDYRVVKALCLLCTWPLPTTSQRTDATFMLSGLMMQISMQLGLHRPVQPEEFTTFR MEVQGEAVKDRIQTWAICNIVAQNVATGYGQPPGTIYDWALEPASLQDADYHPSHDLQ TRLRIEKFCDRVTKSLYSSKPEPAEFISSEKLLIVQLLENELRDMEVDLGRDISNINM IHLRAAELHLRYFVFLGSNPRSDDLTKLFIATTSFLGRVLDLETSPGELIGHATNYIL QMIVSAAFALMKLLKSDFSRHIDFDHGKLLFNGAISAIRRISVMDHDRPVRLADILAQ MWNAGGSDPSGEEALLLKVRCRMSMSHVYDTVWRWRQRFRPIKSVEDAQASLANPNLS ATAGPVSRQDDSLEDPGLMYAPNFDQGGAFISEVGFSEVFDSLNWVFDGIPDSFVAPP VM AOR_1_222084 MASEEVNVVAIMYPKSGKHDELSRHISELTRQVHATEPDTLIYY AFSIKDGNEIMVVERYRDQDALHMHLLSPHFQEFGSKAAGLMERPYDVKVGHGILPSS VGVTRVQS AOR_1_224084 MATGPATQSLKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFD NYTASVMVDGRPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVKAK WFPEIEHHAPNVPIILVGTKLDLRDDPATTDALRQRKMEPVSYEQALAVAKEIRAHKY LECSALTQRNLKSVFDEAIRAVLNPRPAAKPKKSKCLIL AOR_1_226084 MSDKKRKIVENAPEEAIEPQGKKAKCEEKKEKKEKKRKSKDVVE DVKMEDTGVEEQTKSDEKEKKDKKDKKDKKEKKEKKEKKEKKEKKKEKKEKKDESEED APEVNGAEEEKQETAGAMDVDEKPTEESEDKVDKKAQEKKERKEKTKQEKKAKKEKKK AEAQEQQSVSNGQQSQAEPAEDDAPKQKGSRFICFVGNLPYSANHESLSAHFEKIAPV SVRVATQKEKPTKCRGFGFIEFDNYDRMKTCLKLYHHSMFDDKKYPPRRINVELTAGG GGNNENRKAKIEAKNKKLFEERQRNAKSIHQEKERKAKVEETGVDEYAGVHPSRLSRM A AOR_1_228084 MGYMNQPKFMELYAYALESDFQIPDGESAAWAPGHPFHWGQELD KIILGGVGSSLSLSPDDQFLAVGVGQNIHVYSTFTREYVETLTGHLDEVSRVFFASRM IGCGLYTLVSSAEGSIVIWELDRDGKNVSAEKENKVDIDTLSAEAADIAIFKIVSDHG WALGDRGGLQDNYN AOR_1_230084 MVYVTQNNTAQNEMRDAETLPCVNIRNIEAKENRYRLLGHTDTI MWVATSPNSTLVASISWDGTARIWDADDGDCLQVLGPFGGQMCPKAVVYVYDIEKAEE VLHFEGCEHWARSLDWSPDGKLLAVGASDSSVRVLDPYTGEEKIGWRLKFDNRLMRRF AGIQGVKFIDAGRKLMFRSTVGFTEVYDLQLTKQQFARGPECQLERGSTSKPIYTADS SLPVVSDADGAVRFWRHCE AOR_1_232084 MRLFVGHFVLSFLLFSLNIGCASAGINTSCLKVVTALAARPGDL FAKFQEHICDQGCRPTVPHWDLWTRNNSFLPAVRSVTKRLEAPRHEEAMIKLGDDAAD IIKRRCGPLLHGGDLCSDSETLAGFGNCFKTNFVRAAVMNLPTLLPMVSEEVCREQYE YLKTDRLWEEIIPNNMKEYASVCQTLGDGAPELDHGRVHEEL AOR_1_234084 MASMTSFARALRSTPTTHTTTCSILRSHLRPRSLPRSVSPRSAR FVHPGAYQPFVPPSPSELGKPAPAKTYDRSRKWLRRLVYLSLATGVVYAIDTQFYASS LTRTARTFTLGLIVALDYKINFRPNPLLASSINHLHTRNAERLSDLLRHNGGLYLKIG QAVAMQSAILPPEFQKMFSRMFDDAPQNDWKDVEKVIREDFGKSPEEVFGVSFTGDPT KGVMERKARASASVAQVHWARLADGREVAVKVQKREIAQQIQWDLWAFKVVTWVYSRV FDIPFYSLVPYVSERLFLETDFENEADNSEHMARLVAGESRLRNRVYIPKVYRELSSK RVMTAEWIEGVRLWDKDAITRSWRGGWHQGSPGCHGTPMDPPQSDSTSTKVSRNPNAT QLKPERDHWRGWNGRGGLGLSLKEVMTTMIDLFSAQMFLWGILHCDPHPGNIFVRRKP SGQPELVLIDHGLYIHMDTDFRHQYARFWKSLLTFDNAAIRDIVKQWGVTNPDIFASV TLMRPYQGGDLSTQQHFEGLSKKDRAQRHYEAQQAARKGIRQILGDESKWPKELIFIG RNLRIVQANNQFLGSPVNRIKITGTWASRALVESPDLPLNEKIQNYGRHILFRLVLFT SDIYFYFTKIRQFLRLGGGMEDSLEAQMQSMAKDMGVDLQQNVFEG AOR_1_236084 MNGARDSQVKRLSLDIKRKQVPSVSARRPVEGAVADPGYHTDPE PSCVHRHRSGLTQDLDKEVVAALGFSDADSRSGQSGHALSYRSTAGDLAELAASDSAV LAPTGSQEHWELPGDSASSAHRLAPVQPGRDCPPRKPLSRENESPPMTPIQVAINDAP LLIPEYKSDQRDIGDKILSWTEQANSVDDPMDQPNNVQPQPASEEPSPERETTTRAPS STGKRFYSSVMETKNRDSQRSNGEIKTATLLDPSHHRRGSSVQVRLQPVPSVSSLGTL EADRDPQRPLRQVLGQANSSRVSFLSDHDGGDGQHQSLGGILRPDRQGRRPKSPWPGD EAEHASRRLSGVFRPLLSGSRPRDASQSTDHAPSNPPAQPASKQAPTQTALSTMEKLK VVGNKIRRPSRASESHGVKTGQPHRKALDKISGFFNRRAEHGRPKSRAAETHVPPVVQ IQRQAHSMDRLYPVSSQRTSTSDHSHLPSAEYDRPRSNIENHSFQGQPPPVEGYFAPE SFSRLNDPQEPGTPLTQQITVEDVASNTITSNRIPSPTDYFRHRHSGSGSGNGRLTPQ SPLFRPPTNPQTPQYQQPPIASPGLASPLRASPIASPVVPAPQSPPSRGRSEERTYAQ DLNIRSRSPKTFAPRPEERHIPSTDTTDPAYHLGIFRQNPRTSRIGDQERPWKLTIPG ESEEDKPPDNALAWRQQTTQGVLQCGHDRLPTYEEDTKDPPQENPRDEKPPLSDTPAP ARPSMPPLSQSTGNLHPTRGEGRVLNNDAPVELPVQTDDDSSEEILMSSTAYPGQEWR PVGFSGWE AOR_1_238084 MARPFQNPYEPVDSPGADNPLSPQPRGGTPISFKTNVNRAKTKR WVEAKKISYDGNDWGDDEYDEYDEDPVPPPPQQQPLNQSTGDLPGLASRNIPKPWALG MDRSRSMDQVMTLGTGPVADSRSHSADRNAEEQNHNLPLRSADIYSRLREQTVGPGSP PSLSRASTDPVPTTSPAGQEAKGKQSENQSVPSRPQNDVPIIGLPDIRRLSGFGADLT AAPSSDAQKDQPAEPQQQEPQLHHNPSVGFRSVVNQAFDVPETPSTIIDSITRSDSNS TSIISPIIPPRGTNEKTPTIEEEPESTSPPRGFKPGHRRDLSVPSPDNSPLRRPIITN NDTIAPESLAEMSSDLPSDSPQDQSTPTYQQATVQPTTTAAEDRPAPLKISGNMSPPE NSIPTIVPSLSTENSPQDTESDRLRKEIIRSLSRENTPSEEPDPQDRSRPQTSRQDSL IPSEYERYWNETASASPQGEFKPVLGYNPAQNESQDLYSSSPLQTSTTAPNAAPQQDI TPKLKRRFSWESASSEEQLVPATNVQSPPVGPIPGQFPVVDEKSLQPEPEPELEPNTV APPVETHDEAERDNVPEKPKLTIIPPSATDNSSIVSGRYLPEVANAQTDAVTPAPALA PTQSPSIEASLLGFRDILELKTSDERVKAFNKTRDQFVTIDTGLNNWLRVTIHAHPEY ADVVQQSLKQTTDESKYPVPRAKFPKLSSLGNLVSSHQEGSSGSGHVRRPSTHLGSMM NKQHVEQRGKDFLHTAGVFGGKAGEAAKGLFAKGRSKFKGGGSDKVEP AOR_1_240084 MTGPSIQDRTGEFHAILGQAQKRVATNKVGSQRQALLSDSQRRQ ANGSANGGAQPGRRSEFARRAVEIGRGITATTAKLQRLAELAKRKTLFDDKPVEISEL TYVIKQDLASLNQQIASLQALTLSQHPKSNRSKADQEGEHNDNVVVMLQGKLADVGAN FKEVLEVRTKNIQASRSRTENFVSSVSSKSQAALDTQRSDSPLYTSGRRTPQPGGSSD LLTLEPSNPSPLGRPSMHSDQQLLVMEEAQTSNSYIQARGEAIDAIERTINELGGIFG QLAQMVSEQSEMIQRIDANTEDVVDNVQGAQRELMKYWTRVSGNRWLIAKMFGVLMIF FLLWVLISG AOR_1_242084 MSPAVIERVRSIIHRAKNSAKLRRRSTISSTQKRESIWKRVPDS VLVRVLAQCELQDIYSLLLSCRVLRRRVSHLEYAISQAYLHHRTRPYQYTTETGHELV PSVGDDLTFISSLFPPPPPQYTSTGAGDDLPEYSFGYLADLTRCWKTCIKLSYYLTEY VVHQHLQKDSLWSSKTEKEVVYSKGVGLLQSRLLSPVAYIIFFLETHASDPQSSTRST NSQHQSLKSQQSILQQPPFNNTQTLLSTHHAMHLLCSSVRHLMAPDIASASTEAWLGL LLTTSTLERIMEFFVAAAKDESVKVAAADANGHSPTWTNRMEFMWQMRRDWGEFVASG ALTPPKLSEVWFEAAQREICRRGAIPHECEEVVPVLHGPGVALRCEFCEE AOR_1_244084 MTDSNDRLAVVVQQSPMTAEYQTPKNPSCVVCARRKVRCDRNDP CSACIKRGIECVFPTHIPPRRRKRQRSEEDRRHSGFYQSPIRQDNAASARIRNIDHPA TTHNAFPSASRAEQGMLLTGDGKSVYLDSNIWTSVRGELPRAEDVLRDMSDNGSNHSV QEVDDETSLILGGAVKKSLSELHPSPLHIFKLWQTFLENVNPLIKILHGPTVQQQLLE ATGSLETVSKEFEALMFSIYCIALVSLKADDVQNTYGESKAVLLSRSRRGARLAFTKA GILRTSKPVVLQAYVLYLLSLRASSDSQSIWSLCGVAMRMAQRIGLHRDGSELGLTIF ETEMRRRLWRQLSILDVTTAQSSGITSQFPYFSVDVLPPSNINDSELDPRMTDPPREH HGATEMMFVLARNEYGEWMRRWSKAGGESHGGRGFLASSLLSPEAKDEAINELNRAFE TKFLQYCDKSIPLHYMSARLMQTVVCQMRFSAHHPRQYVEKDPVSLAERDFVFSTCVQ ILEGFEDCQSNEIIERYLWHVDNHIPWDALIYTLYELRTRSDEEETKRSWVLIDRIYS RHYDQMRNRPKTPLHIAVQGLILKAWKSHSEERTRRNRSMLPRPHIVSVLSERSERGT SSHQPRSEPSVSESGTPRGRTTQDITPGNVGMLDPLNNLDLSPLDWSQWDDLLENFQL EFTNNELFSTDAL AOR_1_246084 MHHAPPPTSSSGDLLVSAPENHLSVNWDPSSLANPPVPRIDNNP KETGGTWGDGCSAATSLTDNQDDTTLRSSLARHFRTNIDTTHTDIVLIICGFVSGLVD GLSFNAWGSFASMQTGNSVFIALGVSGQPAYPAYLWAKSLIALTVFITSNILFIHVSR ALGPRRRSTLILSFAVQTAALLAAAILVQLGVISPKPEDPRAPIEWMQILPISLLSFQ AGGQIVASRVLGIDEIPTVVLTTLLCDLLVDPKLTEKVNPKRNRRAGAFLALFLGAMT AGGLSKVTEMAASFDGITTIKINRPHRRNAVDPTTAKLLYEKILAFENDSSQKVCILT GMGGTFCAGADLHEVAGQDSRDATSKETNGGRSHFQAPPESGEQSLGPMGPSRLQIQK PIIGAVSGYAVAGGLELSLLCDIRVVEEDAVFGVFCRRWGVPLIDGGTVRLQAVVGLG RALDMILTGRPVSATEALSMGLANRVVPKGKAVEEATAIAKQLLSFPQLCMNADRESC YYSAYQASSFQDAMRHEYEKGVKVLDFESIKGAAQFSHGAGRHGSFKNGSRL AOR_1_248084 MAPHYAILDTRALEAASSHLAKRDLTVTHTQAVTLGVMAVYVVV IALLWNLPYVRWSLWPFKMLVIAFHEFGHAITACCTGGKVESISLDPHEGGVTHMRGG ISAVTLPAGYLGSSIIGALLIFAGFDIVASKVASIVLGVCFLLTLWWARRDWLTIVTI LLAVGLLVACWFIAHGEALKWVVLFIGVMSALYSVWDICDDLIIRKVNTSDASVFAQR YGGSSRCWGVIWSIISLCFMAIGIIGGIAAFRESFSEQEDSSKHFIPTI AOR_1_250084 MGAILSKIIETYHALAAFWSEISQNAAESSALLRRIATPEFPVP DPTVSFWQTNPKHQELVHAKSEQLPQSADIVIIGSGISGGCDSGGTPGVQRCNRKKWR SFEMFSLFDVFRVEELVKDEGLDEAEAREVETVDLFTEQTTWDKAQEMVQELRRDAPE YAEDTMVWDAKQAQERFGASSHCLGAISYRAGAVWPYRLVTSIYMKLKSQYHSSFSIE TGATVREIHVEDNDTTPYLLHTSRGKIRATHVVHATDAYAPNLVPGLKGKIFPVRGHM SAQAADGPSSHLDGSRSWSIIGKKGFEYITQRPRESAGPHSSGGEIMLGGGVYKSDGK GMDEIGIWKDDCVDPTISAYLGGIWSTVLQGEHTRVLQLWSGCMGFTPDLVPFVGKVS PTFTKRRRPYNKRKGAASESGSTANEWITAGFNGDGMVLAWLSGTAVGLMVLGREDVH LEARAGRPAGKVTEWLPKELYLSESRVRDLSIFKLARFL AOR_1_252084 MGTESVLESKPSVENREAVDDASSNHNVERQQVLSVGLNDALTK ERMSPWSWAMFRLYGLVALTTLNCCMNGFDGTLMSSINAMPTFHEHFGTRMQGSGTGI LFSIYAIGNLAGALVAAPAADTFGRRFGMFIGSLIIIVGTILEASAPKVAQFIGGRFL IGMGISISNTSAPIYLVEVALPQWRGIFGGLYNVVGYYIGALSCTWIAYGTGFMDTNW SWRLPVVIQAAPSVVVLAVAFFMPESPRWLFANNKPEEARQMLIKYHGNNNPESALVA HECREIEEGIRFEVETGGRRWWDYKVLFSSRDMLYRLWLLFLVCVFSQFIGGSVITYF MPVMLENAGITSSTQQLLLNALNTVFSFIGGLFGSCFVDRWGRRTLFLYGTFITGLIY IPINVISSYDADSLTTSMGYGFIACIFLYGIVFSFSWTPLQALYPAEILPSRVRAKGM AFQNVVYGGSNFINMYATPTGMDNIGWRMYIIFLVLHFLEYIFMFFTLPETKGRTIEE LEELFLERNPVKASLKKREVVVREGMGVKEVGE AOR_1_254084 MKSLPLLTTLLLTLTTTPTTQAWTFTWRNASNSPFIERSTSPAP CTKIDQAEGQEFVFEPNNSPYSFYIWSNDNCSGSYSGFTPPSRWGKKASTDLRSYMVN YGGNDGPSTTAVSSSTSTSTSSASSTGTATGTATGGSSTSTTTASASATATSSPGDSS SSSSGISGGAVAGIVIGVVAGIAVVGGAFWLGRRQRSGSGGKPPGNAGQGFGSASGLG PGAGYVQPGTPIGGSDAGGYMGAEVAKGPLPYMYENHPMSPVPAYQPPVGQQFAELPG ESVRVEMSDTSRVNELEGSGKR AOR_1_256084 MLLKPATPLTILLLIAFVLLLLSVISTPIVKGIPLATFENVDYG VFGYCKAGQCTNIHLGYTNDDLSDTGDDFNLPSSTRRSLSSILIVHPVAAFLTLICLC MAAAAHFHAPSHSPRYLLVLLILLLPTLLVSLLAFLVDILLFVPHLGWGGWIVLGATI LLVSCGVVTCAMRRTLVSRKARKRRIAENAEMSGENYYNRQNAAAAAAGLNNPKPLNG EAKEPFVTGSPPGSDTGPTFATFRTTTHESDDDRTPLNSRTPPNDFPLPDPSYPTQMR DNGPPYNPSRDEFGNPLPPSGPYGPGPRMRPGPGDPRLRNQYSDGSMGSRRGPAPPGF VPRGRGAYPARGGYGRGGPYGGPRGPPPGGRGSPMGSMRGGRGGYRGPAPFGYGPNPG PRPMPPPEEERYDYDAPPDSMRQPSPGPIGMAVSPPDGSPIGQAIEMTPQPRRTGSAE PVPQEVLLGQQPHALSADGQPEPVSPSSLYSRTPSYVPPRAAWEQAERRPGHSPSPVH AYRSAETARTPHHAGSESAGYFEDADPRFANRNEPAVGNPRLPSALTPGSSGEPKLTE DLAEGPSSPTTSDISHFTSISERPINPRWQPPPLPAQQRQNVLLENNPDFDLRAGMRR GGAAGGVGGRMPPMSIPREASRYPIP AOR_1_258084 MVFSSVFRTFALCLLIAQVWAGGIQDLVNALMKPVEDSVSHLPP LDWVPHPKGIPFPTKNETAEPWRHLNTAPVAPVAPAAPAAPATPSAHAPLASASSCSS NNGSSGYWYEKIEHNDQSSFLSSEYKDSYKVFRNVVSDYKADNTGNQDSAPAIQRAIE VYLPGGTYLLQTSLQLFTGTVLVGDPSNPPVLKAASGYSLDHIVYAKDPNHEGTNNFY IGIKNIVLDSTGVDSAKSLSLLDWTVSQATQLANVAFHMPTGSKHTGLTTQYDYNSNI IVNDLSFSGGGVGMKLSGQQWVFKNLTFTGTTIGVVAGATDIVFLGCQFQQGAIGINA SETSGSLTVIDSSASGLDTFITSGDSGGAGNAIVLENVQSSGVTVSLGGKAVLTGGVS DTWVHGTMYNSGSTNKERVDGKIVVTNRASSLLSGNKYYTVSPPTYQEYRSNKVLNIK SVSSSPVYGDGQTDDTANINKILSENKDCKVIYFPAGTYIVTDTIFVPSGTRIIGDAF ASTISAVGNNFKDEAFVRVMIRVGYPGDMGVAQISDMVLTVGDILPGCQLMEVNIAGK NQGDVGFWNVHFRIGGGVGSRVHSDCTSSPDSCKAAYGLLHLTGTSSAYIENMWGWTA DHDLDGSSTQTISTGRGLLVEAVAATWLIGTGFEHHTLYQYNFEHAHNVLATMQQSET PYWQGVGSTLAPAPWGNHLSASDPDFSWCAGDDATCRMALFERINGASNLFLYGGCNW AFFNNNGGCNGKCQKNAVQIIESSALYLYGTNTKSTANMILEGSTTIATEDDNAGGWG GVIAAFLYKT AOR_1_260084 MLASGSGNVSLRTVSSNRPQVLRSEDQQSVAASDDYYSFSDRTS NSRSPSNGSHATVVRYATPMSHPVSHTSSPAISRTHLGPPMVGSTSRLDQPVKMPNDN KNAPSPAASTVRSVQDREVHMSPMTESTSRQVPSDSYAGPPPTPGMDDVPYIRFAINQ LTREEDSRSLRRPSSVASEDYPAERLIWDEGLGYFIRSPEANNTPPAQQPLLQHPSPE PVDRSLQGSVEPEAFVAVEPPKDSLLYPRLDYVPCVLRPWALTAVILCSLLMIAGIVF CNIWSQGHQGFWDYGGQGGGRYFVVQFLPQILAVIITIWTFVIQAAVYRTMPFAIMAS ERKLGHVLHRLPILSQNFLVPDFSQFRHGEPLVGLSLVLIWLSNMISLPLLSCFFQAK WFIIDGRGTWRWTAVQDVGWTLVAMYGLLTVALVFLVFRFVRTRSGLMWDPVSLADLV SIIQRSNILRDFEQSEILPDVGDSLNPRVLRLGYWQLSNREATFYGIGEVAAPLGNPS LHLSEKSREKQPYGLSRVSYDLEQRIGEGKYGFDEHKYSPSVRYRWAPWFLRDTFIVA WTVTIGALFIAFVLVSFIHDAIKGGFPPRLPTLPSPKSFSSSNFLYSFIPALIGNVLF LAWQPIDVYFRALQPFVSLSLPEGATADQSLLLSYPSCFPFHVTVLAILNKHYKVAWI SFMSVASAAIPILAGGVFIALNYPSQSEIRIAALMPAFYAMVAFCALYTVSFLCIWPG RRRYLPHDISTLADQISFLYQSPLLSDKILREPRSKADLVTRLVVAPPGDRDHPMYGF GIYVGRDGKEHLGIDRFHRPGRADMLITTGSMQ AOR_1_262084 MVYRGKPSTGCQNCRSRHIKCDETRPHCRACVRTGRTCPGYPHP LDVMLRDRTAFQRKKSNISKTKAVSTTKCKEGSKELSPTLTASETTASPSPASTTSSL SSPEVVNTPVSVNVVGALSPNVPSSLCLPWESTVTSLFFNSYLYQPRDPLIRIGFMEL LPDRYFNARPGTPLYLGTLAVSLFSVSAWTGNRSFLRLAEQFFVRALSKTRVALQGNL GENVFETIMAVLLLSVYEEFSAVKEHRIATKTHLQGAIALVNSGYMPQSEDTNSQIVT NSIQCQIIKASTGPAYPTIEMPDVWPMAAPIPQSASSQLTAAATELVNLRQVWDKFAS QPELYGADEINRIYSLAMVLDSKLRAWTWALPKHWAPVPATMIPRSVRDAGMFKNRCD CYTEMWIGSTWNTYRESRIVVQNIILNCLRLLPNLGTPDRVEAVVSTIREMATDICAA VPFFLGSQTMSVHLDPSKVEYPEAEERRVTLAHQQTAPLLGGWLIRSELEYLCSPDLC LSDEQQTWVKSQMHRIWRIYTFESRVI AOR_1_264084 MFSFFRRGPPQTPEIPSDQAPSQQTTTQTSQSPPQPQNQRPESE PELKFINPQTKYKLLLGGLTIFAFSLWSTRRALNRRYLASVPPFYTSSLYHKPDVSGG AEAFEALNLATLNVLSLGMAGTGGILCALDINGVDDMRRFVRRGFYGDGGDVTKVDKE LEDEVEAWVGSVLGEKFGVELKKEKERERNENKA AOR_1_266084 MQPVSVRGLAPPTASSLDLMAKKKDPELGVTNHYQPDRTRYPIV NYPHAAVELTGVYKTFQAIANDTNAYEEGAAKVSRLLLGQKYPLLIHWENDIYGVRKT TTQDHYADDDILYAGKDKPPKIMIMLETVDKKYKKKHIGSGCKVVPWIYDNEGRSLGN LVDHHHSIRTHDLGDYEPPPLDIRHVRLEEKVTCFMDGKKLQIEYFNDTLERHDPATV FAHTDDKENQPFKHFVFTNI AOR_1_268084 MADIDITETQFKLPDGLSVYQKTWAPSSAAPVARLVHFHGFSDH INNTFDLFPSLARRGIFCTGIDQRGWGQSVKTKADRGNTGPTAAILADFAAFIEVQLE AKPSVPVFVMGHSMGGGLVATLASTPKYQGLVSRLGGIMLEAPFIGLDAEQEPSIITV VLGRLAGKLLPRFQITQPMKVETIVRDPAVQQLLKNDPLNPCVGTLEMFANMLDRAAD LTSGKLKLNDGVRSVYVAHGTGDQVTSHDASKRWFDAQTGKVADRKFKSYEGWSHVLH ADLPENRQEFADDIAEWILARV AOR_1_270084 MANPCNQLSLAIKAGDHPKVTEILDSGLQVATPGHFLLATQKKD YATLKLFLSHGWDINTDIDSLVPSALVYAFEDVELLDWFLDHGADPNKESRIRDCTPL SYAVMEAPFNIVKYLFENGGQLKRGQLLHYAAMRRKDDSYEVLQFIYNEDPDYNELQI NKLLDEGTSHHLMNYRSGLGTPLHYAASSGSVDMVSFLLDKGAAHRLDPYHRSPIGYA VYYGHYEVEQVLKARMTLGAGSA AOR_1_272084 MSERFEYDPLPEPTCIRLVSFVPQDDGTSPPPMNQGEPLLRLSL CTADLRDTPHYEALSYTWGSPFPPEDSRSRAYENEKNHQRVVINGREHEIGRNLWEFL HQQQQINAYLRKVAAEMLASGLDIHGRTPLMRAVIDNIVDLTETLLALGAETGAQDNQ GKTALHYALLRDRPNLELAELLVYYGADIHAQTKEGKTPLDNAEDEVVTLITSFNKDL GGKALPRGLRLSAQRPMWVDSISINQKDITERNKQVTMMSNIYSTAMSVVVWLGVEDD RRIPLALDSLDNPRPWIFLTSLRDSGFAGSRLENAMKVGHSSEQILDAQGIEELMARS WWSRTWVIQEVALAKRILIICGSVTIYPMRTTFILCALCGIPSPWKERQDVLDTTALF ESARFSGLPGIEALMLADISFRAAPHTGEREYYVKKILKAMGAVPNISWGRRLSLQNL GRLSWWSQSSDPRDKVFALLGIACPDPQHQQIIVDYNIPTDEVFVQYGRLFMQGSSEP IQNLHTGESYVFEPLEGLSYVQDTSKPHPEFQDYKAKLPSWTPNFSAHHTTCRIWSRE FAAASAIANSPTILSHPDPKILYVSGSIVDCIVAIEPTQSKGDVHEPEIMAWLELIQP LQPKYLGGGSPVDALRKTLTVGKGYQNKKRARSAFRDFIAWRLCQSPMEPPLESILTR LRKTGARDTLPSVMELRKQKQEPRNQKQEYWRSVLKITERIERQVQEFQDKKQGPEEI EQGLLKLKQELLDQGYNIRIKRQGQAETGWVDLETMQEDLQVGYPEYSQVEFYILFKR YYRSRCLFRTRKGYIGLGPVGIQPGDEIWLFATARTPFILRRPSKGSLRRKTLDSNSS TAESECRTFIGETYVHGIMNGEAMRKDGFRPVSLV AOR_1_274084 MENKSSSFDVDLVIVGGGPTGLLSAVLARALGLSVYIIDLISDA KNGALEVGRADALNARTQQYLEVTKTLPFLEPFGLKCNTSSTFEGGQFTSQQNKWWTS LKHTHRPNFLMIGQSEVEEALLHQLDIPVDYNSHVNGIEETTSGVVVTTDKGKTITAK YAIAADGARSFVRTTLGIPFTGTKPEMVWAVLDTFIKTDFPVCPEIITFQKDGQSRVA WIPRERGMNRFYILLDGEITQEKAEASVRDHMAPHKVEFKKTEWFSTFEIKERVASTF ISKDGNGRILLAGDAAHVHAVNGGQGLNTGIADAFNLIWRVAFVAKGHGGSTLLKSYD EERRATASAVIDVAAKLVRTTVKTALEYVEIIEKNAGYITGMGVSYSSNTPLVVDSNY GDFVAGNRCPDLWVTKLPVRSSQTNAEELSRMRLYELFGYGKFKVLFIGNEKPASFEQ AIELQQKAEIWHIHDQDHRLTTLTYEFGAEWVKSDEGAVVVVRPDLYIGYVGKDWVQY LASVFN AOR_1_276084 MSATEVQVPFAPTSFSALSPVESIVFDAKALQKATEILNVIYRY RAPVPESVEDRSDEGTLKFLPLIYSRVKAHQAIQLILPAFPFKSPNRKNKVLGTLPDK GEETALSHLNGLCAAITDIYEPGAILTIASDGLVYNDLLGVPDSEVYAYGQCLRQIVL DQEYKHIQFIRLQHLLHVHEDMPLDAATYESLAGTFRQRLVENYTPLDYDCAASIKED KDVCATYRGYIKFLTKDLEHMYVDDGSVSKRSHKQKLESIAKEMIVRGKAFAEAIRKN YADHIRLSIHPSAGSTKISIKVLPLALHAVTPWHSSPCFTVDGRIEYGMREVFDNRED VELVHKDGRPWYYREKSDLYRWSESVEIEPQYPCGLIIRPTESNTSVTDLDMLKLRGL VQENSPVVLRGFNDTRDKELFVKKAEEMGTPMPWKFGLILEVKDHGTESQGLNNVLSA EWMPFHYDGLFKVKKEINADGKEVTVSCPPKFQFFTGMTPSPKDTGFTLFSASHLIWH YLPQNYTLEQLSKLSWTVRTTSFDEAKITDLPLVVPHFAHNRPCLRYHEPWPQEKTAF DPTYITIQDVPNSPEICQMLDSLLHDRRVAYWHSWEEGDWVISDNVTMMHTRSSFTAK SDRCLRRIHVD AOR_1_278084 MDGLPVDMTEEDVGILLPPEISLHVEAQSCAPQSTVLITNELRD GYHIEGLEEVRVIRDRQTKISRQLGFLRFRDLNSSRAFVERNFPSVYFYGPSAGRDDR GTKVRIAYSREREDRARARAEGDWTCKNCAIVNYSTRSKCFRCQAPRPEPGPTGPPGI AAPKVENSGDNDAAPENQPSQFLLFRGLEPTVTEELLAKGVAKLYRPAPSNSENASGN QKKGAKVASTTGDSNLGARDGSIRRVLLVRDRKSNESWRYGFAEFATIQDAQAAVTRL NSFEKFTISSRPVLVSYIHAGVFVPVINPSARTERFTFSPLNNPSLKLMYWDEEAYVT ELTVSTGESDNNQMLLKNDQHDQSENQGKTQKDTDKTKKRKADNPAGAGAKKLAMPSH LQFWSNRHAELHGIPKKNPDDVGISSDQGAPSTDPGAPPAQSYADPNRNCCYLCMRQF KSSAEVNRHERLSQLHQSNLQNEELTSKAMGKLIKHGIAQQTPEYRDRARERRQAFGS SKAPVKKSAPPPKEEEEPPVESTSKGASLLSKMGWSAGTGLGAQGTGMTAPIATEVYA QGVGLGAQGGKLGDAVEEAGRNTRARYDEFLEKTRQTARERYEQMER AOR_1_280084 MSLRPLHCKSGIIEAESQLSDITWPTGDSDVSSFTTSIASSIKD YVYENGRRYHGFREGEYLFPNDETEQARMDMLHHIYRLMLGGGLYKAPTPQSPQRILD IGTGTGIYAIDIADEFPNAEILGIDLSPIQPHWVPPNCKFVVDDVEAEWVYPEPKKFH YIH AOR_1_1270084 MIVIGAGAGGIATAARLAQEGFHVKVVEQHGFIGGRCSIISKDG YRFDQGPSLLLMREVFEETFQDLGTSLEQENVRLVKCEPNYCVWFPDKDIIELSTNLT RLKAQIQHHEGPDGFPRFCAFLNEAGTHYNLSLAHVLRKNFPGFLSLLRWDVLRSLIS MHPWTSTYSRAARYFYSEKMRRVWTFGSMYLGMSPYRAPGTYSLLQYIETVDGIWYPE GGFQRVLRALGDIGMRSGVEYILNSPVKSVLLDDSNHVVKGVLLEDGEELYADLVVIN ADLVYAYNELLPKTRRSHDLKKRPVSCSSISFFWSFDEKLPHLRAHNIFLAEKYRESF DAIFEDHRIPDGPSFYVNVPSKIDPTAAPPGKEAVVVLVPVGHLTSEKGGQLEEEKWD TLVSQTREIVLDTIEARTGLQDLRSRLVHEMVETPLSWEERFNLDRGAILGLSHSFFN VLSFRPQIKHPDIERLYFVGASTHPGTGVPVCLAGSKLVTQQIVEDWNMGIRQKPRSG FILTLVMALLTLVVSFLWRH AOR_1_284084 MGLDYILVHVTYNIPLAGILTLVYWPFMTRLDWQKISTLVIISL VATIPWDSYLVRHRIWTYAPNGVIGWTLYDIPSEEVFFFIIQTYNTSLVYLILTRWLV LPMYLGTVARKETLIGASILLLAISVGLIALCFGDHFTYFGMIITWAGPFLLIQWVFS SGFIIALPKLELMVSITLPTLFLWTVDTISINQGTWTVEAPTKLGVQLWSGMDIEEVL FFLITNIVIVFGLVCIDYAIAMATCELVQSPQAVQSFPSYFRVLARFVTNKYHPDKQF VASLRKAVDRLAASSQSMYMGSAMFQGPFRIDLILLYSFFRVADDLVDESQDTESARM IIEQCDQLLEAKFSHPELFPFSPGYQEAKHPAPPELIAAIDSLPVSRLRLEHLKGLIE GFRTDLTFSAKPGSFPFVTESDLDTYAYHVASSVAASMLGLVVHHFPDHQFAINVFLR RRVVDAGERMGQTLQYINVARDIARDAAINRVYLPTTWLKQQGLGPEDVLASPTDSRL ELVRDRLLDRAEFLSASAREEMKFLPDEVQGPFLATVDSYLEIGAALRRGMRPRTLDD KLRLPLGTRLWVAYRAMAWRK AOR_1_286084 MIGKEKNRKHLYFSGNYAPIYTVQHAYPCEVQGTIPEEFLGGQY VRNGSNSLQDDDRRDLHWFDGDGMLSGVFFRRMSGSKVQQPLYSNRYILTDVHCATAE YPHISPIISSATTLLSPMVSPLKVFMGMLRTMALMLSSFLGFVVRPIRRISTANTNIL YHDGRVLATMETGPPMRVYLPSLSTVGWFTGSSAEGEPPDETMGPSIGGPGIEGFHNE MTTAHPHSDYQTGELLLFHSTFIFPFVHYSIISSGCAGKHGSYLNQPVPGFTSGKMMH DFGVSRKHTIMLDVPLSMDPTNITHNKPAIDWDESVPWGTRVHMLVCRMNSAAPIYHM GDLDAPAEANHENPECRLYYYQFPADKSSTITEQWALSAIPFEFPHVPRHIEMTAARF VYGCSMSEGNFATRQKSSVKIDCLVKIDVRHLLQVAEAHPPTQITGCVDQRSINEILA TNDANDPIQVFALPYGWYAQECSFVPRKDGISEDDGWLVTYVFDESQLDANGNAPATS RSELWIIDARNMRDIVARVLLPQRVPYGMHGDWFSEEQILNQREVAEFRSLD AOR_1_288084 MSSSTAPQGVATHLSANGLIGITWAGVALSVAFTSIRIAIRLQR MKHLLADDYFVLCGLALLITNAVLQTIQAPHLYYMTLTPTGEDIKYHSLRYVHLEFVI IGLFWSILWSIKGSFLALFWMISDGLPKYRRACAGIAIFAFIAFGKCTKPADIKGSVI SISYSTAVDIITDLMIMALPLRILWKAKITRQQKIGLGVVFCVGFIIIATAIVRAIEI TGRAYSDQVGLAIWSIAESSISVIVGCLPPFKSFISRNSSTNQYPYGSSGYTANRYDR SATSARKKRSLITTSLSEVPLPLEDMKSYRDLGYESHRQNVHITGGVDGPDGLGNTSW FKTSEDEPRGEIRMVKEFSMVSSR AOR_1_290084 MRSTLWSLLGLTGLAYASTEGSCKCTPGDSCWPTLDTWNALNAS VSGKLIKNTPPAISCYPGPYQNDEECAYVYSQWSNETWQSLSPVGYIYPTDDNCPPVD LSSGEKPGNCTLGQAPLYTINATEPEELATGMAFAKKNNIRLVVRNTGHDILGKSEGY GALQIWIKYIQKGITYHENYVPSDQCKHTNWTGAAFTIAGGYVWSDVYQEAFKRNLTI VGGGDPTVGCIGGYIQGGGHSPASRDYGLGSDQVLEAQVMLANGTTVTANACQNSDLY FAIRGGGGGTYGVVTSAVVKAYPSKPVVAQSLAIMPLGNNTDALLEAVTDIHTEYPSI SDAGFSGYGTWSINGPMVLFGNETVGYVHAVAAMGKSQKYAEVAFEPLLKKLQKYNGT SLFVSVQWFQFPSYPAYYNAMSGAHQSTGSANSALTSRMFDKDSLTKNRTLLRRMIGV IAGAPEEYTINSVELVGGGKVLTDGEDRFSGVNPAWRSTYMVNVVARGWADESTAQAV KDDITYKKGGAMRALTPKLGSYMNEADRNDPLWATDFFGANYKRLSLIKRKYDPEGFF YCPACVGSEAWHQDSLPGQAYGPLCHGRK AOR_1_1272084 MDMVKAAYRGQEYDMHFPGAEEEVRATKTILCNGKRLGVTANLY EALLSLRETRPGNGEYWIDAVCMNQSDMTERNAQVGMMGRIYQSADLVLVWLGDCSSK LAQGLPELEALAQRPPRELPPFELLIDDESTSTTAASSAFFKNDRFCISAAAMTVLDL TNRQWFKRIWVLQEFCLAKHVVFLYGKHYVSLQALLTSFIWAYQNPGEAMKPEKGWNI AKTYILPRWFSHTGDIPNVLLARKAIAQGHKLTLREWLLTCKGRSATDPKDFVFGGLS LIYPESLRIDKQRLQPGDYANSTHPPPLPPRPGTRAPNSNQPTMERPTAMVGVSVSSV PLPKGLWCVIEIDYKASEAEILVNVAACLLSQNEPHSLDLLSIAARPRDADDLLKAPL KCKSSKTYDLPSWVPALGSWTSLVNSNLAAAAVAAGGGGTAFAAGTLGQLQEAVPSPT ISRDGTTLYLDAMPLDKIDEIILNAKFSYSKEHLDALIPFLELLAALPYTYPSDVGTS FNAIATALASSLSSFSSRDTELDSMMDGDVNRSSSSLPPQLLPRVWLCEIIEREVRLW VTVLRIDIKAFTVLKKIRANKRRRLESLLAVYRQLIGKFDDLPWSGTADQGIPPPDES HGDEQKKRRSEEIIESLCSKIEERIPGQSRRFLEAEMEPISPEAQRYENAFYSAMNWR SLFRTKDGLIGMGPSWLSCGDWVMPVRGAIVPYVFRHIDEDLKQQVKSLGNTVEKLEK HLFELKSTAKRNQQRLSIADTERKIASLKQKIGELCGQVGRKNAWVLIGEAYVEGVMR GEALERAGFDAFERIAIV AOR_1_292084 MATPDPHPDLKALYDKENELNAQWEHDIHEARKIHYEHNKSFYQ RRAELATGWTGDTQTHHPPIRDFWLTALRNEHETRKLVTKLDLGPLKSLIDIRVEWLE GFDYVLAFYFAPNEYFTNRMMRKEFYYDKTGEPTPNPSPLEMRGDRIHWKKNHILQAE CHARIGTKSFFAFVSRSLAYGDAQTSEEESMEDARITEDFEMGESIRDSVQPYAMELN SKAFGLEEDGVGEEAEEAEEEDDEDIDYDME AOR_1_294084 MKFFNVLFFFYAALVVSVPTAINDAELDKSNASPDALTVVCQWD WDCPKQQLCCKGRCHRTGDCKHVPPKSEVAGPQRCTWATDCRPGDLCCSGYCQSVYDC RRVPPKPPVKDSEDTADNKDSPETPGDAEPVIDNSSETQLDKRRYRSPEDKFNKTNDE SSIQSLQTEVTCKQYWDCGVSGEHQWMCCNGKCIKWYPGSTPWIRPKCLK AOR_1_296084 MPVTFAVAEVSPTKWTFGGKVRNTEELFKESCPQIFKNGMKITH SSFSPSSFDRNFFIASNHGFVRAVYLAYCYHYHLKVRPDDVWVAILVQLGFYINAHAE ECRKIFVAHEGKKELIVKQGSRLDPEDLAIRLAELIENEIVEDLRSWFMPSFSTTTEV DQVVASVLMMGTMQKYFGYRGVLSCGIPRVTLLGERADWVQLQNKIEKINEFGEEPRQ FANLLRPVLRHFVACFDGSQGTQAKSFWSASVHMSGKSGPPTISGWVTAFCFWNAEGK CRKDVARHRSTKHLELDGLRYLPLRVKDIPSGSASVPIVIKGGKQDYKREMVAGLIGV NFTDPETLDTIEPVSGWCMYGPVQEVLPESKESTGDDL AOR_1_298084 MPNLTLGKGASPATRSRNTAILSMFAVIGGTWLAFRSLSPRRNQ AAVSEDDRQAIDGEIKMGRAEVVSEADRQTMKGGKPGENKVGRAPHKGLTTP AOR_1_300084 MPQYRNGQSVIYKPVGGPDSRTSESVGTIQSVLTEPGNQAGRHV DASEENPRYEIENQNTGKTTTVYERNILGPAE AOR_1_302084 MKSLTFPILLTLTSLAAARTDLDGCTKSATVNQWGEASMIWYVP DTGEICDFPDCGGGRAPPKYNQPGCAAYTGTETLTPSYLPGWGPDGKVAPSTSAVSAS ATHSEAEATSTGAVTSDTTKTGSTLITAAPTLSTGVSSSAAGSSSPAGSSSRVAESGS SSSSASSGSRGSSNTTSSAGVLATGNAASAMGMNAGVMALVGGLVGILAL AOR_1_304084 MQESSAYYNLGSHRRSVTTSSPEAQLWFNRGFLWAYSFNHDEAV RCFERATKHDPKCAMAFWGIAYAVGPNYNKAWHFFDMADRQHTVKKANEALTRAIELA SNATPVERDLIKALTARFPPSDNIPSDMGPLNHAYADAMRPVYHAYSRDVDVAALFGD ALMCISPRGLWDLDTGKPTGDHTVEAQKVIESAMAQGIEGRDHPAHCHLYIHLMEMSP MPERALPASDRLRRLVPDAAHMLHMPTHIDMAVGDYRRAVDSNEEAIIADDKYFNRDR GSALYVAYRVHNICAKLYAAFISGRFEESLSAAKKLEQIIDINVLTSTSPPMADWTES FLGNLAHVYVRFGRWEDILNLELPADRAVYCATTANILYARGVAFSALGRLAEAEAAQ KQFEAARATVPPSRLNSIPVKEVDVLKVGSEMLSGELEYRKGNYEVAFAHLRQAIELE DALPYSDPPPWMQPVRHALGGLLLEQNRVEEAEQVFREDLGLAKDFPRRKARLNNVWG LHGLHECLVRLGKLEEALSIQVQRDIAVGSADVPITTSCYCRLSAVGKAETCCSSHI AOR_1_306084 MFTLLFLLLGVICPTFAHPVPRATLNRLYRRDISPSLVTEFTRF SEFAASANCQGNHNGSSTGSSVYCDSGYCNTLHKSSTQIIDGFEEIKPGGTTGYLALD KTNKYIVLTFRGTVSAENRNADLDFQQVDVSTICDGCKVHHGFWAASEGAMNVLLPKV EETLRANPDYSIILTGHSLGGALATLGAVTLRNSGHTVDLYSFGAPSVGNKAFAEFIT KSTAGKNYRITHTNDEVPKVLFRSSRRRPLDSLVPEYSQSSPEYWITSPNGVSATRST IQVIEGVNNEAGNLGTTEVTMEPHGWYMGDMSVCAQTWKDWE AOR_1_308084 MTYTSEKPEEDPERGLLIKSPVNYETDEKIEYASDHESEASTER LIPGPSFIIWTGINILSTVAIVFTNKSILTDPSFRNCQVSFAAYHFFVTGATLWAASR PWCGFFVPKSVAIIQMLPLAAAMGIQVILQNLGLAHSSVMFHQLARLLLTPVTALLNY LLYGAKIPRAATLPLILLCAGVGMVSYYDSLPTTDGKVTTSLLGIMFAFSGVGASAIY TVWIGHYHKKLEMSSMQLLLNQAPVSAGLLLCTIPWIETPPTVSFVPASTWALILMSG VFACLVNLSGFYIIDAAGPVSSTVIGQLKTCIIVGLGWASSRHVIMGQSVLGIFMALV GMSMYMNIVLRNQSKA AOR_1_310084 MTFVMSRWNTKTIIKLVAAACCSYFIFTILLADREAYYSTTRDV IRAGQHHLTEETTFDHINNETLGFQHIYAIGMKERTDKRDYLTLAASFLGIKVDWRDG VYPDNVSEKSYPLKLGESGVKPAAIGCWRAHMNTLIDIVENGYTTALILEDDADWDVS LRQQLAEFARGVRTLTNNQHESKKAPYGTNWDILWVGGCASGAHQNETDFYVIPNDPT VPNTTIRGPWESPAGPSIQWRQEHPEWPVDSTRYIYRANMGCCTFGYAVTLEGARRIL AELSINYLNLPVDNAMSDLCAGSNRPQLRCYAPFPNLIGTFRSEGYVSRDSDIDQWED RKFEWHPALAYNMVYSTRLNIHRLVAGEETVYSQWRESPDPWSKAEVKLGELEYPRGV FVSQ AOR_1_312084 MVFHPIELRIQALTLVAWGIPAKEIAASLGMPERTVQDIYRRAR ARGYDPSKDPRIKMEYVEDAKRSGRPKTITDEVENSVVQSIIQDRAAGSEKSSRKLAD ETGISHSSMYRILKRHGYVIAKPTWKPGLTDAAKVKRPFCRDHNAVVHFEYVNTRKRK RVHQLPNPVQESAILASDWPEPTTIALSGPSYAIFGHHQTRDAKPQDSTPCESSQLHD VSGNAE AOR_1_314084 MATPGNQNHRAWWKESSVYQIWPCSFKDSNDDGIGDIPGIISKL DHIKNLGIDIVWLCPSYKSPQVDMGYDIADYYDIAPEYGTVADVEKLIKGCHERGMKL LMDLVVNHTSDQHEWFKQSRSSKDNEYRNWYIWKPARYDEQGNRQPPNNWVSHFQGSA WEWDEHTQEYYLHLYATEQPDLNWEHPPVRKAVHDIMRFWLDKGANGFRMDVINFISK DQRFPDAPIKDPRTPWQWGDKYYANGPRLHEYFQELGKILKEYDTFSVGEMPFVTDTE EVLRAVKYDRNELNMIFNFEHVDIDHGKYDKFEPGSWELTDLKFFFERWQKFMYENDG WNALYWENHDQPRSVDRYTNAKEEDRVIASKMLATILALKAGSPFVYQGQEIGMGNVP PEWDIEEYKDIDCLNHWKRLPNDPEIQKIARQEYQKKSRDNGRTPVQWTNAPNAGFTS PNVKPWMSVNPNYARGINAEAQVNDPNSTYSYWASVLGLRKKYVDIFVYGNYELVDRD SQEIFAYTRQYEDQKALVLANWTDGTLEWDSSSNGVKAVKDVLLNTYDSASDVKERFS GSKWSLRPYEAVVLLIEA AOR_1_316084 MTASKDLPPDTTPYYYLKIFLKSQLCTKAQLPPKNTTLIDKVAI VTGANTGLGLESSRQLLSYNLSLLIIAVRSVAKGEIAAATLRKEYPIATIEVWNLDMS SYDSIRAFVRRTETQLSRLDIVILNAGLQNMQFGVVPSTGHEETIQVNYLSTVLLSIL LLPVLKNKSTVGTPGRLSIVSSGTALFARFPHRKFSLLLPSYDDPKNFDLIEQYSASK LLGHMFMWKLADYVSADDVVVNLVDPGFTKGTQLQRDVSGVVSLAMSLAKVITARTVR DGASTYVDATVVKGKESHGCFVMDWQIRPFATVLYTPEGKQIIERLWDETVVELKFAD VQGILRSMNRK AOR_1_318084 MAKNPSKAFSRLTPDFSCHLLKGMSLEGGSAMLPIIISTGIAKP DPDTRKLIRSHVMLGKNRGKYRRSGRHDQAELEHDETCNEKPRTLRKPSGPLTKRAPF TVPQRVGSEVSLLRFADTVEPALAVNIVRSMSKRTLFTLEKYLSFQKKSDQWHDLLIA DPIYLHGMAFITQDFFDGLSGWQAKTNNPASLHFLKTLQLLRERLSLPDEQTKTSDAT IMVVLFLTTHAHIREDLDAAKHHLKGLHKLVDMRGGMAHFTYDVNLKTEIYRSDLSIA LQGCTKPLFFDDTLRFSILTAPALNQHANVQFLENIDDDLARSWSLMKRFCVLVNIAI RKQQRLSMDFFLETMTSVMYRLLQLKFETGSIGEAIRLGLLAFSSHIFLQWRDIQRPY IQFSASYKESLVSLKSLNGVSSDIVLWLLTVGRISVFGTSDDEWLKPWLRANSQLCTV HSWPAMRDVMESFLWIGALHDKPGKDLFESAMLQLSPQVYLPSI AOR_1_1274084 MTTSEDQTTPAHLDPKTYPRHLTDPTQNIHLELTYSPLNAQSAL DKISSPAAGANVLFLGTTRNTFEDRAVSQLSYTAYPPLTLKTLAGIARDAVAKHGLTG IVIAHRLGVVPIREASIVIAVSSGHRRAAWRAGEEVLEICKEKAEIWKREEFVDGGME WRENRERDGEGKKVVVSEN AOR_1_322084 MASTMRPLVQMVGRASRSTVPSLERSTLRSIPSQNAFLPLRTKP AQPAYTQTLRHNGLSSQRSFSTTTLRARARTMGQLKARNSTGPFSWKSALLFVITGAG MIVYFRVEKERLARKRIAEMSKGVGRPKVGGPFVLKDLDGKQFTDEDLKGKYSFVYFG FTHCPDICPDELDKMAEIIDKVKEATKGENIFLPVFVTCDPARDTPEVLRSYLQEFHG DIIGLTGTYEQVKNMCKQYRVYFSTPQNVNPGEDYLVDHSIYFYLMDPEGDFVECIGR QDTPDSATKVIMEHINDWKREGKPLRKD AOR_1_324084 MPFLSYAHILELQQQLEGTRARVICAGSDEYAESIKRWSDTCEK EAGAVVKVTSTSEVSEVIKFARKHRISFAVEAGGHSTTGSSASHGGIVISLSQMRKVL TDPASKTVCVQGGATWQDVNSSTAPYDLVVVGATSSHAGVGGSTLGGGYGWLTGRYGL IIDSLLSVRMVLADGSIVEASETTSPDLFWAVRGAGQAFGVVTELVFRAYDLKHHVFG GALYFTPDRLAKIVEFANEFHRRMNENSGLMFGFTAPPFMEETAVLVIPFYNGSREEA EDFFEPILSAGPAAGQTDMMSYTKLNAVANVDPSPEGRKNINGTNISLPFDTDFVYDV YKQFDRIMKSCRRVGNSVLMFELLPYNHIIEVPLDATACANRGRYYNVGSIFCWPDPD LDQKMLTEQQGIISKIENFGSGSRDEGEKRVAKYANYAGHNISAANLFGENLERLQQL KRAYDPNNVFRKWHDLLHQKNPV AOR_1_326084 MEFLCLPGAYGNENIFKAQLGQLVEELQRTNSAKFHFTRGPILA NPPPEFDGYFGPPPNYRYIYVRDDFAVKLRKLPSIPNREQAMHYVEHGTKNEATAASA KKAVDLVLDQIEQNDKLQGLIAYSEGATVAASVIIEEQRRYKESGRPVRIKCAVFISG WPAIDIHSGKVIIPTGLDDEEYIPVPTCHVIGAEDAFLEGSKALYDLCNVDNAEYFDH GGGHIIPRNPTTLRELGDVIRNMIRESLDCE AOR_1_328084 MNGVNGSDGSHGRNESDRAAIVGMSCRLPGMVDSPSRLWELCSR ARSAWSEVPESRFDAAGYYHPTPGRAGSFNYKGGHWLDEDVGLFDAPFFNVTMKEAQS MDPQQRILLECTYEALENAGLTKSSLSSQRVGVFIGGSTSEYNMRNTKDPENIPMFQA TGGTMCMQANRISYYFNLKGPSVSVDTACSSSLSALHLACQSLKSGESEIAIVGACHI NLIPEQSISMALSRLLADSGRCYAFDHRASGGFGRGEGCGCIVIRPLEAAIEANDAVR AVIVGTGVNQDGRTTGITQPNSGAQEELIRAVYESAGIDPSETGYVEAHGTGTKIGDP IEASALHAVFGGGRTSRRPLYIGSVKSNVGHTESVSGIISVIKTAMMLEKELILPNCN FEKPNPAIPLQEWNLKVPTKLIPWPRNKRYASINNFGFGGSNAHAILTKAPPAQSDMF TELENLVHGSSDDTQSHAPTRRVYPISANDKQAFTRRLKALETYLEQQPEAFNMYLME NLAYTLGERRTAFPWRAAVSAASATELIGQITSPNFKPERAPEEPVISFVFTGQGAQW HGMGKELLARYALFEKAMKRADQCIKELGAEFSIIREITREGESFLLTDPCYSQSSCT AIQLSLTQLLKSWGVVPTAVVGHSSGEIAAAFAAGMLDFDDYVKGSMMAIGTCKDDAE KMISQVHSGTVVVACINSDSSVTASGDTHAINELQTLVEDQGLFNRKLRVDVAYHSHH MGIVSAPYLSLMGDIRPQESNIKFFSSLRGQQVQSSELNADYWVANLLSPVNFVGGLS SLLREAKSHSGKPVDTLIEIGPHSALEAPIRETAQECANVKNFKYLPCIKRKSNAVDT MQQLAVAKGLLY AOR_1_1276084 MAIEALSQSARMRNVSIDNYCLREVAVDKALIIPEASTVEMMTT LRPFAEGTRASSEIWYEFRVSAICDEQEWMEHCRGLISGTREKEPNPVDGAREIFEKE LDGKRRESMVDAPGMSAVDSEEMYGVIAASGMQYGDMFKGMSSIRASDHLATAELMVP NTSLAMPDEYESGHILHPATLDLCLQLMWPLVGYRGPGQKQLYLPTFIGCLYASCKTK LSPGDRLKLYGSRTNNLHPRRAAELNIVTTRSEDPSDVLIRMDNVRSTPLIDQTLCTD RPVKNQCYKVHETPCVDFLNSRFLGFLDQPTSPKSSELQTVRVLEQASFYLLEAAQNK LSTVDPASVKGHYHYLMDWIRETRHRARRGDLPLQTPDWLECNKEEQEAIIEQARSMG APGEMIWTLGSLLPEILRREVDPSVVMRKSSLLERYYQELDSFRRSYISAASFIDKMA DQKPHMNILEIGAGTGAATLPFIHTLGGGDTKKGPRFNRYDFTDVSDTILDEAKEKFR FWGGLLDYQKLDIAQDPIQQGYEEHSYDLVIACHVLHLTPRLDVTLANVRKLLKPGGK LVLLEENGQQLRQFIYALLPEWWHSGDSRIDGPLLDKNSWDALLRESGFSGLDLALDD YPGAPEQCGSLFVTTLQDTPDLKGRQVTIVCPEISSSFPVDNLARDIESMTGCFPEMG TLSSIDTKGKLCIFLGELDNPYLSQLDEARFNLIQNLLQDASGLLWVIRPGCSSDSKP PESHLITGLARTVRSETSLPLVTLELQSGLPQPDYGAVKHIMDVFGASFGVQSSPRLT DREYSVKDGIIYVPRMVNDTLLDEFIHREAGRSDPELQPCRQEERPLKLVAGEPGILD TLHFTDDRGAMSELPESHVEIQVEYIGLNFKDVMTAMGQMSKDALGNECSGIVTRVGG DVEGLAKGDRVCAVCEGALATSVSCPATSVWRLPDSMDLKTAATIPLVFCTAYYSLFD LGRLVQGEKILIHAAAGGVGQAAIMLANMVGADVFATVSTPEKKQFLMDTYGVQEDRI LFSRDSSFTQHVKKATNGEGVDVVLNSLAGEMLRASWDCVAPFGRFIELGKKDILQNS RLEMSRFDDNVSFSSVDLTAIYQKRPLVMKRLLSNVFKLFAKGLARPVAPITSYSVSD VEVAFRSLQGGRVFGKSVIEMQPDALVKVYPPQKPQVHLSADASYIVVGGSGGLGRNI ADWLSQHGAKHVVLLARSGDGKENVKRLIQRAESKGVKILAPRCDISNEADVRAAISK VQREMPPIRGVIFGAMVLRDCLFEKMQYDDYRAVISPKVDGLWNLHHTLKEAQQHLDF FVNLSSVSGVIGNRGQAAYAAASTFMSAFARAQLAGGYPYTNIDLGPVKGIGYLAERK GTVNEVLDTLETQGVEEEELHALLAGAISGKMLNTCNGHCVTSLEIAASSPADQGPFW MVDPRFSHLVRASIAARAASESDDNDQQTAAVPLATAVRKAGKREAAQALIIDALGQK MSTLLMVPLEDIIPSKSISSYGLDSLVAIEVRNWVFRELESYLQIMEIVSAPSLFYLA DRVICKSKILQHLQLNDGQGEGEPGISK AOR_1_332084 MGKGVVGQSSGFDGGRPSQIEQTIPVLVQPVSDRNGGVAALRPA LSEAQTEEDDDADSLTDDRPRILGESYWPRRSTRVSPRTASAILWALEEAIRKPLPFT PDWEEVNAPMSDLGSATGPIGLAGTGRTQNGASRAAHGPVPVNTHPPSGVRTPTDIMR QRRDREARKKAEQEARDKEQEEAERRRQESQERTQDPAQPYAAGVAGNRPPQRRAGPG APAGCDMQPESRTTQQPPGIPAAAQRPDSQAQGQTPGPHAHPRQPGPSTQHNPQQPPT GSTGFQKQQAQTLNPPGTSQSQQSPRRVGFPHAFERWETLSSHWEGLTGYWIRKLEQN SEALERDPISQQMARQVTDLSAAGANLFHAVVELQRLRASSERKFQRWFFDTRAEQER SKELQADLERQVRTEKQSRTEAMAALQKAESDKARVESLLKEMRRELQISKEEARRAW EELGRREQEERDRTNSLRNGEPTLVGGVQVVPMIQGLPTRSNTANHPSGGAGKSSEGH YYEEAPGSPTETDPFTEGQRGYQESDSQRYAPRPPHTSYAGNYYEHDGEYHGRPTSEN DNRSYDPSAASSEPGDDEYGSYRPTQPQQGPSIVYPRPMSEESDDYERGSMEDEGGYV PSTMPSTTSAPYSQGSVDYSGSGWGTTWDSVTPRHRHPTRLSDVMEEEEPRTTPSRAS RASRASQASRSVH AOR_1_334084 MATAYHPLPGELNAFRRGRKSSQTNFCLPPPSPQRKRASSYYPP RDLVTTDETNPFYLERSLEYGARRAHQPGARDVRFSEEANQYYMLSTVNPGKELPFPV TVRGETARSRTNINRSTLSVVELEHQLALQQISPQPWDQPSHYSQGSFGSVQTEATPD LTPSSSFSSNYSAPIYPDDTIRVRNQVPFCPSTPRKRSRTALSTQPSTSTRDGSLRTA LSNASSDTLVMSQSDALDSLRGKPLPSLPAVARNANTLANRRAQIVVGKPPIEASMIS PPCRINPVTMEPHTTRFDEAMFIPANDCPSPVPSPGSNSPSMEKPPPFGRDRPSTSTS EVVCEQSVWESDSDTEDTDPKSRSRKPMDTLKKVRSRVHLRMAKSAPKLQNSSNSPQA LEKFPTTPDKPPEERHPAPMRSIGKSRFAPDKFQSAHQTVRIVAPSTTSLVPPRTPRS RRNSKDERPNFDIDRSTAAAMQAKSRRKPHSNSPQSSLSSEGGKIRTLCREDRSDKAL QSLTPLRQPLYKRVWESLRVLGCHGDMPPPRPRKAM AOR_1_336084 MTSPQDPTTNNSLDDIFGSSPPHGNTLIHRETTTTSHPEPSELP SLRRQHVTAGYRDGVSASKTEHVQSGFDAGFPVGAQLGMRAGTILGILEGVIRGYESR ASSAVIKKPGAMRGGGGGASSSSTESEEAAKRRMEKREKVLKLYQAAIEELDVRKVFA GLDGTSEELKPEEQLRGLGDKAISTWEEKVKVAHWEETMDALEMKDTTTSSTTAPTKS QEEGQEQKQEQEQS AOR_1_338084 MGKGFFSHEFETESSDEFQGTRNHIFDDSDAAEYWANVYEKAQY EGRHRFDPSFTWTPEEEKKLVRKVDLRIMFWAWLMFCSLDLNRRNINRAITDDMLPEL GMNTNDFNYGQTIFLVTFLAAELPSGLISKKVGPDRWIPFIIVCWSSISAAQVALSNR AGYFACRALLGLLMGGFIPDIVLWLSYFYKGRELPIRLSWFWTAISTCNIVGSLLAAG ILQMRGLRGWSGWQWLFLIEGLVTAIIGVLSWGLMPPGPCQTKSWFRGKDGWFSEREE LILVNRLLRDDPSKGDMNNRQAVGPVALIKCLKDFDLWPLYLLGLLIYIPPQPHANYL SYILRRLGFSTFHANLLAIPSQFMFAVNLLIITRISDKLNERSIVASTSNIWILPCLI ALVALPESASTWTRYAISTVLLSYPYCHAILVGWNARISNTVRTRAVGAALYNMCVQA GNIIGSNIFREDDSPLYRRGNKILLAICSFNVVLFYAVKAYYVWRNKTRERKWESMSE EERSDYLLTTTDEGVKRLDFRFVH AOR_1_340084 MAGRSPTRLLFTLATPSLLVGYGTHVWLNSLEARYPPIAPDRTS TELLRTPANSTTQHVPHIDIYAARIRLRDLQARTNNPTEKPTKQDLNIAWAQSLLNCS ILRLEAKVIGLFSKGKFNPGDLGTTPAGFSPDPETGAPRELLNGAMTVIRQPVRDEPL LVKWEIPDGPRRFFEMIARWGYPWRLMTGGRHEMSVEGPFDGEGDEEGLGPFVEVRFA SAHTYEIVPEEGGLLQQKTIPKWVGRLHRGYARFLLDTAVKELVEGTK AOR_1_342084 MHLPTLTLLANLVTLGTATTADTLRPRNWDLRLLKPGCETSGSN FAISVYHAQGVSERSCVDLTTVRGLNLSIVDTVSWKSPSEPQFDLCMYAGGDCDSGEV VGEIRDGWGVCVKYEGWRGWRAVAKGEECD AOR_1_344084 MKRKDRTVSKRRSKPTLRVATSQNGHARDGMNSNIEMRKRDTSI ESTSSAQASRIMSRSSFSLDHDPPVTPQTPGLTTTSFSNLPRSDKRNFLLLCVLYFLQ GVPMGLATGSVPFLLKPYLSYGQIGVFSLASYPYSLKLLWSPIVDAVWSRRFGRRKSW ITPVQVIAGLAMIYMGGRIGDMMVQAGANGGAGVWNFTYWWFLLVFFCATQDIAVDGW AITLMSPPNISYASTAQTVGLTAGHFLSYTVFLAFNSQDFANRWFRSIPGEGGLLSLG TYLTFWGWAYLVVTTCLAIMKKEDKTHDRDSISDVYKSMWSVLKLKNVQTIILVHLIA KIGFQANDGVTSLKLLDKGFGQDNMALVVLIDFPFEIGLGYYAGKWSTEYTPMRLWCW AFMGRLAAAVLAQLTVMIYPSGSEVPFWYMLTVIGEHVLSTFMNTVMFVAVSAFHARI SDPAIGGTYMTLLATVSNLGGTFPRYFILKLVDMFTEATCIPPSVPPAADQLKGELVT APFSCALEPDKNRCTNGGGSCQTIHDGYYTTNILCVLIGTVTFFMFIRPAVLKLQGLP LRAWRLSPNSRQ AOR_1_346084 MSLLRLPCELLLLVAEFLETEEDINALSQVNRVLHAVINPYLYR FNAWNSESSALVWAAAHGVEDTAWISIREGAFPDAGDESGLTAMSIAAMNGHEEMVHL LLETGKVDLNAVDFELGRGPLGWAAGNGHAGVVQLLLESGLVDVNSSDSLFLTPLTLA AQSGDEAVVKVLLNTGKVDVNSKDVTGSTPLGWAAELGHETVARLLLETGQAEVNSKD SEAGRTPLSRAAENGHDFLVKLLIDTGEADVDTKDDSSRTPLSWAAENGHETVVRLLL ETKQVNMDSRDHEGSSPLSWAARNGHAAVVKLLLESGRVDVDSKDLLGRTPLFQAVVN GHEMVTNLLLRTGQRMVNQL AOR_1_348084 MPPELPEKTDYTRWRLLNEDGRHTWHYLEDDESSRKWPQTLADK YYLGLPLNLPDLPQPKSPLETVQNGLTFFSKLQLSAGNWGCEYGGPMFLLPCIVFAWT ATATPIPGPYATEIKNYLFARANPVDGGWGLHIEGESTLFGTSLNYTVLRLLGVPADH AIMIKARTLLHKHGGAVYAPHWAKFWLALLGIADWDIVNPVPPEAWLLPDWVPVAPWR WWIHIRQVFLPMSFIWSRRWTMPETEVIRSLRNELFTQDWGTIDWRGNRNSIAEIDNH HPKTWVLGSLNWLLSEVWMPFLRPRFVATWAEDWVSKLVDMEDENSDYADLASVNGPL NMVVCFIRDGGDAYSVRRHRERLEDFLWVNKEGMLVNGTNGVQCWDTAFLVQAAHAAG LAESEEWRPMLVRALEFLDHQQMRENCKDQEVCYRHPRKGAWGFSNKDQGYAVCDCIS EALKSVILLQKTPGYPQLLDDRRIFDAVDTLLTYQNPSGGCSSYERTRGGEYLEMFNA AEVFGRIMVEYDYPECTTAVVTALTLFKKHWPDYRSNEIEVFIQRALGYIKKAQFPDG SWYGSWAVCFTYGTMFALESLASVGETYRNSEYVKKACHFLLSKQREDGGWSESCEGC RQIKYIEHPSGSQVVQTAYAVIGLLSAEYPDMKPIEKAIRLIMARQQPNGEWLQEAIE GMFNKTCAISYPNYKFTFTMLALGKFARMYPDYRLD AOR_1_350084 MSRDTDLDVVEVDTDAESGYGESAQSEWTSLRSSIMNYHYENGR RYHAYHAGSYWGPNDEKAMEQLDIGHHVFNLLLDGKLYLAPIPEDVEQVLDIGTGTGI WAIDFADTHPSARVIGTDLSPIQPTWIPPNLHFEVDDCCDDWVYGKDSFDFIHVRGLY GCVADWDKFYKEALDHLKPNSYLEQVEVSVVPKSDDGSTNNTVFEEWGRVSLQAGDAF GKTLRIVDEAKEKMIKAGFVDVQEHRFKCPVGPWAKDPRLKVLGKYNRLQWEMGIEGW SMMLLTRFLNWTRQEVEVYLARMRQALRDPSIHAYQEKVVVYGRKPASPENPPL AOR_1_352084 MDTTEPPKNMEEELDSEIANIRAEIRNLQRKRRFLASSLLSSDS FKKRLQEYQSSRPSSSLDAEVSPLVRAAGEHAEVNHHRVAFSATTFPFKDPSPNSENP SLLGVRIDVCTSNGRFTKPYYVLLKRVRGEEKRLRVHRHTIPAFISVEKLERAFLPLP AAREEETEENLKPWKRNADRQDLPRFVRELRRQLAAWHLRMDAVNFLRGKLGVQRRGI EAYNDDDDGLWVRDILSDNQEEIRLETNDLGIVSLSPTALDATYVRLEWEDGRVGRFK MSDNGVVERAVVIGDNGRDKLLEAVLTGGNGRVETILDRLKQHLVPKE AOR_1_354084 MMQSSKSVGFDENRYRNEVLLVSSEEDERSQEQTLVEEARQLGL KVPEVEIVASLAASIASGMVDLSSPILSSAGSSTNRNSVCEVTPSNEIPPLDQIASSF SEFNLSDHAKCGSTRSLASLSTRPTSYSSSEGKLAHGTDGIALRKPGHRSSFLSVASS EKKERRKSTLKSAIDKIHFRKRRSPSAVLLPPAAQITVAKGEGGVDKYYVESKINEPR GHDGADEESPVLRLEIPVFDNESVRRSLENADLRQMRESQKMEKNRHMTFQDAFMSEL RRNHQTIVADRLAANKRSEEEKREKNIADASRMEERQLAVEMDQVREFERAKMNSRTR IKYMEGYFSNASPPPSPGSASGSEISPPPTRKYTPQHKAQLAQEYHDHESMDRLHEAK IKVLRDRQELKLQEAIARMEKELDDLIDKHALEFANLQRDHQQEEASVLQTFEDKKTR LRHRWNLEEAILRKKLEVQHDQPYGPLPPLSFSDCRYETRDSAISVSEENPTSVSGDE ELVHRKRSEPLL AOR_1_356084 MFFLTYLYYKVLAVLIRALASRGRHPISNPDDVVYIQSREAART IKAHVYRSASAPSPTPILINFHGSGFIIPMHGSDDEFCRQVSREAGYTVLDIQYRLAP ENPFPAALHDAEDAVNWVLQRPEKFDTSRLAISGFSAGGNLALALSSSVFPRGTFRSL LAFYPPVDLYTEPGLKTPPDPAGKPLPAALARVFDRCYIPASYDARDPRISPLYAQPD RFPDRILLVTAACDSLAGEAEALAAKIGKEPEREISVHQMQGCNHAFDKNAPEGSTSA NAKDKSYAMAVAMLSRS AOR_1_358084 MTSLNQSGDTNFTVFIRLPFPRGDFVDPPPAEWNAAKDQALWDL LSRPSKGDDIDWKALAERFDVTLQFLLQQAAWLYDRQLSQVRWQMRKVGTTQSSSPSP APGSVSGSTALGGQSAKGGPGAAPRVQSRLSSHQKDTLPQRGPPPRRTSSATTVNQIK PSRESPRTETPVELKDPRWESFNRRSSASKRNQAPVPSAPAHKSPALQEEDLSSSSAS TSSDEDDGLVSRRGLQFRRFGKFSTHRPGLRDDEEDDDESPAFLPLSRVQPEATRDPS GQDLNATLRLQGEDQAGARRQTSEQSQIPRASNTTESSTSSVSSGAPVTVPRRGVNSI PSPLSASRRGELAHLSPRRLNNSGRDTSDGTPSMGSSYSDLDDASVTQSALEEALLSN MQHGGMASRMSTISQALRSRYL AOR_1_360084 MASAPTHEMTPAEAESVYFNNYPPPKALPKHEALARAFINYHVE DNRRLVLVTSGGTTVPLENQTVRFIDNFSAGTRGATSAEYFLEAGYAVIFLHRQFSLL PYSRHYSHSTNCFLDFMDEAPPASNAESDHGRIVVHSEYQDQMRDVLRKYRHAKKHNR LLLLPFTTVSEYLFELRSLATLMKPLGPNALFYLAAAVSDFFIPSDRMSEHKIQSSEL PQNLNNEEAIDPSDIYTGGIPQETKPPTHSKKLIIDLDPVPKFLHQLVDGWAPDGSMV VSFKLETDPNLLVYKARTALQRYAHHLVIGNLLSTRKWEVVFVTPESPYERWIRVPKS KRSKSISGAEEQVGLAEAKTAGGSAAPKNGETGGDYQQGQDSSHEGMEIEALIIPELV KLHSNMIAKHGAQA AOR_1_362084 MFPRRFRRIAVITSVLCLFLLYHFSGLRSSFHATTSHGRRRFEC PPLPGMEDVLVVLKTGVTEALDKVPVHFQTTLRCVPNYVIFSDFEEEINGIKVHDAFR NMDPDVKGTVPDFSIYNRLVQLGRAGLETGDFADEANSAIGKPNNPGWKLDKWKFLPM VQETLRYKGDAKWYVFMEADTYYSWGTLLEWLSHFDASKPWYLGTETQIADVIFAHGG SGFAISNPAMQRVAKEYTERNVELNEYTDAHWAGDCVLGKVLADVGVPLHFSWPILQN TNIGELDEFTTAFYRRPWCFPAVAFHHLSARDVQDLSNFEQRRWREKQTTLLLHGEVF KELIYPNLSSYRDNWDNLSDEEHSEITTFDDCQTLCKDKSDCAQFSFRSGSCFTGRTP KLGTASSGARSGWAMKKIEEMMHEAPVCSSVEWGAA AOR_1_364084 MHTLYLYTILALFWATLTTTTETTHRTPLQVTFLPPTTNNTPII VDTFAHPDRNNLGSWHGALEDLYVTQGGNYIELNASDADQTYHSQLSSTTCFDLTPYT TWILHIVYSGPPTFSISMHQNNPSCNPSLSPYPETSDSVEASRYTAKPHWHHWRPKKQ KKKDLYIPLSHFTIDHSRVLSISLGNFYPPYTRPIKVHKVELIPTLPRGVKVPKKQPT GELRLRCTRPGSFAFGIDDGIPSLADEVREILESEGVLVTFFVVGAPLRDNKTGFADF YKKMVEGGHQIALHSDGHSKMEGMESTKAIDDDIFRNIATFKSLLGVESSYFRPPYGT IGSRTREVLAKRIKNPQIINWSVDIEDWMWADSDTPERQLKAFYRDVERGGNLAVLHY LSNSTVGYFREIIRFVKGKGLKIMRIDQCLEDPGAPELL AOR_1_366084 MDTFNVSSMGTALDDVTQAQNAKKAEAASTAREKGWAEPEGYDY SKYNAAPLGPPLATPGEGNEPEQPQQELPEWAANAAKYEWKDEYGDVGPANPQLEEML FRSELINRTGLKIGNLQNIEVEAESRDRPNPIKSFDDAGLHPIVRENVKLCHYDIPTP IQAYAIPAVMTGHDLIAIAQTGSGKTAAFLIPVLSKLMGKAKKLAAPRPNLADGFNPI VDAVRAEPLVLIVAPTRELSTQIFDEARRLCYRSMLRPCVVYGGAPVRDQRDELQKGC DILIGTPGRLLDFMDKPHILSLRRVKYTIIDEADELLLADWESDFNKIMSGGDMNEDA DHRYMMFSATFNKECRQLARKFLAGDHVRIRIGRPGSTHINVDQTIVYSEEHLKKQCL YDLLLAMPPSRTLIFVNSKAQADLLDDYLYNMGLPSTSIHSDRTQREREDALRAFRTA KCPILVATGVSARGLDIKNVMHVVNYDLPRQSHGGIVEYVHRIGRTARIGNEGLATSF YNHDRDSDLAPDLVRLLLETNQKIPDFLESYKPADGEKMFHDDTDDEDGEGGGDNTNE GAGSSTWGGIPMGETEDAPAPAVDYDF AOR_1_368084 MSSTNYNPPSRATRLEKAITNPGAVKINVKGAFIVDEDPRSKSP VRLDGVHYEGHDIRLPHHTGVVSHVAVDIGGSLAKLIYFTRELDSVDNGGRLNFINFD THRIDLCINFIKELKEEYQKAHGPSSDELCVVATGGGAFKYYDKLKETLKVNIIREDE MECLITGLDFFITEIPNEVFTYSETDPMQFAEARPDVYPYLLVNIGSGVSMIKVSGPR QYQRVGGTHLGGGTFWGIMSLLTGARTFDDMLAMADSGDNSGVDMLVGDIYGMDYNRI GLKSTAIASTFGKVFRLKNDVHEEDGEDKPHGEDGQTNGEVTFKPEDMSRSLLYAISN NIGQIAYLQSEKHQVKHIYFGGSFIRGHRQTMNTLSYAIRFWSKGEKQAYFLRHEGYI GAVGAFLRRQPANWGRRNSLDGSAMPQELRSSSS AOR_1_370084 MVDQREGSLGRDSSEEERKPTVSPANEPQPSLVVNPKAIFGSDQ PLPQHLADKLEEWHKEHGTVRPPCNSQRPAKGNLLWKTFDRQGNHVNLSAYAIIKPTA YNVLVLHTAEGSEKLVSSYFPFGTGFGTFLRGWLGVHDGWEPTTCAVRRFASHPDHLD YKPEAWSAFEVLQKRHKKLLPQPSQASGTRRSLPGPSQMQRSETRIQRKSLPGPPVAT HDRGANAAHRSSSSSSSSSEEDESDEEDEEDDSSESEDEEPEPTSVPKRRRMNESSKP TNRNPKVVFKLISYKSGSVRCFPLDECRTAKEFFDKARTFFQLFDRNVEVKILSCQIP SQSQQQYIFEGSEGEFALLVDQVKSLAGDGALTVEVSYVLSLH AOR_1_372084 MPPLSDSPPPVPPPVPVETHPNRAVGIKPHGQLRTLPDPTRLAP EDAYYSPALVRTRTAPATYDESIRGLNGSTGTAASVAALRPPHAVPARKLRGTSRRRR RKGAWKKLLWVKQSYPDNYTDTETFLDHLQRNPRVRPYDFWPLVADSTVIVQHVCSVA IFVCCFVGIVQGRVSPVSIVCWGSVGTAMGWILWDSWVFREHGESHVVERASEGDDGS SSGSTTSSMNPSTTRLNVQKDNHVHGLGLTMSHSETEPERRSSNSGLGDSCTQDAASF GGANGAAAGSVPPLPPHDAPMISRLSSRNRQRLSTVKSAFLIYCALLGLSPILKSLTK STASDSIWAMSCWLLITNIFSFDYGSGEGAGATKFPASLSTNAAVMASTVLASRLPST THVFSLMLFSIEVFGLFPIFRRQLRHISWTGHVFLTLALVIAAGGAVGITLRGGLTAA VVGSMLGSILTALAMGGCSWWLISLQKYKNVVTGPWDPARPIIRRHWD AOR_1_374084 MKWKSLALGLLATAQSAASLRFVMYIDEYHTQGLPDSSGTAGIS HAVMGFAKSTLFNSDSPQSWKPFEPIDTMRKRFSSDTKLLVAIGGWGDTSGFSEGAKD EASRARYAKNVKAMVDEHGLDGVDIDWEYPGGNGEDYKDIPNEQKAGEIETYPLFLAA LRKELGKDKLISVAVPGKRGDMIAFTKEQGPKIWESVDMVNVMTYDLMNRRNNVTTHH TSVKGSLDSIKAYEEIGLDTQKINLGLAYYAKWFTTKKDAGCDTHPLGCEVVELEDAK GKDTGKSGALTFEKGTMGEPSKDLKESTDGSCGFGKGKCPNGSCCSQYGTCGTTDAHC QAGCQSDYGTCKGISLIDSWRRAEKDGVTDEDAGGQYYFDKEVDLFWTWDTAPLIKRK FKDIVDAEKLGGVMAWSLGEDTLKWEHLHAMQEGVQERS AOR_1_376084 MKWALFYLICLPVCWGVPLPGISLSSSDKDHDHKDLKKFRGMKS WNFFDGDHHSNTDILSPSRKDTDVDIDITKTGQHPLTLHQPSEDPDYTSNSNPNSHHT NNINNANLNNNNHNNDNSPTTHGTFLTTPNTPPTQIPPSTTKRYLRVLHDTQLPTNFL KNHMHEIVVVGLFLLIPVTLALVEIIERIGLGVDEGVELEDYLELERGRGMIRRGRRR VFRKKRGKKKKKPTSILEMDVEDQVFLR AOR_1_378084 MVLQGRPLRLTQVFLIVVPAFILFGYNQAGVGPLATLQSWVHVF PEIDAVNTTGAVKAHNSTSKGAVVASFQLGALIGALSCSFLGDWMGRRKTVFLGTIIS IIGQVLQTASYGLVQFTIGRVILGVGIGMFSAAVPVWQSECTSAKHRGQHVIVDGICI CLGYTLCNWIDFGLSKVDGTLQWRIPLAISFFFELVLVCSVFLLPESPRWLVRVNRIE EATTSLAAYKGIPEEDDEIRMEIAGIESSLEVSAEHSGSLKEMFSKNDKDRLLYRFGL CMALQFFQQMCGGNLISVYASTIFEENLNMDSDLARILSSCAMTWKFLCSFIAFVAID RLGRRAIFMISGAGMSVCMIVLAITNSFGKNYAASIVSALFIFLFNSFYPFGFLGGNF LYCTEVAPVRLRVAMSSISTANHWLWNFVVVMITPVALDTIGYQYYIMYAVISGCIPF VVYVFYPETMNRNLEAINNVFRDAPSTWNIVNMARHLPQGEAAEVDAFTRAAEKAEIE QRENV AOR_1_380084 MKTYNIASIPADGIGPEVISAGVTVLNALAEKLNTFKLDFTHYD WSSETYKTTGKYIPDGGLEDLKRHDAILFGAVGAPDVPDHISLWGLRLSICQPLQQYA NVRPTRVLRGTQSPLRNCPPGKLDWVIVRENSEGEYAGQGGRSHRGFPWEVATETAIF SRHGVERIMRFAFETAAKRPRKLLTVVTKSNAQRNGMVLWDEVATEVGKEFPEVQVDK MLVDAMTTRMVLKPETLDTIVASNLHADILSDLAAALAGSIGIAPTSNLDPTRQHPSM FEPIHGSAFDITGKGIANPVATFWTAAEMLAWLGEETAAQKLMECVENVCERGILTAD LGGKATTKEVTEAMVGEIQRL AOR_1_382084 MDSPHHDYITSPAKRHKSNPPREIGVMRKSFVDNGSATFLGSSS GIHFIRTVYNSFARRSAHLSQSKNTQENLVPGEDDQLLQSPGYDAQAVKKELWAPQEL DHRSWTASFEQLVQWTRSYFECWHPMFPFLSGPKFLELLEQISQGGLDGVKTTDVILI RSIVSISLMDGRQVTATRSTPVPAKLVFRTVDQAMESLHTLFCDPPTISILQAAFSVA LFLASLLRLNAASRIGGVITRTAFHLGLHRCPARFACFSPEEAAVRQRLFWSIYCLER YLSQSLGIPLSIRDDDIDVCYPGIERHGEGVYDPNLRLLTYLAKFARVRGLVLELRNK SILHSQETSNTATQVNGELAHWWNEVYDDVYPVEEDPGLTPLHRLLLIVFRHESIISM NRPLLAAEQSSPEYKTALQVCIESSRSLITALRGYAKTGLSILQNLSLRGNTWPQTCI EAIRDLESALSNPPPTPPIDNRPASPGPAEDRAPDYPTNIVEDPRPAPQYHPSVVFGD SSINNFPLTYPFPDPGDFESGWNDLWTVADGPWLIEENFDQNFGFHI AOR_1_384084 MTTDIMATAHPQNPISCEPCRQKKCKCDRLLPICTQCATTPLSQ SKCIYPESGKRGLPQGYITHIEHRLAATEAALFSVYSQIRAGSTVQPQRQSQIQEGSL AVPVDVVASSRGSRMESMAEWQALPLRAGGELERWWGVKKGAWGIDVDDGGCSSANFG QDNEKGLLVEDRNGPEIGGRESNLELDSGKMTRAERLARLESRVYF AOR_1_386084 MAPTLSSANLILAFFALLTSSRAAPSPSVPAETAVPSSKTAAGA PLFHSETLQSTDGVLTKLNLQNNTSLFAFGSNSSSSKLSLGDSGACKVFPGDSEWPST STWSLFDRLLGGALIETVPLASSCFSSWPEYDSAQCNLVSNNWTDSNLHAADPASIMW PLYEGRTCLPTDDTSGTCTVGGYSKYAVNVSSVAQIQLAVNFARNTGIRLVVKNTGHD FNGKSTGAGALGIWTHNLKDIQYLENYQGQGYSGPAVRMGAGVQATEIYAKAKELGFT AVGGEGKTVGVAGGYVLGGGHSPMSSIYGLAADQVLALELVLANGRFVTVTEETDPDL FWALRGGGGNTYGIVTSIISRVHPKVGVTTSTFSFSTGGNVTVDTFWAGVRAYLDRFA INADAGTYAYFWVMSTGTNSFSFLMNPYFAVNHTVSEFNALMKPWFDELNHLGIPYTP DTKYHDNFYDAWDAVFPLETVASSTMVTGSRLFPRANWEDASLLNETFNALKTTVTAG YPLLAFNMKAELPEGYPESSANPAFRQTLMHAITSASWTANSTNTQILNQMEHFANNV LGIWRKTCPEAGAYMSEANILEPNFQQSFYGSNYERLYQLKQKYDPSGLFYAPTGVGS EDWTVKSQDGLPDQNGRLCRV AOR_1_388084 MAKYYPLSQILTVAKVHPFYSDTQWAPTRERLSDILANSNDYAE DIHLHSFPPTEKAKLYKHIARLTVDTSPQNGYRQSTYISTTGGGSGGAPMVFATDSLE NRHQRAAIGSLIRACQIIEPGDWVLTMHVSGHFYRALDLTTELLESAGASVLCAGAEM EMEALVDALNQYRVNVVAGDAGQLVQLVRYLDTLPVNQRASLQIRKMIYTSEPMTPAQ RKFITSVLGGVTICSMIGSAEAGPWAVSNPLLTGYNPESPSADFIYDTRAMLLEVLPL SYQGSEVKSNCHDGCIAGVPDGEKGTLLQTSLQRLRNPLVRYVCGDVASLHPLPAEVR ARLPAEEAEHYRIVRIYGRDKRISFDWYGEYFEFETVQALMRQASWGILQWQVILWTK PEGLDKCLEVRLLRSTASDGALLSEEDLIKEVKHFFMVFDFNESLFQLKFVTGLDGFV RSATGRKVPNFVDRTT AOR_1_390084 MVDNVDISQVSLGRLRSQLAIIPQHPVLFRGTVRSNLDPFGDYD DAVLAGALQAVGWHQNEDSASEPTSSTLRASSTESARESLIKGDTDMEHDCLLTEETT ERGLDQPVADCGENLSHGQQQLLCLARAIIRRPKILVMDEATSSVDNPTDDLIQRSLR SALGQSQPTFLVIAHRLKTIADSDLVLVMDDGMIVESGSPKELLHCDQSCFRSMVYQD PEGEMLENIILNGVE AOR_1_392084 MATEYKLPFELNDPSLLHFDSFVGNSWVQAKSGKRFEVVDPGTD IPWASCPTNSAEDVPSAVETAHAAFEQYKKSNPRQRAQWLLKWDTLIREAKPDLAKIL THETGKPLAESYGEIDYATGFTWWFAGEAERIHGSISVPSAPNRRVFTVKQPIGVAAA LVPWNFPIAMVLRKAGAAFAAGCTMIVKPSPETPLTALVLAHLAQKAGFPAGVFNVLT TDLENTPSLSEALCKHPLVKKVTFTGSTRVGKLIASHCAHGLKKLTLELGGNCPFLVF DDANLDQALDQLMALKWRHAGQACITANRVYVQAGIYDRFAQLLKERTQKLVVGHGAK EGTTMGPVTTPRSIDKALSQVEDARRLGADVILGGNKVTDTKGYFFEPTILTGMTKDM LVSREETFAPIAALYKFETEEEAVQLANDTSMGLASYAFTKNIDRMWRLLENLDAGMI GMNTGNSSAAESPFGGIKESGYGKESGKEVAVNEYLVTKTGTLTIEGQY AOR_1_394084 MDLTSLQSLIQTHPLIDNHAHNLLKRDEACNYAKYPFEQITSEA QGSALGNATSTLPLHRAATQLAALYRCASSDWDHVKAARDAWVQRDYDGLIRECLQGT HTLLLDDLLTDQDIESYEWHDRFTTSQTKRIVRIEILAAETISTLMRDDARPQEGDVS VLRERWEQFREGFKQRIAEAIADPAVVGFKSVICYRTGLNVQPIEDSDDTLLLESFGR TVGQGQGSAYRVEDKRLNDWVVRQTLNLLQSAKAATASAPNKPLQLHTGLGDNDIDLV LANPAHLQSLIAQYPEVDFVLLHSSYPYTREAGYLACVYPNVYLDLGEVFPMVSRDAQ ESILRDSLDIVPTTRLLWSTDGHFFPETFYLANKQFRDVMEKVFVDYVHYGDFTVDQA KQAAADILFHNSNRAYSLNEKLAYEAPVSANSVSASSTATLDAFMRGNPDVKYIWMQF IDYTNTTRVRMFPVAEFAKIARKQRRVGICLCTQLMLQDDSIAPEGSVTGQFYMEPDL SSLRRNVGIDSKSATVMTYWKTEEGAPLPGCPRTTLQRVTNNLREHGIEVTCGFEIEV ILLKPITNDAGETDYVPVVRNHSWSQMTSDTRKMVPLLEEIVEALASIGIHLEQFHAE SAPGQFEFILPPGSPIATVDTLIKARQVVTCVAEQHGLRATLHPRPLPHAAGSASHAH VSIAPPTQEDAFLAGVMRHYPALAAFTLSQDVSYDRVKSGLWAGSEWVTWGTQNRETP IRKISPGHWEIKTLDGLANMYFAIAAFLSAGYLGVKENLPLTVKDCIHDAAKLPQAER EALGITTELPKSLTQSLDALEADSALQSIIGETVVNNYTSVKRIESKRLLAMDETTRR TWLLERY AOR_1_396084 MESNHRSDDHNTGRRVRELYRYFQPERVLSGNRTSSSNSSPFSA SAPIQDSSLATPPSPSISSQPPLLGSTGAASTVVPEEALVLGNPNTTLSSFAQLAALR LDVERVLTSVSDRNSQFILAQSTRSTATSNKHEMSGDGVWSGCSTISTEAWKMCAATV ALGPSKKEEGHCKFLVIDDLSQHDEYKGLSFVREKPNFRFYAGTPLTTETNINIGCLF ILDTKPHDGLTDTDKQTMKTVSMLIMDYLRVSRQASEGRRAARLSRGLSCFVEGSSSF VDTSHPSYAGSFAAVPGTPQSSCLRANHLSVGSLNSFELPSRRSQSSDARSISSMSEG RGDSGLSPLPDWWSGNRGNQRLDEVHGNSWAFKRAANLLRESLELGDDGGVIFLEAGN TPMLDIESGSDCSTENSSPAPVLAISTNDEPFAPGPGSSNLYPASNFDSSFLHQLLRR YSKGKLWSFHRDGLVSSSDDEKPSRSRSRATKTSELGRGTGKKWKSLENSMLNLYFPN ATQVLFVPLWNAANSQWFSGCFCWNTVETRVFNSSVELSSVLGFGSSIMAEYSRVESL ISDRQKGDFIGSISHELRSPLHGILAAAEFLSGTKLDDFQGSLLETIDACGRTLLDTM NQVLDYSKIVSLEKSWRQIKRDKTVPSDYRALDRLSAHLDTYVSTDLALLTEEVVEGV CLGHAYGQKSTISSDQPVVVSPMEDPGDKGSLSHPRPEVDVVVNIAQNDWVYQTQPGA LRRIIMNVFGNAMKYTDSGRVSVRLEVTEAEGRCRRSGMEELVTLVVSDTGKGISEEF LRGRLYTPFAQEDTLAVGTGLGLSIVRSLVKSLNGRINVNSTPGEGTTVKVTLPLLRP DVEDIAEDPLTPRSPSAKEKDSPTESRLLRDNHAGRRVAIAGVEPDEIAGHPWWSIIS RYLTEWYGLELVSWSSQGPVDIVLSEGATSAADLKKQFATKVPALLLLCDKSVDRATK LKECSSLASIVNIIRPPCGPHKLARSIRKCFDSHANSIPTTKSIVLPERPKFIPDGKG GFESEFSDDVADLTPDATSSSGASSLLGSAHSPTHSEIPEILPTIMSPSSSVRDPSPS EIKEPESKPQRLARVLVVDDNAINLNLMLTFMKKRNLSTLDSAENGKVAVDAVERLQQ GYDLIFMDISMPVMNGFEATRAIRAIEKERDCCTPATIIALTGLSSSRDESEALTSGV DLFLTKPVSFKEVSRLLEEWAENGLGNRCLSS AOR_1_398084 MSTTEATASPSEPPEAGSQRPSSGPWWKRVLKPSSANDVDFNQD NVNYRSKLTLGILSDKETDEVPGTVLLLSSNRNEPLGLRHQPQRTSRSSLPSPYPPSR SSSRTSVRGRPSKKKTADGRIVLEPQPEDSMNDPLNWPVWRRDAALLSLGFYCLMGGG MTPILAAGFTQVADTYDVSTQQVAYTTGLYMLGLGVGSVIMSPTAILYGKRPVYLLGA TLFVISGVWCALSPNYPSLVIARVFQGIAVSPVECLPSATIAEIYFLHERAYRVGIYT LLLLGGKNLIPLVSAAIIGNLGWRWVFWIVSIVVGACLVLLFFFVPETFWDRTPRPRT RKRPHLYRSVSDLVSHGIRGRPTHVQRLEDHAPEADTESALPKKSKKGHVGFVENPDA EGHSPNEKEAEADHDRVSIAPDVPGEDHVHFARDADPEKADGFLHPPAPAAFGSERAS DLGVGRQAAMSPARSDSLDPGAPMNGSMHYTNWLRERPQMPYLHYLRVWNGRISHDNW MRVAVRPFILFAYPAVLWSAVVYALSVGWLIVLSESVAKVYETRDTYNFTALQVGLVY ISPFVGGLLGTAVAGKVSDVIVRYMTRRNGGVYEPEFRLVMAIPIALSTTAGLMGFGW SAQEKNAWIVPTVFFGLVSFGCCLGSTTSITFCVDSYRQYAGEALVTLNWSKNVFHGL IFSLFIVDWLNAQGTQTVYLALGGIQLGFLLFTIPMYIYGKRLRMWTVRKRLMEQW AOR_1_400084 MAPFFVEAVQEGWYAFTPRERRDVAFYILGIMIYKFGLEAFNGS IVALATNRYDYDAMRTKTPAKTFQRVGLMVGLNQACQCIGSILIAPLIRRFPSRIVLS SAVLVFALFSALLLIIDASTGGTFAPASFRDQHPDHDFHYYGRYNTDGMIPVYCVAGI AYGMVELMRRVIPRDIVGGNVKKLRHLDALVHIFYEISGTGGAFCTALALIPFFGNNY SFLITPICFAFAAISWFFLTDETFRSHDTPALERQPSYIKAVTVGFWLFIESIWTGAR IIFTSRKFIWLVPGYSVALYAHRYLENAVAPAIARRYLGNAAWSQIIVGGSNLGELLG ALFVILFTNLVTTPVPWLRLDAVMLLITWYLPFWRPPMQISAAWMAAATFIPISFGWA AGDVSLAAYIQAALARVESKTQNVSALGAVMAFLYSTYIILYAITSPILGSYIDRVYS DTGGSENGGNIYAAIQNVASVQFTIISGLVLLSTFVPRGSLAFNPKMLYNEYLDGEAG LERVSSDDLRK AOR_1_402084 MALISRLLLLYTSCLTLVSAITLNSFPSKPRVFVLSDISNEPDD SESLVRYLTYSNQFQTEGLVATTSTWLKNETDPDAMLDIIHAYEKVVDNLNHHAPADS QYPSAEHMRSLVRAGSPVYGMAALAPNATFSAGAELLLDRIQATTNSNSPLWVLAWGG TNVLAQALVKLHKDNSPEKAANLRKNLRIYTISDQDDTGAWLRQQWPDLFWINSIHGW NQYGMSTWVGISGDEFYDVDKGGPNSTLVSKAWLKENIQIGTLGAAYPDVAYTMEGDT PTFLYLIQNGLGVSEHPEYGSWGGRYQLVTSNQHGPGFRHYSDVQDQVVGLNGNTFKS NKATIWRWRDAYQHDFAARMRWTLTDDVTKANHHPLVKVNGSSGLEPVNVYGVAGSEV VVDAGESVDPDGDELTFNWIYYPEPSTINGTPDVNVTTFGSRGESARLPVPIIDRTCE AGIEHCDLFHFILEVKDSGSPPLTTYRRILLHVAKSDAK AOR_1_1278084 MWSRYSKRLFQRPVNREQSQNHRDLLETHSQSLGYRQLGTIKID CSFMPDSQWGILQKVAGAPAWLLYLQLQFSQPSDCKLANANVELTFEKMAPASQEPIN LSNLGPVLTEYFGPRGIAGNDLVEPVVLGSTALNAKLKARDPDLTKTPHDLDPFFITV RIEGQLHGDRGWFKFPSSMDSSTRTLCVRVSPSAHEQTPLDERARQLNKDMTALLVQS FRPISKLDKGLRPEELKSSCDKATKTAPLANRTTPKESEEYTIGWICALSLEMAAASA MLDEIHETLPTPSNDYNNYTLGKIGSHNIVIACLPIGVYGTTSAATVATQMLATFPSI RFSLMVGIGGGVPSSTADIRLGDVVVSKPNGRLGGVVQYDYGKTVANGIFEQTGALNK PPQALLTTVAKMQAEHMMRGDKIFKYLSQMVTAYPRMQNFTYPGQERDYLFRADYDHE GPNPTCKNCDPAQTVDRKVRMQSTPVVHYGTIASGNQVIKHGQTRDRIAQQQGILCFE MEAAGLMDNFPCLVIRGICDYADSHKNNDWQEYAAATAAAYAKEEIITLKRREVTRFH ILKEFSKKRKDLESILVLPHTKKGISCPPLHTNHAENT AOR_1_406084 MSSHPARNVLQPTGTTLPLELELEEDIEKEIHHFVQLTRMGHYT DAQKFFDNTLGKHDHLFPVVAEYADMLLEQGRYRHAAEFLNEHIRAKEEIFDPDEMQL LKIMKALAEIYSKAALFPNAEITGTDLSPIQPTVVPENVHFLVDDAAEEEWLWHHDYF DYIRLAHLTGGIPSSEELLRKSLQHLKPGGWLECHEMDPKPMCDDGTMPPEAEEGGLS AFALHDWWRAQHQSGQFTNPPRQFRIAPRIERSMIESGFVDIQQRIKKVPTNPWPSDP EMKEIGFHSERNWLEALSGWSYKPLTSLGWTRPEIEVFLVNVRKAIRNRDVHCYTNYH VVIGRKPFPDEKEAL AOR_1_408084 MPPTSVTPPSTPSPNSLDQHVVRAIFTQLKTVASTTGFDAILEV YDENNKLLGQLNSKDEYIASLKNEINDEKERKRIALDETFKVNEEERTRHKETKGVVQ TLRTVISEKDTCISERNKKVDELGKQVKKLQSDNAKEREKVALAQKEINGLQQSIQDK EITIDKMKKAGADLKDKLASAKQRVKELENEALGLKGSLATTQASLEKLEGYATRYSD ITEDSAIESMLKFWDYAKTEIFAMLETDLSNDTLRVLANQASIDSEKEVGIQTVVKNV LEYLDELLPEDRRLAFHKTLEEVVQEAAKIWKPIQGSKRRYEPDFDPPTADDDCEAFT FPVTDNTNTEKGAKPKHTKKIALTVFPRLSIIENNMSTAYTTITQLSNSQYEWMAAES EMDKEPASPTIGRIPWRRKSNNPKSLSLPNGGSKKT AOR_1_410084 MAEVQQDEQDPQYQFFLYLFLSEEALEVQVTNDSSITNVDEVVR QDPMLKRFVGYKDDITKPRFIDMGRQGFVFRFKYREQDLCLKVFYPYKAPYNVHKEVE AFISPFGCESRAFARLCDLRENGHWAVRCHGWMYLTDSQLQQLRRVCGRRVGNDPYWG NARWAIVKDFIADKPPSRQDEQFQNILSNFCIPKRGGILPDDVKKENYRGDRIVDLGS TITFPFYRRYAQAEDLDRFFKELDQYELPEWDNSNE AOR_1_412084 MPRLIDREPNTRIKPMRILCLGLTRTGTNSLVVALRKLGYTPYH GSECFKSPPRDFNLWIEALKCNFFNPDPKKIPRYGREEFDRLIGSYDAVLDIPASMFW EDLIHAYPDAKIILTTRDFDSWWVSMDKTLFRFIRMPFFRYWQYFDQKEVGPLYRMSE LVWRVFCGNCYEKEVCEKAFQEHYRKVREAVPEERRLELKVGRDGWDVLCPFLGVEVP AEEWPREYPARALNENIDMAFWGAVRTILFWVGFGVVVVLGVVWMGAYAGRYHDEI AOR_1_414084 MSSSKTVALFTLALASFKGALAALRLNSPNNIAVYWGQNSFGQS TGPYVQQRLSHYCRSKPDYTLSKEAAADDTTHVVYQISFLTRINGAGGVPEVNFANAG DNCTAFPGTQLLDCPQIAEDIKECQSLGRTILLSIGGATYNEGGFTNEAAATAGAKMI WETFGPVSNPSVKRPFGDAVVDGFDFDFEATVNNMPAFANQLRSYYASDTSKKYYTTA APQCPYPDAADGPMLDGAVYFDAIWIQFYNNYCGLQAFVPGSAAQNNFNFDVWDKWAR ETSLNKNAKVFLGVPGNQGAAGTGYQPISTVSEIIKYVKQFSSFGGVMVWDASQVYAN TGFLSGLRSALGGGGTTPAPTTSPTTTPTTSLTTTSKTTSPTPTTKSTSTSTTLTTTT KSSTASPTSSKPTASPTSTVCPIAGQTCPTSGAFSCNGSQFGICDNGRWVMQQCPAGL VCAQSGSGVYCDYPGSTGVAPCS AOR_1_416084 MSHLKKFERKVERKVENLEHRLSHDAHQHQQQQKGKPTGKMQDT PGPKIKNEVKKQIENRPDEWKIEQGINAAKLPFLDQSGPETVYIQPKVWDGKMTKDEA AIKAVGDPKKLFPREIEGWKGYVEWEEYPERKKAAHKILTSQAFPPNPEYQMGPIPDT NPVLPGTHWKQWHHAVGGELTDVPEDSWNTVLKEKHPEMLHLLQFPYNGEPPKRLTTA QPVTPNSLHFVRNHGGIPLIDKDKFFLKLDGLVKNPKTYTMDDLMDESKFPRMKKMIT MQCSGTRRIEQISLYAGQGDEVPQAPWAEGAIGTAEYVGISLKKVIKDCGGLLEGGKH LELYGAETYFKNNEAMNYVVSVPWSKVKANEVMLAWEMNGEPLPKIHGYPLRVMVMGY IGARSVKWLYRIKAIETPSLAPVQSKEYLYFNQQVGKHNQRPTDGIQIQEMPVSSAIM SPWKNQVVIHNGKIRCKGWAYSGGGRWPERVELSSDGGFSWYPVPLENMSEKGQWTWR TWEIDLPCDVEGWIEIVCRCWDNSLNTQPLAVRAAWNWGLHVTSSAHRISVYSINKSR PLTAERLEMLKAHGQPLAPITWPEELQTQSWDEYKDFFKKYPRDPDNEAVC AOR_1_418084 MRTSSRDYLMLAMLAMPQAHLASAERVLGAYIFARHGDRTPKIL GNTQLTDLGYSEVFMTGSYYHNRYIDSNSSLQIEGISTDVVNLKQLSAAAPSDAVLQN SATGFLQGVYPPVGATASQKLANGTTVDAPLNGYQLVPLSLTTTGTNSEDNTWLQDTT KCQKAKVSSNSYYSSSLYKDLLASTQDFYTSLTPVLKGEFSDSDISFKNAYAIFDYLN VARIHNSSTENMQTDAQFEQLLALANIEQYNLAYNSTETVRAIAGAQLAGEMLDALSE TISTQGKTKLNIQFGSYGTFLSYFGLAQLPKANSDFTGIPDYASSMAWELITDSPSGF PDPADISVRFVFHNGTITGADEPTEFPLYGQSSTTISWTEFEKQTKNIAVMSTAEWCS ACGNTDGKCANPSSDSAGAESTEKKSNEVSRPVAGVIGAMVTLAVILGLEAAFFLFGG FTIAKRRKAAAGSIASDATETKFVEHS AOR_1_420084 MTISTETSRVSNTSIHTETKDSTPAHLDRSTFPRTVTLPDEKIH IELTYDPLSADTALSYTNSPAAGANVFFLGTTRNTFDGRPVAQLSYTSYPPLALRTLT QIARQSKEKHGLIAVSISHRLGTVAVGEASILIAVSSGHRRAAWRAGEEILDECKAKA EIWKREEFIGSKPEDGEWRANRDWDGEGNLVLRQ AOR_1_422084 MADPTLQPAILIVSDTASEDPSTDKVVDALTPLLLTTDQSPWKT PFSNIVPDNVLDIQRSICDWTDGQDAVNLVLLSGGTGFTSRDNTPEAVTPLLHRHAPG LVHGMLAASLKATPFAMMSRPVAGVRNGTVIVTLPGSPKGAKENLDAIVKLLPHACIQ AAGANSRLLHAGGMQTLEAEAGVSSGNNLESRAENSHAHQPQLGHSDCGHSHHRGNNT TKSNDTSEGPSRRNRLSPYPMLSVDEALWRISHHTPDPVVVEAPVTPALVGSVIAEDV YAAEAVPAYRASTVDGYAVIAPDSTSENMTSTTGTKGIFPVASVAHANSSDFAPPLER GTISRITTGAPLPPNANAVVMVEDTLLHSSTPDGTEEATVEILADDIKPNENVRQPGS DIELGSMILRKGDIVTPVGGEIGLLAATGTHTVKIYRKPCIGVMSTGDELVPYDDPQR LHGGQIRDSNRISLLSCLSSWGFPIVDLGIAPDTPAGELEERLRDAVHREENSVDVII TTGGVSMGELDLLKPTIERSLGGTVHFGRVSMKPGKPTTFASIPVKRSPVQTDDAPRT WGKKLIFSLPGNPASALVSLHLFVLPCLHKLMGMGQGRLSPGSGPVPGLPVVTVTLMH QLPLNRERTEYHCAIVRASQADGLLYACSTGVSVTGQRSSRVGNLAYANALLVLKPGH GYIEKGTLVEALIMGPIRSGV AOR_1_424084 MAQAPTGTFQVHYFASASSYTNRQSESLPAPLPLAKLFDVLESK YPGIEAKVLTSCGVSVNVEYVDVEEEKLKLRDMEAQDGQRSDLVIIKEGDEVAIIPPV SSG AOR_1_426084 MTYDPENAMGEARADAPVEAEKEHEATQTTVKESTLGYDNSSDP SRRDSYRPTKLQSNLTIVSCYIANFSDGFQNSLANPTNVIFKKLLGTDGYPSEMQTRI SNSLLIGAILGVLALGYTSDMFSRRAGLLFTSGLVAIGTLMSTLALQVHPTYNMLWYF VIVRGIAGFGVGGEYPPSAAAGIEESDDFKRKYRGPLFVSFTTLMATSAAPIQMIVYL ICLIASNDNLPVTFHAIYSIATILPVIIMVLRFFMTDSTLFHYSNFKRQKRPLKFYLL LLKRYRWRLFTTSLAFFLYDFINFPNSIMSSTIINSLVKDHNIRTTAIWQVILGALPV PGVIVGAWLTNAIGRRYTGILGFAGYMVLGFVIGGTFPHLSKNMPAFVVLYGLLQALG HMGPGATIGLISTESFPTAMRGMGYSIATAFGRTGAAVGTQCFTPLQERAGKQSTFYL AGGIAILGMIVYWFLPESSELNLEEEDRDLSVFLAENGFPMEKA AOR_1_1280084 MQIADMAASTTAQILVVSLGLLIFVLLCPWFGYLRLPSSMRWWP SIPSGPLSALRLSLKEYSGSRSSENGYKAFSKKAEPFAICNPSFYPQVLLPPEQIPWL LSQPENVLSHEKANEDVHALPFLAPAFDNYDHLELIRAIRTDLTRNIPNTEDAFLDEL RHTTNEVLGAPGDNAWKEVNLTVALDSIIFGICLRLFFGVSLSRNRTFVYYVKIFTRV TGAMMLFVSQLVPWPLKPVVGIVAGFPIYYYWVRLIIYLYPTFKERIQCLRTKKETPP ADMVTWMVDLAISQNPTRKVHISSLIVRLTLIVFLPVDVLIAMTDNFFLDLLSSDPDR KYYNALRQEAEAAFTNRDKTQPISQSMPYMESTIRESLRLSPLSDRMLSRRVVHKGGI TLPDGQFLPRGTWLAVAAVGVHRDERTYEDPDEYRPFRFLSEDTETKEAKAMLVPVTS EKFLAFGHGRHSCPGR AOR_1_428084 MIGEQYTSIITGFKSALAVSCIAVSLFLLSPWIAYARLPSSIKS PIKAKGPLSALRACLNEISAGAKTSTRGYELYSKKGQSFAMLNINFRPQVILPPEHVR WLVTQPEDILSHAKASDDADALGYIWPLFDASALHSFSKVLQIDLTRNVTQTEKDVLE EVQHIMDELVGQTESWKEVNMVQAFERIMYQATQRVYVGLPLCRDSTYMGYVKGYARS LGTAMVFAAQLTPWPLRQVTALLAGLPVYYYVLRVRSYLSPLFKERMERLKEKGGTQD DNLEGEPRNLITWMSNGVLSGVGPKSISPSEMVTWLGILALLPTDNLWTTCTNVLLDL LSSESEHAYLHTIREEARTVFASSKESGKPVSHGLHHIDSAIRESLRMNSLSPRSLHR QVVRRGGVVLPDGQKVPTGTWLCVLSGNIQRDEDYYEDAQTYKPFRFVPKLTEAGGDK APLLPLTNEKYLTFGYGRHACPGRWFSFQVMKIVIAYILANYDIQPLEKRPDNIVFAD LNIPHLSHIIRIKRMT AOR_1_430084 MSPDRKLITIFGGTGKQGGSVAHSLLQNPDFRVRVITRNAQSDA SRKLAALGADIAQGDGFSGDEMLSAFSGSWGAFVNINSDDKIFTTEGGPTEFDMGKII VDSAVQAGVKHLVFSSGPPCTEMTNGRVRMKAMDMKNKIEQYARSLGSFETFTPIGAG WFLENFLGKEVAPVFGGFPYFPDDQGYLTFRVPYWGGDEHVPWLSISDDFGDIVQGIF LDPGRWNGHFVHGVSDIRSFEQVVADFAAVTGNKARFQPILPTWEAFDTHGIQELEDV KLMFGFTQLTGGRYFGPEDTEVDTARQLKQITGLKLGRPEGQHKLTSARDWFAARFAN AOR_1_432084 MPSQVLTHEEEYDLAVRQGKGLAQQFFDHAFLNPSAMAVIDGDT NLTYQDLHERAAMLARELQRGNLHTEEPVGVVVQHGISDVVAQMAILYAAGTCVPMDP TLPDLQIKGRLDRLKARYILVDRANQHRDLPFHPLIVDDSSASFSKSSHVRDNEEPMQ ITLEHRTHIIHTSGTTSEPKAVQIAARSILQVVFHAPFEPLYPTDRVAHVNNSSFDVS LFDIWAPLLRGACIVVVSKVTLLDLETLAAYIDRQGITVMATTTAILNLAASVYPRAF EKLRLCFIGGEAANISAIETIFQAGPPTQLINAYGPTECCIFCLAHRVTIADVQAGVV SIGKPIGRTVAYICDEAGRPVPDGHEGELLIGGAGVSPGYINQPDKNRASFVAIEGSD CQRFYRTGDIVRRRVSDGQIDYVGRRDHQVKVRGFRIELKAVESAIMKTGQFSEAVAL KVEAGSEGAGSILVAFAVALSGTKPHAVLSAVDMLKAVLPDYMVPKIELISKMPVNSH AKVDRKYLQQLFRNRWAEQHIDMDNEDSTRGKLANLWASILGVPVPASNDNADIFLLG ATSMQASLLISRIQKTFNVQVSLLTLYDNSSLIRLAGILEERILGTQESFCKESERHM WLEDSKLADSLVPPSDPPVDWCRDTEGRVFLTGATGFVGSFLLADLLRQPNVHQVGCL VRAVDPATGLRRLQNGLAKYDLWEDQFRYKLLPLCGTLEDRYLGLGPDRFEEIAHWAS VIFHLGARVNYTQPYSLHRPANVQGTVNVLRLACAGRSKVLHYVSSISCFGPTGFITG TRTVMENEPLPRHLEALPYDHGYAQSQWVVENMLQRLMDNGFPIVVYRPGFITGHSQT GACNPDDFLSRLIIACGEMGSYPLLPNQRKEFVPVDYVNAVILHIASSTATAVGRVYH IVPPNRDLSLDMNDSMELVGSLAEGNESSVRGVSYQQWVQELDRQSPERLRPLQPMLT EKLYQGLTRWELYENMPVYDTTNTRQALESYPGGLKFPVLDSELMQKYIRYLQIRSAS PKEENPSNGTDS AOR_1_434084 MTRVTMDQDTENKVLVIKNMDSGYLVAGEQVTLEDVSYDATEPL AEDELMVQLLYATYDLFKRDLASSSADATELRGRKPVETMSIAQVIKSNNKQFQEGDM VIGRLPVQQYVLIKADDATELKLLENPCEFDDIRLFLSVLGVPGLLAFSSLYEIGRPK KGETILIAGASDEIGQLVGQMARLEGLKVFGSVESDEKLDFLITELGFDGGFNYAKES PYEALPRLVPNGIDIYYDNLSWMSRLNIGGLDTHFDLLGSRHLNAAFSSMRRYGRIMF YGTIAEQTVLDPIIGMFLHNTVLKRLTIRGFGLSDPSFGKKWGKLHMERMQQWVKEEK LKIPTFEITGMDNAAKAFVEAFYSSENTHTHTILAVT AOR_1_436084 MHLVYTATRTPEYFHQVRAFPSSFAIFSRSWDMIPESPGTFLPP DARLDKACEKAIEYGYEWLWTESSCVTNTRKHNLWKSIFELYRMASLCFVYLSDIEKV ADWDQSKWFQHAYTLPELMASNEILFFTKTGKVVGRKSDLCKELSSTTGIEEAVLRNP EKVQSCSVAKRMSWASLRDAKEVGKEDLAYCLIGIFLVPDLSVRFNGLTNAMLSLQLE IMKEYQDDLSIFEWQHTDINDPCTNGLLAGSPFQFHLCGDVKTCKNGSKINLASDSNG MFSIHGLIISQPLGRIGLVLNSYHSDIHPRMYPCIHLSTVPPESPESPESPESPEVEC RRMDISKVYCISAADAMKTPMAQGTIYIREDGTAFIQ AOR_1_438084 MEQMQGFPESQPSSSIMDSLRAARDARMFASTSNTENIEILMEQ PLETPWAILSYTWRNVFRMSQTPTSKTSLCTACKAAAYIDIPFLQIDTCFISGPGVSL RAKSAMNGLEYADYRRAPMCLVYLQDVVYTDGDSNFMEKIQNSSWLNEAWALQELLAS NEITFYSSEAKRLCKKSDIL AOR_1_440084 MARISWGAGRPNGVFTPVEYDVTIILHEAAHAVVPNRISGVSLP DGLATLGTTHGITKVAIPFHLKALDVIALLGLKYTLTRVRENEPAIGLHTELNRTVFA FQIVAYFNPVTGIENVRRIERHGAVDM AOR_1_442084 MAIDDKSSRINKQPLLISTGVFFALAIVGVIVRFVLRFGVQKHK FQADDGVLIVATVFLVASTVVMYHKTVYIMYLVDAIALGRLEVPANMVELSNEDHTWT LATLMLTWCAICAVKFFFLVFFRKLIDRLRLWQIYWWFACLFNFGLLVFGLVAFWVTC PHRGAAAMECNTSKYLGSLTAYTASKVAVDVVSDILILAIPVGVIWKVRADWTQKLAI AGSLCIDVVQVGLSIARAAGLEHDGHADGIFEMYTLYISAALGVFLAAATAFRPFIMA KKHSKAYTPPYSPWANSFSNQRKRGSDRSETSGWSGQTPTPTTGDFERLTPDSKDSDS RRDDPEWHAMSSTNSSATDCASVVRDHSMADDPVEVTEVQPVIVLKHTR AOR_1_444084 MADPLSITASAAGIVSLGLSVCKGLLAYYRPYKSCYEDIENTVE VVESLNGTLEGLDSLLAEASVFQSSSVAQQAVCAAGLIKRCQVRMHKLDTMLAKFRKT SSNGKMAGLRGQVNRMLYPFRKETVLSIKESLTSLQGSLVIALHILQLAMEIVDQNQI NVVLSHTASTASNTSRIVTMIQELSEAQTGIGNSFNLLAERTRCLV AOR_1_446084 MNVTLAPDLSADHLIDFESWFSVPADNDCWPDLTCYDQDFSVLD IVGPSVTEGSMQKSWDTQKRNASLPQPNITEVYRRNQVPEIDKDAVEPRHYDPARAED DAQLIFPDMTVIPREDIEAENLAHVEEVSAEVTSIVFELANDMQLKSNYPQFIELRIP PAPVLNAWVQLYFEHFHPMFPVLHKPTFSTSGSNPFLVLAVAAIGLMYSGDRRALELA EIFQAVPVTLARRKRLFTNTLPQERLCRLELPIADKWHVSILDEQRRRAGFAIWLIDA AYDYNFDLCTTMKADELQNCFPQQDGRWDASNAQAWATFGEENISIQNITLGQVINGR TWRYAWSKTGTLGKQTILQYLANVVNGKDHVSPASPSFSPEQNRAALEALETLLEETG DQGYGHSWSDLKASAIHRVMILSALTLYHTPTSHIVPLAIKVIYGKMNDDSWTLTIDR WRSSSCQGRTGVLYASNLFETVRSARCIHFMTPVLLLKAVLVMWLYSIIHDRLRHGRG YQLEIPSVVLDLKSLDTPATKQWIANGSSCIKLPGISNLLSRQGRCKMLEESVVVMRS LRAWGISSMYAQLLERLRLVEDMQPAELATI AOR_1_448084 MPSKDYVYKTSGSLEIAATVYYRQDEPRSSKKPIAIGFHAGGFT IGSRFLFNSNEIDALLDFGFVVISADHRLCPHVSLYDGPIEDAKDAFNWARTTLPDLI KKDVNIDIDGDRIVAFGQSSGGTLALHLGSLPNPPRAIAAFYAAAYFSDEIWTQPTPG ADMMPPIDKAFAESVYDEAPASMTIVAPQQFIAPGTMPMPNLSVPRDAWMALAFKEGQ HLSRCVKDGDFGRVDPATYFSPKFPPTVFLTGTDDTFINPKFSKQAHAQLSGLKVETK LVLAEAGQHGYNFGMEKDDVRFQETVLPGYEFLADHV AOR_1_450084 MTPNPSSTNKAEASPTNVIVVGAGPVGLLTALRLAQSGIHVDVL EKEEKLNVTPRACSYYAAALHALQRAKVLDDVKKAGFTTHGNEDWDSGVVNLQQAKLT NLLYQKVLETGLVTVHLGAELVAIEQDSNSVTAIAIRGGGNQEHFQGSFLVGADGGRS TTRRLLGIRFKGHSWPERLVAMDVLLDDVEFDEKFPSSLFVDPIYYGLMSPLEEPRAG TESLWRCTVAVDPTDARTDDELVSENSIEELLLKAVPGPRPLPFKVLRASPYRVHQLC ASTFNRGRCALAGDAAHLNNPMGAMGLTTGLIDSEALADALELVIHDGKPISILDTYS DERRRVFQTFVDPTSTQNKLRCASDTETAKEDWLIRLMAKMTNAPREMVARGTQPFFT TWRTDMKQAIGHS AOR_1_452084 MVNWGILSTGDNATKFAKDLLIDPSTKGAHDVTHNLVAVASSTS LQKAQDFLSAVNAPPSTAAYGSYESLLADPTVEIVYISTPHSHHYQNARAALLAGKHV LLEKAFTVNAAQARILVQLAREKKLFLMEAMWTRFFPLTLYVRQLIKDGAIGTVQRVV SDRNLGRDIETLYGTEHRLVNPALAGGALLDLAIYPLTWIFQILHHDSPPASGTSKPA PHVSGSLVKYAPTGVDETATVIVTFPESKTQGVATASLRVASDPTDPGVRIFGDKGQI QIFGPAARPLSIAVVTYGEGGPEVVERKDFEIPVGHGLFWEADACARYLAKGETESDV MPLDETLLIMDVMDRVREENSLRYPAEVEGH AOR_1_454084 MMFTCNWTALLCALLSILSVCVADIPQTNYDVIIIGGGPSGLSA ASALSRVLRKSVLFDSGEYRNNPTRHMHDVIGNDHVVPAEFRKTAREQISFYNMTTFI DQKVTKLEKTGDNAFQATVGDQQYTARKVILGSGVKDDLPDVPGLQEGFGKGIFWCPW CDGFEHRNQSMGVLGDISEAYGAVRELHPTLNKDIRIYANGTNTTEQIAILDKNHPNW RKVFQAYNVTVNNKPILNITRIQDGAVVNDPAIRLEFDKFQIYFADNSSEVRGAFITN YGTSQRSDLPAQLGVEMLGSKINTLRKGLQTSVPGVWGVGDANSDNSTNVPHVELAQE ELDRDAGLEKRETEFDAESFHETTERQMGSEIQDLYKRLSRR AOR_1_456084 MKFSSTSALVAALVAGVNGASIPRTQGSVPITFIGAADAQFTQD FPTDGSSVAITNPLSISHIASSTNGVSCVFDGIDKSVTVVSNAETVDVGPPQTQISGS CAVGAVPLTQHERRENDEDVVITFVGAADGQFTQVFPVNGVATQISNPLSISHIESHR PGVSCTFNGIDSSVTTVTGVQTVDVGPPQTQISGTCHNL AOR_1_458084 MGLPKLKLPRANADRAYKDEPDPRPSDAVLRQSANGDDGLVDTK IPFLTFRSFSMCVVISMGGFLFGYDTGQISGFLEMEDFLQRYGEQRADGTYYFSNVRS GLIVALLSIGTLMGALVAAPIADRVGRKWCVSGWCVILCAGITVQISSPTGKWYQVAL GRWVAGLGVGALSLLVPMYQAETGPRHIRGSLVSTYQLFITLGIFVANCINFGTEKKT STASWRIPMGVTYIWAIILGFGISMFPESPRHDYRKGKVDKAISTLAKMYGVPKNHRA LAIEFDEIKQKYEEEVARGQVSWIQLFKAPRMAYRVAVGVALQALQQLTGANYFFYYG TTIFQGAGIENSYVTQMILGAVNFGSTFLGLYLIENYGRRRSLIAGALWMFCCFIVFA SVGHFSLNRDDPPSTKTAGVVMVVFACLFILAFASTWGPMVWTIIAELYPSEYRARAM SLATASNWLWNFLLAFFTPFITSAIDFRYGYVFAGCLFLAAGLVYFAVMEGKGRTLEE IDTMYVWKVKPWHSSKYVFPETEPSIMEKRRASHASNPSTNPTTIDDNHETTVRECIY NECNDKRRKISAEATEQNLKFYRSALENLLRAIQIGSQSDVDHIINDIRKGAPLSEIS RTAADCLNSMVATTTTATTTAAPDSAKQDNVENPG AOR_1_460084 MSKSFNILDMELPIRYCLGISLAVAGVAFCLYQMFFHPLAKYPG PTLAKFTAARAAYCAWKDDVHLDIWLCRKKYDIYLSGKDLRKDKIMGKASFVPNLLTI MDRKEHARHRRLIGIGFSQSAMKSVNERLLHHVKSFYHVAYNAGLPDEANGWSHPLTM SDLCSYLTFNVMADFIYGKSYDLIHCPDYRHLLEHIEESNLRTGVLLYCPQLYIGRLD RKLFPRASTGNKTIHSFINQIIQERKSENGVGQSIYEQLGTQRKSTDHPLTPEEIRSE AMLLTIAGNDTTSTALCAALFYLGKNLHAYEKLAAEIRTKFSVVDGIGQDETLRNCHY LHACTYESLRMSPPVGSSMWREVGPGGTSIDGEFIPCGYGVGTGIYSIHHNPKYFPRP HDFIPERWLSEKDGFICKEQADIPFAAYILFSAGTRACLGRHLAITELLTTIAALVLL YDFRISHTENGELGCGHALGRHGRTNPGEFQLYHRVTSGKEGPILQLRPRKGN AOR_1_464084 MACFNWMHLLFDKGQRWIESRTGEKVRFEGLYALDLPWANTRRL YMETLNAGLIHELPSRCSVEGYTKQYFSSFQSLVFPVISKPLFEKTLDLAYGPRSSFG SASARSCVYAFLAVVNLFEIGENFQEAMDCGYFASAAQTFMVQITQEMTVDGLQAVIM LILFQYFLGDLQAAAVSVSIATRLLYTLGANTMSGVDSCGCSQPYDKNIEECHLRDLF WVCYSFDKDICLRTGQPPAINDSYCNLTLPPDYARLQDSNILRHSMSMDSHTLPLFPW DLRLSKIKSEAYEALYSAGAQRKSDSEILSSIRTLDEALEQWRVSLHPDFRPTLSFSQ EMPPVKEGDCPTIATKVGLVG AOR_1_462084 MSTFRQFNRFLPPKVFAFCKYTIIAGLTGATSWQLWSRHCYFEP FGPDNDPLFQSKYFRRFNPNKHPSLDDSCVREVPLSRIKPGLVKDALNGGSKLLEMFC GGVWGGYGEFPFLLPMSVVDRYAIQRKILTLLCKDRANESMLWSKEQLLSSSYDEGII VTDHFIVLEKTPRSIVMRGGSSPTEDPDKPHEMDNISEITVDIDTKKGVAEFRLKNIF FNGVRHTSEALFPPPVLGGEPIQKSEVDNFAESELPDGNSADQIWNFAQYMHVVMSFR AERVVQDITEEGQDGGYGVEEDNPGFEDIVLSEELLNRDIWSTASYQKHSPSTTGNTV AOR_1_466084 MDILPNELILLVGEYINDDVFSKLALSKCSRRLQWLFDPTTVYS SIHISSIGTREVELIQYLWHRPDLAQLVHDANFGFARGFHPDYPSLTKACSPRWNSTI EHIVDDICESEREKASWNMRLRQLCEDAWLGVLLSRLNNLKSITLGYGDNQWLLTSIL DKALLGRRPFSTATPFPLLQKVTLINYQGQFKYNPHFALGWFHLPAVRTIEGVNMWDS SGGMDVGTSNISRLIDRVSSVTEVVLSPAFFCRGMGDWIAACPKLEHFKVDIGVISDA PSSYIFDPREFRQGLAPRKKTLKALSIEFHNSYRRFRAKHRTMEVQHYLGRDDLPFGS FREFIVLEHLSMRHANLMRLPGVNIRDSHDAAPQCLVDLLPTSLKSLEITDVVQAFIL GLISELRLLVRQHTTIIPQFKRIVLHLQEGELELAMLLIDDLKSECERMGIRLMVIGR SI AOR_1_468084 MAPSISDFPHSVASTQPSVCKVGINGFGRIGRNVLRASLNRTDL QIVAINHTCNTVQDLIYLIRYDSCMGKLSDDISIHALSDTLITINGRQIVLTSERDLQ KLNWSAVGVDYVVECTGKFTKRDLALQHVTYGHAKRVVISAPSSDSPTYVYGVNSDNY RADEDRRVVSCASCTTNCVTPVLKVLHQQFGIVQGLLTTVHAATQSQQVLDGYSKKNR RLGRSVFDNIIPTTTGAAKAIATVLPELTGKVTGVSIRVPAPNVSMIDLTVTTEQPTS LAEIMAAFRRAAKTSLAGVLYVSDEELVSSDYKGNPNSAVVDAPACTELNPQFFKIMA WYDNEWGYSNRLLDLTAHVALQEQ AOR_1_470084 MKSHSPPEGGKAQSTYIEDVHSLKHADEKAQGDYAGATAKTDPR EIRLVRKLDMRIMPILWAMYFMNFIDRNAVPNARLNNLEKDLGLVGTQYNTCISILFV GYLLMQIPSNMLMSSKKVRPSLYMSVCMGSWAVVSACTALTKNYVGLVMVRFFLGITE APFYPGALFLLSVFYTRKEIALRISILYSGNIIATGVAGLVAAATFSTLDKTHGLAGW QWLFIIEGAVTFGIAILGLFMLPDHPLTTRWLTPEERQLAHDRILKDTVNSEESKGPI AGLKQAFRDPRLFLLAFMQNMHLSSCSFNNFFPTVVGSLGYNSTITLVLTCPPYIATC LASIVIGITSGRYNERTWHITGCIGAAAIGFIISCATLNTAARYISCFLFASGAYAAN SVILGWVSATLGQTPEKKAASLSFVNVVANASYIYTAYLYPKSDGPRYLTAMASNAAF SVAVIVSAWVLRFWLQNTNRKIRQGILPGADEGLLYAY AOR_1_472084 MDRLSERSIFNVTIDDMQDHSPKKEEVWEEVTHYAVFGEISEEG PNYRNVGFFGTVILMMKTQIGLGVLSIPTAFDTLGMVPGVIVLCAVAAITTWSAYVVG TFKLRHREIYGIDDAGALILGPIGRVILATAFCLWISIGLNAVSTHALCTAVFVVIAA IPGFLFSSIRTLGKITWLAWVGLPCILTAILIVTITIGIQDRPAAAPPGEWVSDFKVV GNPGFTKGITAVSAIVFAFSGTPGFFSIVSEMREPSQFTKAVMACQAGVTIIYLVIGV VVYYCGSYVSSPALGSAGGTVKKISYGFALPGLIVTLTIVSHIPAKYIFLHLLRGSKH LTSNSPTHWICWLSCTLSIAVIAYIIASVIPVFDSLVSLIGALLGPLMCFQTMGGMWL YDNWGTATRTKKWYFMAGFSMFVIVSGTFLMVAGTYGSVVGIIDTYNSSGGSAAFSCA DNSNSV AOR_1_474084 MVAESKSESRKARRTANACVSCRQSKIKCSGTDPCNNCQRRKVR CVFTEPPNKVVVTESYLQQLRREAEKSHSQGSEEPAIHAPLRVDHARSIWTSPFTLPS KTIKNTHRNKRSWIWLAPSSMWSFTARLTLMMTERLNLESHSAPNLIDRDIYLLHWRP APPDDQPDIHGLPSLDHALYLLNTVMFYMGQNYFLFEKKTFLAHLHEFYYGDALSKAM EYKLWFVQYLLVLAFGSAFVIQPTKNTREPPGAKYFIRAMSLMPEHSTLWKDSLLAIE VLGLAGLYLYSIDHRESAHVYLGQAIRIAQLEGMHTQLPEEELGIDTVTRCHNLWWTL YLMDRHFSASLGLPMITQDNDITTLTDPPTASSRNATLSLRVRLSQMLSFILSSIYKT EETQLGIFLEQTRSVLHTMAGLAQEIESAVSIQLQKPLHRVPRETRLIMLIYHQCVIV ATRPLLLSVLKERLEKLGHGEEDWQSLLVATVALIATGIKSAAKTLQLLTDEDDLLEA FLPFDLEYTYGAAIHLMMAHTLFPGAVEDCSLNQVYSILDGMIYKGNQVAAARKAELT HLEYLFQELATRIEQCGLQTLTLHSLPQDEHAVESSSHQLENHLLPVPEPTLPMDGDP ELLPSDLRPTASNMECLDSLGISSYEFFSIVAQIGHHESYSLLDPRET AOR_1_476084 MAAKETELQEVSLALRTARANKTPIPTPSKTWPTLDAEDGFKVQ QINTEHAVKNGDRLVGYKLGNIAKVMQDAFRLDHPDYGFLLASTFVYESTTVHLKDYI KPYVELEPAFVLHKPLKGPNVTVADVINAIDYAIPAIEIIDSRVQNWEIGLADTLADN GSTGAVILGGTPRKLTELTLRDTRGTLRFNDKQVMDGNTANVLGNPISAVAWLVNRLA AYDIEFLPGQVILPGSCLQAVPMTEAGHWNCTFEGWGSVEFDVV AOR_1_478084 MAPAALLSPEPPVVIGPATKKATRPSSALPQSLIDGARIAKKDT FNAAKHLNFQAPKRIYTMEEIGLEGQGISPHAGSEPFSLFNEEAIKQMRAEIFSDEVL ADCQYSSTFNKHMVRGMGPARAPFTYAAWNDPEVLRRISEVAGIDLIPSIDFEIANIN ISVNSNPQPVPEQQVPSNEELPAVAWHYDSFPFVCVTMLSDCTGMVGGETALRTPSGD IMKVRGPAMGTAVVLQGRYIEHQALKALGGRERISMVTCFRPKDPMVRDETVLVGVRG ISDLSELYTQYTEYRLELLEERLRLKQKEERRRVVAKRPFDIADIRQFLKEQKAFLES MLEEIIEVD AOR_1_480084 MHPTIILQNAARAPRIRALGQHFVTRSAGQPSRLPSMSSTRSSY DSFAAYRSRAYEHGPLHQASLVRALANSRSAASEKSLNSRGGCIDHGDLSSQTHHLPW TEAEIDAIESGGASLLS AOR_1_482084 MSSKRALLIASTYGGLNGTLNDVKTMEGLLTKRDFEIIPCYNEN ATRAGILEAWNNLIESTSPGDAVVIYYSGHGALVHDEKRKEKNKSWQFQFLVPVDYDE STEGDFRGILDVEISYLLRDTTDKTRNVTIVIDCCHSGRMFRDPAEEPVKHKSLSKVQ FHDLNLYLKQLREKGYFHGEVFQLGNPYAVRIAAAATAESACEHINARGQWSGALTDA LAKAMGETDGIDVSWRTTLVRVCQLVNTRHQWQHPQVEGPDTRAHFSLQHVKSGALYV KVENGKAMIDAGSVVGVRKGNVYTVVPFNPDEADDGEPVVEATVTAVAAFKSKAALTH MPSWRSINHEGALAFLKRDVVDKLPVSLPQALDGLQAGVEESRYLKPSSPDDKQAPLA EFRHEAGSIVLVDHQGVRIRTRPIDSTEEGTSQAFSDIIADAERLARAKRVRELCCER RQDLLAHNLQVTVGTVENGEPKVNFQMAGNDRIAEGESVYIRLENAGSTALYVSVLNI NVVGTVSSLTGAWPLGIELPGGLDITLGSNSFDELVGSKLSWPTGVATDLPVEERFVI LVSNRPVSLNYLAESVNLDVDILRDAAEFVKTAAHLYDVVHVPFKLYAKGTDLDDVPD LDDDSSSETVFYDALDELPDQCILAANLPEPETVAEWADALSYPLDSVPKGIFGAILR TSKNIPPCIRVINQHTEEITVVVSKYRPNRMLSDVGINASATGAGVNFGTTTFNGPAT KKTLASQTDECGCCVATFPLWSRSEGFGVISIFKGPEKVLYIENDRVPAGATAYFANK PDLRLEKYAAGQQWV AOR_1_484084 MNDERIVLTQDKGQSYTAQLLYLIVAGYIAHTVYYAYWGPTARI QGPWLARFTRLWELLKVSKGHFEQVNIDLHKKYGPIVRISPNTFSISDPSAIKQIYLG RTTLMKSKFYEPFGDPLDPNLFSETDIKKHAQSRKAVAHLYSMSFLVTYEGSADRCNV QLCAKLRDFARHRTAFDVPTWMQFYAHDVIGEITFGEPFGMMAKGQDEHGIADSIDET IAAVIYSLLKNPGTETKLREDIDTLAAAGKLSNPVTFEQARHMPYLQACIKEALRVHP AVGRPLLRVVSPEGLTIAGQYFPGRFGAGVRTCIGKNLSMLEMSKVIPELYRQFEFEL SESEGAWMTWNNWFVKPAFECYVRLREGV AOR_1_486084 MEKPISCQKILGEIVSLISLQYANVLVNSHPQVAQGVHNHSYFR SDPMTRVYYTLLYVYGVIGTPEERDYVSKMVNKAHRGVRGRNYNAMDPKQQLWVASCF FVASLNVQETFFGLLDDQSKEILYKDATRFGTSLQVPLEMWPENVNKFWEYWNHEIHH FEVTSAVLHVTQDVLYPHNIPLWLLFVPPIARIFTIHWLPKACRARYGLRSTWVTCVA YCIFVFIIRESYGDI AOR_1_488084 MRFEIPILVALTAGGSIVSAIKLPLTYCVQVIPEVISGLNPDRI YEHARTKIIDEQSKEMGAPELSSEYTKVLDSIYNTALEKCEAKQLGETNICDVDPAQG RKIGQCVKRNMLSIVFDQPEAFWSILTTKCEEQYRFFSNEDLWEIKFPQYLEKFARER C AOR_1_490084 MPKQDVIAIAQLDLVSFVVGEGRLHSPSSLSDASFPSSEGELLV AGTSDSASATVTDRLIHSHGHSSNIQRAAREIQTVTQCIPELVPDSKAHRFERHSYDR HGRQHVNRVGFDAGLINTPCANQPIPPYSVGDGLPAISDDPENLDFSDLELVCPINPD EISNRWLNAYMPIPGQTVKEYPAGIAAFIFRVLKSYTDAAVQGRGILPFIHSKQVMAQ TTASPLGTCLSLVRICANPLPGSEDTTASALQREMSSLYESRDRYNDEFLFAAFQAYL IYTMVLFFRLSQSRSDFFRRAMTNLQELACFSSRRGLVCAADL AOR_1_1288084 MKDTTPTQGTADQLQTNAATTSPPVPDAPYSIFDKRQKWLIIAI VSTAATFSGFASNIYFPALPTIADDLGVSLELVNLTVTSYLIFQGLAPSLWGPVSDVK GRRIAYICTFIVFLGACIGLALSKNYATVIVLRCLQSTGSASTIAIGSGVIGDITTRA ERGGFIGIFQAGLLVPVAVGPVIGGALAGSLGWHSVFWFLTIYCSVFLVLLIALLPET LRSVVANGSTTPSNPLTRYPLNIYQRTTKAQWKLEDDSDASRPAARKRIDLTGPLRML ITKHAAPIILFLAVYYAVWQMSITAMSSLFKDRYGLGETQIGLAFIANGVGSMIGTLV AGKILNMDYQRVKENYETSLHAEAANDGSDSEDDFPIEKARLRLVPVFSILQCLSIIL FGWTIQYPDRVHIAVPIVSTFITGWTAVSTQSVIMTYLVDIFPDRSAAASASLNLARC LFAAGGTSFIMPMVNGVGVGVAFTICVAV AOR_1_492084 MVSAKSLVTLVFGATLAAATLSPASSNTKGKCPGTLNCDPAKTS TAIQAAECSHNTRTSGTQTFAVFTTDHQYDSSHGAPYGTCKAYTCTAPTDAEMTESNE DCWTFFWNDNGESSGVGTGCIKSPKDGTCGCENSDGTFVYGGTNCS AOR_1_494084 MKVQHLSSPFLLFLLPAIATALTDFAPNPASSLNPTVAERDTTV SNAAVDGLAAPKVSPKGTLDAPVDGKDGRPHAGPWVETNAERDRKKTGTAKTEEQADT KSAEHTGPDGKPIPHSNDGVMDDPHRTGPKEGTRGTEGGVSEKQKGSTDSGEKVPDRP KEAPPLPHSEQQKAPASEDKEGKTSDSKMGVVEKPADLPEKPHDIPHPKSPPSVNDDP LGLNTPKGSTGQIPGTPEEPVDVLHSLLASFTMIVVSEIGDKTFLVAALMAMRHPRLL VFSAAFAALFVMTVLSAILGHAVPTLIPKSMTKFLAAILFFAFGLKMLKEGREMSPDE GVGEEMKEVEMELEEKEQEQLRRTRRRSSVTPHSLESGRAGRGKSRSAGNRLPSPPES LSSSSSRGSSPSRGRRLDDMLSGMNNLFSLLLSPAWVQTFVMTFLGEWGDRSQIATIA MAAGQDYWWVTVGAISGHGLCTAAAVIGGSAIAGRVSMRVVTLGGAVAFLVFGAIYLI EALY AOR_1_496084 MREEKKHSDTVAHAIPTHRADDAAEYLEGHAGVADTQDVDISAL RRKIDYRIIPFMFCCYVLQFLDKVMLNYAAVMGIKKDLGLVGNDFSNTATWFFIAYLI AEVPNVYLLQKTPAAKWLGLNVTLWGVAAAASAGAHDYRSLLVSRIFLGIFEATIGPS LMLLSSQFYTRSEQAPRFTFWYLGLGVAQIIGGIISFGFQQVHHSFAGWRIMFLVLGL VTMLVGVLTMFFIPDTPMKARWLSEREKVALLRHVSVNQTGVWSSQIDMRQIWEAVGD VQLWLLTLTTICTSVSSGVVTTYSATLINGFGFTPPHSALLNTPSGIVSIFFTLAVGI GIRRISHRWAWFIFCTIPGITGGALMSFLPKHNKAGVLIGIYLVNAIVATLPILYQWT MANCAGHTKRAFSSALVAGSFSVGNIIGPQTFQARDAPEYRPAKIAVLATQAAAGTLA FVLFLYYVWENRRRASTADEGEEEVIDETKWAGLTDKENRWFRYVY AOR_1_500084 MGWVHHAAPEVEAQSQYREILGVCLSLTVLMVITVSLRLGLRAH ARRLGAADYVMFMSMLFSVIYSALCISQSRYGLGLPLNLRPKQNLPIYKKLNYAGRPF YQIGIAGFKASLCLSYLRLLTKTSLSIYRILIWTVLTISTLGHIAGALALIFNCRPVQ LAWRTDITGTCLPVGGTFYGLAIFTIICDLMIIFLPIPLLLRLKIKPAQKAGVVCLFL LGLFTTICSIMRLTQIHRVAYGDGNSTALVLWGTIEFNVGNIITCIPYLAPLLKGLVR DFRSNSKAYESQGHYVLESWKDPRSQLRSTASAPVHPRRTPSEELIMDSLGPSHGGIE MTVEVRVSLESKVSRGVV AOR_1_498084 MADEQLPVLIIGAGISGLVLAQYLKTKGVPFQIFERDSAIDARS GGWGLTLHWALPALRQLLPEDIVAQMPETYVNKEAAARGDTGRYQFFDLKSGEALYNV PAAERIRVSRVRMRQLLTSGIEVQWNKSLKDIGSSPDSVTAHFEDNSTCKGRLLLSCD GSRSLSRQILYPTDHEMQPLPVQLLGATALYTPKEMNGAQKIDPFIFQGAHPDTNVYL FFSFLDTPNNFSDSTDKYHCQLIVSWADSKGIDVPKSNAERLALMKSLTTNWADPFRS LIHQIPDETEVVSIRVVDWIFSPRRQRGHPRVVLVGDSAHTMTMFRGEGANNAIVDVQ DLVKRIDFTSAESFTLDALRSSVAAYEQDIFARAETSVLNSRQACLDAHDFEKILNGS PLVSKRVLKEDK AOR_1_502084 MARIATRVAGQQDIHGLKASPPRRRWTRSQSRELEAHPMDDVAA GKSSLKGNKLWKGKGKLGGHDLDVVTEESPLKSSRKSGFPARQVIPESPEDVHNNTMS GSTIIVPEAETDQEEDEDDDQDELGPELMLETLPSLERSAKDVLDFLVPGSADLKSIV NMAKRLSDPRNTQSKRLNLRKNALKNQPGWFEGRTYIDVTRASESLSSHFSKDGVQLN WSAEPILHHANCARFALEVLLASNNSTGFRKAIRDVEGQFPAPFMRDLVNGRRNAVGE SALLKDTFQLALEIRTQSLIMQLEYRQHEPSFDPNFILEDGFFLDVSVHEPIDSDNAP MRGFNLPRLNIDGSLPDEFREAASDRFEEMVANLPDEDGTFDIDDFKTTYSWRSFLLR AARWIRKRCEEIDQDISRQPSAESAREEFFAEIDAKNRRSSSVAGRSSLAPRHTEERS ERGTIASPDNRRVSAIPPATPRDSPRGVESKERRKSGKPAFLNKSSLERIAQRVKQPH VSIRDFEARRQSDAAARPAPRNIHKESESQLRRQTLPAPRQSRPAAFEEATEEHVEEP AEEPAEEPTGEHIEERTEELIEEHTGEHIEERTEEHIEEHTEDLIEEHTEVRTEEPTE VSGFREESPLLHHDEQDVFNVDSESELSELFVGERTQLEKSHSPVMRRSREPRFATLS PVRTRLFATEVRLEPTSTQTSNTFRPIPSSQELWKAAASRRGTTPTQTSNASRPLPSI QELWKAGNRDGPSKHAKMSEQASRFIDRQANAHRVSPISQSADPQSAERRHTELQSKK RRRYESEDEESDGEFSNYNRPVDTARRRAEKPAQPNKRQRIEEHDESAAQLLNGLQET TRRTSVPESPEAAPRSTNPVPVSSSARHTVTSTKAPVRWTPAEDKRLIRLIEEVGLGG PKGSGWCKIASQNEAQPVKEGESRIAGRNQVQLKDRARNIKIRYLKDRKPLPSNFEHV TMKEKDRAMLAAKGINVT AOR_1_504084 MTRTIINLSILGLLSVPALALNTACYNDLGSLTLSRSTEYQSVA LCQQICNEENQPVYAVQDQKCFCGDTLPPLSAKVENTECGGPKTYTIGTLTTSKSRRA IYPTTTPESDKDTERETETNGILTAPDVDDGDSDPLASLSVNPTMVKTASNAPSTATG TIVTAPSGTAYPNQPDVVASPSKTLTTSVATGSARASVSASASASVSGGATPSASVVA GGASQISSERGVVVGVVAGVVGVWGLF AOR_1_506084 MQSVLKPIIGPKKEIHDLSGRVALITGGALGIGYEVARAFVLNG ARVIMVNRKEEQGQAAIDKIKEEAGADAKIEWVPCDMGNLAQIKEVFTGIREREERLD LLILSAGINANQYGETHDKIDRHFQVNWLGQFYVCNLLFPLIRKTSKLPDTPAPRIVW ETSEQHRAAPKVVHFGSLDEINNPAIDNTELYGRSKLAIILGVKYGFLDRVIKPNEDN IYVLSVHPGAVNTTMQQQWKDAYPGLLGKVLTTAMLAIGRDVEQGAFSALWAATSPEI EEKSWNGYYFSDSAQPGKETSQASDPTLGASLWDLSHRIIQDKVGKDAIVDWNSSKS AOR_1_508084 MHPKTLPWIQIFNPSPWSDNEICPLAPKISPPEDGLLPALRFVK DESIRARQANRLSRAVQVPTTVTDYMKDPYDEGFAPFVEFQELLEKLFPLTHKKATLS HINRLGLVYTLTGADTTLKPLLFTAHQDVVPINDASDWTHAPFEGYYDGTWLWGRGAS DCKNVLIGLLSVVEDLLSQDWTPNRTVLLAFGFDEESHGFLGAGAIAEYLEGVYGRDG VEFVLDEGGMGLETLSSSSSSSFSSASGDGEGESEDGVIYALPGVSEKGSVDLVLTLS VPGGHSSIPPPHTGIGILSEIIYTLENTELFTPRLDTSHPSRKKLECQVRHSPSSVEP WLASALQSSDHVSTAEKLARSRGDQFRYILQTSQAADLFHGGVKTNALPEHIEAIVNY RVALHQTPEEVMDRAVRIVSPIVEKFNLTLAAFPENKKEEEGKVNHLTISTLSGALSP APVSPTGTGEDAVWTRFAGVARAVFESVPSLKGKTVVVSGDIMTGNTDTRFYWNLSRN IYRWSPSRAGGALNIHTVDERVAVDVHLEAMALYYDLIRAFDAWDGSVESTYDLR AOR_1_510084 MMHFLSFCLSVASLVSYAGAASTFSPARPPALPLAVKSPYLSTW LSAGTDGGNGGYLAGQWPTFWFGQVTGWAGQIRVDNSTYTWMGAIPNTPTVNQTSFEY TSTSSVFTMRVGDMVEMKVKFLSPITPDDLRRQSLVFSYLDVDVESIDGKAHDIQVYA DISAEWASGDRNAIAQWDYGVTDDGVAYHKVYRQTQLLFSENTEQAEWGEWYWATDDQ DGLSYQSGPDVDVRGAFAKNGKLANSDDKNYRAISTNWPVFAFSRDLGSVKTSAGTLF SIGLAQDSAIQYSGKPEGTTVMPSLWKSYFSTATAALEFFHHDYAAAAALSKDLDDRI SKDSIDAAGQDYLTITSLTVRQVFAAVQLTGTPEDPYIFMKEISSNGNMNTVDVIFPA HPIFLYTNPELLKLILKPIYEIQENGKYPNTYAMHDIGTHYPNATGHPKGDDEKMPLE ECGNMVIMALAYAQKAKDNDYLSQHYPILNKWTTYLVEDSIYPANQISTDDFAGSLAN QTNLALKGIIGIQAMAVISNTTGHPDDASNHSSIAKDYIARWQTLGVAHDANPPHTTL SYGANETHGLLYNLYADRELGLNLVPQSVYDMQNTFYPTVKEKYGVPLDTRHVYTKAD WELFTAAVASESVRDMFHQALATWINETPTNRAFTDLYDTQTGNYPAGITFIARPVMG GAFALLIL AOR_1_512084 MSLQADDPRSRGRSKSRQRSSSHASARGNTYLSSEPADEYLRAR SRSRGYRTSAGHLPSGPDLGHYTYPRDNTDPSRSPNLRPVRYDAPPDDVYSESDDEGL AYGDFPGGLERDYYGYMATPRTSSSQVNGAMMSGALNGDRRAGKEPTSGRSSEEALGG HPSYAKPGAWKYATPGQYLHAQPDWATIPECERPGFVPPSSQAGEQYMPGAFPQPATT TAPAFPMPQYANLEAQSNPYPSWGGRPVSMSGPHAYTPTASTPTHKRSVSSDTNAKTP YANPPAFQYAQIDPKVQYTSKSASKQPVSYTAAPQYTKPGEADRGQPSQHSNIKYSAN PQFSKIPTSRPESGQQYVEIVPGDRTGTRPKSHSLSSGNNLSVAGPDPGLRPVSPMLE PYKGTYQSISPMPSPIVIPSRRDEDVSDLEPLDGGSDSSGLRKHRRKKSKDERELKEP KSDRSKRERSRVRQERPGSQEQAVMLIEPSTPRKKVSFYDPEPDAMAMQDALSHTRSI DSKTLIRVLPHLTSEEILDLRAEYKKHVKLHGKSVNLAKHIRLKLGNSTFGKVCYATA LGRWESEAFWANCYYQSSTSRRELLIESLFGRSNSEIRAIKECFRDSRYLDSLEKCMK AELKADKFRTAVLMALEANRQSEREPLDDELIERDVNELHKALVSRHGGETAMIYIIV RRSDSHLREVLRLYERIYGRNFARAMISKSQNLVGETLAHILNGVINRPMRDALLLHQ ALRESRTGKERSELLISRLVRLHWEPRHLEQVKSEFRRRYGERLEEAIAEEVLTSSSG DDWGEFCIGLARSSKALSKKG AOR_1_514084 MATATSGLRSPGHHDPSDIENGSTNKDQRRNSSLGFLRRPKSIE PLASKSKKNSKSQAIEEELRRQGAMLKQPPRLPDLSPAPILESFGGEERGHANNTTAP SNSTPSPQLQQPPSRNSMSTDYDPYARTESMTHRGRYSYASSAVSTVNNPRRLRRRKD PTPYNVLVIGARNSGKTEFLNFLKSSLAMPAHKHPSRPAEEMEYQHRHEPANQGYTSE YLETEIDGERVGLTLWDSQGFERNIVDIQLRGVTGFLESKFEETLSEEMKVVRSPGVR DTHIHCTFLLLDPVRLDENIAAAKRAAQGTPKASDSPVIGVLDENLDIQVLRTVLGKT TVVPVISKADTITTAHMSYLRKAVWDSLKKANIDPLEILTLEDQEEEYTSSESADEEE EDTPDNAGDGQKEPGSPSTKSQGSGTQAPPQILPFSILSPDPHSLEAGDEPVGRRFPW GFADPYDAEHCDFVRLKESVFSDWRTELREASRVVWYERWRTSRLNWKTPVPSAGPSK KMYAGRLGPLDQGPRVR AOR_1_516084 MWTPTLSWIYSLQIHVLSAIPRPVEATFHVSLRDVTATTVPSYV LEYAPMVWLHSEEAYMPSDIGEQLVHTTPMVNWKPIDKAPSATTLDNLDQFNNLGNTS VYLTSKEGIDADPQPSWFGGVKPDQDGRTQDAISSTIILRDHGDGTLDAFYFYFYAFN QGNTVLAMEFGDHIGDWEHNMIRFSEGVPQAIWYSQHASGQAFTYGATEKIGKRPIAY SGNGTHANYAISGKHDHTIPGFNLPDGLIVDHTDSGTLWDPILSAYVYSYDASKETFQ AYDSGYPVNWLNFNGQWGDDALPGGPELFGQKKYVAGPNGPKFKKLVRDKVCPSSPCV VLPFRIWENQTLEEH AOR_1_518084 MTDQFAFDYPSPLKGYEGLEPLPVELHEDGKTVKNPQHGILSKA YEEFPDPLAKDRRGGFDVHIYHFQNNPDQVAYARALYERVRREFPELRIYRFFEGPLG PHPIAMFEVNIFTPAQFGAFIPWLIINRGPLSALLHPNTDEEEEERNHTQRATWLGDK IPLDLRVFKLMKQAEKKNKQNGSAL AOR_1_520084 MPPHTGRANGSLDDDDYVAQVLANEARDSSLKYSALGMGAYMPK RPTGAAPKPNTRFLRHIIKETDNHNSALKRKEEREARERMRQLRNQPASSSNDSRKDP HSRQPRSDDRKSHRDRKYDREDRHNSYRRRHRSRSASADRDRSHRHRRRRDSYGEDED RHRSSRRSRRHRSYSRSRSRSPQEDRPGYESDPLEDLVGPLPPQATNSTGAAPIRSRG RGAYRPNMSNIDAHFAPDYDPTLDVQLEDDDENASGKPSRRPVAGLMTGDDDWELALE AVRDRARWKQRGEDRLREAGFDDTFVKQWKSNTTPTTGDSEGRLEDVKWSKKGEGREW DRGKYVNEDGHIDVKASW AOR_1_522084 MSDVNPAEILEQLQQLQKESGDLKSQLAILRISEPIFSPDAENQ SQSLSKRTSDASAIDNPTPASLEADLTHYKELFSKLRFSYVEQVTKEKFLRAIVGDPP LVVGHNENVELETQLAEVKAELKASKEEVRMMIEEMEKTGRDLANRYNNVELQMTQLS TLPESIENLESTIAELRAKQASDSVASSSQNLPLPATLSLVAEREAELAALNRQLAAV QNALPRKTREAEAMERELGVLERRKSEAITQAKEAERKKQEGESDGLEETGRWYRSAE EALKHLVGVEG AOR_1_524084 MAPVPRVYSKTYKVPRRPFESARLDSELKIVGEYGLRNKREVWR VQLTLSKIRRAARELLTLDEKDPKRLFEGNALIRRLVRIGVLDESRMKLDYVLALRVE DFLERRLQTCVYKLGLAKSIHHARVLIKQRHIRVGKQIVNVPSFMVRLDSQKHIDFAL TSPYGGGRPGRVQRKKAASANAGGDDAAEEDDE AOR_1_526084 MGHSHGLRSGTRYAFSRNFKEHGQIALSTYLKTYRVGDIVDIKV NGAVQKGMPYKVYNGKTGVVYNVTKSSVGVLLYKVVRNRYLEKRVNIRIEHVKHSRSR EDFIKRVKENAEKKKQAKEQGVHLHLKRQPVGPREAHVVQAAAPETITPIPYDTHI AOR_1_528084 MPFSATPHTGSAQAHLTVDDAQKNVGKDIIVQSRETGDVVPQPP HPSAGNTDKKDQAKPFAHFVAGGLGGMTAATLTSPLDVLKTRLQSDFYQAQLRSLRAA HPLPPSSSLSSLPRSALMHFNETFQILRSIHVHEGWRALFKGLGPNLIGVVPARAINF YVYGNGKRILSDHFNYTNSQETPVGIHLTAAAVAGIATGTATNPIWLVKTRLQLDKSN AEHHNGQGRQYKNSWDCIKQTVRHEGIRGLYKGLSASYLGVTESTLQWVMYEQMKMFL ARRESAKRADPNYTYGTWDDVELWGGRICSAGLAKLVAAAATYPHEVVRTRLRQAPTV SIGDGKAVMKYTGLVQCFKTVWKEEGMVGLYGGLTPHLLRVVPSAAIMFGMYEVILRL FGTTS AOR_1_530084 MNGSYHNEYYDLSFPGHCQLGHYATSEDGHLMPNPNMDPNSSSS ATSPVSPSSCTSADIFPGGTALPEIDTDFQLYDYSTNDISPTLPLDAGHVPSTERLFS TSVPQAPHLHCPQSVSSPDPLDQVKADLHRLQSTVQSAVRNVSHPQLFEMKSFVTDTY NCLENMIQEEIDGEIHSPHSTNSTTSTKDWYAFSKQLEDKDAKILITESEDTDLIETG SDLDLSEPKILPQWLRETISTFSAGLIDHVESTMLATSIYKAEEEIWPKVKTFVGSMA SYLETPVSPVIEQHIAEYTKLG AOR_1_532084 MSSPDPHTASGDRPPSRNSHAIWHPAREWLEEDEEDDMDDEPET EISEYPEDASHPDEDTFDDEDGFYGVNLNYLEPEIHLGNIHIEFSMDEGNPAQSGEAA GQAGGRHSRVSAARLLNLLASNGMRHILHYSRAGGQMDEDEEDEEEELAGFFGFRRRA RRGGGDPAPPVPSEEGAKLMASGDFGSNSYYTDELKKRRKSLATRTMWRELGIDLSGP RQEVQSITQGLIPGSVADKIIHFDSRCYSGQFSDDGNFFFSCSQDFRVRMYDTSNPYE WKYYKTVDYPFGQWTITDATLSPDNRFLAYTSIRNLVCLATTDPLDTSEPSVLNLSSS NGRQFGIWSVRFSGDGREIVAGTSDNSVIVYDLETRQSILRLRNHEDDVNAVCFGDKS SPHILYSGSDDSTLRVWDRRSMGDGREAGVFIGHTEGLTYVDSKGDGRYVLSNGKDQN MKLWDLRKMMTTAKFDTIDAQDYTSGFDYRFEPYPENYYQSHPHDCSVVTFRGHRVLK TLIRCHFSPPDSTNSRYVYTGSADGKVYVYNLDATLAGTIDVGQATVDTRPQDPDMMN SAYDFSSRSGDLAWQTCVRDASWHPNAPVVAATSWNGWGLSTGTCTVHSWNGGAAEDE GTPPVSRNYDCRLKSVREYDQFKETVHHLRSRPVYRRRYEDDEYAGEIW AOR_1_534084 MSETLQELADIPKDFLREGTLFVRRCTKPDKREFIKISQAVGMG FLIMGAIGYFIKLIHIPVNNILVGGA AOR_1_536084 MATEDDNFDIDIYGDGGGYNANEQGGEDDIKHDDTELILDAPEQ PQNGGPAHGDGAADTNAQQGHAQGTAPNGEHVTQSNPQQQAATETPAPPQGVKRKEHD DRPSDPDATPALLISDLYWWTTDDDIRGWVREADCEDELKDVTFSEHKVNGKSKGQAF IEFTTLQAATATKHKLESSGTTGRKYSVNYTNPQPNPFRTLPKDAPMRKDNQARSMSG GFNSPAQNMNFGMNNMGGGGGGFRGGRGGFNSRGGMNNNMGGFNNRNFQNPMGFQNPM AGGFGGNPMGGMQNYGGFNNRGGMMGGMRGGPGGMRGGRGGGGMGGPNMMGMPNMNPM GGMGMNPMSGGMNPMMGGMGGNMAMQGKGGFQGPNPAFNQNFFPPNQGVGGDGSWNPH GAKRSRQE AOR_1_536084 MATEDDNFDIDIYGDGGGYNANEQGGEDDIKHDDTELILDAPEQ PQNGGPAHGDGAADTNAQQGHAQGTAPNGEHVTQSNPQQQAATETPAPPQGVKRKEHD DRPSDPDATPALLISDLYWWTTDDDIRGWVREADCEDELKDVTFSEHKVNGKSKGQAF IEFTTLQAATATKHKLESSGTTGRKYSVNYTNPQPNPFRTLPKDAPMRKDNQARSMSG GFNSPAQNMNFGMNNMGGGGGGFRGGRGGFNSRGGMNNNMGGFNNRNFQNPMGFQNPM AGGFGGNPMGGMQNYGGFNNRGGMMGGMRGGPGGMRGGRGGGGMGGPNMMGMPNMNPM GGMGMNPMSGGMNPMMGGMGGNMAMQGGFQGPNPAFNQNFFPPNQGVGGDGSWNPHGA KRSRQE AOR_1_1290084 MTPARCNLKEVSFSHLFPAEVARALVGGLTLAIAYMHSRGIVHG DIHLGNILVKLPSSLNHLSIKQLYEEYGHPETVPITHRNGKPLPPNIPAKAVLPLYLG KDAEEFSLSDAQIRLSDFGETFNPDLEPRLGKDCHTPLAARPPDAWFEIQTSLSYSAD IWSLATAIWEIIGMKAIFSSEYTSVDEVICQQIDVLGSLPLEWFESWGKRDLYFDDDG VPKDGRYVWSSIDGAFEEGVQKYRRKFGMGEFDGEETAAFLDLMRRMLTFRPEERPTA REVLQSRLMVEWVLPDFERSSQMG AOR_1_538084 MGTYDDWIRLQDDAHRVMNERQDGQNMRDWCARSLEFWKRFDDS MLTAQMWITMLDEDVQRELTRAPQPQTLSEAMEMAVRFAGILSREKEHETDKERGRKR AGRRNPTLTEQTATRKRKRQRSSTGPSFSKIRRHRRQRPEGPR AOR_1_540084 MQAVPESRQQTFEEIYGPPENFLEIEVRNPQTHGTSRNMYTSYE IVCRTNIPAFKLKHSVVRRRYSDFEYFRDILERESTRVTIPPLPGKVFTNRFSDDVIE HRREGLQRFLQIVAGHPLLQTGSKVLASFIQDPNWDRNAW AOR_1_542084 MDSNGTFARSFDESLTRELPQLQSLRIAPLRQPVSSRILSIPSP LEPNASGVREPNSIPKTNNSTGVLPGRNDSGGLTESVNAARAKKNDHTVDAVSSLQPP PKPILPEFVNLRALERFPYSSFDDDSHARKRRRLEVQADSFGEHLQLPIPQAHKEPRP PPFGPFAILNGLNEPPPNAALLPPIEAGSITQLLTKPSRGTSFVEPALLTANTIVESQ SVERIEGRIHEILDSPVGENTVDGVQANVESGLFEDASVDQIGHEKGDLQNDKEAQPR AEEKEPLSPKTRGRSRKNLRKWTEEETTALLRGVVKCGIGNWTAILAQPELKFNKRSA SNLKDRFRVCCPWAYRAADPNEATKKLRDTLADALSRAETEGTDGAPGKIRLPHPWPV SETPPGGISAGSSQESLSSSGTPTEESEPKSSISQAKQASTKTGPTLSSKSKSTLASL GIPEPHFTMKSRRRSRRPFTVAEDEALLKGYAVHGFQWTLIQQDKRLNLGHRRATDLR DRFRTKFPHAYRDGGSVSGNSFNQSEESGLKDGKDRASSSKRNLQPTKQLPGSQNGKS DNSEQSALGPIDPALSPPAPPPGLPLESMAGAPSTGVFSFPLDENATNPTGVDPSWAD NTLAPMVWDELA AOR_1_544084 MSVEVPLWPHFLFRVFEPLSCFGGYIFPLLDLNKFIVDSTPNVP PPETIHPSSVVLAGQLGNIYAVLGLLSFLIHHNTTDPKVFRNYILAYVFSDINHLYAT YRGVGWDTFVDPWAWQNLLTWGNIGMTVFMLVNRILFLLGVFGNAKVSETHRKRS AOR_1_546084 MSIPNQDTVVGFHQSPPQAFFSLPETGGMTGVPRLRAMDESMSA TSMSVQSSYPSLFQSSAEIPPFFVTYRWWEDEATTVLWAFDVEDIKRVIQYGLYRDEN LPRSSLQSRNASAVDSFLLTLVQPKERAYIANLSFIQKVEEIIRRCKTNTPSLVAWSW FPSQVNRDLDPAAIADAIDAESHFHFTRIPFEELVRYSLGYPAIAVEWFLQQHTALYI HLLNYFNTFPEEIVRYTKVEQHLRNRSPFAHRALLHCLLALQSGGNYELPSATPGFEF IADPIQGLFKELPPSLTSILKVLSVLRVRFERQYVHARTMNWIQPFDIDFSLYEDLTG STSAADFARTLTNADERSFSALTPQQIMAEDPVVTKLLTKWESLSIEVWECCTALPDM IPYIQDCVQALLVIRNYHSFSALINGLRKYSITDSVFSNNGTAGAMALNPIVPPDLLF LTVPYQNYAAYRQQFQSSPGIPCLIPHIREYQQQGQPALLQMFQRMRNAMR AOR_1_548084 MPRGPTKHQKRLSAPSHWLLDKMSGTYAPKASPGPHKLRDCLPL IVFIRNRLKYALNGREVKAIMMQRLIQVDGKVRTDPTFPAGFMDVIGIEKTGENFRLI YDTKGRFTVHRIQAEEAEYKLCKVKRVQLGKGGIPFLVTHDARTIRYPDPAIKVNDTV KVDVATGKITDFARFDTGVVIMVTGGRNMGRVGVVTHRERHDGGFNIVHVKDAVDNTF ATRESNVFVIGQDKPWISLPKGKGVKLSIAEERDRRRAAAIAN AOR_1_550084 MASSPKKKCGVLGATGSVGQRFILLLADHPFLELHAVGASERSA GKAYKDAVRWKQTTAMSEKLSNLVLRDCKASQFTDCDLVFSGLNSDIAGEVEMEFIKA EIPVFSNAKNYRKHPLVPLVVPTVNPHHFDLIPHQRKEFGLKKGFLVCNSNCAVIGIV IPFAALQAKFGPVEEVEVFTEQALSGAGYPGVPSMDILDNVIPFISGEEDKLENEAQK ILGSVNADATAFEEQAGLRVGATCTRVHVSDGHMAFVSLRFKNRPAPSAEQVVQALRE YQSEAQKLGAPSAPKEAIRVFDEADRPQPRLDRDICGGYTVSVGRVREGAQGGYFDLR FAALSHNTVIGAAGSSIINAEIAVLKGYI AOR_1_552084 MRALSRLPRGFPANPRVFHRRPTLPRPEVCQRSRIARLNSSSSS GNDREKRGDAGISEPSTGSSNSWTASRTLLVSALAAGLSYAYAISSNKSQPESLKQPQ YGSTQDLKKAIAELRAKLGDEAISTDEDDLQVHGFSEWSSVNADRFPVAIAYPKSTED VAEIAKICHKYKMPMIPYSGGSSLEANFAAVHGGLTIDFVSMNKILELHEDDMDVVVQ PSIQWMDLNEKIKDSGLFFPVDPGPSAMIGGMIGTNCSGTNAVRYGTMKDWVINLTVV LADGRIVKTRRRPRKTSAGYNLTGMFVGSEGTLGIVTEATLKLTPIPEETRVGVVTFP TMRDAASTAMLLIRKGIPVQCMEILDDVQMDVINRAGGTGRSWKTLPSLFFKFSGTSA GVLDSVTLTRDLAKKNHAESFEFARDEREALDLWSARKQSLWSMLALKKEGSQVWSTD VSVPISRLPDIIEITKKELDDLGIFASVLGHIGDGNFHTCILYNRKDQEETERVEKFV YDMVDRALEMEGSCTGEHGVGLGKKKSLQKELGPETIDVMRSFKKALDPHWLLNPGKI FDFKDES AOR_1_554084 MQDSADLVGREVERLITAPYAPSLQDLYDLVQHTPNVSLRSWAS HKPCQVGALVDALVDGLSRSSFALHLISIFTSLSAFRDSLLERYPCILDQFLQKAMED DGTEYIPVCTAILSSPLRAGFIPPARLAPFLKQLISTTEDNPCAEKILPIYKIMTGLQ SSPRVLHGIPPEIMSSLQIELTKTLRNLDDHMGNLLCLATFARIASSQRVPRGDESAQ QVPGWLQNIRHFFGAKRGLKTLDLVVLRAAESIKLGIEICATVEGSQRESWIQSNSSK IAKLCEKVTRDNIDPEVQMLV AOR_1_556084 MDYVIATLSTRHSADAANVDTIRVAQSLVIGLQNVVSQGFGTTA AEATLTRLKGSIDELIESFPRRPYLPSCQNSTICYTFVSESENELLYDLFSLYFQASL SPSLDQGASHLTHIDSFRAFMFKARNLICHNTCSYSEIKPLKLRGTVSFLTIHDERPN SRNDWRAGLSETLMLSARTSHDSMMQKVEEICHDLERRCGSIEAPLRAVEEERRKISL EAQEAKKHNDELRLQLQQASRTIDELQQNMSRLEVHAEAASARIEELTVSLDAARGEL EDQRRDSQEAANRDRENARTRELDLIASVAEKEEQLEQLQEDAHRQREESGRLQQMLD ARSKERDAAIEQNAMFEQDMAKLRECIEEYRLLLTGKDEEVARLQAAKEDIETLMETL QSKLNEEVSESDNIRSALREAELNFKRELATLTEQFEVQLSASAAEGTKQKEEIATLQ ATMQAAASTACRELQTKEKRIQYLEKKVQHLRDERAAKAREFSEAQQHITRLMAVMGW KPDTTNTTTSGRQSRSRSSLGPSQAAVTQQQTHPEDECSQPQADIILAQSFETDTSQF GGRSPKRSRITAFPVAELPAESHESTENKARHPVYRRGGTRQSRDRTVLGDTDQNSQP SSQENNKSISNRRGSFKDVQSYGGANENHLQDVNLDMDLEFSKDFLFTSTSLSQATDE HNPQPEI AOR_1_558084 MSHNRRGNRSRDFGRPGQGTSRQPQNSSRLQQQPAQLLLAPWTF WDTVAVNLFNLPREINTRILWQTFSSEGYVSSIDLFEDSHGNRDSRGKIRFKPPPKTD FWRKGLYTIKLPNGRTSVINIGLDLNREEPQIASPVRSGVSYPVEVKLPILSMDIGVL LSETTMLPMRSVGSGENESSRLVLNLKQKALFVYFQLPIFTPGYKPTPASGMLQEYRL KIPFVQLSWIFQVRDTVTGDISHFIVLDSPPLYHRRINNIDVTHSEENNTWRESETWY RQTYIVRNALELPYLPIGLKKAKAVIDIGRWNTFKITYPRDADFKGKLTLLCDILKDY NVTFQDTDRFTQWDTNVEMNPPIWKWIDLSDSQPPRKACSLEDLFDHNFVHLPFQVRY QLEVCISNGYLSEFTMTREFAVKLSELGETQAVKLLEHVSAKKQVYYDPMKIFDLKFI KGVTQAKIPPYCCYMHSARITPSTIYYNTPTVDISNRVIRRFIEHADRFLRVRFTDEK LLGRINSTTDSTMDEIFTRIKRALTNGIVIGDRRYEFLAFGNSQFREHGAYFFAPLPN LTAANIRAWMGHFNSIRNVAKHAARLGQCFSTTRAIAGCPVDVVKIEDVERNGYNFSD GVGRISRFLAQMSMSELKIKTPTGEPPSAFQFRLGGCKGMLAVSSEAQRQEVHIRKSQ FKFAAIHNGLEIVRWSQFSMATLNRQLIIVLSTLGIPDQVFHAKLHTMLQGLDQALES DPQAIYWLKKYVDPNQMTLTISQMVLDGFRRSKEPFLTSVLTLWRAWHLKYLKEKARI AVDQGACLLGCMDETGLLQGYFHDKVPGNDASVEEKTAALPEIFVQVSRPEADKKSEV IEGVCILARNPSLHPGDIRVVRAVNVPQLRHLQDVVVLPQTGDRDIASMCSGGDLDGD DYIVIWDQDLLPKDWFREPMKYTSNKAQDLDRDVTVNDITSFFVTYMKNDFLPRIAHA HLALADFLEDGVNEEKCIRLAQLHSDAVDYNKTGIPAILTRNLEPRKWPHFMEKFNKP KDRIYHSNKILGQLYDAVERIDFVPSLEMPFDKRLLNCEIEVPDDLLTFAKNLKGQYD DAMRRIMAQHEIKTEFEIWSTFVLGHANTSKDYKFHEEIGAISATLRDTFKKQCYEKV GGRNFDQLAPLALAMYRVTNEEMSDALNKYRAENSTTGNKFFHKPTPKIDQLPLISFP WIFPHILGKIALGHFEVPGGIPVVDNDPFGLFTDDLDPTSPLPCRCSIVPAASMPLSF SDNVESLEQLLDFGLSTSGPHELASSTDAALSDVPIEADLSLLDFSDIPKYSQSPSCN GSIGGGCVIQSMDTTTPAMAVDTIQRSGSPSGGSTGGGCVVQSADVSNSTFNEVVSEK WVEIVEEEDDLKPTALDKLNELLGF AOR_1_560084 MEPFQVRLNCVDHYQAAPSEFDPPFPYRDPSTEKYDRPKVPVIR IFGATETGQRVCVHVHGAFPYLYVPYDGDLSPEEVRRAIRDLHISIDHALALSYRRNA YDKKAAFVAHITLVKGVPFYGYHVGYRFFFKVYLLSPIYTTRVADLLLQGAILKRSLQ PYESHLQYIPQWMCDYSLYGCAYMKCSKVKFRSPVPEYLELTNLSHRWHDRSIQPDSI SDASELPKQSHCPLEVDICVQDILNRLEVRERPIHHDFTEFLKPVDQNEKLVPSMEGL WKDETHRRKKRLGLADPDSSPFDPEELVSLSAATREQYKGEWIHEEEYRQLTLRAVAE ERRQYGGGDVNVDDFLVQDPLGKDVKTVLESVEDLYPDNNNFPGLQAPQDLEIGESAN EGAYTDENAALPVASDSSDDDNIADLFSEGNDEEERDVFDDPLADVFSELPSDEHREP NAPLAHTSTDYNQAKIREDIRRAERTLKRSESEVIDHVHFNKRPKYAPKSRVQNSEHH NEEWVQHEGDRSSNVKFEDMDPRHEPSKGSPSQSQPLTQSRGYPKGSGQNSRLSFPVV KDPNDPMTILRFSQDGGPSKATRELADLAEPNIDASEDASEDKSSLPLLVLSTESKSN ESSSFLSVMAPMIYETFNIPQNTRICCLRRPCPSPSEVLSTLSDYDYPAVIYDKAHYS DQGDVPDRPRDYAGREFRLQGSGIHYLPDFDPTGRSPAMLGEQTSILRDRQEQEQIDQ HLRESCTSRVWEFAPVPPSRSEVIQWFESRQQEPKAETVQPERHLHEPNTKLNVLSQI EGPTQKNEYGFKYSQKGRSTSVEHQTQYMSIMSLEVHVNTRGVLAPNPEEDEISCISW CIQSDDEDLDVNSHLSGVRVGMVFQGEYDKPEETLSKALRIDLEHEPTELDLINRLVD LVRLYDPDIITGYEVHNGSWGYVIERARKKYDFDICDELSRVKSQAHGRFGKDADRWG FNHTSSIRVSGRHMINIWRAMRSELNLLQYSMENVVFHLLHRRIPHYSFRDLTEWYQS GKPRDLMKVVDYFVSRVQMNLEILESNELVPRISEQARLLGIDFYSVFSRGSQFKVES LMFRIAKPENFLLVSPSKKQVGQQNALECLPLVMEPQSDFYTSPLIVLDFQSLYPSIM IAYNYCYSTFLGRVHQWRGRDKMGFTEYQRQPRLLELFKDKINIAPNGMMYAKPEVRR SLLARMLAEILETRVMVKTGMKMDKDDKALQRLLNNRQLALKLIANVTYGYTSASFSG RMPCSEIADSIVQSGRETLEKAIALIHSVERWGAEVVYGDTDSLFVYLKGRTRDEAFD IGEEIAKAVTETNPSPVKLKFEKVYHPCVLLAKKRYVGFKYEHRDQKEPEFDAKGIET VRRDGTPAEQKIEEKALKLLFRTADLSQVKRYFQKQCTKILQGRVSIQDFCFAREVKL GTYSERGLPPPGALISTKKMLEDPRLEPQYGERVPYVVVTGAPGSRLIDRCVPPETLL HDAQLELDAEYYITKNIIPPLERIFNLVGANVRQWYDEMPKVQRIRRVEGTVTSTGKD ARKTTLESYMKSSTCIVCKAKLDDTDVPVCAECIRQPHISLLDLVTRQRHAEKSVSDL LRVCRSCMGVPFGDEVKCDSKDCPVFYSRTRYVANWRHTKAVLDPVIKLLQDKSESEL EW AOR_1_562084 MSSRKPADVASKERNEYIPSFISKKPFYIDDDSTNDYLEHQRLQ KQTTEQSKWYERGKRAGPAATKYRKGACENCGAMTHKTKECLSRPRKQGAKWTGKDIQ ADEVVQNIDMGWDAKRDRWNGYDASEYRQVVEEYEELEKLKRVTGQKKITDGEDEEGG AEEEARYAEESDMGRQQSTATRNLRIREDTAKYLLNLDLDSAKYDPKTRRMVDMGAQE DQAAALVAEENFVRASGDAAEFEKAQKYAWEAQESGQKIHLQANPTSGEILRKKEQAD TEAKRQAQRKALLEKYGGEEHLTPTPLRDTMVVENERFVEYDETGAIKGAPKKAAKSK YPEDILTNNHTSVWGSWWHNFEWGYACCYSTVKNSYCTGEDGKRAFEEADKMLMLEAD VGADEQPEAETEDAAGHLNQEQDIDDRRADDSGTKSKKRTLMEVQSGITEEELESYKK TRLAADDPMAKFIGNDILEQ AOR_1_564084 MTKGTSSFGKRHNKTHTLCRRCGRRSFHVQKSTCANCGYPSAKV RKYNWSEKAKRRKTTGTGRMRHLKEVHRRFKNGFQTGTPKGARGPESQ AOR_1_566084 MPAQPPLPPLLAPYVSSLPQSSLTVLSSVLGATGNWLVLRFLYA ALSAPSNSETAFGLNGSEGVKNRKVVLGLDLARLTDKRQFAFVDGLSELFYNPTTATA TPRPSFPGTAAPRTVLPVRSQPSPVPARTPQPVPRPGNVSTNPASREIGPVKRLHLSG NGTAALDALERDIATVIKQLKAPIPGEADEPEVLLILDQPDLLLAATGPSKGIGATEM GDWVMGLQQNTHATILTLSSDSPLIHNASASAPQPATPLETEHAAFAIGSAHRAQMVM QLRNLETGAARDVSGVLRVSKGGAWGQNEAGAEGSWEEREVLYFVQRDGGVSVFGRGE AOR_1_568084 MTSTRDSHSYACDECRLRKSKCSKEKPTCAQCRQLNKECKYSPK ITRSPLTRQHLTYVEDRLQAFETALGRLFPGGDLDATVRSLLHDHEGPKPAPSSKSSS RHSTPAKTEADRTEPAPEALPQQADGFDWTENKITVGDLTDGMAALSIKPEGTGYFGA SSSVVPLRALLKHGFDLNFPVGSAKPNAGPEGVPLKSQLLNSAPSGVIEQAFIDAFFL NYHRSYPFVHEATFRAQFYEQATRRHGQAWQILLNTILALGAWSVGDDNSDLDITFYQ EARGHLQRVSVFETGNLTLVQALLLLSNYAQKRNKPNTGWNFLGLAVRMAMSLGLHKE FPGWKISLLQKEMRRRLWWGVFIFDSGAAKTFGRPILLPEESVMDAKPVLNIQDDALT ASTTTLPPEVNGPTIYSGMIAQARFHLLTNSVYQRLISTPPLTPEETRSLQKPLEEWY NGLPDYFKQPSFTSESDPFALVRNRLMWRHWNLRVLLYRPILLRWASRRWTPGSFNEP EDPLEAECRMLCLRNARLTISSISDFMSNYLCTRIGAWYMLYFLFQAGVIPIILLMTD PTSTDAPAWLQDIEATKNLLLHPSLSSNRLAARCLQVINRLCSPAYADTAADRPAGQP PILMQFPDQLLNDPTFGAMFPDVDQELNLGGMDFSDWVNYTPQAQFP AOR_1_570084 MESTVIDHSSRGGFALIYQNPYLLGVASFSTLGGLLFGYDQGVV SGVITMESFGARFPRIYTDSSFKGWFVSTLLLAAWFGSLINGPIADRLGRKLSMNVAV VIFVIGSAIQCGAVNIPMLFVGRAIAGLAVGQLTMIVPLYISEVSIPEIRGGLVVLQQ LSITIGILISYWIDYGTNYIGGTRCAPNTPYTGHTKTTPTFNPYTDVPPNGCTGQSEA SWRLPLAIQILPALILGLGIIFFPDSPRWLAMKERDDEALTALSKLRRQTRDSPALVN EFLEIKASIMLENTFARDHFPGLSGLRLHAAQYVSFLTTWARFKRLAIGCCVMFFQQF MGCNAMIYYAPTIFAQLGLDGNTTSLLATGVYGIVNCLSTLPALFLIDKIGRRVLLMS GAVGTCISLVIVGALIGAYGSDLVNHKSAGWAGIAFIYIYDINFSYSFAPIGWVLPSE IFNLSIRSKAISITTSATWMCNFIIGLITPDMLESITWGTYIFFAAFCLLALAFTFFC IPETRGKTLEDMDLIFGDTAAHEEKQRIVQIEAELRGTQGPNKDTLVKPSVQQEEYV AOR_1_572084 MSTAVGSSHDSHQNHLHPADLYLQSYDPLYHPNPHSYSPQSSLD SQFKPSGDEFTGLESWDTWATLDAGYQPSIANSTSLYPTPAIESLPTPVNNDLTSILE LNDCQEFLQCLDAGLTCPEDYCPEPATTFPPPPVTATMTSSPSSSSPSSDSVPTPSVS GVAKSTSASRIEKRQQNTLAARRYRQRRVDQMKSLEEELRKVKEERDALKMRVSKLEG ETEALRCLVRREKK AOR_1_574084 MNTLSTEEMERFQKLSNEFEPDIQGPLVSTKQPSSAIAMDYASA DPTFVAKTSALAVTHPFSRIMKGDGNCGWRAVAFGYFENLFNLRDTLRVHRELLRIKS MNVLLNQVGQQEHLYEIFVDATEEIFNQISEAIQNGVRDDSFLVDAFNNEYNSSAIIT HFRILTSAWMKLNPHRYQAFLSLPVDQYCATRIETVKTEIDEVGLQALVDGVVEPAGF AVEILYLDRSEGDAVTPHMLTPARPSVVTIRLLYRPGHYDLLYQAETTVNMEPVVNYQ YAMTSNYTPWDQGALSFDVNSSLMSIPNLMMDPTFGLAPSPIPAAPASPFQVSSPPDV YQPPIHTSPPVPMASPPPPRMSAPPPMSSLPSRSSDGPQIRLNPLVMKPNLSHSLPVT TPFKNSPYNQAHFQNQDFEPIHWEPSESRK AOR_1_576084 MSDDDDFMQDSGDEDYDFEYEDADDDESGDIGIENKYYNAKQMK IDNPEEAIDEFLEVPALEQEKGDWGFKGLKQAIKLEFKLGRYSDAVEHYRELLTYVKS AVTRNYSEKSINNMLDYIEKGSDDDKAYQCMEEFYSLTLHSFQNTNNERLWLKTNIKL ARLWLERKEYGQLSKKVRELHRACQREDGSDDPSKGTYLLELYALEIQMYAETKNNKR LKALYQRALRVRSAVPHPKIMGIIRECGGKMHMSEENWEEAQSDFFESFRNYDEAGSM QRIQVLKYLVLTTMLMKSDINPFYSQETKPYKSDPRISAMTDLVDAFQRDDIHAYEAV LSKNPDVLADPFIAENIDEVSRNMRTKAVLKLIAPYTRFTLAFISKHIKISIQEVQDI LSFLILDKKLNAKIDQENGTVVVESTSDVDRLRSLEEWNASLRTLWRATLNDGEGFRT DETSQLHGMRGGPLFQSGFGDEAPPAVGLRAGRRLRTGWKGKGSGHGPKATGAGGY AOR_1_578084 MGLCGRQTVVRRKMVLLGDGACGKTSALNVFTRGFFPTVYEPTV FENYVHDIFVDNVHMELSLWDTAGQEEFDRLRALSYEDTHVIMLCFSVDSPDSFENVA SKWVDEISENCPGVKMVLTALKCDLRKDEFENPNPNAITYEQGLAKAKEIGAVKYLEC SAVQNRGIMETFYEAAKVALEVKAQGSNGSKEGCVIL AOR_1_582084 MAISKATALTFSQSDISHHQHTTKMRLAHLHIPDITSFTRVSNL QQTLTTRLLAHKKLIPKDTAPGTTPIQPPDPTIITFTPNPVYTTGRRDLPPSNTSPTA HLSLPPPLEPIRSLLTSANGAEYHPTLRGGQTTYHGPGQMVAYTILDLRRLGLTPRCH IRVLENSVVDVLRGYGIRGLITEDPGVWVPPVSGEGVPKKVTAVGVHLRRNISSYGIG FNVTEEPMWWFKQIVACGLEGREATSLEGQGVSGVEIGEVAERFVSAFLERVNQDFAC GEGAKGEKIEGVYRITEENVLGVD AOR_1_580084 MTESLNPPLSYEASATTTHPHVASTLPQEVIACLKNSRFLHLAT CDGLTPHVSLMSYTYLPSTPYDQYPTIIMTTNPSSRKTNHLLTNPRVSLLIHDWVSHR PPTRAPNPGGQRDGSPPPAATRSSLANLLLNLNTSALSSISTTIAGSARVLEPGSEEE AWCKEQHLENNTFEEEMGLFGQQQQQQPGQRRPSISIDDDVRVVTVRVREGRIADWKG GVRDWQVVLEGEDQPAPLVNGTLESQ AOR_1_584084 MLAPRILRPVSSLVRPLSSSATAYRAPSIRDITPSSAEEFAARQ KEFRENLEVARKKKVQQEREEQQLEANQLPKRGPLSSLIYGTKEGQQLDKDIERSFSQ VLARGKYVHSIVFHEVKPDRVDEYVDLVGQWYPRMAGTEENRVNLVGSWRTQVGDNDT FVHIWEYQRYEGYHASLHNISRHPEFPEFDRKLKSLIKSKKTSLMQEFSFWPTTPPRR LGGLFELRSYTLHPGNLLEWESHWRTGLRARREVMEGVGAWFVQIGDLNTVHHLWQFA NLEERKIRREQSWGIEGWAETVHKTVPLIQSMQSRILIPMPWSPVG AOR_1_586084 MVYIRQHQLPKLREYRYAGVDHSLISRYVLKPFYNNVVIKCFPM SMAPNAITLTGFFFVVINFLTILWYNPTLDQDCPSWVYASCAVGLFLYQTFDGVDGIQ ARRTKQSGPLGELFDHSVDACNTALGVLIFCAAMNFGQSWATVVTLWGSTMTFYVQTW DEYYTQVLTLGIISGPVEGVLTLCLVFGLTAYMGGGSFWHQSMLETVGVPKLDAIPEQ LYDMPFTQWYLVYGAIVLFFATGSSIVHVMQIRRERGQDPIAPLFGLLPLVAVWVFVP AYLYLQPTILENYMVPFALYVGLVNAYASSFPYFNVLLCPLALAVLDSAGPVFGLWPS ALGGGDGQVAFVYVCLGLAIGVYGSFIHDIITTICDYIDIWCLTIKHPYVEKQTANGE VDAVEKKMS AOR_1_588084 MVLAVDLLNPTPQAEARKHKLKQLVPAPRSFFMDVKCPGCFTIT TVFSHAQTVVVCAGCSTVLCQPTGGKARLTEGCSFRRK AOR_1_590084 MTDANTIQTLDTRLGELLAAIESHPMMTSSQPHPTGFYVHDFIR NTHTKLRNIDAQKLQAADPATVKEFQDIRGRNMLAEQLIEGSGPMAQMMLMMGGGPLD FGDAIKQKVRDVNAV AOR_1_592084 MSKQPLKLLMLHGYTQSGPLFHAKSRALIKHLTKAFPLHDVTAT YPTGPLRLNPADIPGYEPTQETPTEPLEAYGWFRRSNTASPPEYLGLEDGLAAVAKVM SEEGPFDGVIGFSQGAAMAAMVVSLLEPGRKEAFARFLDANVASEGKGETDAVVAGVP FPKAFEGLSHPPFKFALCYSGFRSPGARYRGFYESPALQTPILHVLGSLDAVVEESRS RALVEACAGDPEKEGKVVWHPGGHFLPSQRPYLDAAVRFIREQLEGGNKKDAEEEDVN DMDLPF AOR_1_594084 MADGVLKAEKDFSKDADKLIPEAEQIAKTDAQRAIDSLLGLEKQ ARQASDLPTTSRLLVTIVTLSKNSGDWNLLNDQVLLLSKKHGQLKQAITKMVQVVMGF LDETPNLDVKLSVIQTLRTVTEGKIFVEVERARVTRILSNIKKSQGDLNAAADILCEL QVETFGSMTRREKTEFILEQVALCIERGDWTQATILSRKINKRYFNRKPKKSPEEIAK LKKEAEEREKTRGPDEPPMEVDDDVTDLKLRYYEQQIILSNHDYKYLEVCKHYREVLD TESVENNPEQLRAVLARIIYYIILSPYDNEQSDLLHRIQSDSRISMVPVENRLLKFFT IHELMRWPAIGQQFGPHLCNTDVFSPKPSQSADDQPFKRWQDLRKRVIEHNVRVVAKY YTRIQMGRLTQLLDLTEEETEKYISELVTSKTIYAKIDRPARLINFAKPRDADDVLNE WSSDMKSLLGLLERIDHLITKEEMMARILPTREKGKAR AOR_1_596084 MKDASCDNGSPAPLLTAVYAESQVHLIIGGNPLAAARCAKSLEA GAKPVIIAPDTGDLHFSLSERIENGSVQWVRREFQDDDLKTLGREEVDHVVDTVFVTL GGNHPLSAHISKLCRRLRIPVNVSDAPELCTFSLLSTYSDGPLHIGITTSGRGCKLAS RLRREISSSLPSNLGTAIDRLGAVRRRLWAEDYAAGLCTAPLEGDEDDITGQSHTFNK LVTEDDISAAKTRRIRWLSQICEYWPLQKLAAISDADIDAILQAYSSGKNSLDSTNGL GHLEKKGKIVLAGSGPGHPDMLTRATYNAIQNADIILADKLVPEPVLKLIPRRTEVHI ARKFPGNADQAQEEFLQMGLDSLRRGRYVLRLKQGDPYLYGRGGEEFEFFRGEGYTPV VLPGITSALSASLFAEIPATHRGVSDQVLICTGTGRKGAAPEPPTYVPTQTVVFLMAL HRLSALVESLTTPPQEGSRPRTPWPKDTPCAIIERASCTDQRVIRSTLENVCLAFEAE GSRPPGLLVVGASCHILHPRKDEKWTVEEGFRGLDDLRGEIVPENDQKHD AOR_1_598084 MSSKPQTYLSNGQVLGSPPLWVRINRLIENIYIFFGLYFVSLFS LDPYTAAQNSQFNINRSQNHPNTRARWGGSGGSGSGGGGGGGGGPGGFGPRRIGRVDD IRGPECKSCR AOR_1_600084 MSPPKNDAEWAALISTIKDSLPEAFPEYKTPLPSEVNRTIDHTQ LALTATEQQIDQLCAEALQYQFATVCVRLNHVRRAVQELKGSSDVKVACVVGFHEGMY ETSEKEQEARDAVEQGAAELDMVLKYPLLKEGKYTDVYTDILGVRKAAPSPIKLKVIL ETSQLSREEIIAGSVIADVAGADFIKTSTGFNGPGANIDNVALMRATAGLVGNGCKVK ASGGVRSAEDCIRMLKTGAERIGTSSGVKIMQELKGEAVGEQGAQQGVY AOR_1_602084 MRRPNVPKKRKTSHDHGQLDNGGHSNYVFTSKPTAVFTPTGGRS HTLTVAIPGSIVANAHSVEQKTLLAGIIARALAVFCVDEVVVFDDDENSPRDTYHGQG NYHEFPIDKTSGALNGNDSSAKRYTAYSDPSNFLAHILSYLETPPYLRKHLFPMHPNL RGAGLLPSLDMPHHLRANEWCEYREGIVVSSSDGGGQRRHSTQMSNYHNNRRHSPSSP TNFSATVIDTGLPKKVVLPDIQLPEYARVTVRFPDYGREHYAQPVHPSTPRSEAGYYW GYYVRRCRSLSSVFTECPFDGGYDLSFGTSERGAPVYSVLEEDRQEHDNYDRRKIPPD YKHLLIVFGGVAGIEAVVHNDPQLCDMDIRATEAGKLFDYWVNLLPGQGSRTIRTEEA VWLGLTSLWGLVEGTHRPRPSYKSSNF AOR_1_604084 MLSECFIAATLTSGKAPASASLRDVGICVHEFQPSPNLRSTFKK SSTPTNCLAVSPSHVYAAQAEKAIVHVYSREKGNQEAVIPFPERIRSLAIAGSKNGDV LVLGTEGGRLILWETCTGRQVATTASHLSPVTSLVVDPSDNFILSGSSDASIHVWPLV DILSFTKIPSGRDRQPPNCPIRTFSNHRAAITSLAVGHSSGRYNIAVSTAQDNTAIAW DYHTGRVLRTFLLPSSAVSLTLDPVDRAFYVGYEDGSIQSVDLYKAQSFQHPLHDPSL QSTPAQPSAEEKWSPPSADCGAAQSLSLSYDGMTLLSGHQNGKVLSWNVGRKKYATTV ADYTHPVTNLHMLPLAGLPNPGQDLKRVAHTIVKPRYDSSLSESSQAAGAVPADYTFS THLLNSTSSKPAAARNLDGSDRTNQFTEAFTSAVFPNSMIEEGLAELAAFNQPGGSTA QGSPMMTQATNYEDLAAKDSQIASLESELAALKKKTTANEAARQLTTDEVTKLRSDLA NLNDYVNGLHQKQDQAQREKVLRQARKEERETRKREAWFKAEKKGKKGDAVVRRMEAE EAMQTSDSDDQSSDE AOR_1_606084 MDCALTNMDLNTKFSHHQYAFSTNSIPTYANRNNPSYYVTDEYP TAQGNDIAYPRYSPTFQPWRRQQTQSSLSFIPSTSPHPEQTEYIAQTKRSTERLSVTS ASPFRSVRRMKQPFQLRLPSSPSVESIQSAARESRLSRTPSGSQALRACRSDQNIAKT NMEAFGLLPSPPLSDSRESQPSPSSAYFSPKPDSDLDTDHGTPKSSVYTPTTISSEVY SIHRNEATPKRAGDTTNVHMAHSNLMKQYSSNNGKWTPVSTDSLRMSSPALSSDADAF EKRTFSGSSIETQRSRSGTASSEGSWVPSYLSYCEDWLQRAPLEFETTGEKSKENNRR RIQIVQQSPPPPERKREMKAPADETVMYAVASKTKPKLVDISRQSSPAMSCSIATPPQ PPVPSTPDLGHQEISAFSPDTPLEMSDSGYATTDPSCDSPGDPKPDKEDEFIHVSSVG SNNETTIRDKPMRKVTSSPKSPPRPDVAQKANSPPKPRRSAPSSDTAENGELKKWWDH EWAMDQLEHSIKEFPRSMLRLTSPVIILIRHTDEKDILRRFRQIFPDAADNLLDGLCA ALIARNYVLSLASSKRKIANHCHRPTLSRLDTVPERASAMLGTPLAPSSPSRIRDRVL GSRSGELNKSLDRMIDDLLFAICRKHDATLKSAVLVLAQVLETKA AOR_1_608084 MAASTNRLRFLYSSARTVPQTGSITPISRRTYATTEPSPSATGA PATARKRTNFTDKLNAGPSFADFVSGGEDNAPLEPSEAYALKTAMVGPAGRKKEMTRL PSWLKTPIPDSKNYQRLKKDLRGLNLHTVCEEARCPNISDCWGGSDKSAATATIMLMG DTCTRGCRFCSVKTNRRPPPLDPHEPENTAEAISRWSLGYVVLTSVDRDDLADGGARH FAETVIKIKQKKPSMLVECLTGDYLGDLEMVKLVARSGLDVYAHNVETVEALTPFVRD RRATFQQSLRVLEAAKQARPDLITKTSLMLGFGETEEQLWDALRQLRSVGVDVVTFGQ YMRPTKRHMPVHEYVTPDQFELWRQRALDMGFLYCASGPLVRSSYKAGEAFIENVLKK RRAAGTAGESVTDSKAAVDEATR AOR_1_610084 MAANNPTTRPQRPTLQESLRSNSFLHDHQQYKPAVPISSIGNVL ESSLESGVGSLSLNPISPDPEKVTESGITHSLFNHQVNPLPTGTPQIVATIYYKSSDP IHPHLHPDSSFNAGAGVKLPSPTVPVGSAPTVDIEKIPREPPAPEPEPLDHLYGPFVS QLCLTNFLQILESLPTPYQRMNTSHRCLDQNEHPRVVEVTFSPPPNPEYLTFADLRKH ESIWRFEREWNVEVVLQEESVFRRHKRLVVFDMDSTLIQNEVIDEIAKFIGVEKEVSE ITERAMNGELDFSASLRERVSLLKGVPADVFEKLKSVITISPGARELCKALKALGCKL AVLSGGFQPLAEWLAGELGIDYAFANHLEVDPASQTLTGKLVPTYPIIDASQKRKLLQ SIAAENNIPIAQTAAVGDGANDLLMLHTAGLGVAWRAKSKVQLEAPTRLNGETMVDIL HLLGLSKEDVKELTTEVA AOR_1_612084 MPAKMPDTYPSHAEDFESKDNATDSDYVSGSSSDDYLPEIVFTK PHLQFLNRQLQFLEPQDVLRWCVTSLPHLFQTTAFGLTGLVTLDMLSKLEVPRPQMVD LIFLDTLHHFSETLTLVDKIRQKYPLNNIHVYKPKGLNSEEEFAKKYGARLWERDDQL YDWAAKVEPAQRAYRELNVHAVLTGRRRSQGGKRGDLDIIEVDEAGLIKINPLANWTF DQVKQYIKDNDVPYNELLDRGYKSIGDYHSTQPVKENEDERSGRWKGQAKTECGIHNP RSKYAQYLMELERKRQEEALSQALQNQMTTAQ AOR_1_614084 MSQTENIHVISKKDNSQHAVVSIDNPSSGTRQLPPSSVRVRPLI LSLSSNNLSYARAGELLHWWDTYPVPSEAPAPYNDQSAWGIVPAWGYATVLESTTDIA PGSTLWGFWPTSGLPTELTLIPGQPRGHWTEVSEHRKHLMTYYNRYEVVSEDDRDTMA WTAAIRAIWGAGYLLSEYVFSSTGTPVHPYGGAAGLSWTTEDADLSSAVVVNLAASTK TARSFTYNLLCRPAGSGPLGVLQITSSPEALERAAELQIAKRQTPAGPVKALAYSDVD RAVPWLVGLKPAKLVIIDYGARDNVLVRLRELVQSNEALKDCKVVIIQVGSQQKVYTE EEVREAYASMKALGKIQSNASTTRDTAIELDGPEAFFDRMDLRWKQWLDDRAATVPDM RLVWGKGVAGTEGMYGGWERLTKGDVRPEEALVYMV AOR_1_616084 MANSPHGGVLKDLLARDAPRHDQLAAEAESLPAIVLSERQLCDL ELIMNGGFSPLEGFMNQKDFDGVCENCRLADGNLFSMPITLDASQQVINELKLQAGSR VTLRDFRDDRNLAILTIDDIYRADKEKEAKLVFGGDPEHPAIKYLNTKVEEFYIGGKI EAVNKLNHYDYVALRYTPAELRIHFDKLGWSRVVAFQTRNPMHRAHRELTVRAARARA ANVLIHPVVGLTKPGDIDHFTRVRAYQALLPRYPNGMAVLGLLGLAMRMGGPREAIWH AIIRKNHGATHFIVGRDHAGPGKNSKGEEFYGPYDAQHAVEKYKDELGIEVVEFQQVT YLPDTDEYKPKDEVPAGVKTLDISGTELRNRLRTGAHIPEWFSYPEVVKILRESSPPR HTQGFTVFLTGYQNSGKDAIARALQVTLNQQGGRAVSLLLGDTVRHELSSELGFSRED RHTNIQRIAFVASELTKAGAAVIAAPIAPYEHSRKAAREAVSQHGSFFLVHVNTPLEY CEKTDKRGIYAKARAGEIKGFTGVDDPYEAPENAHLTVDVSKQSVRSIVHEIILLLET EGFFDRA AOR_1_618084 MQTDLPISSNHRKAELTVLKLTNTIESIRDATSSPTQSATGGHP GNSDTCPPQSTPSLSSIHAKVPTRTRNTSRLYRGLRIGLSFYAILVLFQVIKLGVYQE EIEHQWPTPPEWSWKSRWCLRSAQALQHPEDIGKLMTNWPMVAGYLKELLERLEDPVG EGKGIVEQGDGGFLVEGVGKTGFDVSSKSEPWRRGYFQALMGAAKAAENLEGWLTDRK QRISAPAEYVVGPSNPRPKPMPAGQKKVPREEDCEQASPSPEVFYMKILTTRGFDTRQ KLDAALAYADWLDYKGLQDTASDMYNWAMDIAASGSPVDAGKVVDVKTGVLKNDGKAL PSENILRVSTALAVYHARHNNLPTALSIFTSVLKARRSLPPPPPGTIMPKLPSLHKSK DPFRYLFDSIKIILVPVEYPAPLPSGNEPPLRTATSACDEAGLMTYIGEIIYASSSKK KGLAWTRDAVDTAEATMLELGESDRIPRHRCAECLRVGLENWKTMVSRLVAKAEKEEQ ESIQNAGRSWFGGQKQIEAKSVERKRWEAENLILDDRIRRLWPMIDGESGLEGIAPNS SLFV AOR_1_620084 MMRAATAIASLLLVGSVVGLENPHRKAKAVQRAHQHKTVLPRAV PVAREDDYKYLTNKTERFLVNGTGIPEVDFDVGESYAGLLPNSPAGNSSLFFWFFPSQ NPKAQDEITIWLNGGPGCSSLDGLLQENGPFLWLPGTYKPARNPYSWTNLTNVVYIDQ PAGTGFSPGPSTVDDEEGVAAQFKSWFKHFVDTFGLHGHKVYLTGESYAGQYIPYIAS AMLDEEDEKYFNVKGIQINDPSINDDSVMIYAPAVRHLNHYTNVFALNDTFLADVNSR ADKCGFNKFLDEALTYPPPKDFPVLPEISSECAIWDDIVAAAYDVNPCFNYYHLTDYC PYLWSEMGFPSLAGGPNNYFNRTDVQKALHVPRTDYSVCGETTIFKNGDQSPPSALGP LPSVIERTNNTIIGHGWLDYLLFLNGSLVTIQNMTWNGAQGFQKPPVEPLYVPYHYGL AELANGNAPEPFTLIAGAGLLGTAHTERGLTFSSVYLAGHEIPQYVPGAAYRQLEFLL GRIENLQQRGGYTA AOR_1_622084 MPAVNKKPNLSSFACSALSSTMRLIHTERLQLEEFADEIPPYAV LSHRWGKDEVTFQDILLNQNHDTEGYRKVENICKVANQDGFEYAWIDTCCINKESSAE LSEAINSMFRWYESAGRCYAYLRDVSPDNNQSDLSTKVRNSVYFKRGWTLQELIAPSD VRFLADDWSEIGSRESWCTLIHSITNIDESILRGSAQLSDFSIARRMSWASGRKTTRV EDIAYCLMGIFHVNMPLLYGEGEKAFIRLQEEIMRESADQTLFAWGARESFDRSATGL LARSPADFKHSGDFVPFYFSKKDSAPFSITNRGIKLHLPLYHSLVVKDVLILECQDTS LDYIALAGIYLLPSHGGQLQYMRYNSGPSRVPLWRIRHVKAQTIYVMKTSIGEGGSSL LHERKPYRYTESRLRGEISQSGERPKKVILCFDGGNHKHGANHQSNIEKIHKMLYGTN GSQLCYYQRANFSRPDDFKTCMGDGYSWLVDHYNIGDEIFLFGFSSGAYIAQALARMV DYIGVLPEINGGFQAAWDIYQSWNNYALRTDADKLWEERESYFQMKGFREKLSKPTNR IPFLGLFDAVVVKSKYLGREGERISTTTEISKSASTICHAVSIDECRAELRPVLLNEE LGSGLLMKGLKQVWFPGSHADIGGLVPLDPDETYSLSHIPLVWMVCEATNAGLSFRLT TQFGCDEHTQVSPLDLTKIMGSDSLSDQYNAFTVSPGTIFSSVQLKDSLRLASTQGHL HSTMSHKHTIKQNSRHALLAHTAYRRLALTKRRKLPPFAKLHISAIHRMDSESTHYHP ANAIISRDPGSAMTLYTAHKRGHLLAISDVNDIVGSFYVAINKAEARSIASVKPPSLY SKIRGAASFRFRKVEEPALF AOR_1_624084 MPSYIVTCKENASAEEIEETKQHVRDQGGEITHEYSLIKGFAVT FPDGAVMTLESHPHVASVEPDGIMSTQ AOR_1_626084 MSAMEQEDKHPSPDVRIATGSDQSSEYSKRNDNGIVLIPQPSDD PEDPLNWPMRKKIIIFASICLAGFAGQMSPNSNQLTFVYQIPAYHKTQTDLLNSVAAG LAGWVAGPFFIIPLVAVIGRSSVVLWSLVAIFACQIWAAEMTGANDYISFTISRLFCG TFGGIPAILGSGYIMDMFYLHQRGKAFAIFEVLIIFAVVGGGTLGGFIAEHKPWNYVF WWTLGPVGAAVLAVFFFVEDTTFPRDPSMPKRAPLPKGWFANRIATFLPGTKTQPSGK GREFVRKAITPLQITFTPITLLTGTYIFIALGLPIMQASTLATYLERPEEAGGYGFSS LQMAFFTMTAWVGIISAQVYGFFFNDKTPLWLARRRGGTWHTEYRLANTILPSIILPI GLGIYGAGLEYHLHFMVLALGSFLIWFGALLALPVCYNYIIECFLNNPVEASVSLNAY RVSFGLMSVFIVTQWQSSVGVGWMWGMGAFLIVFVDLIMAGIILKGHLVRKWTATLGS SLDVTEDGANISAKKEGGV AOR_1_628084 MERSTVPSDDGDVSRATMVTVPTIATTIIALILTLLRLYVRRYM IRMLSWDDWFNVFAMLTQLVVLGLVLGATSYGFGRHVKYVGHDHATYSMKLLRICEFL LIFTTVFLKISISLFLKRLFLTSKKWRVFFWCFIAFNTITSVLDAAVIFPQCTPVELN WDKSVEGHCWSANAIDAIGITQGAIAASTDFALSILPIVFLWNIKLPKRVKVGICGIM ALGFASGAFAIARTVLVPSLMTTEDPTWDLVDLFMWAVLEATFGIIAAAAPSVRPLLG HNSVTTNYYSRDKSHSLPLRTTRTGRTSRIEWGHTVFDTRNDPDERDDFGGDSESQLR LDDRGIMKTTSIEVVTTLGHTVESDMDGRPTSEESTERRYV AOR_1_1292084 MGSVESSKDFTVTIVGGGIGGLVLAAGLLRRKVPVQIYEAASAF AEIGLGLSIGPAAHRAMPLIDPQIREIYDSLVTTHADSPGFEHFRQTWFEIVWASGDR EGETLMNLKALPSGQTTLRRADFLDALVNLIPPEIVQFGKRLDSLEETAEGVSLRFDD GTTAVADVVVGCDGIKSKVKESMLPEESREKQPQYSGMYGYRAVLDMDEMVEAVGEQR ARVSTMYVGNGAYGISYPIMRAKKVNFGLYILSEKWDCDTWVRPAKREEMRQDASNMG RYVNALIERMPDPSQWAIFEHPHISTYSRSKVAILGDAAHASTPHQGAGAGQAIEDAH VLAELLGDARVTKPEDVVAAFKAYDEVRRPRSQRVVTSSKENAYLLCLCLDGVGDDEE KLKDTWNQRLRWLWDLDIQEQAEEARKKMVEHMRA AOR_1_632084 MSWPRIGAYALLAFVAIMALNVTYQFLFRMLNKTRPPLVFHWIP FIGSTIHYGTDPYGFFFSCREKYGDIFTFILLGRPTTVYLGTQGNEFILNGKLKDVNA EEVYSPLTTPVFGSDVVYDCPNSKLIEQKKFIKFGLSQAALEAHVPLIEKEVEDYLAM SPNFHGTSGEVDIPAAMAEITIFTAGSALQGEEVRSKLTTEFAVLYHDLDKGFTPINF MLPWAPLPHNKKRDAAHARMRAIYIDIINKRRNAGDNVPEKLDMIGNLMQCTYKNGQP LPDKEIAHIMITLLMAGQHSSSSISSWIMLRLASQPAVVEELYQEQLANLERTGPNGS LAPLQYKDFDNLPLHQNVIRETLRLHSSIHSLLRKVKNPLPVPGTPYVIPTSHVLLAA PGVTALSDEYFPNAMAWDPHRWETQAPQENNKDDIVDYGYGAMSKGTSSPYLPFGAGR HRCIGEKFAYLNLAVIVATMVRHLRFSNLDGQTGVPDTDYSSLFSGPMKPARIRWERR AAKSG AOR_1_1294084 MVLTNLKQLQELHELTRSQHLDLTVQVVRGDISIGDDISRAISC ATKLIKGVVQAAMVLKVLHPEMLGTIHLRESLQGHDPDFFLMRPNRGRPSG AOR_1_636084 MVRLEPLLLLHAADVLAASSSGLSSLGTFENPSVRSRPRFRYWL PDAGVDKEIVSTNIKDSGARGAGGVEFVPFYNYGGEAGDPPPQADWVTNGFGTPAFRG VFRAALQAHKDAGLLMDFALGPNQGQGVPASSDDPGLQWDLVPYSVPISSNETFQGPI PGWGTGELVAVVSARVLSQTNISLPEIDSPFLTAQSGYLSLVLEHESLTDITDQVSED GQLSIRFPTYSNGFGHRIFAYYKKRTLNRNLHFTNNATATIWDNGSFAVDHYSSRGAQ TVIDFWENHILIDGIKELLMEVGHYAWEDSMELTSNTSWTPELPEKFQRKFGYSLKRY LPVLNFGTLWPNNNVNIQKYRPGAVQSILSTPDAGAGYITDFRSVLEDGYREYLQTIT DWARNELHLEFSAQVSYNLPMDMEVNIPVVDAPECESLQFGDSVDAYRQFSGPALLAG KSVISNEMGATMAAYGYPFRSLLFSVGRAIVGGVNQLVLHGQSYTGDYYETTWPGYTA FSYSTSELYSNKQPSWDHGLSDVLNFFARVQYTQRQGIPRVDVAIYNKVSATDAAAFP SMYQADDLVDEGWSWAYLSPDNFALPGATVENSTLGPDGPRFKALVVESDSNMTLSGL DNIREYATQGLPIILSGGLPGIFPSANASESAAIEAGLTHLSTSANVHLVRRGGVAEK LSALGLSPHIQVQTDGKWLTTWREDSSSGIDYALIFCDSNTSSGYITVTGSAKDKVPL YLNAWTGARSPVFTYNVTDHALTLPVSLQGNQTMIIAFSPRGLNESGEPKTHAIETPP SVIGATYDQRDGWVAHVANQGSDTTHERIHLSSDRYIELPQHQRTASPFNLTNWQLVA EHWEAPTNLSDAKTIARKHNTTHELTTLVSWSQIPILRNASGLGYYSTTFQWPPTTHR RDNGTADGAYIVFPSILHAIQLSINGHRVPPLDYTDAKADISQYLKAGTNEVLAVVPT TMWNYIRISCLGLLDTVKWLLSLGSDIDATVGRFGSTLHSAAARCQKNTAQFLLENGA DRNKQGGMYGYPIVAAAAAAKYNPRESADIVDMLLKAGADISCRDQTGCSALHHTAAV GSEETLSILLRNGATVDDHNGRQRTPLFFAAAHGHKLITELLIQRGAEVSTRDVHNRT PLFAAIQNGHIPIVEVLTKHGVDVRTQDNDGLTPLHIAVELGHSQMVDLLLRHGADAN AADKDGETPVYVAALGGHNTILQNLIRHQGQVNCKDSLEAWTPLHAACAEAKEATTVQ LLLDNGAEVDAADSHGATPLFYAAENGSPAIIELLIQYGAQVNATKEDGLTPIHAALG GVQPLAVEALLKHGGCNLTSDDCVSLRGNSPLMVAIMKEEYHPVVQPLVRAGVWVNSR NTAGLAPIHLATLVGDVGILELLLENNAAVNALADKGFTPLHLAVSEGKRDIIQLLID SNAAINALTDEGLTPLHVAVMGGKRDIVQLLLDNGADVNAEKNGITPIYRAIHNKDEL ITTSLIRHGAEVDAPLALAIKQGDEDIVRFILQHGPEIGPEYLIYGNRSGHDHILQLM VEHFLAKDAID AOR_1_638084 MVTEADEPSFLVLGTSPLAAAYVLAVHIRRQPATILSRTHFELM KAAMAISRQRYSSSTAQNSLHKSLDALERYVSQLLSDMALQSSVEFSTDPVENHLTPM TIPNPLESPPLSWGSFDLLSWDWNDLVPQT AOR_1_640084 MAGPTVFQQHLNTYLSYCHTLVGHLILQPSIESVQALLLFSITL RLRDQLSQAWDVLTLAISMSQTLRLAHLSAHLRTIHDKGSGSNMNPMRTWWALYVFEK FLAFDSGRQSTLDDPRLSSVGRQDPTEPMNNEKALVLQDYENFLTSLANVLREMQHRS WHTWRTESLDTTSDADARASKIRTAGAIDTLLWEWRGSLPSEYQ AOR_1_642084 MSIGVALLGAGIFAKKEHLPAIESCPSLSLKAVYSRSQTSAESF AAAAGEDIEAYFESPSVQSKSLDDLLQRQDIVAVIIAVAITAAPDLIQKALAAGKHVL SEKPIAPDVETAQRLMDYYHGQTKSGVLWGVGENFRFWDPVQKAARILTEMGGSLVTF SVTAYSFTDSKNPFYHTDWRQKPTFQGGVDVVSAYTTSLQEDLPPLDTVHAILRTNKG GSGTYTSSVGIQAKRGMEFEIVTDKGYVTYRPFQMEILVKQDQGGNWEERSEPAPLMW GVKEEIAAFATSISTGRLDSRLSTTEALEDLKIVEAMLRSGDAQALPVDITSTFRTYS IS AOR_1_644084 MKEFKDVQVIYKPLSQPKIGLGYQGFNPRTEILPKGWSNEGKRP LTCDILVEHDVGYKVRDGVTLYADVLRPPTGRVPAIVCWSPFGKKFNGSDALNLMAPY NLGLRDDALSGLEKFEAPDPAFWVEKGYAIVNVDNRGSFDSEGSCAVLGTQEGEDGHD VIECIAQEPWCTGNIGMAGNSHLAQSQWFIAAQQPPSLKAIAPWEGCADMYRETFVRG GIYCGDLFDELISKYVIHGREIEGIRAMFDKYPLANDYWNDKRAEIEKIDIPTYITGT WSNTMHGMGAIRGWLKVKTSNKWLRFHPYQEWYDLWGCPESTQELLQFFDRYLKGLDN NWESTPRVRMALLKFGQTPSIGGIVEQDFPIPNAEYKKAYLESNERLALNKPINSLIL SYDATSQAGFLKFRYTFDKTTRIMGMPKAVLYMSCQEHDDMDVFVILRKVSSTGEPML CLNVPWEGLPVKSFHEIPEKLRTEVILYKGPTGILRASQRAIDHDKSMHPNWPFHPHD REERIPPGQIIKLEIGIWATGIEFQAGETVSITMALPWRILGEKDRQLVIDG AOR_1_646084 MTPYIGDTADPSSEPVKHGDWRDEFDAKGYVVLKNVVPKERALY YRQKMLDWLGSFDNGFNINDRSTWTEENLPWSFKNGMYLNYCAAHEKYVWDAKQEPGV LDAFAKIWGTDELIASYDTVNITLPNAAEMGGTKPWPHVDQAPERNGMHCVQGFINLS EAGPKDGGLVVMEGSAPLFEKFFKEFPPDRTKGPLAALHYDFYPFQDEELKWYEARGC KIVKVCAEPGDLVLWDSRQMHYAVYPETDLIRTVIYTCYTPAAWISPEDLEKKKEIFH KWEATTHWPHINIHSHGKHMIDGKLDPLEKPEMTDKLEKLAGLKPY AOR_1_1296084 MEAKSTSEVQIEDVETFNNKVYNQVVGSTKLINDSEVVLVPTPS NDPNGKGFDYPLNLPVWQKYVILFIVGAFAATGNLMTSGMSAFLPAVRASYNGDPKTN DLITWPAFFMGIGNLIAIPLSYAIGRRPIYLFSSILLAFGCLWCAKSESLESHIAGRD LMSIAAGTCEALCPIIVQEIFFLHERGRAIAAFSALQTVGTAALIIASPYIASDSHLG WRWWYGIFGCVSGAVALLSIIFVKETKYTRSMEALNGEGIKLEGVLVPVTTRTTRELD VVNYRLRSFF AOR_1_648084 MPKLKVIIVGGGLAGACMANGLVNKGNDLFDVTVFERDTESSGR DGYQIRLGAHALMGFRACLTEEQYRELLLCFGRSGGVVSSAPAIYNTEMELLLDLGKF PAYEKSAPIGRARLRDFLQAPLRQKGIMRHGRKFVRFEVIDDEETPGQSKIRAHFEDG PSEDCDILLSAEGSGSRANKQLGCNNIIEDGSLGAGSLLGKCHLPWSVLRTLPRPLIE KGAIFTTAASAMLFAAAYLPDSLAPSQKASVSGDLSGLKKPSNYDEEQAFLMVGFGWM EGPSSIEAIQLPDTKAFMREKLAETRCHPDFFKLIDALDPDAIQSVPWRYAKTIPVDW RRNLLLGKESSLDRSIANPRVWLIGDAIHPMLPSRGMGANQAIHDTADALGPLLELAK QKDRIGLLFDDDIRVQVGVYERAMIPRAFTWVKRSSAQVLPNMDSFKGKAVIAGLRLV LVVVGGLMNVMRFLGWKPKDDAPELP AOR_1_650084 MAECPTRSHYREQQRFEKSDIGTSTEIPIIQQDTTHSDFPEGGR QAWLVVFGAWCALFCTFGLITCIGVFLEYYKNGPLEKYTASQISWITSVQVFLQVGSS ILWGRLYDSYGPRWLLLLGTPLYCFGLMMTSLSTQYYQIFISQAILSSIGSGAVFNAS LTSTTAWFYKRRGTIFGIVNSGSSLAGVVLPIMMTRLFQSIGFSWTLRVLGFMFLALC GIACATVKTRLPPNWRPISVTDYIRPLRELKMVLTVLGGFLYFWGMFLPLNYIIIQAQ ANRVSPTLVPYLLPIIIAVSLIGRLLMGVAADRFGRFNCIIAITAMSGITTLALWIPG SNSTAAVIIYAVCFGLGSGGYVTLFPSCVTQISDFEEIGTGLGIAQLINAFGALTGSP LGGALIRGQDSSTDFLGLQVFCGVTMVASAVAFCAARYTQVGMRMAKV AOR_1_652084 MRRLLKMRQNDNEKQICPIPGPQGLPFLGNILDIDLDNGTMSTL KIAKTYYPIFKFTFAGETSIVINSVALLSELCDETRFHKHVSFGLELLRSGTHDGLFT AYDHEKNWELAHRLLVPAFGPLRIRHPYNSLSDALAEEFLIVRQRYGPRRPLNLVDDF TRTTLDTIALCAMGYRFNSFYSEGDFHPFIKSMVRFLKEAETQATLPSFISNLRVRAK RRTQLDIDLMRTVCREIVTERRQTNLDHKNDLLDTMLTSRDSLSGDALSDESIIDNIL TFLVAGHETTSGLLSFAVYYLLTTPDAMAKAAHEVDDVVGDQELTIEHLSMLKYLNAI LRETLRLMPTAPGFSVTPYKPEIIGGKYEVKPGDSLDVFLAAVHRDPAVYGSDADEFR PERMSDEHFQKLPANSWKPFGNGKRSCIGRAFAWQEALMILALILQSFSLNLVDRGYT LKLKESLTIKPDNLWAYATPRPGRNVLHTRLALQTNSTHPEGLMSLKHETVESQPATI LYGSNSGTCEALAHRLAIEMSSKGRFVCKVQPMDAIEHRRLPRGQPVIIITGSYDGRP PENARHFVKWLQSLKGNDLEGIQYAVFGCGHHDWSTTFYKIPTLIDTIMAEHGGARLA PRGSADTAEDDPFAELESWSERSVWPGLEAAFDLVRHNSSDGTGKSTRITIRSPYTLR AAHETAVVHQVRVLTSAETTKKVHVELALPDTINYRPGDHLAILPLNSRQSVQRVLSL FQIGSDTILYMTSSSATSLPTDTPISAHDLLSGYVELNQVATPTSLRSLAAKATDEKT AEYLEALATDRYTTEVRGNHLSLLDILESYSVPSIEIQHYIQMLPLLRPRQYTISSSP RLNRGQASLTVSVMERADVGGPRNCAGVASNYLASCTPGSILRVSLRQANPDFRLPDE SCSHPIIMVAAGSGIAPFRAFVQERSVRQKEGIILPPAFLFFGCRRADLDDLYREELD AFEEQGVVTLFRAFSRAQSESHGCKYVQDLLWMERVRVKTLWGQDAKVFVCGSMLTTS SYRTHILISRYLHRCHKHRQINIRNTLIVTTSLPGADRIAISTGATVIANGEAINCLR QAGVPEEQLLPVSGGERIPLFTREIRDKAKGNVALRAPGPPGGPVFPVHELAALSVEV WPSLHCLMPSGHPEIIDTGTVYTGAATPFTCTLDITLGMKHGLLRLGELMPVEQQSEG QRSFVEYVSDRKRNVFSHCDGGQLMYNFLVEGKALLWSAHLGGYEGILKDLKPKPDVA ILGIAGRANLNGKPFDGSAAEFALQEIQWLGSPSQVIWCLHDESCIPPYRIDTLAATA AVEKETASKVLHLTHAEVYRLDL AOR_1_1300084 MATWPSDSVWSPQTHNEQIYARAPNPAEFPEVPAISKSYWIREH RLDFEEECARTSLPSEVDVVIIGSGITGATVAYQISRSQPDLCVALIESRGLCTGATG RNGGHIGRPEVYHFRELAEEVGVKEALKLKRFGQKNRNMMVEAINELEAVAEVDLQLN GTIVVFETAEEKEEFVADLESAKQHGHEPEGYLIKETSDVLSKVTMNESKAQYGAAYL EASGTVYPRKLVGVLLKAALKRMPALTIHAYTPASSVVSDFTADRYHYTVSTNRGEIK ARAVFHATNGYASHLVPSLCGKDGVYGRKAHMLGVQPNITAPDTCQLSRGFGYQDFWH WILQRPNNGPYLYGLATAEVTGDYNDNITLPENTPHRREMVQFLETVFPHHFEDIDFK RDVVYDWTGIQGFTKDGASIVGRPTKGSPGEYVSVGHNGEGMGRCFACATVATDAMLN YLKGNKSWTPPEWFPMSFARNLGSGGL AOR_1_656084 MASFITDDEFRSLHQKLRAAFTDNRTRDVRWRKWQLKQLFWLLD ENEDALVKAMADDLHRHAFESLSYDVSDVKNTILTMLKNVDTWSQGAPPPEAGLFFRY VGKAWIRKEPRGVVLIIGAWNYPLSTLLSVAAAAIAAGNSVILKPSEIARHTELLLSE LVPTYLDSSAIALIRADPASMGTILEYKFDFIFYTGSTRVGRIIAEAAAKHITPTALE LGGQAPAIVTKSADIEVTAKRLVAAKLTNLGQICVCVNHVYVDPEVHDSLVARLIYWT ERFSKGDGLNGLARMVGERQYDRLHNLLEHTQGKKVFEGPHSRKEKLIFPTVVTDVKL DDSLLSEELFGPVLPVVKKTVPEVLDILRGSPYPLGLYIFSNDSTEIDTILNFTNSGG VTINDVAIHADVPSAPFGGVGDSGYGAYHGKWGFDTFSHNRTVVRVPTWIDRFVQWRY PPFDIKNRSETDAPRLRFKKGETLEDQGGSGMGCVVM AOR_1_658084 MSSVEPPLWEQRAAQKRASRDAEIRKWQPGPVPDLKPDDTYVKD VPLRCGLLTKEQLRITESTPSQLLSNLSSSHWSAEAVLRAFIARVTIAHYLTNPLSEI FFERAVARARNLDEFLKTTGRTVGPLHGLPISLKDVMNVAGQETTLGFVATIGQIPDY EDRLVTKLHEAGAVFYCKTNVPQTLMSGECVNFVFGRTSTPYNTGLTAGGSSGGEGSL IALGGSPLGIGSDIAGSIRTPANFNGIYGLCPSPNRFPEHSAENSDGNMIIQGVAGPM SRSVDGLEVYTRTLLGLRPWEWDFSSARIPWREAEYQEGLGKTHPLCYAFMPHDSVVL PNPPILRGMREMKEALIRAGHQVIDIDPWDGRELMKAAFPIFFATGGEEVRKILSVLD EPLIAEITPLEAMTTLSVAQYKSAAVKIKLLRQKYIDIWQATASKTATGLPVDAIILP SGGTVAPPHGKMEYFTYEAISNVLEWTCATVPVTQVDPVLDAKPQSPFEPMSDYDQRN WDTYAPETYKDGPVCLQVMGRRFEEEKVLGLLRTIDKALGRDEFYMS AOR_1_660084 MSSRACDRCWALKTQCDSQSPCARCRRLGLPCLTERPVRAKGRP RKSVSSRQDRAVREPSVEPESQSSGQEIRSSVSTADVSSIPLDPSLIDSLLQRLLSSS RKLGIFGLLPDSLHADTEARANFSVRQILNAELQNALLAIALDLYCYRLPETVAGSLD VSRDHLQMRALADTPCLTWKSHELQLGNALLLLLFSYTWCLNSEFADIAVRWLSLSRI IHEDISKSSIQDDDWNELTRRCMRAVIEAPSFGSRAWTTIRNDLCNYFFRFPPALLRF EDLTYAYEAEAMIWMHGLFIILYGTRDLVDLIMNPVYHEADRFSYLLEHSLLLGDVLP TVLSLDPYLESLSPATIIFLLQSTTIHAIALRQFFSDNSNHALLENGVPAKLVRSSDH HHSLLSAIELHCKRYDIPIIGEIRTLLSTCLSRAAFRNTDARIISTQQLMHYRWCNTG TGIIHLQRDEAVAAWQYPVSPGEELWQQPSDNRDELQPLIVDLCAPNTRLCRNGYFDL SILIR AOR_1_662084 MPNWRLKLNGRENQDIRFLIHSCQRSIVAIGECSRPLLDEILPL ASEHPSILSALLAYSARLRVSSGDGSAARTEGSKRNALDRYQETITKVQSILSRLQSE NPVNDGDVMEVLASCLLLTLFGLPEQVHNWSVHVTGMIALVESLDIEGFRSVPLVRYL QTVAAHLDISAFALNRSEASQHKWLAWGICPWRSSTSTIDEPTEFTTFEISSGYPETL VTVVALISAVIEGQIDDSVAAYINDIYQGIHPVQEQRLAEYGSPQQTESYWTSRMHAI IAGWEPPMVPPHISSTLSLALTTAWETIRKAAHIYLTRGGFATSIYIPVAPRRQRVNQ RYVREMIVGLQSLITLAEKKGITIANAMIWPMTVIGNEIFNDYALQAELVSMFERLHR YFRIAHLTQVLDLLKELWQRFSMSDAMLASPQPSRELSLQILAAEKNLSVPLF AOR_1_664084 MPNIVLINGADKVTPTTEEGAGAFTDLAGSNASAEHPIVAGVWD LVDLDEPTPAWEAEWDEVKYLIAGNRTQKP AOR_1_666084 MAESITTLKQQLIDEFIQANPKSKAAFDRARNALPAGNTRSVLW SEPFPLTLQSGNGAHVTSVDGQEYLDFVSDFTAGLYGHSHPVIKQAVKDALATGFSLG GVVEKEAQLGEILQTRFKSIERVRFCNSGTEANTFALATAKAFTGRNKILVFDSGYHG GTISFHGTTPNPMNLPHEFVVGAFNDIERTQSLVDNSLAAILIEPMQMAGGVRPASIE FLRFLRESATKVGAVLIFDEVVTSRLHYHGLQGAREVYPDMTTLGKYLGGGFPFGAFG GRADIMEQFNPIAHGRSVLHHSGTFNNNIFTMTAAVAAAELVTKQSLAELNKLGDDLR ATGNSIVQQAGLKDIVFVGYGSTVGMGFLGDRGQVLREVFYFTLVKQGILMGRRGFLC LNLAHTKEHIDRFLDVVKVFAEQHRADV AOR_1_668084 MSFTNESRGDKSPVDNKLLQPLEPVSTYGTVVENGTIEELGYVA VYRRVFRSLGNMCMVVALTTPLSAILVTAFYQISYGGYWGLSWGWIIPNVILIPEVLA IAELASSMPVNGSFYWWAGALAPPGWSHAISFVTGWLNVFTMFASTASFAYAVASSLS YAVTIAAPSMAWTNAQIMCLSLAVIVVWSGVMTLKLERIASVYIAMAILVLIQTLVFI FGLPISHKVQNRPFASARTVFGEYTNFSDWGLGVSVPYSWFCTLWVNSAWMVPVYVAE ETHDASREIPKSLWYTFSITAVIGMIICLISAFCINDIEAAAADESGYPLMNLIYEHW GHAPTSAYFLFITPVGFIGGSGTLLTYASQIAAFARDGGFPWHERVAYVHPRLNLPIY SLAILGIGTFLVLIIALSPAASSIIYSLSVVTGLITFIVPIFFRIFAGDRWVPGPWNL GRWSIPIHIAAVVTQMYLIIMECFPTARAWTVETFNYNFALTVGAMLISCGLYWSVGR RNFKGLDLEALEAWRRHHAAYAE AOR_1_670084 MAEHEVDKQTLLNLITQDPGLPRNNPTAAYWQHLPHRLSNVQSA NLPQTTDIAIIGSGITGVSVAKTILEQDEAATVTVFDARALCSGATGRNGGQLAINAA ESYLKLKKMVGMEMAGKIIHFNIKTLEALRRIAAQLALVQDPEVTDVVKIRSFKDEES FRRVQGGIEALEADHPSLRGLYTVLDPEACRKEHGVHGVAGAVLHSSGTVWPYRVVTN TFDDLLSRYPSRLSIETNTPVTEVVYGPSADSKHPYILTTPRGIIRATHVAYCTNGYT GHLLPALRGVLFPMKGTMTVQDLTTIPSVPNRGSTTSWAIHYTPFLDAATGGLADGLI YGMQNANTGWHFFGGEKSPPEQLLSSDDSTLSQSSVQFLQESLGSLFGLQGPAHQKLV SAWSGIMGFTSDTLPLVGKLPSALTGRDGQGEWFSGGYNGYGMPSAWLAGESLGLMIL GQSPREYLPEAYLISEERLRERLTVARSMEYLSEA AOR_1_1302084 MGSLDPEREALEPTFAMDTITDSIQQAKIPLTHIQTAHAASKLQ EEMILATMMDVDHQVYLETYHFTTTQKIQATQLSHALQRLIEKHAILRSLFCWDDNGN STKSTMVRLVVLDTKYLLKMGTFIGYNAQNANVVFYMIGSPFSAGVEWSGELPWKISL SQSSDQQGSRLTLSFHHAILDGTSARQLLLAIQNELDSPASVVPQFDIFAANKALAKR CNDDTRAQIKERFAAVQIKPNLQSMEPGRRSTENFRHAEQTSRIETRFPPYAARAAVP AWIARLALSMALCSCEGVSETVFLEIMSGRKALSQQHQEVLGPLLAPQIRHARFPRDT PLSDAAQSLKSTHDVEHGFSVGQLKSLLPELAHHIDVSLVCQTDMSYPSNGVGGFLWD YRETKTDIPLVVELLPPREGFFHVSIRYHQNRYTDDYITRLLRTFGGYLQWLQGNYTH LSHYTFHHRSSDGDPLLNIVKRHEIDAIPQEQLHCSTEPHIKTEVGVHEIFELQAKRT PQKIALQYENSQYMTYADLNLRCDEMAGALAYQIGRLVPRPADYEEVVAIWFEKSIDM IIAIMSILKAGLAYVVIDVNHPAERIAHILELCKASIILCGNMTGAEKLSEIARRRGA SIFTLGDLLNEQNPQLGQRSLNKRREGFSASSLACVHFTSGTTGVPKGIMIEHRNIAA VVRTKVPGFMGDWTACQLQLTGPTFDIIILDVFGTLGCGGRLILGSTTSLLSSLPQWL EKTSVTHLGTTPAVASAFNEQIPVFLRVITLGGEVFHPSLLRDTPKECRIFNGYGPSE TTVVATLYKTDPSDQSVQKIPVGLPYGRSRIYVVVPQTFQQVAVGEIGEIIIGGPQVT RGYLGNPELTASKFQPSPFPDSKLVYRTGDFGRFLPDGTLDYIGRIDNQVKLRGQRIE VEETEAVITMHSRVKACAVVKADTSDGGCLAAFVELHTRSTTDDQNGASGELSWSQTT KELMSKASQTLPEYMVPAFIFQVKDRLPHTMNGKVDRRGLSNRATKLIEDEAQLATAS YSEPQSKAERVVCNVFGDVLSCRVGVDDNLLNMGGHSITAIRAATRINHELNLEITFR DILQFPTPRSLCARIYQPGESTEQSEARKPRLVRVSEPVELSSAQSRLWFLDQLHQSL SWYLMILAFRVRGPLRLDALEAAFLALEQRHETLRTTFEQKDGVTVQVVHPFQHRPLR VTDINGSDKEQTLHKALLKEQTTPFNLTREPGWRPSVFRIDSQNHVISIVLHHIIGDG WSMGIVLRELAVLYAAAISGSDPMTRLTPLPLQYPAYSVWQRQEEQLAKHRHQLKYWS EQLQGSQPAEFFCDKARPSSPSGNAEVRTLSITGEIYDQLRSFCQSYQVTPFVPLLAA FRAAHYRLCGTEDATVGTPITNRSRAEHEGIIGLFVNVQCIRIRISETDSFDTLTKHV RDTVTAAFDNEEVPFEDIVSELQPTRATDRNPLVQTVFAVHPEGFDQISLEGLYTERI NVTYATRFDLEVHFYQHADGLAGEIMYATDLFHSETIQAISSVFMSILQYGVQSPNTK IDVIPLMQAPSTFQDVDIVGTPSTDYPKDISVVELFGQQVAASPSAVAVKDSSCQLTY AELDHQSDRICHWLMGQGLPAETIVAVFSQRSCQTIVTFMGILKASMAYLPLDERVPD ARIEVILASLQDPRLILVGSGVRTPVVGLKDVMIMPILGIMDTKEIPPVVPVAGPSAS SLAYVIFTSGSTGQPKGVMIEHRGIVSRMKRGNTVSESDCTKAWAHLSSIAFDASVLE IYTPLLNGGSVICIDTMTVLDYAALEQTFSKTGVRCALLTPAMLKQLLSESPDIVAQL DTLVVGGDRADPQDMFRAQRLVGSSVINAYGPTENTIVSTAYCMSKDSECSNGVPIGR AINNSGAYVMDQELRPVPLGVMGELVVVGDGLARGYTDPERNGGLFVTITISGHSMKA YRTGDRVRYRPVDGELEYFGRLNDQVKIRGHRVELGEIEQALLDQGSLAEAVVVLQRS DADDDTRLVAFVREKRDVEDKEHNNEQQQEEGWKEIFSTAVYDVGIQSHQVGRDFSGW TSMYDGTNIDKGEMNEWLDDTISTLLDGRPPGNVLEVGTGSGMILFNIPQGLRTYVGL EPAQPIVDFVQKTIHDHRGDLNDKVRLHIGTAADVGKIAEFCTAQPDLVVVNSVAQYF PGGDYLARTIGDLLKEHKAKTLFFGDMRSYALYRQFQVSKALHILEDKAEPSAIRKIM AETVENETELLVDPGFFTALPHRFPGLIRHVEILPKKMRATNELSCYRYSAVIHTVHG DHPLSIYTVESHKWIDFVSEAMDQAALIDILSKRADDTDVVAVANIPHEKTIVERVVL ESLENQPQAWQGLTSIRDEAHKRNSLSVIDLINIASMTGFRVEVSWARQFSQDGGFDA IFHRIQSEQNQGRAAFQFPTDHEGRHADTLTNNPMLPGLRKPIEQTLREGLQQRLPSY MVPSIIKVLDQLPINHSGKVDRKRLAQMTVTVSPSLDEIEREYVAPRDDLERTLCEEF GNILGSEVGIMDNFFNLGGHSLMATRAVSKIIRRLGSVLNVRDLFDYPTPAQLARRIS SERDGEMIEPTVGASHALTNLAPNEVVGWREAVREAGLHDGDIERVMPCTPFQEGVLT SDIVLEGRSAYQAVVQVTINSALDLEALSAAWRITVKREEMLRTAFLPSIDASNQQGI CGRSFLQTVFRDSSVEVQRVATIQGGKARSGDIQPQMDMGKIPVSLELDRERGSSRWS LRLKIHHALYDGQYLSSIIQGLVGVYKEGSTLCKSSHKDRVSFSTFVRTLQDGDPDKA CAFWKDYLKGGMSATWPVTNGLKGPMAVDRSPKIKIGEWAGDARKIAKRFQITPAAMA RAALALTIAEHSNSDDVILGEVSSGRYHTGFVAGPCIATHPVRINMAKQDTFQSTDNT QSRRISLHSLLKLCRDTYLDTMHYQQVGLESIRLSSESPDLLPFQVLFVYQEAGPETN DFTISQSEQGRVDFPLVFEVSCHSTTGHLSIRCVFDPVIFPPGDIDWVMQHVIDALSL IADQAIARKAVHKHIDARLMISANERAKLQQLASKQDVVANDPDQTVIDIISQQAEKA PEKIALQFEQDQFLTYRQLLDSATMLAGSIERMLENRMTDVDNQPLIPIAFDKSSDMV IVILAILKAGAAFIPLDMNYPIKRLQEICELTQPPILIWDGINGSEKINSLTNATGAV AYTIADLRKSGGPVSGGNRPTSLNSLAYILFTSGSTGVPKGVMVEHRNLTAFTMSEEG SVDCSWTSNRLSFLAYTFDASMGDLFATLCKGGRFSLVRRSKMLSQLNTWLDNMNITH LALTPTLGGLLPNDLREGSRQLPHLRNLVFGGEPFRASFLRRTPVELTVWNGYGPSET TIEATACQLQGPSAESERARAYLPIGSPTGGCRIYILRPETEEPVPIGAIGEICIGGP QVARGYLGQPDLTACRFTPDPFAPSREGRMFRTGDLGRFHSDGNLEYLDREDGQVKLR GLRIDINEVESVAQTHPAVTACVVAKAVNNDSEALIAFVELSQSPSINGNDLMTLIKD HISRSVPDYMVPAHLLLPQGPLPRTAHGKTNKLAIQKMANAAYEQCMADVSAGRQVLV PAIPGTLEATIASVWAKVLGIKEDRVDITMPFSKLGGDSIRSITLLALLRRAGLRVDM ADVAPSSTIQVEAVKIRDRTKNGRIAGNLHVHDRGGRATIVLIHPFLAQSTIFEPLVP LLDRRLNVILVDDPFFGTDSCPATLAEWATSYLINLKPHLIRGQPVIFGGYSVGGLIA FEMAQLWGTRYGSHSASVVLLDPGTYEPINRTSAGNSDEEIIRNSLGMSDVEPEDLAP FREHFDRHIRVLQHSARPALYQGRCLYLGLPERFQDGTTAWWKNQCPNIVMNKVDCDN HYKMLKGRGRIQAITQYINEYSASLLFGVADSDSSPNQKKRSRPSAPFVSTKKTKRR AOR_1_672084 MPTLSGYYTSLSGRTLTINERDELTLLPRGKELNDQTKLRADGE FWLCRDDGRVGKFGNPTKAIFHINGQGYHIWVEPRGFSNGMTEYGLVPILPQHEYSNT FLAVNDLDQLDIVGQWGAEAKFRCFE AOR_1_674084 MGFFHSALSFLMGAFSQTMAQTAPPQMEGRIDVSKYSPPDIITR DVCIIGGGPAGTHAAIRLRQHNKSVVVLEKQDRLGGQTNTYIDPESGRAVDYGVTYFQ NLSSVREMFEHFEIPLTKAVFDYKMHMFDFRTGTPVQDSSKAEMAAFTEKYMAQLAKY PYLKTGFDLPDPVPEDLLLPFGEFVQKYDMMPAVGQMGAWINALGDWQTMPTLYIMKY MCADTMNGVKTGFVRPADHNNSAIYDAARKELGEDALLNSRVVQMDRDDAKGWVYMEV QSGSQTRLIRAKKIIVAFPPHLDNFGGFDVSPKEKELFSQFQHIYFYPALVRIQGIPD NICYINRGADHPFLRPQLPGILMMRTSDVQGLCTVHFSSRFPVSEEQVKAGIVKGISS IRETQGIDGTDLEFVRLANHSPYQMTVSADAIAHGFYQELNALQGERHTYYTGATFDS HNTPQIWNFTEQLLQEQILKSLE AOR_1_676084 MRVFVTGGTGFIGKAVVEELIGKGHSVLALTRSEKGAKLLANLG ADVYHGNLGDLESLRKGAAASEGVIHCAFRNTVQDFTVAEFEAACQEERQAIEAIGNA LVGSNKPLVITSGTMLLPPGRLGTEDDHDPNPRSPIALARGPSEILALSFASKGVRVS ILRIPPVNYGEGDEGFVPMMIDAARKNMKSIYMGDGLNRWPATHVQDTAKAYRLALEK APAGSTLHGVAEQGVPLKDIAEAIGERLSVPVESKEWEDMKAHFGWLANIVALDNYIS SQKTQALLDWKPKQRPLLSYIKEDI AOR_1_678084 MELQSSKTMQSYSRVSVACEACRKRKRKCDGLRPTCSYCLERNR ICEYTVEKRVKRRVDPDYVRTLEDEIAILKQELAQAPFSRRTILDDDMVHAQGEPKRS SEEDGAVAIHDSMTTAIEDVSALIWRMSLDNNGDASFIGPSGNFCFPVTHWDAADFRE KRKAVATPTASTSGAPHIPAPWPDPINILGITNYLLDVFANLINPIQQFVDSETLDQL RGDDLSHGLRLVKTAALAAAALVADGPQSKAIGDEAAAAFDKTALQLCRELPEISTIQ SLSIMSWRELGLEQHNMAWMYNCSDFYGKAMCASLVLHLGLPVIITPDRGPASRAPGE LSAESRTRDSRLRTLWSSVLMDRIATSLLGRNCLLPWKRIKCPSFLSAVGPSPSLDEL AFDYQCRLWFIHDQYMDKIYSFDFSELSSTERSRLLLDARDQLLSFYRQIHPSLQLSR TSITTETPTPAVIYLHISYHMSFILIHRPYLKVAVRNSLTIYRLALRSVTTAAASIVR LLRIHANILPLSLAPPFIVHSVLTAAVTHLCNATSTHNTLRSQSIAHFRVCFRALLVM QSRWVKATRAIRLLRGLAHRWRVMGALPLQYGFEAGGGGEAEETGWQQAEAEAEEGER EVERENEDEEREEDGRIVDTEENWPLAAEADAANSNFDGDEMEMFDSIRPETFVFDTE YRQWELSMGLEL AOR_1_680084 MTTPQPSQVRVAVTQAEPVWLDLKATVDKTCSLIAEAASKGAQL VSFPECWIPGYPAWIWTRPVDQELHSRYIQNSLTVSSPEMTQICKSANENNVIVVLGF SENIHNSLYISQAIISNTGSILTTRKKIKATHMERTIFGDAFADCLDSVVETAVGRVG ALSCWEHIQPLLKYHTCAQREAIHVAAWPPLFEWGGPEDESLFSMSRDGTIALARTYA IESSSFVLHTTAVISQEGVEKMRTATGAIMNMPGGGSSAIFGPDGRLLSKPLLPTEEG IIYADLEMHDIYKTKAFVDVLGHYSRPDLLWLGVGSCDRRHVKEDAEERREDRVEVL AOR_1_682084 MFSEKHQLLFGPPVSSTDPLTWSRLRKELLFATIIFGSCATGSL GPLLVPAFVSLAADLQVSLTSITLLNGSLVMALGLSAYVCSCSARCFGKRSVYLFTTI LVLAACCWGAASKSYTSLLASRVFQGLGMGGFFALAGTASINDIYNVDERGWRVGLWN FAVIVSVNLTPIISGTVISALSWRWAFWLQAIQFGVLLAAVIFFFPETTFRREISGSR PTTCNLETSSDDHTLDHPELDTEEKGGRPVVTSASIESIPVQRHNSLFVFTEPLAIVI DPIVIWGSIMWSMTFTWTILLGAVASQIFTAPPYNMSTVAVGSLTGIGPLIGSALGTV IGGFLCDLSSKSMAGKNAGIYEPEFRLPVMLPATLAMIVGAFGLGAATQYGLSAVVCG VFMAILNFAVGMGCTGIVAYTNDACGQRAGDCFGLAMVAKSAFAFGLSFVFNDFVVKR GTLIFFSTFGAVSVAVMLTNIPLFIWGKQIRAWADGRDLLRRRNIRM AOR_1_684084 MVRRANELTRKGNNNLTVHEIVAKWDLENLTIAAVREAPVNWPL PMLNKDWDGVTLDLNATVDLGISLIKKASENQARVIGFPEVWFPGYPKGILNSENPNP WFEYHVRDYIENSLVIGSDNWNKLVQAAVDNEIYVGLSFSERDAAHLYMAQALISPDG EILIHRHKLRPSAQERDLWSDGTVDQIYAVSTPIGRIGMLSCGEHTAPEATFIMQSQT EDIHIGSWPLVPDFGNASLTYESAEVITALGRVYAILGNTVVLQAAIGTATLFPAGSS AVWSQTVANVSFTDQPLVYRSFNASAFSSTTYNTEGEVSWGTLQAINQGFPQYIPQET GEYVAWHQNLLTDLYNESKV AOR_1_686084 MIPKTPRQYTLAEGQPISDPSVSTTLPTFGGGSLTTLADTTLLE TLAHFNRERIPERVVHAKAAGAWGEFEVTHDISHLTSAKFLNGVGKKTPVLCRISTTG GEKGSADTVRDVRGFGVKFFTEEGNHDIVGNHTPVFWVRDPLKFPAVNRAHKKHPQTN AHDFTMFWDFHVNSPESVHGLLHLFGSRGIPSSVRRITGFGLHTFKLVAPDGSFNYCK FHFRPEQGVGNLSEDEATRLAGANADYHTTELFDSIARKNYPVWGLYIQVMKPEEAEK AGLMTFDITKVWPHKDFPLIPVGKMTLNRNPANYFAEIEQAAFSPSNMVPGITYTPDP MLHARMFAYPDAQRYRLGANYTHLPPNRPVAPVYAPFERDGLTQTSNYGAEPNYNPNM FTPAVQSQRSTQDVRHGEFLKGAVLGLNNIPVTEADYVQPRALWRNVFDDAERRLCAS NISETLVTLPADLRTGVIDLFNKVDPEIGRLISAKLSGSARLSKVYDINGINKLHAAL HNSYKTMIEDETREGMLKKLAELTICGRQKSRSEGIIEAAVHQWNAELQPPVNAQSND DKGSPKFEFTPYQTAKIDKLVRGELTQELNRLIDGKISQKFITHKWKKERDYLYIFEC EEAEGMCKLGHTDSPSRRASQHVKCYPNFTQERSLYCPNSEVFERVVQLEFARLRYKH ACLKCNATHTEWFKADLDEIYQRANVWCQFSQGLQSPEKRSQVSIPLFFEFPSDPDRW YKWAQGYVQSWDNKLSPSEPNTTSKSVVDQDIVTVDDDAESIPGLSPSSSASGTPDDD YSDPPTPTPIERSRKTKPTSKQRLIIPPASPSVSSEAYWTPVESMSTPKGRVLFPRVP GEYPVSPVNIVPEKTKEDANGLTDIFENLKLF AOR_1_688084 MSAQVNNNQYDFIVVGGGTAGNAIAGRLSENPSVRVLVVEAGIA NPGQIDDITTPSKAFGLRGSQYDWAYKTTMIKRDDYERIEKPNTRGKALGGSSCANYF TWIPGSKATFDDWYEFGGSDWTWDNCVEYLRKCATYHDDEKLYPTDLNKIGTGGPVQI SHAELVPELETFRNALTTAWTSRGEPLSEDIYSGEMHGLTHCVDTIYKGERQGSWLYL ENKPNVTILSQVHSKRLIIDRTTQTCTGVTVVDPTNGAELNLYATREVIVSQGVFETP KLLLLSGIGPAAELNKHGIDVVVDSPHVGKNLLDHPIVPFVLRLKDGVALDDHIHRAG PANEAAVAAYRRDKTGPAASGFLELVGFPRIDERLNRYPAYREAKAANGGLDPFGPGG QPHFELDFVGLFSSAFQWHYPVPPSGSYMTVIVDLLRPVSEGGEVTLNSTDPLQQPNI NLNFFADDLDVLAMREGVRWTYDVLTKGEGFKDLVLEEYPWNMPLDSDEAMNKAVLDR SQTGFHPCGTARLSKNVQQGVVDNKLRVHGIKNLRIADASIMPVIPDCRIQNSVYMIG EKGADIIKAQYRELYEQKNIPYFSSKL AOR_1_690084 MTEPKNQTSSTISTQCELRDLYEYEPLPTPTSIRLIKVEGKDQD GTVHVRLKTIDLKDAPWYHAVSYTWGNPHTELPHVQATHETYSQKYPPDYREPIVANG KLLHVSRSAYDILVSVPKDAWAKRCNQRNPKNQLRATIHSACMTNKKEYVEELISAGV DIDVQDEYGRTPLCYAARLGKLEFVELLLAAGADLDILDGNENRAIDHARESGVEEII KCLEEAEKRVKVHGTSRSWPEGPQMWCWVDQICIDQSNLEERASQVSIMDRIYECASY TLIWLGLEDDYTEVAVETIQKLYSAQGDLIHDNEIIPYRHQPKEVYATAEIPYVSLEE WTALATLFLRPYLRRLWVIQENILSDTCLGYCGKFEVPWRAFCTVAQQIYFRQLVLGR VTSTEFIDINSPVVAIESEIVHLTQWKDRLQNGDQASMPKTLSLENLLFETWTFRATD PRDKIFGLYGLLLKAGPVPWKPDYSKSVAQVYAEATKEIIQNANELRMLSAVLDHSLH NIPDLPSWVPDYSVPFCNMMCANYNAAGTLPQQTIQPSSWNELKVSGVKIDTVLQTGN TTSGPKQMSMFFDARWFELALLLPHPYHNGQTRTEALWRTLCADHKKDGSFPAPGAYG ALFRNMLCQLTCVKAEETARAAEQDPNIVVLEAALHHIRQILSKPPISTLSLEEIQRT FGNPDTNLSSPDFQTLTHLLYKLHFLGMVEEDPWTPTIDEIEKSYRRTKWQTWEESSA LPGDDIEFHVALRSKHGRRRLFVTEKRYLGLGPASMVDGDEVWVIPSAGAAFVLRPVD RGIFRLVGEAYVHGVMDGEVVGECDVKLSSICLV AOR_1_692084 MAEDFTSAPDSTSWTAVLPHVHNAHDCQSPTTQSSHGKTQPPEY CYHVYKFGEPDPACLECLTQELPASDDDEMLTDESDFDGEKPLPFGDTEYFPSGYKPE NEYGDAPLDENMVDDSESLGTSSCSSISTEVSEYGMEILNENDIEEIKTRILMALPIH DFIEIQPIRSIIESFTQQQLADIVGKFLELDKLRVNQQSLTTYSMIYCHPSPNLMRFI QRHLTKDVPCPGDERATKVLTFDDIDNGFHKKSKSELIELLEETLSAFHASKLYAVLE EQIQALPEEEREQIHTEARRIFGDLDSMSSGGSGEGW AOR_1_1304084 MHLLRLPNELLQLVADNLSTDADLNALIQTHSCYYHLLKTYLFE HNVRYGESSALVWAAAHGHIEIAKKSLRAKADANALSPLHRSIFKLNLCTCPSILLPA DHACEWCPTDRRHRSTPIMLAAMNGHKEIVELLVHHGADINRQVGGVCTPILGAIGHG NVDVVEYLLITGVNLDVTLDGFWDYDPLELAAHEGHAEVVKLLLRHGVDPNKSSALAL ATSMGKLEATRVLLEAGASIERVCTRNQMDALFNAVDRGEATVVGLLIEYGANLESRD SDGMTPLAYAAYFRRPEAAEVLLNHGANIDALSQGLTALSFAIDEGKVPMVKLLLENG ADLTITNEPLLLRILKSNRIHNQEADAAIVELLLYHGADPNCCDRKGRTPLFLATVKQ KVDIMRVLLARGANPNREDEEIDLLSWAFLKGHEDVVNMLLAY AOR_1_694084 MTTTNINYSALEQGYDLEINKLTPVLTKRQQITHELNQLQTLRD SNLYSAQQALLNSTTVSDDQLARQELDDVRSSINNTLQQVRTLVDELRYLADPSDPRV RAQVDATKNQVQQAIQDYYRSQTEFDRALRDQVWRRYEIANPEASPEEVEHGVQQVLA GTQMVFQVQGARTRQAKDAQAAVMERSAAIRKIEQDLMTLSELSQQVAELVRSHEPIV EKIEENAEETRLNYEKGNEKIGHAIVSARNARKYKWYILLVCILIIAIIVAICVGWCK STDHC AOR_1_696084 MSSSLSTGSFQTLTFHPDNTVIIQDKIYGEHTISEPVLAELLRC PALLRLAGIGLHGQTDLLGITHTVTRLEHSIGASLLVRKVGGSIGEQVAGLLHDISHT VLSHDVDGALSKPGESYHEVQKSRYIMTTELPRILTKHGFVDLKPFDEELYPLVERPA PHLCADRLDYSLRDAVAFGKLAIEDARRVYDSLTAFPDASSPHRLLVLRDIDLALAYA RAYGECDRDVWCNPAHAVMSRKIGQLIGDLVQQGSLKEEVLWNLSDREFWELLKSKVD SKGLETIKHIEAGPHAEDYHRLPRGTKIRTIDPDLLLPGAGQPSPLSFVKPEWAKERQ DFIQARQALFID AOR_1_698084 MSEALTNTDLQGALPLIARGKVRDLYDVDEKTLLFVATDRISAY DVIMENGIPEKGILLTLCTKTWFKILSDKIPSLRTHFLTLDLPPQIPESLRPVLQNRS MQVRKLKILPIEAIVRGYITGSAWNEYKKSGTVHGIKVAEGLRESEAFPDGPIYTPST KAEQGEHDENIHPDQAVAIVGERYASKIASLAVQLYKVAHEYALTRGVIIADTKFEFG LDPETDEVVLADEVLTPDSSRFWPKDSYEIGRGQQSFDKQFLRDWLTSEGLKGKPGVR MTDEIAQKTSAKYREAYERITGETKVPAV AOR_1_700084 MFPTARCFAAKPAGFFKRSADELGRLSKIAWNSEALHTPTKPYV LLDFEDDSTVAGCKTMADRAVGGFSTASLDYVPADPATHSPAHARFHGSISTKLPNNW RVERTGYAAFRNKDRGLWLFGRLFWDMDPYSYLALRVKSDGRRYTVNIQTDSIVETDI HQHRLYTRHHRVQEASDEDLSSHEAAAEESPEVYPSRVPPSLSDVPPESTIMSTSTST ETAGTTGWETILLPLNAFVRTNHGLVVEPQTSILRQRVKSIGIGLTDRVEGPYDLRIH KIWATNGMSEAEIEEERRICGVDALPVDEGVRSGWTRESAQQHTSPQEAPKAKKGLKA LRSEWDQ AOR_1_702084 MHNNVTYIGDMAPSPEHPNHNEPQLTFEEVQQRYGKDARLFVGN LSVNVDQSTLMRDLHEAFRPFGACCVGLKYSRTRQGLQLPGAFVQFERPEYAQAALSL DQQRELHNRPLRVERAAGQRNRSANGSPPYPQHGPSPQAPYPQMPQQWYPSLQRSSLE SISGSYEYPYMAGAPGPYPPVWGEHSYPGQVEANNVPIQEQASGGQVERQQGSTAAIW NGPLIVDGSCWADKRRK AOR_1_704084 MSDLGLVEVDSLEALVIIDNELDPLSPPAPDTVQVSGLMGSLAL HSTHDLHDRGEARKELQMEDICCSAHGLSILVTATKGDVKHSVLFDAGPEGEIWERNV KRLRPDLSSVELVQLSHWHRDHSGGLVRAIEMIAEAKKAKGHTDKVAVDLHPDRPDYR GFALGPNIVSFQADPTFEELEAAGGAIQKHDEAHTVLDNFFLISGEIPRQTAYENGIK GGMRFDKEEKDWFSDELISDERFLVCNLKGKGLVLFTGCSHAGVVNCSRHAVESFDGS VPLYAVVGGFHLATSTAADTESTIKDLERLDPAVLLPGHCSGWRAKFVIEKYMPGSLV PCTVGAKVTF AOR_1_706084 MAERRISYAPDVENGDRDGATLDEYAALNRYISTARDKRRGSTS SAGAMSAKKEKKSWWKKKSDGVEEGFVCPDEWLETDLRAGLRGSDIEARRKRTGWNEL TTEKTNFFVQFIGYFRGPILYVMELAVLLAAGLRDWIDLGVIIGILMLNAVVGWYQEK QAADVVASLKGDIAMKAIVIRDGQEQEILARELVTGDIVVVEEGTVVPADVRLICDYT KPEMFETYKEYLATANDDTLKEKDDEEDDTGIEARAGVSLVAVDQSAITGESLAVDKY MADTCYYTTGCKRGKAYGIVVATAKQSFVGKTAALVQGASDSGHFKAVMDNIGTSLLV LVMFWILAAWIGGFYRHLKIATPENQDNTLLHWTLILLIIGVPVGLPVVTTTTLAVGA AYLAEQKAIVQKLTAIESLAGVDILCSDKTGTLTANQLSIREPYVNEGVDVNWMMAVA AIASNHNVKNLDPIDKVTILTLRRYPKAREILARNWITEKYTPFDPVSKRITTICTCD GVRYVCAKGAPKAILNMSECSEEEAAKFREKSAEFARRGFRSLGVAVQKEGEPWQLLG MYPMFDPPREDTAHTIAEAQHLGLSVKMLTGDALAIAKETCKMLALSTKVYDSERLIH GGLAGSAQYDLVEKADGFAEVFPEHKYQVVEMLQQRGHLTAMTGDGVNDAPSLKKADC GIAVEGSTEAAQAAADIVFLAPGLSTIVDAIKLARQIFQRMKAYIQYRIALCLHLEIY LVTSMIIIEETIRADLIVFIALFADLATIAIAYDNAHFEQRPVEWQLPKIWVISVVLG VLLAGATWIMRASLFMANGGMIQNFGSPQEMLFLEVALTENWLIFVTRGGKTWPSWQL VGAIFVVDVLSTLFCVFGWLSGEYEQTSPPSKAEFSINGDVDIVTVVVIWAYSIGVTV IIAVVYYLLSIIPALDNLGRKNRSKADTKIENMLSHLSKLAIEHEVDAHGKSRYMLGA RAEVEEEE AOR_1_708084 MRSSGLSLLVGALLASASPLKTSRYACQTALSCPEGTILVSKTD PSAHFTSVQDAILSLPNDNTTQTILILPGTYKEQVNVTRSGPVTLLGQTDDPNNATKN KVTLTWAQANHDNTGQAVDNVFSSVLTVAPTLESSYTGSGTTGYPVPEDTPFGNIDFR AYNIDFTNTWRDYSDGPAHAISFSRANGGFYHCGFYSYQDTVYVGKLGNAYFHKSIIA GQTDFVYGFGTAWIQSSEILLRNCGGGITAWKGTNTTFTNNYGVYIVDSTVKAANASI APEIRGKCALGRPWNSQHRSIFARTSEDASIIPAGYIDWIVDGEGRLNKDTVMAEYKA SGPGFNATGREDGNVTIVMDDKEYARYSSPARVFQYPDGRFGNIGWIDLSVDKN AOR_1_710084 MNPRTALLNRTRTLCQNFSTSAPLPTLLSNFTQNPPPTALEHGL PQLAPFLGRPFTGQEGLERYFGLLGELLTIEKMEFEAEEKWVVDERAMAVSLRGEARF RWNETGQAWDETFAYRIGLAEEGGEVKVVVYEVWADTGAAYLARVGGLD AOR_1_712084 MPLEAKEIELKAKALTKAATQNEPAANIVSLLKELQQGVKATED LLRSTRVGIIVNKFKQHKSPEVSRLSSEIVSRWRNEVNKQKASGSPAPSQRSSNSPRP AQNGTASPAGTTPTDKASKLSVAPDKRTWKADGVDINQTGNKIRDSCIGLMYDGLCLN STEAPRNVLSKASAVEAAAYKSLGPETKEQYRTKIRSLFQNLKNKSNPSLRIRVLSND VTPDQFVRMSHDELRSDEQREKDAKIQKENMDKAMVAQAERSISTSLQCGKCGQRKVT YTEAQTRSADEPMTLFCTCLNCGKSWKQ AOR_1_714084 MKANSFLIALLPTALAIPLPTPNEGATSLSESQRLQSITDELMF GLELPDFTARREANDPPQLDWYSDGCTRAPSNPLGFPFQRACERHDFGYQNYRIQGRF TKAAKAQIDLRFKEDLYYQCELGRAVGICKKLARLYYRASGRHGGKDAAKRRELDELL AOR_1_716084 MAPPKVFSLEGKGLKLDTAEDVEAHIKPLVESTDYTEIRLGGNT FGVTACERLGAAFSTQKNLEVAELADIFTSRLIEEIPIALTHLLKALLEIPTLHTVNL SDNAFGKRTSKPLVDFLSTHVPLRHLILNNNGMGPDAGVEIAGALEELAKRKDEARKA GKEVPQLESIVCGRNRLENGSMKAWARAYEVHAAGMRSVKMTQNGIRQEGISSLLREG LRHASNLEVLDLQDNTFTIMGSTALSEVLPGWTSLRELGVGDCLLSARGGVKVAQALA GAKNEKLETLRLQYNDITAEGVKQFLHATKTALPSLRRIELNGNKFMEEDDNVTELRE ILEARKEEHGKDDDPEEMWGVDELDELEEESDEEEEEEEEEEEEEEKAEKFVKDNVQA EEAKVAQKQDKEVDELAEALGKTGL AOR_1_718084 MSWLFGSSSSTEKTPEPTLVPAEKPKPCCVCKTEKTARDDCMLF SKSDDPQQECKSMIEQYKACMAGYGFKV AOR_1_720084 MAPPPSSYRPRKKRKFPLSSTGSNNALIVDDGNGKHTPAFPLAS FLWAARAGVSQWLILPLILMAVGLFRWAVSLWGYSGFQVPPMHGDFEAQRHWMEITIH LPMSKWYTYDLQYWGLDYPPLTAYHSWLLGKIGTLFDPSWFALDESRGFEDPQLKVYM RATVVVSEYLIFIPAVVNFLRRYTQMHGVPVWSASVALVAILLQPSTILIDHGHFQYN TVMLGFVAASLDAILAGRMLWACIFFVGALGFKQMALYYAPVMFAFLLGICVFPRIQL IRLVCISLVTVVAFAVLIAPLVVSAVSAGAQNELSSIPLPPLLQALPIKLDKSSILYA PLLQLTQVIHRIFPFARGLFEDKVANAWCAIHTFYKLHRFEATLLQRVSLGATLASIF IPCAIIFRHPRASFLLPALSTVAWGFFLFSFQVHEKSVLLPLLPMTLMLSGDGGLSKE TRAWVGWANMLGSWTMFPLLQRDGLRVPYFVMTFLWAYLLGLPPSSLEVYRSRSSSDD PSPLLEPHIITKLVHLCFYLAMVAWHVLEAFVPPPPGKPDLWVVLNVLIGAGGFGLTY LWCLRKLVLQCWMIGRKVEKDTQKKNQ AOR_1_722084 MSKTKSVTKKGAEKPVDKALSKVKDSGVSKASQSPKAKSKQIAR EVASKGEKSKRKKKEPTPSSSSESDSDEEMESSSSSSESESEEEKPAKKEVKKAAKSS SESSSESSSESESDSDSDEEMEDASSSESEEEKPAKKQKQESKKESKKAKESSSESSD SSESESESESEDEKPAKKEVKKAAESSDSSESDSSDEEEAPKKAAKEASDSDSSESES DSDSEESPKKESSDSSDSDSSESESEDSEESAKDSKKRKAEEDVSATPKKTKTEEPAA GASANLFVGNLSWNVDEAWLQSEFEEFGELSGVRIMTERDTGRSRGFGYVEYTNAVDA AKAFEAKRDTEIDGRKINLDYATGRPANREQGGFQDRAQARARSFGDQASPESDTLFV GNIPFSANEDSLHEVFGQKGSILGIRLPTDPESGRPKGFGYVQFSSVEEAREAFNELN GAEIDGRPVRLDFSTPRANNGGGGGGRGRGGFGGGRGGPRGGGGRGRGGFGGRGGGPP NKARGGIPEYKGTKVTF AOR_1_724084 MQPNHYDLLSQVQPDEPRRAPRDAHHRNPFQSRSPSGVAPTRSE DSWIEVASQPSSSSLSSIATNDDIITTGLRVEQHGSRAYHHRSRRRRLQRLAAVTAAQ VDYSSREQSSSQDEYEESESESDRVMTNSNEDMPQRPLGGPLLAHSGPPSMSDIPSSD EDDASTALGMRISSSPFVPQPNVFSHPPASQNPAWTRPVERRRPQPSEVSNSSRQTAI RRNSQAGIRPAHRQSQQHSPYNMISPSYQADHDAALRSSLSTLLSCAAAARGLPKSDP QPSPASGPSRAQPASFRLVSESVAMGEHLSEEVPTSAVETNTSRRPMSHNPTVASYSP RPSPSAPKVRRRSSSPREHHASASRKSRRATTADSAASPTIMTWVISAGVVVLFSAIS FSAGYVIGREVGRMEASTGVGSVMGDGNFSGGRTSAACGQEAIKGGLKRLRWSSGAAG SGVIA AOR_1_724084 MYSLQYYIDSWIEVASQPSSSSLSSIATNDDIITTGLRVEQHGS RAYHHRSRRRRLQRLAAVTAAQVDYSSREQSSSQDEYEESESESDRVMTNSNEDMPQR PLGGPLLAHSGPPSMSDIPSSDEDDASTALGMRISSSPFVPQPNVFSHPPASQNPAWT RPVERRRPQPSEVSNSSRQTAIRRNSQAGIRPAHRQSQQHSPYNMISPSYQADHDAAL RSSLSTLLSCAAAARGLPKSDPQPSPASGPSRAQPASFRLVSESVAMGEHLSEEVPTS AVETNTSRRPMSHNPTVASYSPRPSPSAPKVRRRSSSPREHHASASRKSRRATTADSA ASPTIMTWVISAGVVVLFSAISFSAGYVIGREVGRMEASTGVGSVMGDGNFSGGRTSA ACGQEAIKGGLKRLRWSSGAAGSGVIA AOR_1_726084 MATAVSQGAAGNNAFKDKEKPMAVRTSNILAARAVADAIRTSLG PRGMDKMIQTPKGNTIITNDGNTMLKDMSVMHPAARMLVDLSAAQDVEAGDGTTSVVV IAGSLLGAAERLLSKGIHPTVISESFQRAAAAAVEILHNMSRPISLVDRSTLLQAAST SLSSKIVSQHSGLLGPMAVDSVLKVVDPKTAENVDLRNIRIVKKVGGTIEDSEMIDGL VLNQSVIKSSGGPTRIEKARIGLIQFQLSPPKPDMENQIVVNDYRQMDKILKEERQYL LNMVKKIQKTKCNVLLIQKSILRDAVNDLSLHFLSRLKILAIKDIERDEVEFLCKSLG CKPVANVDSFTEDKLGTADLVEEVQSSGARYVKITGIKSAPTTNQTVSIVARGANNLI LDEAERSLHDALCVIRCLVKKRALIAGGGAPEIEVAQTLAKRARELTGTEAICWKAFA DAMEIIPTTLAENAGLNSIKVVTDLRHRHAQGQHNAGVSIRSGGVKDDITEENILQPL LVSTSAIELAAETVKMIMRIDDIALSR AOR_1_728084 MPSATGENWEKYKKNFADDEEPEKKITPLTESDIQVLKTYGAAP YANALKQLEKQIKDKQASVNEKIGVKESDTGLAPPHLWDVAADRQRMAEEQPLQVARC TKIIQDEKDSDKSKYVINVKQIAKFVVNLGERVSPTDIEEGMRVGVDRNKYQIMLPLP PKIDPSVTMMTVEDKPDVTYGDVGGCKEQIEKLREVVEMPLLSPERFVNLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAVGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRPSTLD PALMRPGRIDRKIEFSLPDVEGRANILRIHAKSMSVERDIRWELISRLCPNATGAELR SVATEAGMFAIRARRKVATEKDFLAAVDKVIKGNLKFNSTATYMQYN AOR_1_730084 MSSLSLRSLAPASKISRALRDQRRLFSSTRPAARIFGSNPLRAR EATGAIAEKYPIIDHEYDAVVVGAGGAGLRAAFGLAEAGFNTACVSKLFPTRSHTVAA QGGINAALGNMHEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPASVRELEGYGCPFS RTEDGRIYQRAFGGQSKEFGKGGQAYRCCAAADRTGHALLHTLYGQSLRHNTNYFIEY FALDLLMEDGECRGIIAYNQEDGTLHRFKAHHTVLATGGYGRAYFSCTSAHTCTGDGM AMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEGERFMERYAPTAK DLASRDVVSRSMTLEIREGRGVGPEKDHIYLQLSHLPAELLHERLPGISETASIFAGV DVTKQPIPVLPTVHYNMGGIPTKYTGEVLTQDENGADKVVPGLYACGEAACVSVHGAN RLGANSLLDLVVFGRAVSHRVRDIATPGKPHRELSSDAGAQSIKDLDFARTADGPKST FEIRNAMQKAMQTDVSVFRTQESLDEGVAKVTEIDQLYDQVGTKDRSMIWNSDLVETL ELRNLLTCATQTAVAAANRKESRGAHAREDYPDRDDENWMKHTLTWQKKPHGKIDLGY RAVEHNTLDEKECKPVPPFKRVY AOR_1_734084 MGPCSGKKQWYVCSQGPFQGCCSVDPCSAGGVCPDDDDATTVTS ISTSTKVHTVTPTKPVSSKQSTFETSTTTTSRLETSNTPGTTTASTTSTSSTSTGTGT SVADNVNADAETGHGTPVGAIVGGVIGGIAFLILLAIALCIAYRRGKKRVRQFTFLRS PHTDIFKETTFNTDNKPPQNDTTTTEQVTHAPTKKEKAETSSLLTPTDYSTNGTTPIS PTSTAAELDAFHLYPPQSPYTLFTHPNASTPEPSDTGVYTPRAELPAQPTRELINTPH DQRQSLNQSISSQGPTHAELPAQPCRELINVDECVTRANSPPVVTTDGVVLSANFDTS ASPEADVLSTHGLYQGGDYVKGWAELWDKGDLIDWDKGFPNPALEDTLIQRRSIIGDP VVKDAGGSQYRRKALVPGCGRGVDVLLLASFGYDAYGLEYSHSAVETCKKEESERGGR YPIRNLEFGRGSVTFVQGDFFDDAWLDALGLLPNAFDLVYDHSFFCALDPSLRSRWAL RQTQLLVPNGHLVCLEYPRHRNTSEMGPPWTASSEDYWKHLTQPGEEVFNSKNNPIDS GLVRVAHWQPDRTHENGKDASGNIQDRVAIWCRSDKARKRVCKDC AOR_1_732084 MSGPKAVAENMLWGGRFTQGLDPLMVQYNQSLPYDRIFWKQDIA GSIAFARANVKTGILTQQEFSEIERGFKQIAEEWSTNTFAVKENDEDIHTANERRLSE IIGKEIGGKLHTGRSRNEQVATDMRLWLRDELRRLDSILCDLIKVSISRAEAELDYLM PGYTHLQKAQPVRWSHWILSHATAFASELQRLREVTKRVNRSPLGTGALAGNPFNIDR ESMAKELGFEGLLYNSMNTVADRDFAMETMQWGSSFMLKISRWAEDLIIYSSLEFGFV RLSDAYSTGSSLMPQKKNADSLELLRGKAGRAFGQMAGLMMTIKGLPTTYNKDLQESI EPLLDHIKTVSDSIQIATGVLSTLTVIPEKMTAALAPEMLATEIADYLVRKGVPFREG HHISGRVVALAEKTSVPMDTLSLEQLKTVDARFDEDVQTCLDYERAVELKDAVGGTSR RAVLEQTSVLRSLL AOR_1_736084 MDVQGSGRDCWESSPCMEIHESSPLASIAYHSPKSIGLDSEFNG HGQAPAVCVSESPCEYDMSPNRGMLTPTEEPPVDAEFSQVDLNEWYPRYWACMQHFLN QGQHSPQVQSLAAFVNIRLPYQRSTVPVIGFPAPHNMDSGVEGTYNAPSLRPFIRRLI VTGYDTPSVLQAFFGDDWQAGVGCVCKQERINYLFTAKSGGWTSTKAAYDMLPDEQTP FLRPLRDATEEELRIAESRWRADAPTSPWLAAYVDLFAGRETQVVIYSSLEAQATSPL LESDFVSILRASRESLELARAQLLALLSHVKTYLLPDYLSSIQSTGSSLLPNNLGQDP ASEHSGLIPAPPPRAFLIGNLHTGLFFLLRASGDYTHSDPVPGLRVHRFDTYVKYLFR GQDFVIDSSDSSDSPGRALAPLPDGFRFTDKEGRIGVQPHQYDLIRFRTNVPRSRGTL TKLPGVTIYSDRETQLQPHLSDSSDAVENSSNRAPLGEMPIAWAFLGIDGSLATLHVE PEYRGQELALHVSKEAMRRGMAEGSIWRHCGEEGEAWVHANVSESNIASRRVMEKLGG DIGWTCTWTVVEYDM AOR_1_738084 MGYKTNYEPYRSQSLDMRQELSSEELLYPLGDLYPHVVALQLQS EDNPWSKNFPGYSDVSYWAEVSSSRPPSETSSKHTTCRYSSDSTTSRFVTRIRRLLNR DELRRRSRA AOR_1_740084 MARYSNIDDLDYADDSSDDGLHSFNDNNNSASSYRLTKYARPLI DYVRNEWQSNPKYASLPTTNSDTSDYPRWVQMLGSIVTAPRFRRYVLVYTTVLVSCWL GWKFVVSPRLEEHAAILHALDPQVKEEVGGWFGANALPRFDIIVQLRTLEPSLLPGEV TSESDEHGGRRLIFIGDVHGCKDELERLLEETSFNPDTDHLIFTGDMINKGPDSLGVV DLAREYSASCVRGDHEDRVLSLRHNMIAANTMNDEFLDDANMHRGQYTKERQLARQLS EEQADWLDTCPVVLNVGQIKDMGQVVVAHAGLVPGVDLDKQDPYSVMNMLTVDLDTHV PSSSRKGIKWTKLFNKHQSLLSDSLHETFENPESMLTTVIYGHDSKSSLSLKTYTKGI DTGCFKGGKLTALVVGDGGKQKTVQVRCNNHT AOR_1_742084 MLPKMHSDQTPRRGSRSRSSSPEKKLPDAEAYPMHILAHEDSSG NNPFVIVTRPSTDSVHADNHFQGTDDFHGTNEPLLPTSTPRLRPDQESGQFSCSLSRI YTWIKGPSPPRKYLINPWLRRWQTAPGRLVERYFPSKSAKVWLLVLCLGVWGAVFIET LHYSISAQEIPGYGKPVKLPCYGGLWSNATSCGINGDDCRPFDKGGFAFRCPAGCAST IVLEPYYVGPEEINYKNLVIGGGTESSESNNYGIYRGDSAICPAALHAGLISDQKGGC GILRRTGEYSGFVSIEKNGISSIGFPSNFPLSFTFGSGEFSDESSVSCQDLRWPLFTF SLIVTAILSLFTTSPAAFYASIYFIVYFQVALSSDPPYSPDFYEVVSTALGRFLPCAF VGFALYYFCIRHTLKDLDAHWDKTVLWLGPCWVGALNTDTFDKIPISRLTPHDIQQQP GAIPALVIIVVLLIAIVLTQALAFRNEGRLPKMLSIYGIMVCGIVALLVVPNMNLRIH HYILSLLFLPGTTIQTRPSLMYQGLLVGLFINGIARWGFDSILQTAAALLNGAELGSI LPVIGAPFVPSAQNIVFKFPDVAEDADGISVLVNDVERFQAFKSDNGSVESFNWTRLK ADEPEYFRFGYVKMNALGGLWYEDFTKPVVWEADGVWNNSGHP AOR_1_744084 MPVVSRLVSIVLRVVEIICGAIVAGIIGYYLGSLDDLEAWPQAR WIYTEVIAGLSILLGLIWLIPFSSGFFSWPFDVIISLAWFAAFGILVDAIHKFNCGSI WAWGGIVHNDVCGRWKAAEAFSFISACVWLVSALVGIWFTFRVRGTTSDAVSNRRGFF RRSAV AOR_1_746084 MAPQLFPKNPSEVMVIRNVTSDVITMSLPFARFGRLKFGGRGTL VKLASGAIAVFSPVSLTPEVREAISSLGGHLKYIAALDLEHHINITSWKEAYPDAEII APEGLYEKRQSNPEYKDTPFQHVFRKENHGQQKISEEFDSEFETEYVYGHPSRELVFL HKRSRTLIEADLLFNLPAREQYSKTGESATSGLLTKIISPLLSTNAPATWQKRFVWYI LSSGDRKAFNESVRRIDKWDFNRLIPCHGDVVESGAKGVFRTVMEWHLEGRKNL AOR_1_748084 MARISHSRRPSSQSSSPEPSSDKENRQVSARVEKRSQAQTMSSS ANAKRQRLSNRASNIQSGSQSQVSPSQQDRDKQFYDPDQDEKERRRVRKGLRDLTREL HDSRSEYMQPGNYGIRDTIQKANEYFQEVKQTSDATIDSRLLVSAADLSYKKTAHLVL GDASAGIDVDEFVSKCISFMCRAPEDSQAMLSSTQRRRGQASGRSQADPNDSDEDQGD AMNWDWLGRSACFRHNSRPSVSGFLLGPLSVQKRTRQITQRRARERIDPSQAVRPQEL REEDLDRQETSNLTTMCTSINKLLARTQNAGQDMVERLLSQLEEEPTDEMVQKVMAQH HVADDGGVPLFHFCINPRSFGQSVENLFYVSFLVRDGTVGIQVDSRHLPTLHAAKPYA PSEAQRKGVQKHQAIFSLDFETWRDLIEVYGIEESIIPHREEEQHENTGHGWYS AOR_1_750084 MEAFTFAVSTQVDFPIQIKIGSLEGKQKEVPFSILLKRPELRHL GSVQNPTSDLFVTVQLWSDSKPLGVPLQTSYRTFKSVRAWNEWLQMPMSLKDAPYKCQ LAITIWDLSPFGGEGAQGHYVPFGGTTISLFDEEGKLKMGRQKCKVYRHKAADGFSST TTPSIPSTKRRKANAPDPLGPSPEEIELERVEVLIKKHEMGEIPRIDWMDQMVFRQLE KLKINAEESARKRAILLKSTKQKHREKYGADGDDSDEGDLDDENFTLYVEFPRFDHPV VWSDHEYPPPPVSSYPQNAPANPSSALKPAPEVRFGPGIEGADGEGVIRIYDPEVGQT GNPCEDKHRRLIRSHRTGIMDRDLKPNPKIRDELNAILSYEPTQDLTAEEKDLVWRFR YYLTREKRALTKFVKSVNWRDAGESHQAVEILPKWTEIDVDDALELLGPTFDNPAVRS YAVERLRKADDEELLLYLLQLVQALKYEDNSNVDTEDAAHDSSLANFLITRAANNFKL GSYFHWYLMVECDDTSPGTLSAQRRLFARVEYYFMADLEQVHPEHRKTLLRQGELVAV LSKIAKDLRFSRDNRLLKIEKLKKYLKDPKNELVHIDPPLPLPIDPEISVTGCFPDNS NVFKSTLSPLLITFKTSEGQKYPILFKVGDDLRQDQLVIQIIILMDRLLQKENLDLKL TPYRILATNATAGAVQFIPSASLSAISAKHRSVLAYLQANNPDESEPLGVRKETMDTY IKSCAGYCVITYLLGVGDRHLENLLLAPDGHFFHADFGFILGRDPKPFAPMMKLCKEM VEGMGGTTSPHYLQFKQYCFTAYTTLRKSANLILNLFSLMVDANIPDIRVEPDKAVLK VKERFHLEMTEEEAIRHFEQLIGDSVNAIFGVVIDRLHEFVQGWRA AOR_1_1306084 MSLISAFRPRSTRTSSLRHRPPRRRDEREQSGIHEFEVEERDDA HSIITPSKHTPKAFRVLRGSVSAGGPLRPFRLVKQDIVNLRRRYVSDWTIFNQLIFAS AVYVFFTNLLPGITFASDLYVLTGKTWGTIEVVFSTGLCGIIFSLFSIQPLTILGVTG PFSVLAENIYALCDEVFKVPFLPFMAWSLIHAAWLHYILAIINAHDWTMRYVTTFATE IFSLLNSIIYFHKAIQELERAHDTLSFAAFLYAVIGAVGTMLLAIFLSTAESWKPLFH RYIRMGLTEYAAAISIIIFIGMPHIGELAHLDKMTLPVSSSFKPTSPDRDKFFVEFWT LPVGWVFAAIVPGIIITILFFFDHEVSSIICTIDRYGTRKPGGFAWDIILLGTTTALC GILGIPPANGLLPQAPLHSESLMHSEREQRTVITDGEEKVETHEVKRVYEQRWSSFLH AGAILLFVSPPFMKVLGLTPTSVLAGLFMFMGEQSLSVNSSKHSPILYRTFYLLTPPS ELPSLPSSLAKKPGDEDHNDNSNPPRPSYIPIHLYTILQIVITVAIFIVTLTRGAPAF PVLIVALVPFRLLVMKHWWPREVLRFVDAWACREGTPEDDEDAEAKKDEFSGDDLAGR AGLGNETDGIFSSQLDESGCRPSGTPALISRSTSHPGEVGIADRNDSGQEWVELEYRT RQDEELGRSIKGC AOR_1_754084 MSSTTALDKINVVNDPRIRRCSATINGKTYGYLLAEPEGGFTRT VFLIHGFPDLSMGWRYQIPLFLKLGFRVVAVDCIGYGRSDAPTGSLDAYSYKSHADDL AELGKQLGCENIVLAGHDWGSVIASRFALYHPSFITHLILFVVPYLPPSPKYIDTADL AKVVPTVGYQLQFGSAEGVVESHTQDKEGIRAFLNGLYGGATPEGKFAMDSTRGFDFE VASKLGRTRLLSEEELQYYVEEYSRNGLQGPCNYYRIRQQSWTEEQSLLAQGKEAISI KCPVLYVHALADLVVNSEMPKAMVPFVPNLTVKEVEAGHWALWQKPAEVNTFVTEWLQ QQGLLDSAKL AOR_1_756084 MSDFKLAHQVGHLIVQPADLQHNPPESYLFIQDGLIVACGVLYA MCYFFCMLRTYRDKTYPGASYGGIQYLCLTMAYEIYYAFTTTSTWFEKLAFLVWFEFD LGFTAVAIQHAHSLDQRKRLYRNMICGVLAGVLFLRWLAKVYPDEREQITAYWTGIIL QFPIGWLCLYSLWKNHDTSGHSLEMWVTRYLGCFTAYGVFFWRYLNVPQNWAYVGSTW SICTIVLTLIPETLYPFVYVWVFNTRKAKPE AOR_1_758084 MAAKFIPRQTFPSYTSIPRSYFLGHHRAGLKKMQNMLSSIDYVV ECRDYRVPVTSINPMFEEALGKTRRLIVYTKRDLGAESGSSAQQQAEKRIRNFDKNSA VFFVSSSSRPDVSSILKHLRNDAEGPDKLVGCRVMVVGMPNVGKSTLINNLRNQGVHK AKAVQTGGQPGITRKIGTPVKIIERENGSHVYVLDTPGVFMPYVPDAENMLKLALCGC VKDSVISPVTLADYLLYHINLHDTQVYERWSPPTNEIMPLLNDFARHTGLLAKGGIPN TDLAALHFIQKWRAGGLGKFILDDLQAEERHRQEGTKDAVGTSMSQARKAERMARKQP QRL AOR_1_760084 MARNSEKAQSMLFRFRAQQAADLGIIDMGRTRRPKAITSVDSIP MCEKWRGQVLKEISRKVSRIQEPSLSDYQIRDLNDEINKLMREKWIWEMQIRNLGGPN YMRGSGRVYDDEGREIPGGGKGYRYFGRARELPGVKEMFEAAARRGRKPAEEEGEESR GRGGDIATRKVDANYFGYGLDEEDGTLLAYEKQKEKEAVERLREKKEDDVEDGWEPLP GDAGDGVEWRLPTLEEVQEELVDRRRRRLLEKIT AOR_1_762084 MLPSQLNGSPKRANPFSRASPSPSPSPSPAPRAARPKSALITQS SKFEEARGHFRNSSSLAQSTQAPFSRITSRQRSNSLRNDVTSGTFAPEFIKSEELQRG ADQIRGLEGDNDFSGNKYVWLRDPEKAFIRGLILEERANGKLLVQSDDGEQREVDADQ IDKVNPAKFDKADDMAELTHLNEGSVVHNLHTRYQADMIYTYSGLFLVTVNPYCPLPI YSNEYVKMYKGRSREETRPHIFAMADEAFRNLVEEGENQSILVTGESGAGKTENTKKV IQYLAAVATSDTPHARSGTKQLSILSQQILRANPILEAFGNAQTVRNNNSSRFGKFIR IEFTRSGQISGAWIDWYLLEKSRVVKPNSQERNYHIFYQLLRGADPALRESLLLSGLG IGDFAYTRDGNDSIIGVSDDEEWNALLEAFHIMDFSEDEQMHILRTISAVLHLGNVAI VKESLRADQAALGPDALRSVEKACQLLGIPSKPFVKGLLHPRVKAGREWVEKVQTPEQ VRLALDALAKGIYERSFGNLVNRINQRLDRSATTSDDSYFIGVLDIAGFEIFQNNSFE QLCINYTNEKLQQFFNHHMFVLEQEEYAKEQIEWQFIDFGKDLQPTIDLIELTNPIGI FSCLDEDCVMPKATDKSFTEKLHSLWDRKSPKYRASRLSQGFILTHYASEVEYDTNGW LEKNKDPLNDNVTRLLAASTDRHVANLFSDCGDPDDEADYSKSRVKKGLFRTVAQRHK EQLSSLMSSLNSTHPHFVRCIIPNHKKRPKMFNAPLVLDQLRCNGVLEGIRIARTGFP NRLSFAEFRQRYEVLCRNVSKGYLDGQSIAQMMLDKLGLDPTWYRVGRTKVFFRAGVL AELEEKRDELIRSIMTRFQSMARGFVQRRISNKRLYRAEATRIIQQNFGVYLKLKANP WWRLFSRMKPLLGETRTANEVKKRDEKIQQLETKAKQDLADRQKLDEERRRTEIEIQK IQQTLESERALALDKEEIFKRLQMREVELSEKLAGAIADQESLEDQLDELIAAKKRCD EELHTRITQLEQAGEIIQRFEAEKHEMQAHLEEIDRRLLEAEQISAQKDGNIKELDQE LKMLQSHLTLKDRKVQELEAKLLKVDQDLDIKLANTSKELDQSKKQVKDLIDENRSIR QQISDLSVTSTGYEEMLRRKESEMAVLRNDVRRHEEDKQQLETERTSLSTRHDNMQKR LRELQAETDAMRSEKAHLERELTDVKKLLDEKISEDAEAVESRKLLEQQIHDLKEQLF QAQADLSRERQSRDDVQMLAEHNLTELRDKYTSLNDSKIIIEKEMYIQQDTLRRATEA RLAAEQSRKELQTELIKLRDRFTSVENARLNAEAEIERNIKNQANERLDSVRKDLDEK SRQVDEIEAERERLSIRVQELTNAIAESDNFRIRHDQHKERLERELVTLKGRLTASEN DNRALLTKIQQKNLDIARSNSKASENSRLRVTTLQREKAKLEEDSKKLSRQLGDLQIT ITSLEKQKEKLSLSLEDLNHEANRERKACRNAEKAASTANLQLAEANRNLETERQLRT QAQANTRKLQTSLDTANKEIEGLHRQLMLLHKAVDPDSDRSPESWEEVQPDLSQKVDL AQLLDTTRAQLQVTEEKYNRAESQLAEMRRRHGDEMRELDARYSSSKRALLEEIDQNQ VAGNRTPTHLRKDSENGIAKKYNTPTTPNRRFNFNENANDSARSDRTVDTVGYQKRMD MAAELEELQNKLQMSEMQNKHLQSQIQLANPLGDMRQDESPSVRRMQLLERENGRLHD QLDDSAKKVSALERSIHLGDLSLRDVQAKSHEELYDLINSQEQSRRSLLKVHNEAIAE FSDMKAQLEKLKRSKATLEVELRDSRSEAQELQLAKDQDAASRNQLLQEFADLQIRLD AETSKSADLAASQSLYKTRADEYFSKLEQAEVTVLKATRAEQFAKAQAQEAEDTCARI MSERKEMDSLVEDLQRQTQALEARMEDQAAELEGALQSKQRLQNELEDYRNQRAIDIE DKETSMEQTRQKYQREFSTLNNELEMEREKILKVRGENSRLREELEDLRSKWDNEVLN SSTWAKEKSRMEVMLQDVTTSRDEAVNAHNEAQSRVVTLLSQVRDLRTSVDDVTAERD MLLKEKKMLEVRLTEAGERLEELAKGESPSMRNAASMNRELLELKSKLAQQEDVSAAA VGKMRRADALVTEIQKEITAEREANAQLFKDKATLEKQLKESQLRCVDLETKSYSSGS QDVKFLHKRIKDLEAHLEEQEAKHSSEQRSLRNVDRTVKDLQSQIERREKINAQLTDD VNKARDKIDRLLRNIEELQHNDTDTQLQVRRAERELREEKEKSLRLERELQGWRALRL ERGRGHVTFSDVGSRRGSNGFSSSDIPQRMPSNTKGFL AOR_1_764084 MSAYQQSQDSARYPLDYNDANFTANSHLPGLGAPGPAGSLPPPP FPFMGNFAHSQFPPPPFPPMQMPPLRYPPMPVSTAPINTPPSRPLTGDIQPNSGSVTN NHPQFMTSSASKEDLEREEGELTDREEEVSQHKIEPSPPSGRRGLQQKTNLQMVNGKG GRANKKHCNGHVPKDSRCVSSEVEEGEASSTSSRSFSRDSGSPYNPPMSVNVEPVVPA GRIANEATVKPIGQDLSARSVFPPPTADPQLIINGGKSPAQLRVQAQGALLSLAPHNI RYSELVGEGINPVILKQLYEEVGIKVPTPQPDAVSTQASSLVSTPRGHSFTDHTASVE TAGPGEKPPAEPVRADNVTQSTGLAENASTSTPSSQPCAAKPMERKEVIARMLAAKAA KSTGVPTSPPRDLTKEAPTSGSSTTDIEKTSDTGVAAEAPAQEKEVRVREKNKAQTEL ARQRIEQLKKQGLMRLQQKSTSSDASPSNDLPNSKTTNDITPTLNSSSIQHPLPERPP DPETGAFARIPGLFMTEVAQASHDDPSPTPTQGLVVDSTPQPRFNQRKRPRASDFDEP VPLPRKTSNNGVNNSVSGDRLIIDISDDDLYGDDEDDAMDIETSQENDVPSVPEGPAG TYLSVESLPPRPATSSSQGFSLSATPQLPRNNDPEDLRRKHLEIQAMHKRIAELEKRK QAKLAASRTQSPRAADSEISPPGAFPPTDTEACETPIQDASASAGHNSSQVNVMDALQ ETISSAPSHHPTSNGLSCRLGSMDAEQLKDMKSKVLRKREIESGVPALDAEIKRSETR LAELNFEQEKLLLDITRGKEGRQQLLEELSNLNTELDGVSLEEVESALDNLRTTQEST NEVQELQPITPDDKDIEMPSGSEVSTQLQQQKGSAQEPSINTPTDTSNPPRPSDITAG QHDVSEVSRESTPTSSSDSTGSSMDESSDSDSDDSDDDSDDSASVEQEEPNARPPVPD VPMSVVSDGVKEINQVAPSPLSEDHHDPLPNQPQATNVTDQSDMSSSSSDQDIEITKD QASDNSTVSEAYEPPEPEGNASPANSVYSPPFSPASPGPVEPTVEPTVASSPVEKPQN TGEPSFGTVQELGVSQLGNNQVGLLDNARQPVDSNHKYSPYVSPLKSFKAFRYHPRYT DEVTGGYRSLTYSHDIDPMVYLCPFEAAGGVCNDRSCEFQHFRDMTLSGASTDLSKLH ATPPHVP AOR_1_766084 MARPDRRVSLAVARIIPLVLLCTVIYASYAITKPLCIDYLITPL PKYNRSSRVGAGIAIIVVYYVLLTPMVITYLRLLYNVICNPGFIPRGSSYLPDQQDAE APNAHRRNRKRRRKSHRKPGTAEKSDTSDEVDLERGVDHHAGGKAFPLNAEGLENFYT KDYKTDRAHHCREVDRCVRKMDHFCPWVGGVVSETSFKFFIQFVFYTFVFCTFTLIVC AIFTAELRRETGEVNPHWAVGIGLSCLFGIFTLGMTLSSVQLAMYNLTTIENLNRRSA VWTLAIRVPKHMLSKLDPESRWAPTFRTITYPLPPMPLNAEATAEHQQYPPTGEQHVF AILQTLPGENPFDLGTSFKNLQQVLGYSILDWLLPLKQSPCADHSSLESAFALGPVVQ RLKAEAGLEHPVTAHGEPRDRSSKRKRRRGRAEQHS AOR_1_1308084 MFSRRIAWQPAGGKVPTTHHRPMSPSGSRMIDPMRASTGTVQLS SSYDPSSASRSAYSGYPSSGPYVVTAYDPRYFREGGLEAQRVSSKTYRDAGHSTKLRT EYEVRPRQRSNTTSAADTHLAPGRLHGPRAPPVITSSYRRSPSPLPSHDHHPVHSSSP RRHRRHPLSVSHIDYASDTGRLDPNDRVIMSPIVHSGYREHDPSRRSRYPPTGGLRKG EDIDDYDAYSYTNPREQFEKDSAARLRHDRGSYWRERPLSLTGIDDPQLVSRSGPRSP KPPPSTRGFDRLEWDPRVRRPMQGSADSDVDVASAHRRAGRRNPVHLHQEADEGYSSY RSDYEDAHRRRHRHRHRRHDSNRSGRHPYDDGASRSSITNEPASQGTTTGLGTAVLGG VHNDFESQRAERHRSHEHDTRERHSRLQRSSRRQVDSDSDAYTSDEDLRKHRREASAR PKASRSDDSASGSERPRRRRSHSRPRPQDSSTTKEMIQIDRQEDKRAESTVSKDSETP PKGILKTPTDKFPEEPNPVREGVAPLKDAHKKGIPPGARWTKIDRRLVNPAALEAGRE RFEERSDYVIVLRVLSKEEIQAYAVRTQEIRDARYREYVQERRRRREEDKRRGRAVDD FSSDDEEDDDESPGGVEDKPTEQHKMAEPVKSAG AOR_1_770084 MTGMVQTDLAHPSTSKYKDEPADEHLRHPGHQGPPHLQLQHPRP ASLVQQQKHPNSPLQPSAGLSSGSYAPHALGNGVLHHPNPYGPPAQEPAYYASHPPYT AASAPAQYPSSGPPEMMATATQMQRPYPPIYQTPQSGSPASVTSQSHEQHSRNLYSQS PQMASQMYGYSPYSPINTVQPSPYAHSSSQHPLTSQSMILPHQTSSAPIPHTQAPAVT MATSPSTVTAQQQPTPPQRTVLNPPLPTATSAPIPPSSVHHQNSAIGASTSAAPGPIP ATTPLVVRQDSNGVQWIAFEYSRDRVKMEYTIRCDVESVNVDSLSQEFKTENCVYPRA CCSKDQYRGNRLVYETECNAVGWALAELNPALRGKRGLIQRAVDSWRNSNQDPRLRSR RVRRMAKINRRQGLPAQPPAHMATATPVAPGVPGASLTAPGPRPSLGPLAMGPPQLHH HHAQPEGNTSNEEVSGTADYANGTNRPPAADSHPTPGHSPAEIRTAQVFHGFPAFPPP TGGARGPSIPPLIRDSGIATLGRHPAVVTSSSKIEEVEDEDEDDDDERNPSNDALFGV FPEGKRRKFILVDDNQRGCRVRVKVMLDQVDMNEIPDSYRMSNSVYPRTYFPVQMKNP PGRVIPGRRYIKDENEEDDEETPTVGRILVPAPSVDGDSEIAVPKLSRARRKKEVLLN DLGYRMSWSQSRVFAGRMLFLQRSLDAYRNKMRSTMLAAGQEPTAIPRHFETRAGKRR FLERKRRTTAPLGRASGANTYSASRRSAEEVEA AOR_1_772084 MSGPHRSFSFNQGDDGAGDAGDVSPIRSQEGHFMNSPPRHNDVS PVSARSQAMGSSPSSGFLSAHEHGDRGWGQNSGHTQAMRTNSTTPGMDNLGPAAVGGG ISGIALGVANSHNRQSGIDAFRDTDGRNLPAERGYNTTGSDNPYVPTPPGGGSHGSAE NLRPRDSYGSNVALGAAAAPAGQLTPGGSNPSQRSLFDSPYQGVGAMDAGPYQRQSAY SAAGDYPLVINPDEIADDGDDGFTPVPNGKSASSNARAIPAAAAGGAAGGGLFGLFKS KKADNPSYGPVPGAGLEAGEKSRWVKPTPGGGSRKRGWIVGLALAFIVVGAIVGGAVG GTLGNRENEAPDTTKSASSDTESNGDLNKDSSEIKDLMNNPDLHKVFPGMDYTPWGVQ YPLCLKYPPSQNNVTRDVAVLSQLTNTVRLYGTDCNQTEMVLHAIDRLELKDMKVWLG VWIDSNDTTNDRQIKQLYKVLDDTKDISIFKGAIVGNEALYRAGNDIASAKKKLISYM DDVRNHFKEKNYDLPIATSDLGDNWKEDLVTATDLVMSNVHPFFAGVTAKEAAGWTWN FWNQNDVPLTKGTNKKQVISEVGWPSGGGNDCGSNNKCTDDTSGSVAGIDEMNQFMSD WICQALENGTDYFWFEAFDEPWKVQYNTKDENWEDKWGLMDAARKLKPGLKIPDCGGK TAA AOR_1_774084 MPHSNRKRNLNHHKRLEVTDSSGWTHVTAGGKSARRALRPTQQH HDNSEQGKQSQILVPAEAPAQLNLEDLQTQFWGYRQRWEGSDSWRVVERALSSAPVKV DRIVCIGLGSPSGFLKGGWVDRRSVSMYQLAGLVSVVDLMKKSIPNLEVFAQDPVFNT HDRSLLASLDITVLDHPHGFEKVSPSTLLYCPGAERTHLEQLLSHAPALVFGGPLEDI ESDAVRQFVESRLSVKISRFEDMEHAFWNMRVYSPEECEQ AOR_1_776084 MRTFQSSVSQWMAFLLMCLGLANAHTVIVYPGYRGNNLFTNGTV AEANGLGVAMSPNATDSNSFIYPYGQQWIYPCGGMPTSTNRTKWPVSGGAVSFQPGWF QGHATAFIYINLGLGTVPDNMSHPMISPFQINGPSNEPYPGTVCLPQVPLPANISVSP GDHATIQLVETAKHGAALYNCVDIEFAEPEDVAEVTRDNCFNSSHITFSQIFAATSLT SGAVAQGTTRGTFTSLLAAVLAAVMGAMMM AOR_1_778084 MTTPLPLPEGITTRFIPTKTLTFHILESNPDPNTPRPLLLLLHG FPELAFSWRKVIPPLAAAGYHVVAPDQRGFGRTTGWDTRPFSEVDLNTFTLTSFVRDM VTLVHALGYRSVQCVVGHDCGAVTAAMCALVRPDFFRSVVLLSHPFNGSPVLPFGTSS SSSSGEEGEEKGGGGGTESAAGDHVHDELAARGRKHYKWYYSTSPANEEMVEPVAGLG EFLRGYFYLKSGSWGGNQPFPLEGWTAEDLVKLPYYYVMPLEANMREAVQRHMESESE GTVAASKEWLSDEELAVYVGEYARTGFQGGLNWYRVRTAAGGRYTWDFDVYAGRKIEV PAAFVSGKSDWGIYQEPGALEKMVDGRVCSDFRVLRLVDGVGHWAPQECPGVVVEVIL ELLRGL AOR_1_780084 MSIGEYSVDHFQTLPSLEVARTNFVKLNGDDLVKDVFKKFFVEQ GMDRTFGLAMLHRHFDLEPGEMLVDYQGKSVPWSAGHVSGMKPPQPAIWAVSSDGAFK QTEFYFSEEKGLTIGEEELRFMKRFQELLREHKVTQSFGLCRYPGDDFNGLCEITHGR ANINLKPNDVRGIQDVP AOR_1_782084 MDSGRVCPGYPEAWDLMLRMQNNQVEKKVQARVEKVLKTRRRER FTGRTPIASIPQGVHIPVEVLSWNQFCSDYAMDSGITFFNILPRYYTDSAPTCCREAV HAVTLASLARKLRESELMARARQHYSKAIAALNIALNDPVLTAEDSVLVTLLLFSLFE TIVPDYLENMLLDSDFRCHIHFRGAMMLLRWRFENGSHSVLDRRILAFFSHICFMSTF VDYELSDAKWFSFEELMAPWTSDPLLEPVLSRAIHFKRRAQIQFTTTGSRSPRLEVLL QLIRDGSTISEELEAAAISVRRSSDPNMSSHQQLTTFNGMFEVSTKTTEAIVRSLYRT VRYRVVELVRGLVARIGDGEDYSASCEFDSQVCSSEELAMILEQIHGDICAVLGLDPE HHAGDDNQGLAHRVFGMFFPITVLMFSSSAGEEKRVWFQEKLRCMGEKTGLGLATWAA QKWNSHLCIPC AOR_1_784084 MTPICNQETRASELVRHYAPQIAGKIILITGVSPGSLGESFVKQ VAVAQPAMFILASRSTSKMQNFIDELGTAYPSIKVKPLYLNLLSFADVRKAAETVNSW TDVPHIDVLVNNAGIMAVPYNLTEDGFESQFQTNHLSHFLFTNLLMGKILASKTPRIV VVSSGVHRVGHIRWSDPNFNGGKYYQRWLGYGQSKTANALMGVSLAEKLGYRGLLVFP ICPGVSLTNLAAHGSADLAAFSADLTDMDNIYGNKWLWGMAEMKIKDLDQGAATHVFA AFDTSIAERNGAFLSDCHVADPDKEEVYSWATSKVDAERLWRMSERLVSQEFKY AOR_1_786084 MDHSAAQSACTGASEKRRLLNEIYERRAKAGKLVAGVAAKSNSD LFKATGAGKPKAKRYDSHFSSECKSRLPCVLQQTAGYLKTPGLISLGGGLPSSEYFPF YECTLRVPKPPHFSEKDALSPDSPTAQTVSIGKYDVNNDLSEYDLSIALNYGQSTGSP QMTRFITEHTELVSNPPYADWKVCLTVGNTSALEQTVRMLCDRGRNDSILTEEYTFSS ALETFAPQGIKAFGIKMDEEGLLPKNMDVVLSSWDETVRGARKPHLLYTVPSGQNPTG ATQSLKRRREIYAVCQKHDIYVIEDEPYYFIQMPHFEGKGTPAQKSSEDIESFLAGLV PSYLSLDVDGRVLRMDSFSKVLMPGSRLGWITASAQVIERYINHAEVANHGPSGISQL MLWKLLDETWGHEGYLKWLMDLKNNYTRRRDMLLAACEQFLPKAIVSWTPPTAGMFLW LKVDHSKHPEYPRRSIEELEEEIFLQGISNGVLCTRGAWFRTEPNTPASGMFFRTTFA SASEEAMATAIQRLGQAIRQSYRIE AOR_1_1312084 MSDWKGDHCFEPAIAAQIKNAFPPYLLASEAKSMVPFSATDPAT LDHLSQIYQRNGEIYSEHQLDAINSEGDPSFDLTPTSYLRWMTFRLGRFAQQSIANGI FPTDKMFQDESRRLVYGDKDAWEQTVADNNEWLTAFRRQCLPDLSGVTDALQYSST AOR_1_788084 MDSQGDLNIINSDTLYPDNQAPHSLLSALLQGDNTYQKFVTSDD HAASLSGYTQHAPLDICPLNEEVVLPEMQMQMNEFLEDGSSYSFYDTHDIGTWNAAES QKPQFVGGYDGHLQQSPSVASMQNLSFFESEMAPRDFDSSNTAAWIHCRDGVRVLQKL KQHLCRL AOR_1_790084 MANLQSVREPRNREMKILILGLARTGTSSLTAALQQLGYSPYDW PDRCVLGHLPRWTEGLQAKYLSRGRPLEADEMDQLTSNFDVLRSIPYPSAFSMYHLG AOR_1_792084 MSIAQAFKSMKVFCGLILYQRDETINEPTITIQVINFNLCAILA FNFNMNPPGVEKAISLAAGTLMKGSGLEGTPMLYHQTDTLLEYHPRDLPFCITHHAPF HRHFAGVFSEALAAHAYGDADKAEHLARKQEVGIKLLKHRVNGHVLQISRLQGKLLLS YGVNAAKIREICPSIQLSSSSYHVESEIAEVFNTGGVTLFTAVARVDYFKNVDLLVDV AVALWSQNIAVRLFIAGGASMDSTELHELSKKVPRQFTRPKRGDQPHR AOR_1_794084 MFRRRRSASHHQPLSTSNAQSAQSAASHAFLKSQPSSSSLSSAA AAAALRSLTPTPTPVENVQTKRMIQRRASVASQSSIAGSLRPSSQNTLRRANSSSSMS NRTFRDQSPRRPASSSGPVPVAPPIPSIPREYATRTPPNRRSVSVGPSLRPTSPVKRQ PSGRGVSVDPAARGSASRSSAHGHELDQIPELQRAGSRNSINFSYPMNSRPNSPTLTS EPLDRRDTSVYTAILAAQAAIVPRSEEAAYQPSPPRLAAPREQNTRDLAYKAPKARET ADHKQPPRPVLTKRPSTVPEDFPGEERAEADTPAEGVEHAAVERSLPETRSTVRTPTP EKFKDPLPSSIVSSPESTSSIGPERESQLLHARPPSSSPGRSTRFSNQLSVMGLVGEH LHQPPPRSVSPAKSAMKNPRKCSVSPDGRTGVVLRPGPALSELSDGTSVGSDEGSRLG FRRKPVKVSFDDEAEIVGVAASPPTSPEDIGLESPPMKPKGKTGWFGMGKRKSATVGS DEFDEVFKPRPELPSFGSIRKARDGEQQEPARQDMSDNESTASSDPTVSPISFSNDHA IGAIISNTQSQDANPHTQLDRTASPTPAAAAKSGVPLEGPGGNTFVSNQGSQPAQDAQ SASLNSHQDEKLETTENLAVPGIAVQPATPEGERGRSSLDWYTVPGGFPRSSLEVDTT SHDSTKRKGKKQTPGDPVVDATRSNVSDEDESGESIYSDAEEGLEGDGFGSINAVVDE PTSPQRKDAVTTDNNIHSIDQVTGIPQTCQIARVANPVHTISLSPVSESPSSSHERLP YSSPYPPFPARSNSRRNMREIPRSSSSTGTARRSMSVNAPDGHPVCEATDSVVHGPLQ PQSGNVFNQPRHKPGDEQIKKRPASWAPNLLKGGLNDDLYVNGNVPEPQRPLSNGSDS SSSFKRSNRPRTDSPHTIRRSLRGPSSSPFRTISPQSTKLPPTNSRPLSSGSSTGALR VTLRSNDARREKPAFFSTGKVQKARTTKASGALFTSRFAESDDEQGTSQQKWQSRFED SSDDEERVVNNLPPVRGIPRRKGAHDGDSTELEDSSDNERPGLDSRATKAKESDARPA RNPALAAVAKSRGMSEEEMEEFLRQPGGRRGSLFHRLSIRKPKAPSERRTSRSRSEAR NGSLDPEQVRENPLLDGARGNTVTTITTNNTRPSSSPRRLRRAISRSSNGDSWPLRSD RRETNTDAPLSALRSSERPKTADGAFRNGSTASNAVDASAKKADLSSTNATDAMDVEF ASGRKKRFPRLRKAFGLRS AOR_1_796084 MGNLINYGKIFGCFSTLIDGTMDFPHMHSQSLSFVSVRKAFEKR RQRTANLDFDARVPIPFSVFPSSYRSDAVPEPTLAPERVEEEVNLDRPSHVEREDTRP SAPLPDPRIYGKEEIDLRAQRDSRPVPPPAPQPDQYSVYTEDRYQQQDRFQQEKPYPE VHLARERYQEPTSYPRFQETPKVYDQELSNQLDITERDYRRRVNQQTYDVYNTNASYQ QSSVESYENRQPVSYDRTPAHPQLDVSYDKAYQAPTLETYPGSSRSQRQVSVEPVNPP SQVKVLSTTTVVDYPPARKMGYYDDDVSRDGAHHAVRVVEPRSSGRRSADTVPIPCNF IRIGDILILQGRPCQVIRISVSPQTGQHRYLGVDLFTRQLQEESSFVSNPSPSVVVQT MIGPVYKTYRILDIRDNQIVAMTETGDVKQGIPVVPQGELFSKIKAAFEDGHGSVRAL VINDGGRELVVDYKVIQSSRL AOR_1_796084 MGYYDDDVSRDGAHHAVRVVEPRSSGRRSADTVPIPCNFIRIGD ILILQGRPCQVIRISVSPQTGQHRYLGVDLFTRQLQEESSFVSNPSPSVVVQTMIGPV YKTYRILDIRDNQIVAMTETGDVKQGIPVVPQGELFSKIKAAFEDGHGSVRALVINDG GRELVVDYKVIQSSRL AOR_1_798084 MTPRRSSRARTSQPSPAILQHTNSSSSSASLTRERSTRSNAKNP SPQGSSGHRSQSIDDADAAKLDFPQTRQRQRARGDEEIDKIAEVEEEEGEEDEEEEIT RCLCGQQEYPGLPPSRRDLLGRNGMHGRVKDDPILNFSADSSDLMSDEIGSMFIQCDQ CKVWQHGGCVGIMDEAMSPDEYFCEECRKDLHRIKNESNGQYSSQYLPVAPPSPASSS RDSSRDNSKRTKDSKSRQNDPSNPKRRSTMNSRDAAYDEEEQIRRAIEESKEETKPAS EEIAARRGKRSRSDSEAHKQAAKRQRTSSPSPGATSNKQSNPVSQPASEDEKAKAATN GTRRQRAASRSQHNKETKDVEEPEAEQPDATNRRKGRSDRRKGDGTDSDHEAVSPTKT VATNLEPPQPSPDTTAPAPEPAPSRPSTRKSGRPPARRGGRVGRNQYTRDRDVNGNGD SSFMMNSPRRGQSHDTNGDSPRVGGANGTYLNGSESGKPSRPRYVNQRTTMNEMKRRV AAILEFISRMQVEMASAGESTTPPGVNSDRLNGILMKAVSEQLENAMASTVSEADSGT ATTDEKEFKDLSSVEMMDVLTRHLLKWQQEYGKFGER AOR_1_800084 MTATLNGMYNTAPDTPSPVYPDRLIRPLPKRTLRSRLSSEAAES IFYPPAPPATHLFYGTCTDNGDAVNESKVYVQQCDGPEQTPERDHHHPYENGFDAESG DEDGPVVVRRSAGFRESSSSPTMPPGHPGNGPRTQIKSSSAGPDGYDAFENTNNKKKR KIPTPGTLAGHHSTLSPEFSSMGLASSAPTSGEGSHVSTFYGTGSPASPVSNGLSGAG RGRLGRHGARSSTGRASLSLHTQVSWPQARTSGRRDGVLSSPVEINAKSDQGIISAAI ANAAASAFSSPPRGSGNVSMLEQQTTTPTKTQFTFTCESDSSKGMALQSQSPYPAYRS PNSLPPAAQNQRGFSTQGTQTSPNATSQMDQQGQSSHRAPGAEPTTVGKKKKRSPGSI YALAARQRKIQQQYANLHHPPSMEDIWICEFCEYESIFGRPPEALIRQYEIKDRKERK RLAEKKRLLEKAKMKGRKNKKATKNVTKNAAAQPAAYDQGYDRASVDHSSMGGTGLHD DDYIANEDDEESVSAPPAPPATPTCVKTPLPPGNQATATAGAKTATDGGTTRPP AOR_1_802084 MWWMLLFKAFKIGNKAYKTHKNKNGNDDTLNPNSINLSSTITTP PPPPPPLKTRLKAHIQLLLHFLQLVFGLTVVGLYGRDVRAAHKNGDAQNAKWVYALVT GVLGAGTGLVYLGYGVVMMKMGKLGRERMVVGSLGRLGWGFVLVVLWLVVFGVFGGLY IGVYGEGSEEEVEKTKRMRHAVWVDLVNLVFWVAGMVIEGLRWWKLRGGPGAGGDLEK GEKEVAPA AOR_1_804084 MESLPKGLVSTTRKVPAELDDRNIVDTSDVRRLWKVYNTRPSVH EGDIGYRLENFFWRIWSSDRLRGSLDGSTLAKLFQQISESNPMSILALQQLKKERSSC GEKGSDDKPQETPGNRARRAPLQPILKKSSASSGETHKTTRLLLTGLEGQSITRKPSN PPTPVPQSQPMMEDINQSIPKPKTVFVKGKNTKRRPVIMRRKSSQTSSSTSTRTQSPQ RTSKLLTLGARTKPSKQEDSSDSEAEAVHIEHVVPREKSSDTTDKPPELPPEFLRSLK EILTNEEPVPQNSKSTPPKWGFVTTDDWTHYDVRYLSAENYEQPSSESLVDKGFRSRF TEQVGLEKEYLAKSFEIKASDASHAPPDSTVDTSATSTGIPIPTPNTDSSTTLSTNSP SRATFGGFPTLLTPFSLTRGRSQLSLLIEEHRKSQPAESNPVGSDEQE AOR_1_1314084 MQLSPSGELYLSESSVCYSPKCLDAVTIVLTSTSGQSSLERWSK SSHTKEKPYVCFCGAAFTRRDLLKRHTRITHENNGLISPTSQPQQEAAGQSLARRTPD PPVTQPYNVQPAPRSDIPLVPPPMEQWSGPQHGPYLEQNQSMLNAGGQSTGLGPHPAV THDADILQAAQLLLPGSFRDTQPPPQPLPYFPEELNHFQEFTHFLDSIGLPSEWVPAL REVPQVQGTVPADVPDPVRDPREPQQGASRRSQAERSRADSPFRSWLPSVPSGDQSLG TVSDYEPPQHSMKVIPPKVSEEQRLRLVASLEEHRHLIPDFVLPSRHTLTRYLTSFFD GFHPHLPYLHLPTLRINERAPELVLALMTIGAQYRFEHRNAERLFYASKAIVLHKLSK ETHTPLAAYNGIIQIPLDSIPTALSPSENDLGMSAWRQIETIRTLLTLMGYATWEKSE LVQEAFSLQGLLVRCLREFGLTENITVVPRHSPMQWHEWAEEESIRRTRFISFCFVHV HSIAYNIYPVLRSSEVHLRLPCSTKEWKATTAQEWELAQKEVGAQQLFFQDALALLLQ PSRTTVLLDPIPAPLGNYILLHGLLQRIHLVSELSLPTGDQALALPTEELNKLERALR SWTSVWQQAPESSLDPHNDNGPIPFTSSSLLGLAYVRLSLNLGPYRQLETRDPYRIAS ALHRSPRPGRSYRLTPALIYSAHALSIPVRLGIDHVARSQAFFWSVRHSIASLECAVL LSKWLLSLAETGVDQNLSDNESRILNWTRCIVEEAYSSMDLEDWETVPSLDPVGLGLA VIRLWSRLFRKNTQWPFINVLGESLEKYLTLVRPG AOR_1_808084 MARTYTFPGVAVITGAGGTGIGAAIARGFASAGCDRIAITDLNP TSLDETKQAILSSHPQAHLVVRAGNIADEQFVDSFIAEVAHTFGRLDYAVNCAGIFGD ALRSTEMSTETFDQINNVNYRGCWLSSRAELRQMIKQEPLPSHDPSRSPQRGAVVNIA SQLGMVGRPKAPAYCSSKAAIIAMTRSDAIDYSKDDIRVNCVCPGIIETPMTTHSEEI LGRLRPAVDIAPMRRMGQPDEVADTVLFLCSSFASFVQGHALVVDGGYVLN AOR_1_810084 MPSKPNLIFLTLLASSNVLANQCFSANSDAPKENFLKCCSVTSG GKGVVAGYDFDYMCNKYPSAYEPGQRGFKTAQACAEYCATDPQCKASVWRASSKLCAK VTATSYEAVSGDGWLLVEKSEKSDKLSDDCQGKIDSAIKGYKEKEAKCQKENGACGEK IARYETEKLRSEKNLDECQSSKDALLQENRNSLEKTMQCESKKTVLESQLDQCQKDQA AETTKCKEKFQQCEAEKAFAAHQCEKDRREAEDKCTEEKGALEERIKTQKCTGKCQVG HTTASTVDRILSSPKREAFISDLKALTVFVLDDYDLEKSKEEVRKFTSRYELDFKLWG HDSRYITGLSQAEGRAAWEDKSAPLLDRARGWESELANHLNGKWWMTWYDVARFLGDL LEYVE AOR_1_812084 MHLTAALTLFLAAAQASPPIQQVIGTSGRSLHRWSLDDIVTSYF GDASKCCPKGTQFDGERCVLDGPKCDNGKLVDGKCVTDPICPVGQWNGQFCVSAEGHV CPDGLKPSGNVCIYESGPTCRDGSKPDGDVCVSKGAPKCDNPGDEFKGGVCVSTKKPV CKDGSQPSGGMCVSEKPPMCGGDAEWAPELKKCVSGTPPICKSGKFDNGFCVSDSDPE CIDDENKYNPKTGFCHSTITPGCGEDSELQDGKCVGEVKPDCTDRYTYSYDLASQTGQ CCSSDMTWDGEACYSIPGPDGCPSDTTQGKDRCTKPSGGLAHCPPEFRESNGQCIGRG PFCPPMLNYEPSLNACVEDENPECLEPGSKLENGRCILELTPECPKGSRQEGNYCVTI TKPYCEGEDEGKTHFDGTQCVSNETPECEDPSAQYDGEECLTGRKPVCDEANGFFLQG GRCVSTKTPECPDGGKLNAQGECVSKRKPKCKAGDLIGKDCVVGSVKCPKGTWDGKNC VVNEDPRCPPNHKWIPSKSKCVSFEKTQCGKGYYPKNGECVSKHDKVRCDDGAEWDPK TQTCLGTKPECEDGSVPEGGECVSQEIPRCPDPERFEFNGKKCVLKKGPDCAPGFRLL KGECVSEIGPVCGYGQVAKDGRCVLASGDCMEFEFCPTYKPLL AOR_1_814084 MSSSSSPLIVNRIIIHVSTTQTSNLHKQLLLEMGGNSVTIKSNM ESSVSGLYVIGDANNDVFISKQGYLDRFSSAMVAAAGGDEDFYSQIEDLKFQNGDDIE QMYKVLGG AOR_1_816084 MEFIRAEILGTTFETTSRYANVQPVGLGAFGLVCSAYDQITQQH VAVKKMMNPFANASIAKRTYREVKLLKQLRHENLIGLCDIFISPLEDIYLVTELLGTD LGRLLRARPLDNKFAQYFMYQILRGLKYIHSAGVIHRDLKPSNLLVNENCDLKICDFG LARVQEPQMTGYVSTRYYRAPEIMLTWQRYGKMVDIWSAGCILAEMLRGKPLFPGKDH IHQFFLITEVLGNPPPEVVQKITSGNTQRVVNSLPNQEPRPLRAVFHEFDNDVISLLE QLLLFDPDKRLTAETALQHPYLAPYHDPDDEPAALEKFDWSFNDADLPIDTWKLMIYS EVLDYHSLGSAGALDLGPGIEEPTLDPTLDPTFDFLQADI AOR_1_818084 MRWCLSLLLFGFLAVVHALSSSGNRLLVVLEDEAEKDAYSTFWG DLEARGYNLAFESPKSDKLSLFELGERAYDHVLLLPPRSKGFGPSLNPKNIIEFMNKD GNVLLALSGKSTTPSAISSLLLELDLHLSTDRSSVVVDHFDYDTLSADEKHDVLVLQR PGQLRRDTKAFFGGEGVLAFPRTAPHTLGDSNPLLAPILRAPATAYSYNPKEDVGSVE DVVASGSQLALVSAMQARNSARFTLLGSVESLQDKWFSATVKAPGDGKESETANREFA KQLTAWTFKETGVLKVGKIEHHLAEGGELNPKIYRIKNETVFSIEVSEYSYDKYLPFE VPENDALQLEFTMLSPFHRLDLQPSAKTENSTIYSTKFTVPDQHGIFSFRVNYKRPFL TNIEEKHEVTVRHYAHNEYPRSWKISGGWVWIAGLWSVIGGFLAFVIVWLYSAPTSTA STTKKTQ AOR_1_820084 MTTPQFWSTPLRYLRWASHEKPAIFYSLVVGAMGPVMLVTLPPI RRAFGDVDPEPIPLTYPIPQGPRVIPQGYDDE AOR_1_822084 MESTFPQFSDGDVNIIIYEDVYRLHSTVLKARSRELRDLLSSLG SYGIGHFGCVQLELVESASHGYGVLEVLDPNDQYRYGPMYMQLQKPIIIWPPDIRLLW TNMFKILYGLCPLLDQGGLQNVLSRCWELVNLADCLRATRTVFTAIVDALQGLGPHFY ALIAEDPINWIKLGTYMRSALIFKEAAIHIIGNWNALEDDLDYLPSVVHELCESKQAE LVEFKQLLEARMLTYCPYTTLRGASCTEDINTPCAKDFCLWTAMTYYSQWFCMAVAED RTYYARDGGAAFYRAIYTGGDAYLDTEEQANTNAFHMSVYRAKMLDKALNILKSGMSK FVSVLLVNESHYDPDLMGELPYLTCCKVDDEELPWVTWPNGDWHVDSDEEHFLSDYEV VTDSDQDEDAEIDITEPESTTGEVTSADTSATFATDTLPVDTDITDVESIETEDENKN ASVVDEAEERTTAE AOR_1_824084 MTGRLGYEGRPERQNEYFIPGDGISREVIQADICRYLGNDALVR PGNHQGRQGYFIRAYRNLTSEMIADLKADSARWEADVLRRADQGYPRGSYIQDYSVSQ PPPNMVPATYASSSLHEGRQQPGPSPPPAYTAPPPQQYVDPYTQPPYAQTQSPPYPTS SSYPANHSPFGSGQTYPPPQVPYSAPSQPPVSADMHQTYTYTSAAGYGYENGRNNPRY PGPGYETESDYSPVTSGIAYPATTAPDPRIGGMDPRYTPESAYSDRSRPQPTRERDPA RRR AOR_1_826084 MSRSSPDPFVASPSSPSHPVKNHSRYTYRQLQLLRQSSTASPLR VIAHVDLDAFYAQCEMVRLQTPREIPLAVRQWDSLIAINYPARAFGITRMISAKEARK LCPEIVLQHVATFREGEGGKWAYREDSYKRMNTDKVCLDPYRAESRKILKTMKEELSR WYTDLVDEERGLGPHAQIQQASVEKASVDEVFIDLSPLVYGVLLRRYPELRNGPDGDD RVASLPRPPTTALEWNQGDCLVDLDENETEVDDPDWDDVAMLIGSDIVRAVRTVVWDN LSYTCSAGIAKNKMMAKLGSSSNKPNKQTIVRNRAIQTFLGGFKFTKIRMLGGKLGDQ VTALFGTEQVSDLLQVTLEQFRAKLDDDTANWLYGIIRGEDKSEVNPRTQIKSMLSAK SFRPSINSVEQAERWLRIFAADIYGRLVEDGVLEHRRRPKTLALHHRQGAQVRSRQSP IPGSTPINETLLFDIGRTLLRQVIGDGCAWPCANLSMSVGGFEDGVGNNKAIDSFLLR GDQAKNIGHPAKDRLTDDLPEMLQLSEKRRKVEDDGIKRFFNQSLGVNTKPQPSEAIL ETPATSVEGNSENILLSDASCNPQITEVALRFYTCSRCEKTLPEAERDEHDDWHFAKD LERQERQEAMRSQQTARPSNNTGSSSARGRPGRSSRGKPEKGQTRLAFG AOR_1_1318084 MPRRYRAREYDEDMYEVERDHYHRKHQHRPRGGRHYKEEVICEQ PRAPSPPPVEEFDRLRIRDDAGPELIREPPRETSKELRKVRDDTFKRRRPHRREVVEE EEHVSQDEELTRRITGSESEDEVPIIPKRRSAPRRGDVRDELSKPRSRRSEYELELPP SVDEMKHEYRKTRSGPHYHAPPRLRPQSGPNTDVEDGYEEEEDDVLVRRSRRRRPPRK ADLHEDDDSTSSPDSEDSADVSLARAPIHRSPPKRHVQDPEDFDFSRPPRPPRAPSPE VSFEKPKREKLRPASHEEILVEERGGPELPNIVRGPPPEPFLRQRDEAYPTPQSRPRS IEREKEISIHEVMPGGFDEHEVLEEVYGRPRELERSIRESTPKMTGDDWAIISAAPKT EREALLDEISHGPREPALKDKKPKFTVSEERHSESDPDFARGKVGRRYIGMKDQRNGL WTEITKDLVVREAIERSGYEYEETVSSYYVFSYLQFDDVSALVDLSEDIRRARRRRIQ EIHRERSSMPPPAPPAPPAAMPGEPPLMLDRPMSPPFRHREERRMKQPGLITRRSLDR NQDMLSF AOR_1_830084 MNQPTRIVNYGQTEASKGLSTAMSTADGESLRNRGRPRKVPTAA PDTVRRTQLREAQRAYRSRQQSLLASLKSRVARLEDAFGQLKQIMDSFDAQVIKPGAQ MSHAQLFQAVKLFQNEICFQLERVDSHASPKEAKERSSTTTQSQKPQQRSSDRQSSSG PWQVNTETTSVPTWTKEKAFSSDFWRRFLGSSNGFLPPVASTAHEPNACISVASSIGH EDHAIDYTTSAFTERLYRTCAENGLRLVCNDTVTDEEMQPQFGLVLQTVPREQIRLYF ERVLRMKPCNPVHDRRFPFISFGGAGTHFPSAGQDSRSQNLLRFRETNGVSAIPSDEE WFDIKDIEGFLIEQGIKTEERYEASVTSSSITNSNPSARPGFPTASSNVVMVLDEATL IDKLSRLCICLGCVAGFRRSDVETLVWQHVSWKPI AOR_1_832084 MTELLSRAIECIGRGRQAKPDSPSADERIDSDLPYLSVLYTTTC IISASLHMSLIFSCLLSENLSLTRLFFPVDSFAPVASLADGASTFLKNDFLLVTASTF VWCWVSVWDLYRVGISNVSPLSASVGLLAGFAGIGPGATAAAIWFWREQTMSQREFRQ RS AOR_1_834084 MSSKSFKVIIAGGSIAGLSLALMLEKNGIDFLVLEAYPSIAPQV GASIGLLPNGLRILDQLGCYESVMEMAEYPVDKVCFRDSRGKLIRSLENFNQLMTGRH GYPIVFFERRMLIQILYDKIQDKSKVLTSQRVQTVHTSQSHVTVTTKDGKSYKGDIIV GADGIHSTVRRQMWEEARKMNPSWIDPSEENALPATYACIFGISEGVRGIEKGTLSSV FNEKFSYLIPSGPGEKTYWFLVRNIGETMYGPDIPRFTKQEEETLVKEHWDDQITPTV RFSDLYKNKTSSVYTSLPEYVYKRWYFQRIMTIGDSCHKFEPLTGQGGNSAIETAAAL TNHLMSALRSNFCQSLSTVDISSVFEKVQRQREERTWSLVRAAHARQRLECLETPLLK LIARFVTPYYPLQLLKEHWIATYSAAVSLDMIAIPRRPREIPFYDELFRVPATRGIAG LLLYVGYLLIAFVAFRLLFVATAANGTWALVRQAVRDRSITMGGLEVPLRRVFTGFRS SQTRTSIAATILLILDATADLHLHGGGLSPKEQMDPFVKSQLMGCSIPASWNWIHRSI LFYGKHIHHWSDILFFMDHQELARIDS AOR_1_836084 MSSTKLLVVLGATGNQGGSVIRSFLADPTWQIRGLTRNTSSVKA QSLREQGVEIIQADLDDIVSLESAFQGATAIFSVTDFWNAFATIAPNSEIEDQSKIRR TYEYELQQGKNVFDAAAKIDTLERLVFSSLSDASKWSKGKYTRVLHFEAKAHAVDYGR ETYPELWKKTSVVQVGWYLSNFLGPFLRPKKAESGVYQFVGGLKGEVKLPIAAAEEDT GPAVKALIACPPGKNLIAYREWMTPEEFVRTWSRVLGVPAECVTLPEGQSIEGVPDIL KKEFIDNWGYWNEFGYEGRDDPTVLHPKQLEVGFEVPTVEDWIRKQDWSDIL AOR_1_838084 MHRNMALLLLTLFSWRAFAASDITYCSTVNTGASNSANVSVYQS NGLCTDTCNSDYAFGILQGKSCWCSNIAPNRATNVDTSKCDTGCPGYPDDSCGSASKG VFAYIQMNEHSPSGTATVSSSTSTSTESSTTSTDSTSKTTDAPTTTATQSVETVAGEV KTITVPNSKPTSDPSAGMSEKDSSGSGLSGGAIAGVVVGSIGGLAAIIAIFFLIFFKK RQQRSTSPSPSVSNGLLDGRNSKGSQMSVANRAFSDNHSHTLSAGSSRLPTFTDTRLK TDTVLFAGGRRDSDVSLQDNEDYSRPVLRLTNPD AOR_1_840084 MTPETETRQSRGLDGLPAELIDMIVACIDPPSLAQLASTCQRLS EMLRSLLPKTAKGYALASEEYYSRYLTRNLGAHILDCPFVYRFQRFADTIIIPLQPLA DAIEKGEINAVRGFLDAGGASLELYQLQPQPKMICMIPYCNLESLKLILDKVDLSYIY SYSRSVLHRAVRNDDPDVLELLVGKYAHLPSLQDSRGETALFQAVQLPDGGDRQNTMI RILVDAGIDINTRNSQNETALHKVSSRGSPEVVQFLLERGIETNIPGKNGMTELHYAA RDNSTEVIKLLLSHGTFNIHASTNNGETPLHMSARQRTSDNFAMLLANGAIPPLRDAN GLTPLHMAQNLGHWSLERYFQY AOR_1_842084 MDVLDQLDNLWLVCFTVFGLTGLCFALSGLKNKPVCLRSKLHSP RSGTASQSISPEKKPAQYADTLPPQGRQALAALPEFATGIHEVDEEKVLKHILPMTVD YTTCGEDRYTPMGFSMAEIKALGDFPDYAKLSGVPLPREYPEFKIEKALPRPYRPFRW GYHQTMSLTKLETDWWIELENTYIQRIAQRKDLYAKHGDAVLGWLPGSELACKELMEM VLQFICARYPHYFSLVDRRVLQNRILGVEQDIRSKHPLEILLDNVPEDFGVMLRDETT GNYFLRAGVICSALGWNVGTKIGLQLHQIHGPVPDYREKMQFSMDRFFTKMPADKPIQ RGSWGLEVGQPLYMPKGDPHEKLRLSQDPNLQLEDCYLRVDWQTLRRLPLSGAIVFNF KALFTPVTELRDEPGVPALVTKVLKEGNKNILEYKSTWHVEHVVLPKLEEWAKEQEEN GLVPSDWEVATLDDSPWYQDWQEKWHRQQGF AOR_1_1324084 MASHRADASSLLDNRGYTGPLVRGVNPATLFEKAVRDRITDSYY WKEQCFGLNAATLCDRAVELTSIGGTYGVSEKPTPFLCLAFKMLQLNPDRDIVLEYLN FTDPVNDEEGEQTAAEQAENGVVKQRGDFKYLRALAAFYVRLTFDAVDVYKTLEPLLL DYRKLKRRVRDSVVLTYVDQFVDDLLTKDRVCGTSLWKLPSRQQLEDLDLLEERVSPL AAELEEMDNGDKESEEGQASDARSADDASMIETATG AOR_1_846084 MVLLTSSTVSVILSSGVICIFTFVLFLSGYVLQQQSVRSIQHAI KPPQPPDPIPGLTHHGGNTKRTTLLDNLNVNDNNNHHPKGNYAYLQLLSTPDPSNICS AILFFKTLSTNNTAIQDRLFMYPQEWDQTPPHKQTTQISTALSLLRTASLKYNIWLLP IDMTAATSAGYTPTDTKLLRLGQIQFMQYDSVLYVQTPGLLLDTAKLDSMLLSRPLPG RHDKNRPESYNNEAWIPMPLRPDRDVTLPPVYLVTVNNVGAAQVEARGHVPNVALPGF GSLVTGPWGVDRSAGEEQPGYVFFEHDEDGHVSWSGNSLFGPWRAGQYDVCEGIDFDD VHDDYGL AOR_1_848084 MASLGEDDDDRDLAGSQDGSSDNEMEDTLRDADDGGNDNDNDND NDNDNDNEADADADADADQDADSQSNASHVSDSAGVATQPNADVEMTTTGPVNIVHDS VSVYHPPVRSECLTASTYDIVPTTAAPHSTSINAVTATADMRWVFSGGSDGYVRKFNW VESINSKLMLTVAQRHPFVDSVIKAGVVMTYWENMDGNTLSPVYSLASHSEGLWLLSG LESGAIRLQSVRHDEGKEIALLRQHTSAVSVLNLTSDEKSLLSGSWDKRVFDWDLNTG QTRRSFGSSARQISAIDIRPESSLSVPKDTETPLPNGTYSSNYQASGGNSFDFMDTSN DQGGVGDSSNPQGGSPADSLFGGADSLFGDADGTGGEGAGASGNAFGIDEDDEFGKAL ANGTLPDADAPGEPEPSDQQNGAPAAPAPVDASAQIKEEDAAPRQPETREPEAATASS QPVVNGLPHAEELKPAPESQDLSQQTQSDKNVSDNTFLAASIDGTIRVWDRRQPDPIA RISPRNSPPWCMNACWSPDGNYIYAGRRNGTVEEFSLHKGLRDPERTFKFPQGSGPVT ALRAMPNGRHIVCASHDILRLYDLKHEQVARHSTVPFLIIPGHRTGTVSQLYIDQACR FMVSTSGNRGWEGSTTEVLLGYEIGVPR AOR_1_850084 MKPSSHSSIPSDGSHHTQPKKPAARPAVPVNLDVRAYFAEGAPD NSQPWLLNPEIPSPDEIMGTGSETEFVDLLPNRIVGPWSSKNEYLKAHYELLREDAVA PLRDAVAYFREDPDIQDMNIVSIYEKVHIVGITFAQQGVATRIQFSTIRSGKNIVWEY SKRLRTGTIVALSPSDDSFRNKCVVAVVAARPLNGVKQHPPEIDIYFARSIDADFDPH QEWVMVEARDGYFESMRHTMTALQKMSRERFPLAEQICLLHPNTDTPEYVKAGPVMDI QSAICPSGEEGKINILESWPRYPTGDLDATQWRALEQMLTKSLAVIQGPPGTGKTFVS VIALRILLSNMNPGDPPIIVASQTNHAVDQLLRHISRFERDYIRLGGRSSDPEIRKRT LFEVRQNEPSAAIQGGVLGQAQRRYNKYHHTIADLLQNFSAENDDVLLPPELFAKYGL LTATQCDLLAKGAKGWIRPNDEEDDNPLLAWLGEHVVPFEVHYSTETFGFEEDEIDLE YEQLKELEAEQGNEEEDRELLKGPFICLRERFCGQSTSAAEEASRKYLKEPDLWKVPV KARGGVYNTLRKLLKDKIRSKFQSLVTLNTNNCKDLRIGKWERDNHLLQDAKLIGMTT TGLSKYRALVSSLKPKVVLIEEAAEAIEAPIAAACFDSLQHMILVGDHQQLRGSCSVQ DLQGEPFFLDISMFERLVNNGIQYETLRRQRRMAPEIRRLLEPLYGELHDHPSVLERP RVPGMGDFRSYFFSHNWPESNDSLSSKYNEKEAEMVVGFFMHLVLNGVAVKDITVLTF YNGQRKKLLKLFKGNSYLQGQYVNVVTVDSFQGEENEIVILSLVRSGRPTIGFLSIEN RVCVALSRARTGFYMFGDSTALADADSLWWQVVTLMGGKNPKRRLGFYLPLTCTKHEN VIYKKDPSEWDTAYGGCDLPCNERLGCGHRCSLPCHSFSHDQVKCTEVCDRQMRCGHK CDKPCYEIHTCFCGCPLNFAVECKSEAMGALRSKLDGCILEEDSHRLAAIRSYQAFAN GGSKEQDQRLLRMAELGK AOR_1_852084 MPNSCKDIRDALAQCLQESDCIMVQRHTPRECLSEPLVDTLPMR CQQLRKGYGECKRGLIDMRKRFRGNQPLAGASEMEGGKRNKPEQLYAGKPAFESVKEI SGDEVQMDPEKTRGL AOR_1_854084 MARVPVIGRLFWFEYLALFASLILVLLEWVIHIITFCLPETVIK FFYDRSKTIFNLFITPEDEGKRSKEERIATAVAQASDFVDICALFGYQTEEHIVQTGD GYLLGLHRLAYRRGEEKMRVNQGKGGVRKKVVFLHHGLMMCSEVWVCLSEEQRCLPFQ LVERGYDVWFGNNRGNKYSKKSVRFSPGSNEFWDFSIDQFAFHDIPDSINYVLEVTGQ PSLSYVGFSQGTAQAFATLSIHPLLNQKVDVFVALAPAMAPTGLPNHFVDSLMKASPN FLFLLFGRRSILSSTTMWQTVLYPPIFVQIIDKCLDGLFNWKCRNISRWQKLAGYLHL FSFTSTKSVVHWFQIIRHKNFQFYDDEVHAPFSIVASERFYKPVKYPTRNIKTPIVLL YGGNDSLVDINVMLKELPRGITAKIIPKYEHLDFLWATDVEQQVFSHVFEALEQYSGI TQLEGAVTGLINGDAGHAIAV AOR_1_856084 MRPQREYHIVVLGAGGVGKSCLTAQFVQNVWIESYDPTIEDSYR KQIEVDGRQCILEILDTAGTEQFTAMRELYMKQGQGFLLVFSITSMSSLNELSELREQ IIRIKDDEKVPIVIVGNKSDLEEDRAVPRARAFALSQSWGNAPYYETSARRRANVNEV FIDLCRQIIRKDLQGNSKGRDEPPKRENSNRPDRKRERRQKSKRKGPCVIL AOR_1_858084 MRAPSPLLRSLSSKTSLSLPYSRRFLTPRFPITVTSKTSLRTVA SYTHPHHASAISVLPTAVDTSSPDFKENAEQMKELVDRMSNLHSTISQGGPQKAKEKH IARGKMLPRDRVTALIDPGTSFLELSPLAGHEVYPGEDVPAGGIITGIGTVEGVTCMI VANDSTVKGGTYYPITVKKHLRAQAIAQENKLPCLYLVDSGGANLPHQADVFPDKEHF GRIFFNQARMSSMGIPQISVVMGPCTAGGAYVPAMSDETIIVEKQGTIFLAGPPLVKA ATGEEVSAEDLGGGQLHSTISGVTDYLAVDDAHALILARRSVANLNYPKTSVPLKLDD STIKEPLYDPAELNGIVGTNLRRQIPVHEVIARIVDGSEFAEFKRDYGTTLVTGFARI YGHQVGIVANNGILFSESSLKGAHFIELCAQRNIPLIFLQNISGFMVGADAEKGGIAK NGAKLVTAVACADVPKFTVVFGSSAGAGNYGMCGRAYSPRLMFMWPNAKIGVMGSEQL SSVMEAVGRTADPALKARIDHESEAIFSSARLWDDGVIPPAQTRRVLGLSLAASLGGR VDKDVQTRFGVFRM AOR_1_860084 MAAASRLPFLFRSTSRSLGSIRKPLIQIPASRTFASKHPKGFVP PTEDELLELRERVQEFTRREITEEVAAKTDAQNEFPAEMWKKLGDAGFLGITANEDYG GLGMGYQAHCIVMEELSRASGSIALSYAAHSQLCVNQLSLNGSPEQKERFLPGLLSGD KIGALAMSEHSAGSDVVSMKTTAKEVDGGYVLNGTKMWITNGPDADFIVVYAKTEPQK GSKGITAFVVEKTFDGFSCARKLDKLGMRGSNTGELIFEDVFVPKENVLGEVNRGVKV LMEGLDLERLVLSAGPLGIMQAALDLVLPYTHVRKQFGTPIAHNQLIQGKLADMYTKL QASRAYTYSTARHIDNSASLSEVSIRTQDCAGAILYAAERATECALDAIQLMGGNGYI NELPAGRLLRDAKLYEIGAGTSEIRRMVIGRAFNKEYA AOR_1_862084 MSDDEEYYDEYDEDIFWIEEPDPEIADDLAATAVYDALFFEDPS LEVEEYFSDWDDQSDDYYDEDPTAVRRQRAMGLWPNKYNIKEINDILTAKQKLSAPDG PIPKETLPETDIASFQGTVWKTPHDDNPPRKLYEPGDGEKVALLKNWREVFRSSHPAI GRVRMRKVGSDMAPPRVPVVTKRADTGSDDTSTASSLDSLRETDAGSDGFSKTTTPAE SSLSPPLTVHSHRVIKSASDLPVNSKMLEHEFPIEAPETYDDPMGMEPESSDLQTAPM QEHEFSPKKPNSVTAPSTRTRKRKASDALDQPDADKNHTTTRSKRIASKKVGGTADAP AASGPVRRSTRNKAKN AOR_1_864084 MPLSSLLRTASRLRPTAAQKARRAASTVSSTTPKTQNSLDSILI ANRGEIALRVGRTAAQHGIRVTTLYTDPDSRAQHALSSPFAFNLGSVSAYLDGDRIIE IAKREGCQGIHPGYGFLSENSEFARKCTEAGLVFIGPPWKAIEDMGDKSQSKKIMTAA GVPCVPGYHGQNQDPSFLEAEADKIKYPVLIKAIKGGGGKGMRIAHSKSEFQAQLQSA KSEAMNSFGDDHVLVEKYITTPRHIEVQVFADKHGNSVALGERDCSIQRRHQKILEES PAPHLPDATRKDLWAKARAAAEAVGYEGAGTVEFIFDNDTGEFFFMEMNTRLQVEHPV TEMVTGQDLVYWQLKVAEGAKLPLTQEEVEAHMASRGHAIEARIYAENPDQGFIPDSG TLLHVRTPTPSEDVRIDAGFVAGDEVSAHYDPMIAKLIVRGNTREEALRKLASALEEY EVAGPITNIEFLKTVCKSPDFVAGEVETGYIEKHREELFTREAIEPEVLAQAALACLH HDSTPVARKQASFEGSAVGFSPSYQSRQITFADLTPGAKDGTKFDVRVQQTDDNTFNV EVGGRTFEQVVSHSNLGSHIVTSFFPHTRLDTTVIRDGDSVIAFQKGTQYRLTIPRAK WMDKALGMKDVTNSVLAPMPCKVLRVEVQAGDVVEKDQPLVVIESMKMETVIRSPQKG TISKVVHQKGDQCKSGTPLVEFAEESGEN AOR_1_866084 MFKSPAARQAVKALSINTRPAAVTAASRPAVANTFFRGLSSTAP RANDEKSKAAKDPILAATNKAPEGALDSEGRFARVDESLQIEYPDDENMPRSPIVQGR GGMHFKRTLAQFSLENKVTLVTGGARGLGLVMAQAIVASGSDLAIVDLNKAEAEEQAQ KLVEQFRKENPGLEQMPNVTAHYADVSDPNSVNDALSDIISKHGKIDNLVTSAGFTEN FDAISYPHDRLQKLWGVNVDGTYLFATGVAKHLMERKVPGSIVMIGSMSGAIVNVPQP QAPYNAAKAAVRQLAASFAVEWAGHDIRVNCISPGYMLTALTRKILDENPELRDKWIS LIPTGKMGTPEDLMGPVTFLLSDASKYMTGADIRVDGGYTLT AOR_1_868084 MTFGTTPGIVPKDMEKTLSATKKQRGGPRKACNECKQQKIECKV EPSFTRISKRRRNAEMEREIAGLRRRLATNTNHHGHAVQVNVGDGVSQPAGSAIWDPA SATLNQGKALSTSIGTQEDNMWRLEDVSLSKPRVARLFEQFFQYYHPFLPLLDPQKPP EHYLCRCPLQAWTIICVASRRAPAEPGLLGALTGPFTRLLWSTITSVPQDYAAVKALC LLCTWPLPTTSQRKDATFMLCGLMMQISMQLGLHRPVQAEEFTTFRIGHSEAVKDRLQ TWVVCNIVAQNVATGYGQPPGTIYDWALEPASLQDAGYYPPEDLRTRLQIEKFCDRIT KSLYNSRPDQAEVIGPERLLTVQLLENELRDMELSFGRNISHINMIHLRAAELHLRYF IFLGSNPRTNDITKLFIATTSFLTQVLDLETSPGQLISYATNYILQMIISAAFGLMKL LKSTFRHHIDFEYGKLLFNGAISALRRISIMDHDRPVRLADVLAQMWNAGDHEQSTEE DSLQLKVRCRMSMSHVYDTVWRWRHRFRPRKDPSDTQTTTANPTESTTTMIPDQFDGS MENPTMICPPNLGQGDVFFNEAGFAEIFDSLNWVFDEFPDPFIAPQMV AOR_1_870084 MSDVLDDETVWFPKGQTTINEAPTKSRRLRAATDGDDDDEEDVV FVLGQSDMGALNRYLHGGRVLPLDRTSYCNKTGIVDTSLLTPKIWNQVDELIEAYIQV HADCTGFLGDENTAIKRWKANPTASATRTETALQRWKVGRKAGRKVTAAADDDDTDFD KLCTWDKMNGLAQNIYSYSEDAGSTDADDSYYVAMLTLCGDYNDSNDPAEQESIRQDI LDLTDDLLGDVNKILSHIGKVKEALKLFEASCQTNQSTLEGLEKSMTTILDQELGSIQ DLDKEIKKHQDDIASYQAIIDHDRYEQEMTAAYVWIPIAGTIAGPVVFAQMQAEIDKY EGKIEAMNKLIKDEEASKQLHKTLQANVTSMKSHATDLSNLIGPAMHTVEALEGGWNV MGSQVQFIHDKAEKFEEKIPRLSMTKRQLKSISNEWYKLNKYVMSYIQNAQLVVPVQV LSLEDYLQQLKDAANKSS AOR_1_872084 MLTDTQPNTPAGWQTYASLREVTEIPATADASRDESGRTETHRN IYLEGAGILQKPLLDCEIYTDVLAFTEGGEVILSPDEDTTMEINARVLTADQPVHLNM TKAGARSSALMIYAAVVDQPISVSVEGTQRTTLDLGPDSGHVGAEIDFTDGQLKVSYT ARHEYDATEIYQAFLATELRLALALFWSRPAIAISICSYVAQSTYNIQAHSLSNAQAV SLGQQLAAHAMAGPRAKYAPTLPFQRYHDTMKDQLEAAKVFEDQYQRFQDKDSEVADK IAAWTTMLQNAQDQRATRLSVQSQTLAKYQDARSTADACAKQLSDDDDELQDAKDVFD QGLVDWEKGQILKAAFAILSAIFEFAFGIAELCIGNDPGGAAKGVEDAVESVEKVEEG AAEAGQIMTSSTLQKLSTFTEALQKLYPKVDALVAAANKLAALPGGDEVDLPSLNDIS GSGGSDADSSLITSLAAWDDWELECDQQMEWAADQEKIGGASQFRLALRKHAVHGRAL AQAQAEAIKQGQQYVQTTLEVLQSDQDIQNLQTLLDTYHGEEAIYAAGQAQFYDRFLQ LKTSLAIQLQYIIDAYRFYALQDSQVRLESQQSVGDFQQNLSTLQTEMQNVDNQYAED FTPFNYYVYSDELPSNFPRLVIDGLKDSSQGHKATFTLVATPQASSQAGEHNFAYPFT NGSHYRLDGLEIQLMDVKPRPEAVHDGRAVVSLKIETSGSYSDIQRDQVFYFVSPMQQ KRFSYEIGPDGSFLRIRDKAIFEPTNHAEPPPFTQWTITLETPENVDMSTLNKIQLHW NGKYRPY AOR_1_874084 MPRDADFLSPRDLLINDNFCLKLASFKQLANVIVKTENLPRDDD SLRQKTGFWESGYQILYSYLNPIAQQFWTVQNVALNLNETYNYTDTVCQKVLDLCDRS PDDYDKIFTDLKALRKDPDNETLRKKVLQEVNDRHSEVKALRDQATIWSRDLHSYSMD AGDCETAVRDLAAPFQGSALRDRLIEDDARDNLQDDLNALGNVKSLLDGFSMVDDMGA SLEEVQKMSGSATLIEDDIQNLVDYLDKHVDPDDNPLGGLVERNLLKRWATLQEHGLP EDGGLAFQRHGQKANPPVITVIAFKNAYRN AOR_1_1326084 MTLYQPHVAAIGATRENSECVFPGPGRAPRRKKRPLKGELISRL KSLEHELRKTTQERGDPVSAASSVAAASQDASALSNHPLAAKNARRVEDEHGRLIVER GSTQYVTHEALISLGNQWPIYPLDSQSSELHAIGTLITESCYDTKLPLNINDSDLSTE SSAPPDERIEFTESTFCLIRCEMTVLYRRSFLNAHPSNSEGHPSHLLDNRLGQLEEIR THLQNRYLQYYDTSIPVQWGTMTARMPLIEISPDDPKRDQLLLTAIGVVEFAYLLETD PRTVQWAWLFERYPQWAHGGVRVDGAL AOR_1_1328084 MTAVLITGATVKQGGSLITSLISRNAPFEILAVTRNPISTSTQK LRSLSPSIKLVEGDLDNPARIFQNAQHLTSSPIWGVYSVQAAIGNNAEETQGKSVIDE EHSYTNPTKIPHFIKKHNIEHHLVDRSKNTSMEWTILRPTAFYENLTPDFFGKVFATS FKMALKGKKLRGFCRILCRFEPLY AOR_1_878084 MAEVTPLNSLSEFQTLINSGQVVIIDFWAPWCGPCRMISPVFER LASDPQYSSIKFVKVDVDDQPEISQECGIRAMPTFMVFKDGAKLDEFMGAHPNGLHDL VQKYV AOR_1_1330084 MSNSKHALPKGSRVLVTGANGYIASHVVDQLLSHGYLVRGTIRA PKPWLSEYFAQKYGDVFEAVIVTCFENRDDINRVLDGVDGIIHLISAALPAAIPWVVK ATTNILESAAGMPSIKRVVLVSSSNGLSMLTPNPNGEVLHENSWSDEAVKAAWDPNTP DESKGFKTEAEREAWTWV AOR_1_880084 MLSSRSLAAVVVPAVLGSLSLVTVGFRCFVRIRIVRQFGWDDKL MVVAMILNVWFAFCGIIGGINGIGQKLEYFQNRPDNFRRAMMCWFLGQLSYTSTATIM RLSIGLTLLRFTSSRIHVYSLYGIMVLSTITGAVLFFFAIFQCSPISFYWDKEGVQGI CRTDTEVKIMYFYSVIDVIFDIAIGILPAIFIHKLKLDRRTKLGIAGLLGLGCMLCMC SRHRANPLSTSDDGGKLSVRNNHGRVIRRGFSFNKSNEKDIPKGYVTPQFVSQTSDST LRVEYV AOR_1_882084 MASYSNEKGFPTNKLAHELTNIDDDEEYTYEEQRAIVHRVDRRL VVIAGLGYCISLMDRSNVSTASIAGMNEDLKMTEGYRYSLVMLIFFIPYVLCQPFATA TIRKFGPRNFLTTMIMCWGGITIGIGFTKTWKHVLIARSFMGAFESGYFPGVVYLLSC WYSRYDMHKRFSLFYSIGLFSQAIANILAYGLTYMDGIENLEGWRWIFIIEGVITLAI GFLAFVMLVDFPDKAHRSWKFLSERECAFVIRRVNRDRGDAEPEDFSVKNFLRHAADI RLWGYGLIFCCLMTVTYAIGYFLPLILRNGMGFSVGESQYLSAPPYVWACILMIVEGW LGDKYRLRGPILIVNALMQLVGISLMGLAKGNGVRYFGVFLVTGGVNASAPTALAYQA GNIRGQWKRAFSSAMMIGMGGIGGIAGSLVFRSQDAPAYYPGIYANLVASALIILLVL ALSVCFVFANRKARRGSTLLEGSAEFRYTL AOR_1_884084 MTAMRDTIEEETGNEMTAHGLLEPTLKVMIVGDSMSQGREGDWT WRFRIWEWFKDQGLPVDFVGPYNGTAQSDLPSLPATPSGKEESHWKSEIRTSGGYAAG VSEDFDKDHFAIWGRAVAIDKGLIYDVLAAYPTDLILLMLGFNDMAWFHTDAAGTVDS MQTFILNARATNPRLKFAIANVPQRTFISGREDLPVSTNIYNALLREAIPGWSTPDSP VQLVELHENYDCAPIACPVGYDGLHPNAKGEYQIARAFTLTLVKDLNIGVSPLSIPTM PDGPLSVPSNPQDVGSLPQECATEYNNGLTCITHPHGESRYHHRGQDKILQDL AOR_1_886084 MHGIRVSRSILTTRAPDDELFQPKNGSFSSVEKYIIAVFVAIAW YNAAELIVLCLSTFKVYRGWYFWSLLIASLSLFPICLGYIFYIFNPGISYYLSISLII VSWSCMVTGHSLILWSRLHLIIRSPRVLHLTLAIIIVDAIIFHVSTAVLLYGSHADNV ALANRFARGYDIMERVQLVVFCVQELLISGIYLWETAKMLRLHPGPLHYKILSQFLII NIFIIILDVAVVGIQFAGYYALQVTFKPVAYSLKFKLEYAILGRLIALATSPSSSEPF PSSSLGSTGVVESRPAHGRRHRIFVSEPSERGREKRKF AOR_1_888084 MHTADTVEAVKAPAIQVVEERKPDPDRAILESLRHTNGMRTVII KHSEEKDSKAPQLTLSLTRCLTEQAILRGLGSTPSQQVQHKQITIQVPQLYQYLPDEH TQIFEDFSKNGTLHEFLTVGAGERIIASTAVALGEALGSWLSRFHAWSKTQVDTDLWS TVEQNSNGFDKNLRDFRINKLLAIQAQCKSEQLGHYAALMHSREFGRKDTIVHGDFST RNILIQNPSSIDKEKNTSLAVIDWEACCLGDYTRDLAEIVADLYMQTILYGSQIAHSL IQGFISAYPPLDEEAAYRTVAQIGENFFYWNVYAPTCTDEQESELMQLGTDLICKGVT RDREGIKTTFFEFLFRSA AOR_1_890084 MSFQTKEAAYDPLASDEKRFSQSSDGAEDQEDNMGLLGRVRKTP FRSSSWARAGGKVVAAVNTILLLSIIVILLVSHRYEHQCSESECAAKTSYYSPLFEDP RAIEYEYVRFQGALEHQNVYKGTPNMELDEAWEVLIHTNNSGVDGNVIDRIQKSRIAA KYPKEQGGQYYTGIEVFHHMHCLNLIRQYTYKDYYHRPENRPKPFTDSEPVLRAHVDH CIDMLRQVLMCQADVGIVTYNWVHPWGLYPDFSTEHKCRKFDKIVEWADKHALPDDDP EPDSETVWLSGPPQ AOR_1_892084 MRFTAASLVTLGLLASALAFPAPANSLGVREPSKEKYDVYDVPD KRSKEKYDVYDAVDKRSKEKYDVYDVLDKRSKEKYDVYDAPDKRSKEKYDVYDVPDKR SKEKYDVYDVPEEE AOR_1_894084 MTPWKTERCSIADAPALADNNMSAFWEDPTWILLWPKEITLDYL ISQCAKRYPRKLDYLAVHPKNKGKGIATVLVAAGVRAAESIGLPTVTMSYKAGRGVYA RLGFEEVDRVIQDDSQYGGAGEYGAYFMIREIGN AOR_1_894084 MTPWKTERCSIADAPALADNNMSAFWEDPTWILLWPKEITLDYL ISQCAKRYPRSLLNSRKNTRHLKAVDPLTGELVGYARWILPDTHVVAEDGTPQWGEAQ IPDVSDEEKTRFQALAESAWWGGRSDMDSIDDLNDEVMRRILVQRPYMKLDYLAVHPK NKGKGIATVLVAAGVRAAESIGLPTVTMSYKAGRGVYARLGFEEVDRVIQDDSQYGGA GEYGAYFMIREIGN AOR_1_896084 MRIFSALSSLLLAGAALAQSSDCSPKSGDVQTLQFAWALQHLSE RFWSSQSLNQTFLNNATNASQANYEPNFKGITRENRLGIRAVQQVGNDLSNFTTPRCN ITIPQSQDANSFLKNALQVEQTVAGGLIGLAAYTQSPEVAFLLARLAAQHSAQAAYLG TETNSTFFPSNSTSLIPAYTPDQILKTGNQTGQLGTYFNKCVTAPSGPCGQKVNVGPL VATLNSTSTGGGTSSSASPSSTATETSSASSSTSTSTARNRKYF AOR_1_898084 MAPFGPSMTFPVAFNASVSYKILVDDAAETGAQVAEGHGEETDR MLSKAAEEELLQFEVDRPRGEVALITLEVVALLGEVAVFIAVLWTNTWGNSGKLSAVA KLVSWSYILFLALIRLLLSTLDLHLLPRIWNHTACLYGLQWLFNILVFRSAVIHPVSR RATIFSAVEFSLSTLLLLIAVTTRRGNKAVLVPHEDGIQPARHPTASLFSLATFSWLD PLILKGYRQALELDDVWNLTSSQKAATVLEDFRRRQYKGALVWRLGRYFWPTLLWQGS WTIFSCLFTYLPTILLKAILEYVEDPRSTTANAAWLFAILLFCSGAIQGVADGQALWI GRKLGVKLRAIIIGEIYAKALRRKAGASGDAGKKKPEETTPISKKKRVFTFGRKKKKA TTTDPEADGQNAKESGEDDDAHSANTGNIINLMAIDSFKVSEVGAYLHFLWASVPVQI TIAVTLLYRLLGFSSFAGIVIMVFMLPVNLFIAKQFTKVQNQILTSTDARIHATNEIL QNIRIIKYFAWEQRFEDTVNEKRKAELKALRYRYIIWSTAATVWYGTPLIITFASFFL YTVVEEKKLTPSVAFPALSMFSLLRIPLDQLADMVAHVQESKVSLDRVDKFLNEEETQ KYNQLEDSNSAGQPPKIALENATLTWGSSKGQYKDDPQSDTTDAFRLINIDVSFRTGK LNIITGATGSGKTSLLMALLGEMKLLEGSVHLPGGTSSRTELPVDPQTGLMESVAYCA QEAWLVNDTVKGNIVFASPWDQRRYNAVVKACALERDFSILDAGDQTIVGEKGISLSG GQKQRISLARAIYSKARHLLLDDCLSAVDSHTAKHIFREALLGPLMFDRTCVLVTHNI ALTVPQAEHVIVLDNGKVVAQGRPDKVAASGVLGDELLKSRPTSRSSSQRPSRMPTDL GDRHEDESHATNGGITNGSAGKGQKQEEARPKLVEGKATGSIKWSTIKMYLLSMGSWY YWTGALCVFCLQQLGSVSTNVWIRQWANSYQTEHVGTDDVGDYAAITSFKIPSFNAGG VPRTSTQSAPHFGTRVATGTYGDVNVAYYLGVYAILGLLYIMISLVREGVLFWGSLHA SNKIHKRLLNAVMHAKFKFFDSTPLGQLMNRFSKDVEAVDQEVAPVAIGMLHCLATVV MIVALISVIMPGFLIAAVFITLVYFALGAVYLNASRDLKRLESVQRSPLYQQFGETLN GIVTIRAYGDGPRFIVDNHRRINDYNRPHIYLWASNRWLALRVDWCGALVSFFTAVFV LLSIGKIDAGAAGLALTYAVTFTENVLWLVRLYSEVQQNMNSVERVKEYLEVEQEAAA VISESRPPAHWPSGGAVEFTNYTTRYRPDLDPVLRNVSFTVQPGEKVGIVGRTGAGKS SLALALFRGLEAEKGRIVIDDVDIGSIGLRDLRESITIVPQDPTLFTGTIRSNLDPFN LFTDEQIFTALRRVHLIGSGTSGTATPVVNSAEPVATANGTVVLENKNIFLNLETPIS ESGSNLSQGQRQLMCLARALLKDPKVLMMDEATASIDYNTDARIQETLRELRDSTIIT IAHRLQTIIDYDKVLVLDHGRVVEYDHPWTLINREDGVFRGMCDNSGNMEVLLDGAKK AWEHKRLVDDS AOR_1_900084 MAERILMNEYKTLAKEPWVNIELDNEDIFRWTIGLIVLNPDSLY YGGYFKASMKFPKNYPFSPPEFAFRRPLYHPNIYPDGKLCISILHAPGEDEMSGELAS ERWSPAQRVESVLISILSLLDDAEVSSPANVDAGVTLRKEPEEYKSRVRKDVEISKQD IPEGFVMPTHQPATVKPVEKDDSDFWAESDADDDVFGGSDSDEDMDFDDQDTGSDEEE EEEEKERS AOR_1_902084 MRGILGLSLLPLLAAASPVAVDSIHNGAAPILSASNAKEVPDSY IVVFKKHVSAETAAAHHTWVQDIHDSMTGRIDLKKRSLFGFSDDLYLGLKNTFDIAGS LAGYSGHFHEDVIEQVRRHPDVEYIEKDTEVHTMEETTEKNAPWGLARISHRDSLSFG TFNKYLYASEGGEGVDAYTIDTGINIEHVDFEDRAHWGKTIPSNDEDADGNGHGTHCS GTIAGKKYGVAKKANIYAVKVLRSSGSGTMSDVVLGVEWAVQSHLKKAKDAKDGKVKG FKGSVANMSLGGGKSRTLEAAVNAGVEAGLHFAVAAGNDNADACNYSPAAAENAITVG ASTLQDERAYFSNYGKCTDIFAPGLNILSTWTGSKHAVNTISGTSMASPHIAGLLAYF VSLQPAQDSAFAVDELTPAKLKKDIISIATQGALTDIPSDTPNLLAWNGGGADNYTQI VAKGGYKAGSDNLKDRFDGLVNKAEKLLAEELGAIYSEIQGAVVA AOR_1_904084 MSRSTSALSRSPSSATQRPAAPELSPVLDKLKVICGEDIVTSQV ASTATECLLRLRHTFIDNEHPIEAKEAFRHLHGFQTLLDLLRKVSQCYDSHGSSKDER KDLLSVYKDALAVLAESLRDHVGNKRYFANRIAGGGEVTLEEAFTNLARKVDSIQGDT EQFYGAILAAALCQETVASIFTTLSTKFQTAEELSLDGIREEVDRCVGKSETVEVPEL LGPFVRVWLTQSSASIYEHRVQRLAVPACLVQLAAQSQRNITVLHSTGIITLILPLLF NEERPAPERQLYQELAKMLCSFQTYKASAALNLRNEHMHAGKEESSDIVTAIRRKAST LIREECIAVNVSPLSVLDDDDSNNIDETRLVKYLSKQAAKNLHQLTKSGGNAVAVNGS TPAINDALTQAHGIGILTGDPVVAIPQALDDASWRIGGCAAVHLSLVHAARSAESTLL AVEALYEAVQDNWRNSEAMEKENGYGILAALLREKLAWPVTAATTGSKTSNVCSTYEE RSTLAFELLRLTLKFVGYDFDQPNRSIITNPLAYRVLLVDLEVWRYGEMPLIELYYSQ YCIFASESKFRRFNAKRLARMRVNKKLLEALKGEEFTIEGLRLFTSAFGSLMEGCLSA DLLRFLALFITYGIHKPKEPSRLQKKKSLRFNAAARLPTPNPDKKYVSSTTIAIEMLR MYCSLLCNAHDVGPIKRFAKAVTNKWLLYLMCEDEPEIVVLATKIMARLIVIHGGSYS KKFNDKTGGYIIMRHCLKKWWSIPIMWPICFAILFGIDIGKANVDKTFDQLSLSELFC LNGEAQVVYPEMLPVISDMLQSGLKQTVFAEEVSLVNEQNHDALQDRLAHLAKSSMSS SAPAGTTDAQLSLLTAIVDFFAVAQIKSQSYREFAARPEYVQSLVSILFPVVIGSDSV SPNVEMSSQSRTGGLAFDDHSLVHRPRSRRTSELQTTTVEQPGSQDDSGGPLRRGSSF ILVTSDRGKHIPSSLRIRCAFSHVKIDSKGTTNHPLITAILNLALSVFSEQLLERKEF SGLGLYLKTPPGFPEHQAYFNSWVLRSLLSTLQDAIFSRVELLSEPRVLTNLGRFATH LGEAVYEGWFVDGATATLEFAGTVLEYLQRPEVSRLKSIRLCSQAIATIRSTVFRVVL FGLSEVDGTEALSFLKRLSYWQVVLLSGETQSDHLQLLCYLLYTKLIDKNENVRLAAA GLFRIMLVQKPTEMSAILSQATEPLQQRLSGGFEALVGMEDIAFLQWVDDQADDLNAL FFGILSKAWETFVQEENTNLESSARTRLSRRQEKLKQWGQVEKLSDEMIRKHEATFPH WVSNISASEFLKYQRALQDQQDSSTFMWAALAHLNMDLRRYGGVFAEQKERRWRLDQT EGRSRMRLRTVPDDSRDRQDYQPKRKASEPPVMRLDTNVTSPNGDSLGLTPTAMAADH SEGNSQGIGPDSRSVFEESFEMIDDPKADLEDYEDKNRKVMRSLHRGDQVDSVCNMSR IVGLERSDGEIVNVWQAPTEERDPYVRMITGRESNERKSQEHETRSWKWSDLISVSKR RFLFRDVALEIFFTDGTSYLLTLISSPARDNLCSQLATKAPQVTGSVCHSRPEDVWRF ETLRSPEDAPQSLGSRFASVFGHSPAHPATRKWVKGEISNFHYLMLINTLAGRTFNDL TQYPVFPWVLADYTSEELDLTNPKTFRDLSKPMGCQTPEREGEFRERYKAFAEMGAGD SPPFHYGTHYSSAMIVSSYLIRLQPFVKSYLLLQGGTFDHADRLFYSIGKAWESASRG NMSDVRELIPEFFYLPEFLVNSNKYDFGVLQNMTTAIDSVELPPWAKGDPKIFIQKHR EALESPYVTQNLHHWIDLVFGCKQKGEAAIEAVNVFHHLSYQGAKDVDAIDDPVERLA TIGIIHNFGQTPHQIFNRPHSQREDVRYKVPRLDRLAESLTQQPLSLLDIGERVSSLS MKQDRLLCAAALRLNIPPAYDKYMEWGFFDGSVRFYSADSRKLLGHFEHLHIGQLSAA IFADSRTLVTSGTDCTVSTWTFTSTAKSVDLQPAGSLFGHRSPVTVLAVSRSFSVLLS ASTDGQIMLWDLNRQTFVRELPAKGHVDCARINDVTGEIAVCRGSRISLYTLNGALLL DQAVCESEDDQVMSCVFYEGVNNEWQERELLFTGHRRGVVNIWSKIVHNGRFEFELIR QLHHTDSSRDNGANISSGISCILTLPHVVYTGDEAGKVYEWSCVQRR AOR_1_906084 MSSSLEQLKATGTVVVCDSGDFATIGKYKPQDATTNPSLILAAS KKPEYAALIDAAVEYGKQHGSNVDEKVDATLDRLLVEFGKKILEIIPGKVSTEVDARL SFDTQASIDKALHIIKLYEENGISKDRVLIKIASTWEGIKAAQVLQRDHGINCNLTLM FSTVQAIAAAEAGAYLISPFVGRILDWYKAAHKRDYTAQEDPGVKSVQNIFNYYKKHG YNTIVMGASFRNTGEITELAGCDYLTISPNLLEDLYNSTAAVPKKLDAASATGLDIPK KTYINDEALFRFEFNEEAMAVEKLREGISKFAADAVTLKDILKQKVQA AOR_1_908084 MVKAVAVLRGDSKISGTVTFEQADANAPTTVSWNITGHDANAER AFHVHQFGDNTNGCTSAGPHFNPFGKEHGAPEDENRHVGDLGNFKTDAEGNAVGSKQD KLIKLIGAESVLGRTLVIHAGTDDLGRSEHPESKKTGNAGARPACGVIGIAA AOR_1_910084 MPLEARVKSVLSGDTVVLSHVSNPGQERTLSLAYVSAPRLRREG DESYAFQSREFLRELLVGKVVQFNVLYTIPTGAKRDYGTIKLPTFEVLLPDISVQEGW VRVREEAGKRADESEETAALLQRLRALEEHAQSEDKGVWAGAEKGHTETTYELSDGKA LVEEYKNKPLEAIVERVLNGDRLVLRLLLTPQEHLQVVVAVAGVRAPAARRVNAEGKE QPAEPFGDDAHQFVESRLQQRKVQVSLLGVTPQGQLIATVLHPNGNIAKFLLEEGLAR CHDLHAPLLGADMASFRRAEKAAKDARKGLFTGLVAKGPAGGAAEDYIVSRVLNADTL FLRNKAGQEKKISLSSVRQPKPSDPKQAPFAADAKEFVRKRLIGKHVKVTINGKKPAT EGYEERDVATVIYGNTNIALALVEAGYASVIRHRQDDDDRSPDYDSLLIAEADAQKDG KGMWSPKPPKAKQYQDYSESVQKAKMEVSILQRQKRVPAIVDFVKSGSRFTVLVPREN AKLTLVLSGIRAPRSARNPNEQSEPFGQEAHDLANRRCMQRDVEIDVETIDKVGGFIG TLYVNKENFAKVLLEEGFATVHAYSAEQSGHATEYFAAEQKAKEARKGLWHDWDPSKD VEEEEEETADTTGADEASQRRKDYRDVMVTYVDPTNGRLKIQQIGTGTSALTELMNAF RSFHLNKANDTPLPGPPKAGDFVAAKFTEDNEWYRAKVRRNDREKQQAEVLYIDFGNS EVLPWSRLRPLSQPQFSVQKLRAQAVEAALSMVQLPGSGDYLQDAADFLEEQLYNREL VANVDYVSPEGTLHVTLMDPTESKNLDHSINADLVREGLAMVPRKLKAWERSAAETLS HLRSQEEEAKQERRGMWEYGDLTED AOR_1_912084 MPLWGSKSSQESDNAALESDPKNDPVASASQAAGTEWLAGHLNH LTEDQEKKLREFKKLSEENGYYKSAGDSGEASHDDATMLRFLRARKFDVNGAWGQFKD TEDWRKENAIESLYENIDVESYDAARRMYPQWTGRRDRRGIPVYVFEIRHLNSKNMAA YNSTMTDPSATAETHKSSTVPQRLLRLFALYENLLRFVMPLCSQLSRPHPDTPIVSSN NIVDVSGVGLKQFWNLKGHMQDASVLATAHYPETLDRIFIIGAPSFFPTVWGWIKRWF DPVTTSKIFILSSAEVLPTLSSFMDPTTIPKQYGGQLDWQWGDMPNLDDEARKLVGGL ETPPAEGETKPNFIKGPVLFKGDHVEILGKVNGESRKRSVPVPASPAAESTTPAPEQQ KSDDALAAEVNEKLDLNGATEKTGNGDVAA AOR_1_1334084 MSTPEPVSDASERTPLLSPSSDETADDGVISPPTDSLRRVADSL PLSVWLVATIEMCERFAFFGTMGPMQNYIQNPRDDPLRPGGIGLGQAYATMVNQGFLL WCYITPVLGAVVAEQYFGRVKTIIYSSTIYICGLTMLFMSSLPIAQDLGVSLAGLLSA LFFIGIGAGGIKANVSSLIAEQYAGPNEAKRVLNSGEEVIVDRALTIERIFSTFYLFI SIGSFGPLLTTTIEQKHGFSAAFALPILVFLLGFIVILSSKNQYITRPPESSIVFNAC HAFWIALKHKGNLDYARPSYLAEAASASGSRSELEPESNLPWPDTFIDDLKTALSSCK IFLYPIYWAAYTQFVTNFVSQAATMKTHGIPNDIMPNIDTFSVLLLLPLIDRVIFPFL RRHGLPVRHIDRITLGFILIGTSMLYATFVQRAIYASPPCYNHPRAPDCLGGKVPNQI SIFVQAPAYILVAGSEILASVAGIEYAYTKAPVSMKSLVMAGYLSATSVGALLAMTVS PLTVDPLLPWLYVTLGLENFLAGGVLWFVFV AOR_1_914084 MKSNHLFLPRERELFGWFRERRAIQTALLAFSSHVGDGSLQVAA WPEVQEASDLISDAGRDLPDIQAEFEKLPVDFALVEPGFHIKQGKWAPVASRLLERAQ LARQWLSQRPEKEIVVVSAVFCIFLRMTG AOR_1_916084 MSQPYHTLTKSLTFPNLDQYQWWQQAGPTLSKLLSTANYPIDQQ YQYLLLLGLHIIPMLGPYPSSQRPGLYKSPIGGIGTLELSQNFTKDKNTVRMGFEPVH YMATTGQDQCNQLIMNEALTTFKRLGATIDLSLYHSLVSGLTLSDTELAILREKDELK KHPTKSQHVLGIDMKGGDVLVKVYIYPQLKALAQQVPVSEMIFSALGKVDNGKLGESG CLSVMKEFIADESVNPARTPVTFLACDLLEPSQARFKVYIAEFQFDLDTLSRNWTLGG RLNDPETLKGLELLQELWTAFNLPQGLREPPKPGDSPVRLPFLYNFEMQSGRKFPKSK VYFPLADVNDRDIANVLTAFFEKHGCAELAKSYTENLLQYFPGVDLAESVALHAWLSF SYSEKTGPYMTVYYQWPDSFNQCHLTAASS AOR_1_918084 MTSDYCWGKTKSWCDTELSFQPGTNQFTMKGESGLHFWMELHDW RQVTENDELKYSCQWQVDFQVNKDLGIYVQAHEPRVIEGPGNKFELSHYRRSSSPRTS LKHVLEHARAYFKESLANISSVEEKLTKELNHESKFIFQSYGVRSENPTFDQYGNVV AOR_1_920084 MAFAHCDYTVAWICALPLEMAAAKVMLDSVHPPLSQPKSDPNGY TLGTIHGHNVVVACLPSGVYGTTSAAIILAHMLSTFPSLQFGLMVGIGGGVPSETDIR LGDVVVSMPNATSGGVIQYDYGKTLRDGRFQRTGSLNKPPQYLLTAISQMRSNHMIGE RPVLKVLSNIFHKHQDMKEKFSRPDKDWLFQSRYDHQGNNNPDCSTCDQTQLVTRVPR ETEEPCIHYGLIASGNQVMKNANIRDAIAQEEGILCFEMEAAGLMDQLPCLVIRGICD YCDSHKHKQWQGYAAIVAAAYTRNMLEVIRDTRTTCDINNPRAMNNNTRMISKQLFWD AYLLPILKKYNAEMEVICDRPHCFVEIKWPGLNWSWKCDWSIGKKAGRTYDWRPWNNN SW AOR_1_922084 MRLQATLLLLASCVPSALAIYRDEVDHIDFHHALLGTPSAHSTF FLKPSSSSDASLLYTLSEKLLLGAVNPRDGSVVWRQNVSRSAAAADNGLLRASDGTNA LVSAAGDYLSSWSALDGKLIWESWFSGERVADLELLELEDAASPSTAKDTIALFGGKA GVVRRLDGDSGKVKWEYKDESGDLPFQVSSSATDVFYISLQSALLKGYKIKVTSMDLL TGRQNQQLTLNSEGDISGPESVLFVGANTASPLIVWTDKAQKALKVNVIGTKQVSTIN IDNTSGEELRSITVHAPKKLNSLPHFLVHYQTQSASWAEVYHVNLQSAVVTKAYNLPR LEGWSAFSTSTKDANVYFTRITQSEMTVVSSVSHAILGRWPLQSPPMERALHAVSEVV PKGDSVAVRSAAALESGDWQLLRNGQPEWTRYEALAGALAANWAEEEYQEELAHQLEV EGHESLFAAYAHRVRRHIKDLEHLPEWLKDLPKRILTSFVTDEVSNLDSFGISRQVIV ATENGRVYSLDGGNHGAVSWGVKAAEAETWAPVAVVTQPGLAIVYTDDGSSVTLNVAS GEIIKRTPATTKLRSVAVLNDSPAPLVVGINENGTPVDSVDLPGFFVTLGNGRVLGWS ANNKKIPVWEFLPPQGERVIHATSRPAHDPVASIGKVLGDRSVLYKYLNPNLVLATAV GDKSATFYLLDGISGKILHASTQNGVDTTQPITSAMSENWFAYSFWGDVVHPSDAKGY QLVISELYESSIPNDRGPLDAASNYSSLDALPLPHVVSQSFIIPEPISHMAVTQTRQG ITTRQLLCTLPSTNSLIGIPRPVLDARRPIGRDPTPTEIEEGLFKYNPFLEFDGKWYL SHARDVAGIKKVLSAPTLLESTSLIFGFGGDIFGTRATPSQAFDILGKGFSKLQLLMT IVALTIGVVILSPMVRKKQVNQVWKAS AOR_1_924084 MAMSRLLSRSAVRAASTSAQTTKAAGDISSVFPSLRPDYKPEPL PPRFKDLKSQYFEKNEEVLKQSWKRLLPSLEEEVDKIKSKGSDIIPSVDYADVVSGNV PEKVLAEIRHRGTVVVRNVLPRGMAREYKERVEDYVAANKERVKAFPPDSPAVYELYW TQSQAEARAHPNMLDTQRFLQRLWHSSDPKTKISTRNPLTYADRLRIRMPGDSKFTLG PHIDGGSLERWEDPEYSRVYTKILEGKWEEYDPWDAKHRVSAKMDLYNAPGEGSLHVC PMIVHSTAYTILRPFFDTQTLQPALDSTFPGSVPGACQEYNPVTHPHLELESTMVSVP EVGPGDYVAWHCDSLHSVDKEHKGKGDSSVLYIPATPMCDMNVDYLLKQRQAAQTYSP PWDFPGAGGPGESGFKGALDWNSINPEGLRAMGLGNKPWEVTPDMSEGEKQVVKAANK ACFGQP AOR_1_926084 MLSLEDQIRSRQRFALHHKAAIDFDRETYGYDNDNKYWHQSRLF IHNISSRYTISDLPIVFYEYDMQELWYMIIQGAKITDAKHPAQDRLAGQILHAKEMGV LRRRNKTSGVEEEASTSHGKIWIDLPFLVQEFQTAWNAADELPAKQRHNLSAFIARLS AWGVCGSELCVCALSIFRDTFETRRPLAVTDDQQDDGLVPIADLLPAAVAWFELCGYK IENLCLLGHGFESSTLGELAREAQVVPDTGFSTSRWLFWRRRLEEISHCDHAEMAALA QWGVRVMQCWGERILAIDNSNNQGK AOR_1_928084 MAIAAAILAQLVSSFLMTRNAWVPWLLGLLCILLAMFAVPYAPN PAIENSLNENTMLDPGQHNARSMGTLNQEESLSSKHATVRSRLALVAKQLQEGTKVVY GNFSLIVLLAMSFLGELCEDSLAMVLLLYISKRYSWEFAQANYLWALGEAVQFVFLII LLPRISTMLLARFRMNAYAADFTISIASTTMLSFGSLLLGIGVSIPVAIIGVILMSTG GGLQSALRSLVTMVISPDDISVVYSIFTILHVLSTSLVGPIYSGAFTLGLKFGTEYTG LPFIVASTLAGLSLPLFLFVRPHLHYVPVQEASN AOR_1_930084 MSFSVEGRSAIVTGAGSGINFAFAKLLLENGCNVLIADLALRPE AQGLVEKYSIPSSAPRAVFQRTDVTDWKQLELMFEVAEKEFGEIDVVCPGAGVYEPHW SNFWRPPGTPESRDPQHGNRYALLDINLTHPIRTTQLALAHFVRRRTSGRPKHIVHIS SIAGQNPALAAPIYVATKHAINGLVRSLGKLDSKFGIRVTAVAPGVIKTPLWTDHPEK LKIVDTANDEWVTPEEVAQVMLALIQQDQVSEIIGDRTGQGPQFPVCGGTVLEVSKTV RSVSAINDPGPGTRAGNTVSDMNVLEDEVFGLLSQKGWGTPKL AOR_1_932084 MLDDEATVGIGPAEGLRNASRRRGIRGKRDPGFHGQASWISCVI NLVNTIIGAGVLAMPLAISHMGIVLGVIVILWSGTTAGFGLYLQSRCAQYLDRGTASF FALSQLTYPNAAVIFDAAIAIKCFGVGVSYLIIIGDLMPGVVQGFVGGTPDYDFLVDR HFWVTAFMLVVIPLSYLRRLDSLKYTSIAALVSMAYLVVLVLYHFVIGDTMTDRGPVR VIHWAGPVPMLSSLPVIVFAFTCHQNMFSILNEIANNSHFRTTGVVFASIGSSAATYI LVAITGYLSFGDTVGGNIVGMYPPGLWATIGRAAIVILVMFSYPLQCHPCRASVDAVL KWKPKASNSNDNSPHRHPLLGPRGNRTPEPMSDLRFSVITTTILVLSYVVAMTVSSLE AVLAYVGSTGSTSISFILPGLFYYKISSPDSPAHQRLMKEDDEAAEGIFSDDGDDNDD LDNQAQSLTESGILRRGTRHWRKAVLRKLSLALAIYGVVVMIVCLITNSLFIASH AOR_1_934084 MAANTRYEPAPQRDSFEERGYTQPPPSYQATAEYSQAPRSEDDN VPDDFKFGGMVAEGTLPIRMQFVRKVYAILTAQLLLTTIMSSISFFSDSYRLWIQSNF WLMIVSVFGALGFMLVTYWKRKSYPANLLFLTAFTVLEAYSISVVTSFYDARIVVQAL ILTLGMFVALTLFACQTKYDFTNWMPYLFGALWFLILFGFVAAFLPNSSTVELIYSGL AALIFSGYILVDTQLIMRHYHVEEEIAASISLYLDILNLFLAILRILNNQQNN AOR_1_936084 MATLVGNGVLVGFAGQALLSLCLSAWVFFLTKHGNMDITYPKGS MKREIERKRLDFVSNILMIGSDIQSTLGISYMITTFSLSSIMDLYHLHLVFDIVSFVG VSNTAALVCWRFVRAKIDASATNPHSKNTGGRISYWNGRYRATFFFVILYLALLILLC VRLNEWAPDTEPGRCYFSSLVTSPHASHPGADQIYVSITGSWLIVVILSSVFVGVRGR RFILILASLHFPLHLYMAIALRQANQGKFEGEVKHENEWDFGQTTAVVLLGIAVVELI AKGRDYYRFERYVTKHGVLPSEHANGQGPSKDVEAVGNGNTYPLDALSVKDEQTPEAR HLLSKRHATSPP AOR_1_938084 MKFAKELERELVPEWRAKYLDYKAGKKKLKAISRALQKTNRSPS HSSLRHLNHGDPSTVTASGPDPPSSFHQPDRKHPATDPTYNPTSPGRSGSRTSRSTPG RRSERQPLRVPGSRFSTTVGNYGSILATPPLHAGSSDVASFELPDPAIDLKVQDFDPN SEITRQDEPRSPSPVMARNGSTRTVPEISQLSPSANTDKDSKRNTFSGSNSKRASQIL KRVFTYTESQEKQDDQPSSEFQRRQDEFFAFLDDELAKIESFYQMKEEEATERLKVLK EQLHIMRDQRIQEVLSNKKGRTQHGHSHKPTGFGGLNGSRLKEAFVGRRIGKNSKALA ELATPAANQGQDAEVVNRRRDFSRRPENAVNHEVPYRSAKRKLKHALQEFYRGVELLK AYAYLNRTAFRKINKKYDKAVNSRPPLRYMSDKVNKAWFVQSEVTENLMAAAEDLYAR YFERGNRKIAISKLRKTLRKSGDYSPNTFRAGLLLMAGILFGIQALIYASQHFHHPDP IIPIHTSYLLQIYGGFFLIVFHFLLFCLDCIIWTRSKINYVFIFEYDTRTALDWRQLT ELPCLFMFLLGLFMWLNFLSVNAMYIYWPVVLIGITVIVLFLPARVLYHRSRKWWAYS NWRLLLAGLYPVEFRDFFLGDMYCSQTYAMGNIELFFCLYAKHWTDHAQCNSSHSRLL GFFSCLPSIWRALQCLRRYADTRNVFPHLLNFGKYIFGVLYYATLSMYRIDRVTRFQA PFITFALLNAVYCCVWDLAMDWSLGNPYAKHPLLREVLAFHRAWVYYAAMVIDVVVRF NWIFYAIFAHDIQHSAVLSFVVAFSEISRRGIWTIFRVENEHCTNVLLFRASRDVPLP YEVASPHTETDQPTEEMVVQEPQQPTPQPPAADIEHGTPPTPGTSLRARGLSRVGTIL ASAHAQDFQRRKRPEDLGGASASHGNADTPEDSSDDDDDPTDTRPYSDNITVEDYASY GDNDRFLH AOR_1_940084 MPFGWGDAENAHQQVQEGQHEGHLSHDLIAGAAAFTGMKAWEDH QRKEGKEVSHSTAKQVIAGLAAAGVTRLVETKGLNAIDEHKAKKQAEENAQRLYEEHY ERGQNAPHFNPNEHKPHPSFERNRFDEHPHHEGRPQGGQVDRW AOR_1_1336084 MRWTFSFTSLFILWIFLFTNALAQDKLCMDNMGPIGEVASKQLG PVFDKLVCSKGIKPSKADWKWLEPKLQPIINNIKKCPQKPALPNYKPKVEKLADAIVA KCTKPNHNYCKDEDLKAIKSCAVAEALGWGMMNMDMLKYADKKNCEKLVPCLMNPKTW APGKTIIAEYAKHKGAHA AOR_1_1338084 MHMLQRKVLALPPLTIKTFSRSISFTCRGEPIRYEQLFEYTNGR FLVNERSEKAKRYTEFNVDALCTIVSSLPSVSSPISKIDKMEGGFNKVMLMTAENGKE VVAKMPFPRIVPMEHSTASEVAVLNYVRAHTAVPVPNVIAWSSDASNPIGSEYILMEK ARGRQLVDVWGDMNQLQRFKFIKNLARLEDIVSIIDWQFLSVMPAFMQVQWPYFLSPP ENYETGLIKPELPSNFDEMDPDEKAFAVAVNDQALLSKCYEAALTKNHLPSCFALTRV HSAMRHLFSSCETTWKDGIIPLRDCLIQISESWRELGLPGSFPFSITDDDLSKHRLEL SRYMDWHKLKSYTQELLHSDDDGWVSPQLDFGKVQERHAELYQLYLQ AOR_1_946084 MNVGIVGAGISGLYIALSLQRQGHNVTVFEATPRIGGRIYTHRF QPLNEGEDVYFEAGAMRIPRSPLHDRVFHFVQYLNTYGKPEDQVELIPYVIEHENNIA YVHGHKADLSDTEHGSRLGIPQPYRGKSARELLGEVVTPWLQLLERDFDKGFAEIMKY DMLSFRSYLALVQQWPHEVIDFVELMTSQTNQYDLSFVEIIMQNLDFNVREWFTVGHG MSRLTQGAAKLVGLQNIHTSSPVDRLIENPDGRITLHAHGPIPFTGTFDKVVLAIPPA ALQGIRERPTWSFMKEQAIRSAHFEPLYKIGLHFRTRFWEQLNAPSFGGQSATDLRFR WIVYPSNDLGTSGSGVLLLYCWMNDAYRIQSVPRDQRVRLALHDLQRFFADTGVDIYS QYVDSFDICWSNEYVTGDAMFLPGQFSRFHRVAAKPEGNIHFAGEHLSRHHTWISGAM ESAQKAVQEISGEVDARPLGEEEYTRQFVKAIETPPRRVDAIPEHERQILSRL AOR_1_1340084 MNSVEEQPKPASADAMFPTGDHLVLDVKENPKTWPTTKKWVCTT IVVMMTATVAWCSSIYTAALPDIMQDLGCSQIVATLGVTTFLLGFGFGSLLFAPLSEI WGRTQIFRLTMGLFVAFQIGCALAPNITSLIIFRFFAGFFGSPTVSNSGGSITDLWPQ SNRSVPMACFSAASFLGPVFAPVVGGFISQYAPWRWNFWVVLILSGVVYVAVLFFLPE TYPTKLLYDKARRLGRENEIGQPQVCQQLRSSLTRPWLMLFTEPILFLLSLYMAFIYG ILYLDFTAYPIVYKETRDWSPGMAGLSFLGIGVGMTLATIGSPYINVIHRHFVLRLGP NPEARLPHLIILAWLIPISLFWFGWSAKPPTHWICGIIAGAPFGFAIIPLFLGIMAYL TDCYGPYGASALAANGVLRSIFGAVFPLFAQDMYKGLGVSWATSVLGFVSLAMTPLPW MFYRYGPRIRARSKYHQKTAADPWD AOR_1_950084 MSLRPPAAIRRPSSNVDGISHELSRVSSDIGRNEQLDSHGQVLL SNEWDKKEGWPVVAAGSATFFVYLGLVYSYGIVQLHLADAHLASASTLSFIGSLGAAM SPLMGMIVARIIKRIGYRFTAFIGSIFLGLGEFTAGWSTQSVPAMFATQGLLFGIGAA MLFLPAATVPSLWFKKKRGLATGLVYGGAGLGSAVIAISLEELISVTGLEGALKILGG MAWGICIPASYFLKAPSGSARAVSSIQWRLLRSLRFILMLLMGAIATFPLFVPPFLLP LYISSIGFSSRVAASILAAWNFASALGRIGMGFGADIFLGPVNSMILSLTVIGVSAMA LWPFASSLGLLIFFAIINGMGSGGFFSLMPVVVGAVFGDGQLANIMSMLSTSWTFGYF LGSPIAGYLLDAYGGTDAGLAAFRPAIFYAGSLTLASAGLLLSVRLMMNRKIFARV AOR_1_1342084 MSEYYEVFLIRTTLAMQDPDLPGPRFHTTIFVKTGPNGNGTIHE VTGDITSSEGMYYTRTPSEAPELSPEFHTNGVPTPPQQKAFNVKTMKTEPFKTKDPLT FYEPGEPRRQLMKCTEWTMERAIPALKANGLIIEG AOR_1_954084 MSETQVVNLIPSLRQAGLFPSSAPLIPEDFTPTTELQVAFGEKS VSLGNLFRVSDCKSAPKITFAAERDLESPEKYTLLLIDPDAPTPDDPKFAYWRHWVVS GLQPSGAPETVQTLTEYLGPGPKDDSRPHRYLFLLFREPHDLSLSKEDVGGEEFTARR SFKAAEWVQRHGLELVAVNWMLGAGDGWHE AOR_1_956084 MAPSSSTPNNMNTEDTPNIPCLTVTDLKDSSSFPNLWIVDPSFI GEPYFTPKEADTIKKTMIDGKEFTQFVKEELDKRLDRRSKKRSESGDFRICAAHDLAP IMAQALDIDLKRLEKDKNFANLVEEKGLHLGGESWSGLEKKLFSPKKRKH AOR_1_958084 MPTKTPTQADFPPHLNISITAPNTTTTSTRPPPNILLLLHGIGD TSATFSTFGRALNLPETTVLTLQAPTPLPFDLPGFHWGDDISFDSATGALDMDAGFAR ATRTIVNEVIRGVLLQKCGYKLREIMILGFGQGGMVGLVCARELGIQPKVQDVGDSGA NDGAGIGGDMALSGVISIGAPYPISGSVVGEKNRTPVLLVAGRDSEVVSDGAVRRTKG VFEFVEVARYARRGDGMPKSREEMLPVMRFLARRLRSWQGVPEGSVEIA AOR_1_960084 MPPKLRGSLSKGLPRAGEKAAAYYCPSCATRRRTLSTRRNGIVS PRLEAKRLSLFSSRPITTSSVIHARHVPPRLRELYEALNKIQGVATEQVNISRLQLAL RGLESETPIIRVAVLGLNDATAARKLVRLLLADPLNTRESWEDALDAYDSDPTQGLLI RYGEVSESIPNNLLPTISVPSPILKKGNLEILVSTLGAETELSDAHFTADTFLVPTVT IPTSHSGRHNAVRYPVHRTVVCGRGVDGLVAYNGLVSRADLKKETSSVYGAVDLPVTA PQKSNDRIAFVDIDRADEALEKFRESVQNASLYERGWNGSGVQAVQDWLSSLPTEGAL DPSLKSLITSLIDAAEAGVAADENRLSQDQKAATISDDVRENLDRSVTEWAERGHIEL RSSLEEGFATKKWKGLAWWKLFWHVDDVGMITSEILEKNYLPRAEKEVIWTAGRFHQA GLGMQLKDAPQPAQQLLNDSTNGESESDELWPTQITTSRNRLLETTVPSLQAMAQRLV LFSMSTTTLTSALSALTYVSIPTASVYETGTIVAVGLIYALRRQQKKWESARTFFEDE VREEGRTALIKTEEHLHAVVQEGGPRNEDVAETEARKAIDRARKALEQVD AOR_1_962084 MDRTIARAVTEKKPVPEIDFTLHTMEDGTQVSTLERVVKEVQAP ALQTPTDEQFWSPEDPSKPNLQFLKQHFYREGRLTEDQALWIIQAGTQLLKAEPNLLE MDAPITVCGDVHGQYYDLMKLFEVGGDPSETRYLFLGDYVDRGYFSIECVLYLWSLKI WYPNTLWLLRGNHECRHLTDYFTFKLECKHKYSERIYEACIESFCSLPLAAVMNKQFL CIHGGLSPELHTLEDIKSIDRFREPPTHGLMCDLLWADPLEEFGQEKTGDYFVHNSVR GCSYFFSYPAACAFLEKNNLLSIIRAHEAQDAGYRMYRKTRTTGFPSVMTIFSAPNYL DVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSLPFVGEKITDMLIAILN TCSKEELEDDTPTTVSPTGPPSPPVPMDVESSEFKRRAIKNKILAIGRLSRVFQVLRE ESERVTELKTATGGRLPAGTLMLGAEGIKQAITNFEDARKVDLQNERLPPSSEEVYKR SEEERQAALERAQREADNDAGLATVARRISMSAGSGRSRRQRDAARESREA AOR_1_964084 MYESYRPHPLLAQVPLTVSPFINLPSSVTLPYTYKSLPSTLPAS VTVDPNNPDTKPRYVVSASGEHAASAEEILASCKSLEELLNKNRTDAEKAIQNWEESI VQRDLAEKRRVAPGWLDREEKLLQPSRSMAGPRPSGQPEHSLLDSLSSDPDASKLPSM KPRDEGEELDRVFGGLNVK AOR_1_966084 MEPLQTPPETSSFVLLADHQSRTPSSFHSGPAVLHYHSKHCKLS ILEHELQANPILNSLRSADATPTANGTENQNGSDAEGKEIVIDGVDVWVTSDKFLLYN PTHQKGLSIPYPSISLHAVQRLTLPDTQSEVQGLYMQIAVPEQPGVAQEDDFEECITL TVVPPPESQQQQQSEEDNKPDETPTQALYNAVSACSNLHPDPMSDDEDEEGGSGLLSS GLVEMGSGDGGLPPPVDGSSGWITADNMHEFFDEEGNWIGGGEEPTLSLGPGAGTVRA REGEDGDGDREMQEDEETKWRRTD AOR_1_968084 MLELSSVVAIAVVCAAICIVGGVSGTLVWLRVRQKRHRVSSRKQ FLGMQTFPAETLTDLSREEGSMLRQYGQLPYGRPTEWGVLESHESLADDSDTQSQRTE KGHSLCRSLSGCRRQSKLLRPHRISSLTPLTESIDGPPVSRRGSSPRNDVSISAVDGA LELPAETSPRHTPEREQGNHDADQSIRPISGPGPSIYQREHLSGLFPVMEDRHQSFDL SGARPRTTSIIGQTPGAAPDKPVPPPPCAYPPNRFRLSKNDSLRYSSLSLETADSSIM NESRRASVGMDSVFTSPALPPCPTFVPYSANDVGKSVALYSRPPAPFVFPAGSLPVEV QRLEPDRTSPRRSLTARSPVPSMERTGAPPRRSESLNVRPPRKESLPRTDIERIHPLH MVGRNPALLPHFSQLQRHSVHGSQRRENDPFYGGVSPGFGRGSTPGWSVSPIPESQLA PANGYLKPPLASAMRGGNRPRKGHRRQNCVRIAIQPPITFGGTMFAPMVEEPEELDEF DRHASQVSDLSASNISSLRSSVSGFSMSRTGSDQQGDVSRITEISSDSRPPSYRNSTY LASSKKRKHSRDDSIDSVLSTLNTGMDKTLPEIITTLPPSLGDSLTETPSPDKPNPVW MAPKYSGSPTTWENAPSPGSPRRSAVKGPRSQPARPRRNSGRSHLLENGANATSPLIR PRSQSLKHGSNRKSTDSVQRAKSTATGSPRHHSQQMNEQEKPATGGSFPTEQQRYTQP PERPPSRSSSRAGNRIVPIWEDHDRAPSCCPTKKSAISIISEESGPAELHGESSPRKP EHLKSARPEFSTPVKKTVGLGIGAATPGSLYDGDGFLKE AOR_1_970084 MAANPVQNGLFVHENTTPPEHPSLLSMFSLKGKTAIVTGAGAGI GLAVANGLAEAGANVALWWNTNDKCPERAAEIASKYGVQTKAYQVNITDAEAVQKAVD QTVKDFNGRLDVFIANAGIPWTQGPMVDGPLSHYTDVVSIDLDGTFYCAKAAAAHWRR QKEEGTDLNGNKLTNFTYGSFVATASMSGHIVNFPQMQAAYNAAKSAVIHLCKSLSVE WVKYARANTVSPGYIATEISNFVPQETKNIWKDKIPMGREGRPEELKGAYLYLASDAS SYTTGADLVVDGGYCAP AOR_1_972084 MKLLERITMNIAIQEALTCTTCTIDPPAPTSGGDPGSEIDGLDE DVSFATEQRAWREESPLGTVVAAGIEEETLLTTPTPAFTSGYERLMTPSQIELYEERI DRFISQDPDRDKELARYYVDYHIHVHYMSHRGMLSLCRDNDDRDELKKWMWGLRKYEE IERMAGKSDLQIPDFSIPDKAPWPDDCDLMEHEEGLWRKWEPSRQRQVQRSKFKWKKI MKEREKQRQSHFVQLRLAHQKCKVQKVNIYWGRGILREVALDSPW AOR_1_974084 MDNRSGAISTPNDSSVSSLRNTANSGKPQTTSTLPAPPSDYSSV QNVSSSMMDVDNTTVAEDRSRRATSVLSMDDIEAAQALEGLRTEYGQPPRNVSQQTSS SDSKPQPEPLLSLLTSTHPLISSAINGSVSAYASSKSYSPRFKSGAEFLERNIGSPVA NTVGTVGRKTGVESGLRWALQRRGSGSTDPKRSKRRKVSGDKHPTEVDLEKGSDETMP SRRGRSSSDLSMGEPLPPYDDQTSPSYEEVGRPNSSRPNPTWQSRLVISTSGLGVAMS EESLRSLQYCLTWLRWANGRLGKAIVALQGALKEWDNAKKDNDTSQDTSLLSQRIQAV REDVLSTLKQVVDVVSKYAGGALPENARNLVRRHLTSLPHRFQVASTSNPPPDSSAAS SDATIGAHRILVLAQEGLDMMAQVSGVVNDTLVSAELWCERLGKKRPDGKPQNEAKQP ESHNASSFPPDVKQPIRESSQDVPMTGTEEKV AOR_1_976084 MRFLQLLAVSLAAGMLPPDPPILKAEFEASFIQHKWNQNLTHIT NGFIYNSPSQNSVRVDEAFDGSLTSSFFNYANTTKENLVENTLTTFSHKSNKTTVWKG FVNSGFPLFPEDILVRYGAVFGGLVRRRFHEDLVAAWNIMYQGAIPVTVYVNNCNVMV GYDYFAPELRTRVVTEYFNIQA AOR_1_978084 MAFPHTPKRGKDLFQLIDKLNEQFGLDIPNPKIHSPSLEPDRKS LRWRVHLGIKRLHYDRNANINETLNNFEEWVASRPEWSNGDFPPNNRSKRDPCTNKSY ASVSESERDERLIYLAKLIRDELYILTKGSYSPFFKGLDGIPEKATEQSSPSKGLKRR MSEEEDEFRTAPNSPVNYPVQGSTLEDAFDLVNDGEGYSLDPGPAQVFKSPKVNATRG PSAFVQRLTAPDKFRRYDAVPPTQDDPNVSFATTEPTSIFDSRDDRIDTSFISTITDA TVPMCDSDYEDSVVNHMLSQELKMALERSQLMDETIVHEPPESVEQRLIDDLLHYGPF AQTYSFPGSIPLRYRYELERIGRAWNISSDRMLAGNSISFKTRDGFWEWIKGHNQRNG KPLPEKPTTKAWDSAIGSFKTDKHSEVVVLTGDLEWCSEHEPGIFKMNLNPLKTERTC RFHRRFGSDRFLSLTMPAPSRPPRHFPLPSDPSLLRETIALWLTQNVHRCLGRIWKPF FVEEIKSKRKVKAEPKFRVDFFAIDGVDFNKNTHIPPIPLARQNSESHTPMSLDSLLN WHMSRDDNIKQANCKLFQRISLGLSKTFATVALKPSQILPLEEPEGTPVMNDGCALMS RGLASRICDSLGITGATPSAFQGRIAGAKGLWMVDKHNSTISTESDVWIQISDSQLKI KPHPAGWQEPVDEEKLTFEVVKWSKPLHTVDLNTQLLAILEHGGQIKEYVAGLTRAGI RAVCEDFATVVQSDSPVLCRSLIQKMKPSAESSSAAMLHKVRRMEEWMADDAEAVVRL TEAGFAPRTFRPLRKRLRKCLIGLLDQYVEQLHIEVPLSTYAFCIADPYRVLKEDEVH FGFSSNWRDPEGQFEDNLLDGMDVLVARLPAHVPSDIQRRRAVWKPELRHFKDVIVFP TVGTTPLAHMLSGGDYDGDTTWVCWDQNIVQKFRNSDLSTMEYPAEHFGLEEHNVPMK DIDSWDEFLQSTFTFNLTMSNLGRCTVEHEKISYDESIDSPNAKELACLLSHLVDGRK GGVRLSEQAWRAYRKRVSPRARDVPAYKNPSRRPKLTNIIDYLRFEVAQKEKDNVLRL LEGSLAKAGTTYEHDRDLTKPWDEAREQAEEDRREGGQLHVILKNVAQEIDDLFDRWT RSVTGENSFSAVSLEIADQAKAIPPPEGDHPMILVWRYSNDAWLQLLASYLYKKRPES SFVLYAFGETLCELKASSVPSRSVVNEILACYRVNQKVVARLTARDTVEDEDSDDGNE YEGADAIAMLQATKLSGGYYDWDDDLSVE AOR_1_980084 MSKTKVLLVGAAGETGGSIANGLLENPIFELYALVRPRSVQKPA IVSLQDRGMQIRRCDLKGPEESLTEALEGIDVVISCVGPAEQQDQIPLAKAAKRAGVK RFVPCGFITVAPPGGIMWLRDEKETVYNHVKQLRLPYTIIDVGWWYQLSYPRLESGRA DYAMTSANNEIVGDGNTPMGLTDLRDIGRYVARIIDDERTLNKMVYAYNTVKTQNEIY DLLEEISEEKIQRNHIPEESVYTRVLAARQSSETYPFDPVKFIPRYLAEYQLSWGIRG DNTPENARYLGYLIAKDLYPDFRPVDFREYLETVVRGTAKGVYTDRMVSKVHQRAFPR TESTDSLYTRIFPRTESSDSLYMR AOR_1_982084 MSSEHHNTAAPGLLSTTDNDSEAHSCSHSRSETQSLFNSYGAVK PNQVTLIAIGGAINTGLMIASGNALAKYGPASVLISYTIVGILVYHVLCALAEVASPG PSTVADHAAKFCDPSLGFTIEWIYWLKLLVVTPNQLTAAALVVSYWLDADIVNPGIWI TVFMLIILGVNYWGSRFMSQYEFILSSFKITVVLALMVFSLVLALGGGPDHDRIGFRY WETPRAFAGDHTATGILRAICRTMPSATFAYLGSELIGINILRTRNTRKTALHATKLT FYRILVINIVTVTFIGMLVPFDAKELEFARPTASSAAAFVVAVQIAHIAVMPHILNAC FLLFILSAANHSLYMATRTLYGLSLSRNAFAFLSHLDRRGTPIYTLFVCSAVASLAYL NIQEDSKCLFNHFVNLITMFSILTWISILVVHLTFARFPKAKAEANPLTFRAPFGVAG SWAALAFCVFITAMRGFDTVDSDGGPNKVDYKAIITSYVGIPLYLLLFIGHKLYIKNR KCQLDIEPIEMVS AOR_1_984084 MDLDGPAHPPPDGVTPNFDNPTNRNALAIGVLAACVAVTTLCFL MRIYARVYLLRKIQLEEILVGCYWGPAYTAFAMVKMPGYFVHQWDVRLRDFMPTNYYV FIFGVCYSFVLPFLKIAILVEWCRLLAPQGLRSRTVFWWGCMATIGIQVIAGVAIVLT LNLQCIPHKAIYDLTVPGKCIDLYKIQLTSASIHLTCDVIMLLLPQPVIWTLKMTWRK RLGVSFVFSLGVLACASAALRLDTIVMYRNATDPLYSLAPVVLCSMAEMTCGFFIFCL PCIPKIITETGAIRKIKRVLGMKTTTTKPSGYSENYGTGMSAYGSSSYKMSNNIRREK QKGTESMEYLHESILEAGGIIRTTHITVTEESRAASEDESKNAACPYISRTHHVE AOR_1_1346084 MAKQEVFYLQPLGWENDPDEERYKVSTLDYLTARSYYNYALFFK LDNVDKPKVVDVLKAGLERTLSQTRHLCGTIEKDSTDGYSFVKRKDSTVRFFVQWLDS PEENFPSFEDIEKAHFSATILGDLNLWSVSPMTYVFIMHHHHYANDVLGWAGFTHQLA ENCYAIVNQTPFPTWDLACLDRSRLLKPEVPEEAKVDGPASPERHPDHTVAVSLLFHL PRGKAIELKQLATPTDGSWISTYDAFSAFIWRTLTRLRAPVFKADMSSNIFWSEAVDM RRRMVNPKVPPRIQGNIMFAAFSPTAPLTDSMTEESPSQALDVIATIRDKAAMNVRIN SYPPMSILQTDHRDVNMNAANFGFATPVTYRHLLDCISEGVIIIYPPRTTGPDSDEGC EFAISYEKSLAQELIDDPEWNKFFEYRGVDAEDAVHSKLC AOR_1_988084 MRQTFLSSPITPPLITMVNYIAHPFYPPDIDLIGYAANTMSIPV LLSVFALATLTIVGFTSAVLKKINPSLSRQDKMLACWFIFSGCIHFIMEGYFVYNHKI MPSRLDLLGQMWKEYAKADSRYMTMEPFVLCMESITAFAWGPLCYLISWMIVANSPYR HPTQMIVSMGQFYGDVLYYTTSIAEEVYHGRSYSRPETYYWWGYFVFLNAFWIFIPVF CIYQSYSVMAAVFRQHAVHPGKKSL AOR_1_990084 MVQTIYVVTSGRDSAEIYKNTNTMSFEIFVRKFTRSCGASDELL DRLYGVQTASAMPVTFAGSEPNNESKSLGERTHDFHGIQLLPGAHLPEVTAIFKDFFE EKLRMRYFSQGKPYITSTGQGWVSLKLLKFVSDYFVDAGQRVYFGKLLGEINPNLIST FLELEDRSWQILYEIPAPFARKAHQARDGIIDAIQKWFDTAPGDRPDGSWWMSTMEAE MKSLAFSSHTIVGGKVLRKGNREKTR AOR_1_992084 MTSKVKVAAVQAEPVWNDLQGGVSKVISLIKDAAKNGAKVVGFP EVFIPGYPWSIWTNSAIENVSFMNEYFENSMERESEEMERIKIAVREAGVFIVLGYSE RYRGSLYIAQSFIDPTGTIVHHRRKIKPTHVERSYWGDGQADSLKTVAPSAFGNIGGL NCWEHTQPLLRYYEYAQNVDIHVASWPCLWNVPSWTYHASDEASSRFSQVMAMEGACF VLVCTQIQTPEGKVRSKLPDFDWMKLPGGGFTVIFGPDGAPLTEPLDPGEEAIVYADI DLKDRIKAKQNLDIVGHYSRPDLLSLRVTTEAAATIHFNNT AOR_1_1348084 MANLKLFFRQIWTLTLKNLLLVLVRPVFTTVLRALVLPVIFTAF ISYARNLFIEPATYGIGNPAPLQPLSETLHAITGGRDKLVFVHNDFVGGPIEKVINTV ADSIQLNQDQVRSHLLSSESELQELCRTSLSGVSTCIAAAVFYSSPTEGPYGIWNYSI RTDAALGAGIHVDSHKNDQEIYLLPFQHSIDRAIAQANGSTDQNALPDEIMEYPFTSL TQEERRDEIRVNYMGAIINIMAIAIFIGIVGVTYQLTGLIAMERELGMSQLIDCMMPN ESRWQSQAARFIAAHLALDFVYGIGWIIMGAILKYGIYSRTSAGITIVYNILAGLALS SFSIFGASFFKRAQLSGISVVIGCLLLGVIAQMVPARTNGAVAILGLIFPPMNCVYFY VFMARWERQDQPTDLVHAAPDNPWSLPGVVLWILLIIQILVYPVLAAIVERILYSTAS KGRKATSSNASTTALSLQGFTKSYTPGWFYRNIATRFGSTRQPVLAVNSLSMDVKKGQ IMVLLGANGSGKSTTLDAIAGLTKISAGEINIDYGAEGGRFGLCPQKNVLWDTLTVKE HVSIFNRLKAIKSVDTEHQLLGLLHDCDLDKKINSYTRTLSGGQKRKVQLAMMFTGAS SVCCVDEVSSGLDPISRRKIWDILLAERGSRTILLTTHFLDEADLLADHIAILSKGVL KAQGSSVELKHRLGSGYRIHVLNMPGTALGHMFNDIPQETHFEETVYTVQTSAEAASL ISRLEQEGVTEYRVSGPTIEDVFLKVAGELDSQKAQDTVVGNAQAETQPPEKQGKEDV HQGLQLLTGQRISMALQAWYLFRKRATILRRNPLPYLAALLIPVIAAGLVTLFLKDVT LAGCSVGSTTRTPKAQSLQLLDDFQIVLGPSSRVSNATLESFVSSLSGSGEAITGTPK SYFHVVDSLSEFEDYIKYNFHNVTPGGFYLGDASSSPTLAWKGDNSDFPLAAVIQNIF HRLLIDMPINLQFQYFGIPLQPNAGKILQLIIYFGLAMSVYPALFALYPTVERLRNVR ALHFSNGVRGASLWLAYVGFDFSIVVISSVLAIIIFRAVSDVWYNPGYLFVVFFLYGL CSTLLAYLVSLFSRSQLAAFAFAAGSQCVLFLIYLIAYMCIQTYTPTDKTESYIDIAH FTIGLISPSGNLLRALFVSLNVFSILCRGGEIASYPGEVTLYGGPILYLVVQSFALFG LLLWFDGGSVLSLLRAKSKPNDEEEKSTLDKDLNPENPQSPSSGPDNGLRVVHLTKTF HKFTAVEDITFSVNKGEVFALLGPNGAGKTTTISLIRGDTPPSRNGGDIFVDNISVLR HRASARSHLGVCPQFDAMDQMTVLEHLTFYARIRGVPDVPHNVNEVMRAVGLTPFKHR MALTLSGGNKRKLSLGIALMGNPTVLLLDEPSSGMDAASKRVMWKTLAAVASGRSIVL TTHSMEEADALAHRAGIMAKRMLALGTTNSLRSDYGNRYHVHLVHSLAPHTSEEDMQR IRTWVSRNFLGAVIEEKTYHGQLRFTVPATGSDSIEYDGYDDITPRSETDLDIIKGGK DIGNNSIVKLFSHLEESKEELGVQFYSVSQTTLDQVFLTIVGRHNIAEEGSGQISESK E AOR_1_996084 MPPYNYTPLPPGQNTIRMLRLLPHKDRTAPIKCELIEHPLKERK GQAYEALSYVWGSTENPSSIYVNSYALEVTSNLHAALSRLRYQRFSRLLWVDAICIDQ KSNVEKEQQIQLMANIYGKAENVIVWLGEEENDSTLTLARLQVAAEGESLLVDFNDTA LIALLERPWFRRVWVLQEVGVARSVLVKCGPMEMNGYAFSSALPGSRAKKKGTATNMS GNPNLEPSPGSKIDPSEAPTFIHSESTHFEAGLKGPKPKDGDTAMALFNDQELQEPID PVEARKLLWKIDFMILPYLAVCYAFFYIDKVVKDLFHTTLSYAAIFGINEDLNLHGTQ YSWLSSIFYFGFLAWAFPTNFLMQRLPIGKYLGANIFMWGVFLMIQAACHNFATLAVL RALGGAAEACADPAFMLITSMWYTRREQPVRIGLWYTANGLGIALGGLLGYGIGHIRG ALPSWKYEFIVIGALCSAWGIVMFIFLPDSPVSAPGLTQRERRITVERLRDNQTGVEN KHLKPYQILEAFLDYKMYFFFILGVVCNVPNGGISNFGTIIIKGFGFSTLVTTLMQVP YGALIALSILACVYLNDRFENRRCVFILIFLIPNIAGAFGLRFVPTDQQVGRLICYYL TGPYNAAFVLVLSMQVANTAGHTKKVVTNAVLFLGYCTGNIAGPFFYKESQKPTYSLG IWSMIVSHLIEAVLISILGLLLRWENKKRDKIQSQMEGGLEGRDLDATAFLDLTDREN LNFRYIY AOR_1_998084 MATFSPILRQLGCLRSIAKPQSLVSSQIARRSLTTVYTPKQEPV PLPSKLPKSFLSQIPPRQQPTNGRKKLKVYPAPPSSRTVCKDPVAAVTESQLTALDPT GERKALFDYRRNPRSVKVGDILRVTFKNGDPFSGVCLSIRLRGIDTTFLLRNELTRVG VEMWVKVFSPNVESVEIVQRTEKRKRRARLYYMRQPRHDMRSVENIVSNYLRQKSALS GQRGQGGRGRGQKRRR AOR_1_1000084 MAQNAIGRLFRGQSSSTLRQSIGTLTQTRCYSSKNAIPTFSPTS SAELDQALNRFREELFIPFGLGTQQRRLMFRQKYADRLEEEPVSVSVGEDEEPFLLRP MDPQSRPTKKEIVDVVTLMQTTKDWQNLIPFLSGLRMSHRVIKTDRWEWLVRRAGQAD ALGIILEAAKQSERTGLRLNNVNIVQRIFFELHRKAQRGEFKDPEVSKAFTLAKQFVS LMEAPEHIEHNLQLDPKRKPFVIGTLLELSAARAINELGGNDEGDLVRSYAQRLLGSW SFGNFSRDTKNWHEVDHVLQKIVPIYNGMKLALKVNGISNDKSVAPGLKTRVNELGTL IANQKKAAPEKAVQRPTSGLEQSHLLHQA AOR_1_1002084 MASETSLVAKLDTLVADVLADWNIYTTLIAGTIITFLIYSFATS KEPDIHPFLLARQSTAFPVRQPGESAAYRSLETPHGFPLRSGLNVKDPGAPKWTAGRK GDLRDVWKTAVRGAAEDGKLSGKQGKIYTVLGRRAIEQSLEQVTQEINVIGSLLQSSK AKTVAVCLTDSVELLASIFAGAFYGFKVILIPHNLDPKDLSTLLQKSQADVLIAEAGA LDLSLVAKSNEQLSQVIWVAKLGSRHMDWNDVPGDVKGTLEVAVWHEVVEEKKDLAGL DVPSWEPNSPSPSLTTVWPSATGPGEFIEYQPENLISAIAGLTYSLPRNERFNSSDLV LSTDSLSRSYPLCQVMAALYSNASVALNSVAGESVDFALATVGVSPTVVIASSRTMSD YHNKFMEPHSGIISSLARWFDVRKLDAGVMPSHGMFSQVANIGPMAELSLDKLRLLCI SHRADADPNVRLTSGQLTDLKIFTGARVVYALTGPGVAGAVSQTNVFDYRRYQGQNHF GAPLSSVEIVLTGVSEDKENEGQITVSGPAVVLEKTTLTAQGRIRDDNTLELC AOR_1_1004084 MASLSAPSTPRAASSPVATSDESRTPGKWRHPQLNEIVRRQNAG TFGDSNIKRVLWNGAALLATWIFGNTFKSYSLRLEESSQYSTYPDLSLLVLQLIFVLN ILVALYPLIRPKDNLSDIPLTPTQRALLGLDPSATAPPTPGSTYITPPKYRLSGSRAA SPASRSASPLSTSASASGRRVSSGALFSPSTSPLLHKAVSNGGRENGRRPSFGSPSPL GRSSPFRESSFRESSFGSSMGPATPSPVGGKRVNLGLSNKWLYERSRRLSASNGSL AOR_1_1006084 MGASESKLVFKQGIFRLSEEKDIPADDPYWARFWELPESTEDVF SLFTPADIRRTRDNALSNFETLLLSVTSRLSILKNHPSFPDPELAPDRDALNCIRILT RILPFVYEAEHLEDWEDKFFWGRRKKKTREAQVAAEILFDEAQAEEPRTSPGPNDYEE VKPLAEELIDTLVDLLFYTDFTIPKLPTTKSKVSYSIWQSGVGCNTSMGSNKELENNR CEIIRLLLTLTGKAMYMPSGLLPVQGVKAITYIATCPDKQAVLTLLCSLLNTTIKYNP ASWRVPYDHVVWKDPKQILVIYCLQFLLVLLLYPIPEDGRGAAPKNYYRHYFGRLHRP QDFQFLVDGMTRILNQPMQATNSYLPGSQKTVKWAPEMMMLFWEALQCNKRFRSFIID SNRSHDFVILCIFYAIVYKSDPSQQGVVRMCIFITQTMSVEPNFGKSLNKKFEAQETL PQSIRIPGFRGSYADYLIMSVHTLITGSKGKLTAVYPALLAIINNIAAYVENLSPAAC SKLLQLFSSMSAPSFLLANETNHALLSSVLESINALLEHQFTRNSFLVYAILKHRRRF EAVQEFTLESGQQEIERQSERRKSEGGSYDFVSSPVLSATEDDPHTASGARSPLGRIP EEHSPFAIGGDDSDDEREEQKTPAQSSPSVQSSRRPSISSAVDESVPLQLRGMSEKAR GKMPAGQPSFSRQNSMTSQSSMSAAFPTAPNGFTPTVAWLESWLPDLPLHTILTIISA IMPHIPESALQSTSSPEARTLINNLPSFAEEPMIQSIISEPPPTRVHSFEWSALSMGW YESLLWGFIFSSEMVVGSASGATPGTVGVWNGTGVKLFKVQEAAAQGPTLLAPKGAVD AVGSNLVQRIGNLSLRRASTQDSQNSSRAPSVREV AOR_1_1008084 MILSQPFLVAASAALLSPGLAAGFSTELQSVLKNTHGGNEYDYP TDFTRGILPIPVHSHNDYWRDIPFYTGLSKGCVSTEADVWLYNGTLYVGHDESSLTEE RTFESLYVNPMLDVLKRQNPQTRFVTSPTKNGVFDTTSSQTLYFFVDVKTSGPETFEA VISALEPLRKEGYLTSLKDNKTVTSGPITVIGTGNTPLDMVGPVANRDYFFDAHLDEL EENPEITSLISPIASTSFQEAVGSVTYSEDGAVLSDEQLATLRSQISTAKERGIGARY WETPYYPIRIRNAVWRTLLQEGVALLNADDLDAVAAYF AOR_1_1010084 MSKVDVDLGDLLAKVLPTGVKVTIRHISSAPTPCTALFTPPPGE ESESTFCENHFLTVSVNADEHDGPEIIVFGIEVLVYSTAHLTTVFVSKADSTGFLHLL KNAPKVSLIRRISNGFLSFLVQTHQRPGVRLVVSLFARAQNQYLFPGSIENSGKHVLD DRGLIKWWCRVVDPILREYEPESGSHEKGLLDRAMESAKSSATAFLIVPGCDKFETRG FFPGTAKSDDKERPRWLNSYPLHQLCDNTNAPPRCLVPRFPDDPKTRFLLNLDDELPQ KLEAAGSKEGAGQWRSVKSLDQFWEMMSFRQECSAGRLVGFLWLVINPPGLVNSVQMT SSRPVFKEKAEAVSVSTDSQSSDTAKDSTAEATSGGPVQDPSTQTGSLSSETHPKVQP NTDQNAFYWPEAGRGHAVMSEEDYKTAINFLLEQDFYNEKVSIASTKAWSEKVASIVD QLWVGQQVTGRNTSGESADKHAPTTNIINTGLVRKRKKDEPSQATTATSAQKEGCEGN GTVSTAVTAEASTTGTNESPGVNVLQANLIRKKKKA AOR_1_1012084 MAGSSGLWRYFLQRPRKSHSATASDAPHVTAIRPVKGLEPNLYD CLASTFRQDYPADKLTVYFCVASRSDPGYPTLQKLVSDFPHAGARIFIEEEDPLLQPN GECVYDLGPNPKIRNMSRAYREAKGDIVWIIDCNVWVGKGVCGRMVDRLCGLGATSGK KYKFVHHLPLVVDVTSAPTISKHEQGTLATGGGRLEELFLSSSHAKMYTAINTVLIAP CIVGKSNMFRRSHLDYLTTPSPTDPHKRNPGIDYFSDNICEDHLIGDLLWKNRVREEK EKGERFGKHGMVFGDLAIQPVGNMSVGDYIARRVRWLRVRKFTVLLATLVEPGTESLL CSCYGAWGVTTSLAQFLQEKGFCCATYMTTWTAFFAFFFLSLAVWILTDWTLYIKLHS AKSVELDEDTPSFARPPSGSLTRRTFFQWFSAWLGREFLALPIWIWAFYGGVTVTWRN RRFRVGLDMKVREIGSEKTPQPNSKQAPVGQGN AOR_1_1014084 MSTKTPITLTVDAIDDLIYDARAGDLEALKSDLAALSAQHSCPQ AWIVASAIDSEPEEEGGSGSCLLHFPAANGNEEILNFLLGVLTQGETQLDQAQVAAVI NHRNHSGNTALHWAALNTHLECVKALVGAGADISVKNDAGLDAVFLAERADWSTEEQG EEQDEVEVEIEAEAEAQKVQGDAGKMSKGRQVAEWLLGSEKGGELETGAGENAPAAAE VSTQ AOR_1_1016084 MSEMPHKHATDNPSASSSEGRNDPVTQEENSPEGDRTQTPDRPT EDTREGQQQKGKEREGNEEVNKENASEREDGQEEEGEASAPPLPDEEVPPPLPNEAPP GDDDGWEPVWDANVGAYYFYNRYTGVSQWDNPRVPEAAAPAPAPAGPPGVEASAPSPE KPAPVVGGYNPAIHGDYDPTAPYAQQYEQPPEDISAVVPGTDHYAAVGAFNRFTGRWQ NESQNPENHNDQNKSYRQMNAFFDVDAAANSHDGRSLRAERSAKKLTKKELKMFKEKR REKKEEKRRAWLRD AOR_1_1018084 MATYSVYLMAESGLPRDHHAIFVETYENGPSTGHLYHVKGNIQE GMISEHRAEQPPEEIPGFCGKEKLGVVAVAEYGRVLGICEGVPVPKKQFQGAKRLYPR EPLRRCQEWVAEAVDALKGEGVLIGSD AOR_1_1020084 MQFSVAAVLALATAVAALPPASGTGAGQQVGHSKNDFPLPKELT TKQAADKCGDQAQLTCCNKTVKTGDFTQVEEGLLAGLLSNLLGAGQGSQGLGLLDECT NIPVIPIISIASPQEKCKQPISCCQNTKSSADGDLVGIGLPCIALGSLL AOR_1_1022084 MSILSKIFRSCLQGRRRQRHKQKHDRDTPPAVPPKDPVPYSCPP GMKPDLVKKPSIRIVEKDEDDEGRPGSRCGGGYGKGVEAPVKVSEETIVAVEGDTGFE AVERNFKDEEGEQNEEKECDQDKQDDTESHCIPERPQRKNTVKGPEVRSRMIEDIPEE TDTETESKTNEPDQETDTTIPAKDEKGMPAWRVSRRKSLVEIINLLQATAASAPKLSS IRLPSIPPKSPLRTRGSVASLSSSASSATMTEDEPANLKKERRMAAVMFRNGRFGNRK SADESMITSDTATGKEKPLFC AOR_1_1024084 MGFNTALTRKLGITVPVVQGGMQWVGYAELAAAVSNAGGLGILT ALTQPTPEDLRKEIRKCRSMTKKPFGVNLTLLPALVPPDYAAYAQVIIDEGVKIVETA GNNPGPVITQLKKANTTILHKCTTIRHAKSAVKLGVDFLSIDGFECAGHVGEHDITNF ILLNRARQDLGVPFIASGGFADGYGLAAALSLGAEGINMGTRFMCTIEAPIHHNVKEA IVKAEETDTALVLRRWKNTTRLFANKVSKEALKVEKESKSGEFSDVAPFVSGKRGREV FLNGDVDFGVWTAGQVIGLIHDIPTCAQLLQRIEKEALESMQRNQSLYTATPQSKL AOR_1_1026084 MATARQQPQWQQPPLHPEAEKSLPPLKVWNSLTRSKNPFIPIDK EGKKVSWYACGPTVYDDAHLGHARNYVSTDIIRRIMRDYFKFDVNFVMNITDVDDKII LRGRQQHLFTEFTSEHPTIAGDVLDVAKKAYTAYIKKNLPLLSPDLPVSQYKDEVEKT YATVLNGGPLPGNEKAGDDEAKAKMHIKTVASAAKVIAEAEQSGERPKGFAEKFYTEA QDLLLPYLDSLKGTSINADDHGIFTKLTKRYEERFLKDMRDLNVLDPNEITRVTEYGA EIADFVEKIVKNNFGYVTDDGSVYFDIEAFEAAGHPYARLEPWNRSDSKLLAEGEGSL TKKATDKRSASDFALWKASKPGEPSWASSWGRGRPGWHIECSAMASARLGKQLDIHSG GVDLAFPHHDNELAQSEAYWADSHNHSHDEQWVNYFFHMGHLSIQGSKMSKSLKNFTT IREALERKEWTPRSLRIVFLLGGWKDGVEITDDLVSAGSSWEEKVNNFFIKVKDPAAL QGSGTDDTLATALADGQKAVYEHLCDSFNTPGAMYAISELITKYNSADKSAVNPKDVE AVARWVTSMVNIFGLNGKAAADSQEIGWSGIDVPEEAKPFLHPLSSMRDSLRQAARAK EGVNAKTIAEIVDREALPEQEVTDSTKPYADVLSNFRNKLSSLEESNNISKEVLALCD RVRDIDLFEVGVYLEDRDNLPALVRPVTRELIQAREEKARRAQQKQLEKEKKEKEALE RLQKGKLSHLEMFRTNEYSAWDDEGLPTRDAAGEEIAKSRAKKLRKDWERQKKLHEQW LASQMGAK AOR_1_1028084 MHSPFLTPGSRSQLDNLALLQLRRDQTIPTILQLHDEKNGGYKE GKVTNTRFGSFPHITLCDQPWGSQIIASKTPVAASSGFLHLLYPTPELWTASLPHRTQ VVYTPDYSYILHRLRARPGSTVIEAGAGSGSFTHASVRAVFNGYPSDDQPTKKRRLGK VCSFEFHAQRAEKVRVEVNQHGLDGLVEVTHRDVYEDGFLLGDPKTGKSPKANAIFLD LPAPWLALKHLVRNPPEGTESPLDPTSPVYICTFSPCLEQVQRTISTLRQLGWLGISM VEVNNRRIEVKRERVGLDLGNIRGTVVFPKSVDEAVERTRALEERAQLFRATQNQSDG DSTPAPKAENEAKGGQQESEVPVYKQGRVMTRSELDLKNHTSYLVFAILPREWTEEDE KRCREKWPSNRVQEPQGPQKSKKQLKRESREKRDLQRKEQSQPETESQKE AOR_1_1030084 MDDLSGLNWNSTSSNDPRKPPPMSSSLLFPNVRRNDTSGRSTPL SASSGASNPPSKSATPDKDSFANLVSFNSSNPNKNLSLIEQQKRLQEERAKKEAENRS RFETQYGAQNAQFWDNLEKGGHKPAAIGMTSTQQKVQSADEDDLLAAFDASAPVDAST HFPVPSPSPTPRPTPNGSSAAPQSSGMSFMDDDDDPFGLNQLKPKPNPPPPPPQPAET DDDDFLGLLGKPVSEVRRPEPPAKPPTPERADPTPSPKPSNGTDRAIAELVDMGFPAD KASQALRMTSSGTDVQAAVSLLLTQAHEESRQKSGSRPTAQERYPSHESRSRDRAGRS DRDMPSWMQQERTHSSRRREDNRSPSTADKDPSQIAAAFGNNLLKTANSLWKTGSKKV QQVVNDFNTDQDPNQPRWLRDPSAREEPSPREHRRFPDQSQKQPADFTDEALLLESGV DPRASRKPTRSNDGPPPRTLSDSYRGQPSPVGDRNMQQPAFMRQQQPSQTKDPRARLT KSAVEEQSAQAYVSPARRKRPTAQSPAPAQNVDLFDSPAPRPAERPRLSPSPAQPTRP AVTSKPLPARPKAPPRVIPQVSQDALASTHHHRTKAAEAYKRGDYAAAHEAFSAALSL LPDKYPLAIIIRSNRAMTALKVGEPKVAIGDADTILDVIGPSKGEAESIEIGNGEPSK PMKDFFGKALMRKAEALEQLERWADAAQAWKQAVENGHGGSTSIQGRNRCEKAAGISK PVSKPSAPARRPPAPAPKKSALDDLQGGSSSSANSAAVSRLREANKAAERADEEKFAL TESVDARLTAWKNGKQDNLRALLGSLDTVLWPEAGWKKINMSELIMPNKVKVQYMKGI AKVHPDKLSTNATTEQRMIAGAVFGTLNEAWDKFKAENNL AOR_1_1032084 MGLFKRKDSKNSIQTERDEQESFVSANSARTSNASLKSPGFKGS GSGLPASIPEISIAKPPDPALDPAAYLRSIHAVRERSRFVFGKAKRNKLNHFDVDMSK FEATASYIVSIIKRDYAPDYDSIPPHGRWQHFDVGGRPRINQLLQSWPSTIDAQERTR RLIDLFVVSVLLDAGAGTRWSYKSKESGKFFSRSEGLAVATLEMFKSGLFSSDPTEPC QVDGAGLKKITVELLAKGMQHSENNPLAGIEGRAGLLIRLSEALNNQDFFGVDARPGN MLDYLLSHPSTQASSVPIIPITTLWSVLMDGLTPIWPPSRTQIDGLSIGDAWPCSDLP PCPPAQPWETIVPFHKLTQWLCYSIMVPMSKLMKIHFAGSELLTGLPEYRNGGLLIDM GLLTLKDADAQRGIAAYKENAQIKGQPNVEVVPLFSTDDDVVVEWRAVTVGFLDELLV AVNSQLGLVGEDQLSLAQMLEAGSWKGGREIAEVSRPNTKEPPIMIRSDGTVF AOR_1_1034084 MDVRKKKRKVLLMGKSGSGKSSMRSIIFSNYVAKDVRRLGATID VEHSHVKFMGNLTLNLWDCGGQDAFMETYLASQRGNIFSDVAVLIYVFDIESREVERD LDTYHAIIGALKEYSPNAYVFCLVHKLDLIQAEHRQRIYEERSALIRSRSDHFSIDTF GSSIWDQSLYKAWAGIVHRLIPNLTVIERFLHAFAKRIDAEEVILFERSTFLTVTSVA SEIGDLNPIYDRHERLSNIMKAFKHCAARNTHTTPASAGFVVMHTKTPQFNVFLGRFT DNTYIFLVVPPGEAAYNCAVLNTMLAREGFSKAAAMGHGDGFPLPAPESPDGELANNS HANGYL AOR_1_1036084 MASQTGLDVLRTRTVVDCDTMDEEVARTLGPFQDCTSNQAIAYG ELSKPKHKELITSSVAEARNLLSRFPGLALEELAVEIAMVKLALRIAPHINGHVHIQT NPYYSYSVEKTTVNALRIIQLFQYLQPGFEQSRICIKIPSTWEGMVACRALEQAGVRT LATTLFTLTQAVLAAEVGCTYVAPYVNQLKVHFEPGFTDPNKLLPLCVAIQKYYKSVN AKTQFLPASLTSTDEIFAMAGVDHITIAPNLLQQLSQPDSVPQIQSLFDSDAPAVLPP SSLSFVNDESAYRLAFTRDLHGASEEKLTQAVNIFCDMQDKLVQIMKSVV AOR_1_1038084 MISQKVAQQSLRRLAVQQPYAMRWSLMNSATPAAVAMGRFMQTR QAATTSNTSDPTKILAQQRLNRPVSPHLSIYRPQITWIGSSFHRITGFALSGSLYLYA TAYLASPLLGWHLESASVAAAFAALPIVAKVLLKGFMALPFTYHCFNGVRHLVWDLGR GITNQQVIKSGWTVVGLSVLSALALAFL AOR_1_1040084 MASMLPTRAARTIACSACRTIVCPSTIGSASLLRRGLSTSTEQT PVDYADKPRWSYTPPSAKAPFSLRLNSKRRDYPVNTDPQVLDEFYIRMLGNDGDKLLS DETKWLAVTHKSFDQGRRGFNDRLAFLGRRIVELQASLAMVQSPGSAASTAAPDEFDR VPFTHPALEGLENLTRHKNYLIGKAQLAELAQKYELQKVLRWSPRKPNNLASSGIELV LAHTMYAIVGAISLEKGGLVANKVTRERILEPLGFKA AOR_1_1042084 MASAIFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSDAEEESS AVPPCFSHEGINYLYIRHSNLYILALTKKNTNATEILLFLHKIVEVFTEYFKVLEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEVQARPPIAVTNAVSWRS EGIRYRKNEVFLDVVESLNLLVSASGNVLRSEILGAIKMKCYLSGMPELRLGLNDKVM FETTGRATRGKAVEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECLVESHSGSRMEYMLKAKAQFKRRSTANNVEILVPVPEDADSPRFRTNIGTVHY APEKSAIIWKIKQFGGGKEFLMRAELGLPSVKGDDEHGGGMTGGFGGSMGGTGGGKAK RPINVKFEIPYFTTSGIQVRYLKITEPKLQYPSLPWVRYITQSGDIAVRMPDIQ AOR_1_1044084 MPLTHPLRWRAHPSPTPGSSSNLRCSIGRFRVGRPGFVLSFRSQ LRVLRTSATSFPSRHKNSARSLIPFNSVNASRLPPPSLTTTTTINTPLHLMATQTRSH GGHGGHHHHHHGGNVYLTSTNKNDAGVRITRIGLVANLAMAIGKFIGGYVFHSQALIA DAYHALTDLVSDILTLGTVAWSLKPPSERFPNGYGKIESIGALGVSGLLLCGGVFMGL NSGQVLLDQFYPQAAEAISHLGHGHSHSHGIDIHGPSIHAAWLAAGSIVVKEWLYHAT MKVANERKSSVLASNAIHHRIDSLTSIVALFTIGGTYLFKDASWLDPVGGLLISLMVI KAGWGNTCSSLLELADTAVDDEIKESVQKAASKALAKLQDNNAIKIRDVQGMKSGQNY LMDIELAVPGAWPINRSREIEEIVRTAIGAGVRGVKRVKVRFIPLEHEELNFSEEFIP AEVASQANPEPEDGDADCEAHGHDHGHDVHEHDARKRR AOR_1_1046084 MQGPLYIGFDLSTQQLKALVVNSDLKVVYVSKFDFDADSRGFPI KKGVITNEAEHEVYAPVALWLQALDGVLEGLKKQGLDFARVKGISGAGQQHGSVYWGQ DAERLLKELDSGKSLEDQLSGAFSHPYSPNWQDSSTQKECDEFDAFLGGADKLANATG SKAHHRFTGPQILRFQRKYPEVYKKTSRISLVSSFLASLFLGHIAPLDTSDVCGMNLW NIKQGAYDEKLLQLCAGPSGVEDLKRKLGAVPEDGGINLGQIDRYYIERYGFSSDCTI IPATGDNPATILALPLRPSDAMVSLGTSTTFLMSTPNYMPDPATHFFNHPTTAGLYMF MLCYKNGGLAREHIRDAINDKLGMAGDKDPWANFDKITLETAPMGQKKDSDPMKMGLF FPRPEIVPNLRAGQWRFDYNPADGSLHETNGGWNKPADEARAIVESQFLSLRLRSRGL TASPGQGMPAQPRRVYLVGGGSKNKAIAKVAGEILGGSDGVYKLEIGDNACALGAAYK AVWALERKDGQTFEDLIGQRWREEDFIEKIADGYQKGVFEKYGAALEGFEKMELQVLK QEGETR AOR_1_1048084 MEEQVANAIEIAWNPSSDQALKAQAFDYLNQLRTDPSGWQVCLA LFTKTPQHSEIIRHVALEVVNSAAQAGLIDPQALGYVRDGLMNYLRQVYGQENANPDP PNIQNKIAQTITFLFSALYGSGWESFFDDLLSLTYKGASSTSPDNMLGIVFYLRVVNS IHDEIGDVLVSRSRTEQDRANSLKDLIRMRDMQKIASSWQQILSEWRDGNDLIVEMCL KAVGSWVGWIDISLVVNQTMLDLLFQQLARAQKAELRAGEEKVRDAAVDVFTEIIGKK MKPEDKIDMIIFLNLDTIVSQLSNSPPLCENRFTFKYDTDLAETVAKLVNSTVVDIVR ALEQENISAECREKANGLLQAFLPHILRYFSDEYDEVCSTVIPCGSDLLQYLRKVSKT DPSLTAQHSPILLPILKAIIAKMRYDETSSWGDEDDQTDEAEFQELRKRLAIMQQTVA SVNEQLYIDAVSEVVATTFENLRQSGAQLDWRDLDLALHEMFLFGDIAVKAGSLYTKN QPNNQAAERLIEMMSRMVESDIRSFTHPATQLQYMEICVRYSSFFLYHTNLIPGVLES FLQLVHHPTKKVKTRSWYLFQRLVKQLRSHIGNVAQTVVQALGDLLVIQAEIPTEGAD GDEMSSEDHEGSADAVFNSQLYLFEAVGIICSTPTVAADKQVLYVQSVLNPVFMDMEK NLAPAKSNDERALLQIHHDIMALGTLAKGFSDWMPGTSSPTSLPAPEVSEAFLQVSEA TLVALESLKTSFNIRTAARFAFSRLIGVLGSRILPQLPRWIDGLLTQTSTRDEMALFL RLLDQVIFGFKGEIYAILDTLLTPFLQRVFAGIADPTTGTDDEIQLAELKREYLNFLL AVLNNDLGAVIISERNQPMFDTVITTIEHFAKDIEDFTTAKMAFSVLSKMGSSWGGPD IAPEATNGASQQVALPGFAQFMISRMSPLCWALPATPSFNPKDAQAKQVLAEAGGLQR TIYCKTGMEYIQYLRDQELPGMGMGGELIEEFLNALGQLDLKGFRQFFPSFIQRLSA AOR_1_1350084 MPDNLSFDEAATLTCSGLTAWNSLFGLQGREPKKGSVVLVQGTG GVSIAALQFALASGATVIATTSNDAKASKLKSLGAHDLIVDVGGASTLSQPLKAIRPE GVIALAGLLGGASRPDMPSLMDGLSYLCTSRGLLLRTRVQFHAINQLIGENDIRPVLD EKVFALYQVREAYEYLEQQKHFSKVVVRVC AOR_1_1352084 MASQTPAWTLTGQKGISSLKSVENHPLPTLGGHDVRIKIHAASL NYRDLAIALGKLNLTIKDNIVPASDGAGVVEAVGSHVCTFKPGNRVCTHLTTHMADGT PATFAV AOR_1_1050084 MPPPRSVSSLSRSSSSQRLSDSTYRKRVLSRAGIKVDANLPMEV QNQINIILQTPPVDTSTLESLALKLQSDSNELIATQAGEEEWTSLLQDIVKALKSTTI HCARSRDWRAEIKPTVHQSSIPRSSVPQKRAYDQGEATESESSQSNIISGDIEDPSTH GTDLDRPAKSLSITSGKSLINPISLENPRPNISVGLSDIALAENLQSRQVNDAKYLLI DLQDNRQLISDPGVTPLNLHFPFLVFEVKSASTGGNLYQAQNQSAVGGASAIEILRAL YKLCEGHIPNTSARNAPSTACQFLTFSVTTEGPVCELWVHFWNELDESYCMGNIDIWR FTHKSKASNFISNLSSILNWGSTTFRDRVVQQLILFCSQIIPSAS AOR_1_1356084 MAVSEETPLLHTRRQHKQKTKVSPVRDKIAVYGSFIGVFLAAAD ESIVLSTWSVIASEFHRLSQGSWLLAAYNFGWCVSLPVYGTLCNIYGRKNVLISAYAL FAAGCLACGSSKSMVQLVLARVLTGISAGGMVSLVSVIHTDMVPADEVALLRSYANVF NVVGRSIGAPLGGYLIGAVGWRWSFIGQLPVAAICLLIGLYGLPSSSNSTKTGDAGGG NARHSIMRLDFAGLASFSVTTVLLLLLMQELSAPPESLQIPLTILITAFCTTVLLLIV VEAYWARTPLIPLHLMKTSLGGFCLNQIMMMSSRSGLMSNLAPYLIRVKGATSSLAAS AFVLGAVGVSIGALIAGKVIKRWKRYRTMTAIAVSGAVLTYLLIFIRWRNGCHLWEVV YLLPNGIAIGILFTTQFIGMSLGAPNESLTTCITTYYLAQQLGNIIGPAMNVAVVQRV FMRKLEKNLNGWEEKKFIDQILNEEKFAQSLPTVVQCIVRWSYLNAFQLVPLISVACA LIMLPTVLWVKEEKLE AOR_1_1054084 MEFGAKLNTDVLHSPEKFIQPGKPEDITKCKDHRQISVSAKENI SLLCVNSNRNLTHYGFYARILSIIDDSNIPVELLLTSDNCVTIAIDSNAVSEEAVRRA QDEMLAYSRPVLSGDMVMLSVKIASKLYCSEVLASTFSILSACCIPVHMISLAADEPV VYCVISKSDVSRAQKTLYSNLHQHYAWWN AOR_1_1056084 MVSLRGLLKISLRHPRPTASALRASAVAPASGSPFINNSQGASA AVAELSDALGTVFDQIDLDGDLNSQINGLLERLDQEASQYGNSQLKDEQYSDWECSPE KAELISMAWHCAREAYETSSGLPNNPARNEKWKLEPGDCIVPSTDGTIKAVSFSRVSS VEKGTDNKDLPVLVVAIRGSASAVDHMVNANYEPRNADNFIDISRLAPENSTTLEAHS GFLNSAKALDKTVSQRINMYIRENASNYSHVLFTGHSAGGAVASLLFLRYLAQESLYA GTRFSCITFGAPPVVTVPLLESPMTGISSGVCLNIINEFDPVTRADGSYKHCLVDLIH SMYNQQPSLPRSEPSSTTVDSLLTDDSENFSKGKDWPVLPSIYSHVGPRIVLLLRVKT SLKESSLRLRAIEVPRADFDRLLFCRVAVHRRVCYGERVELIAKGELNGRNTWEDTMS E AOR_1_1058084 MALVSLNLASLHRASGAEIISAKFSNTAYHYERARDKSEEPLIP DPQLFQSSSHSTSTDIPTISECAIHLELLEVFHALRDRVQQSTDLDNTFGIKPNIRTV YRKRYSSQLRKYESYTVTVKDTQFETRRKDKWHYFLELAVGRFTRWIRTVNMVSLSGA NSQNENEPSRLGLLPPVDVLMVWHAFLLNPDDFDFYCVKHRLERMRKAPLPWQQIHEA INSRDWSYTLPEAHKNWLEKKAEFKPDLFETLIELGKRESHAKHVLSQYGSGSKTSSF SLLKYIDTPANYAFVEMVQATRASALRNKALKANVERQLKFVEKMHDHLWIRSPAVDG TLRRAIDRYEKFLQLFRDYPKTTLVPTLDVDLVWHTHLCNPEQYRTSLVERAGRMVNH DDKLGKSFLDIRFDKTQELFQIAFGQQYHVCLCWDCEAVSSAMEAFVANDDMDIIDDV DCDADNIVKKVEEDVRYYRAVEIARRKGVALPIR AOR_1_1060084 MARLKAFDSLHRSSQPNITQAPDTSWEPIGPGKAHLLQELEALY WNGVESELENIIRTLKVWQQPEYAGISIRDENDNNIHGFDTFLDQVCQEARAFAIRYG ETKLQDMANQKPAQAILSRNLSYKEALKRVILDTRAEYFSKKIKEEVREQLNTIPRLS GVELRAWMLLQNAGFLDIDKLIEHRPPLDKLSFWKRPAIPQPTESKADEDIPLFFRQF TEKYPFGNVHMALRVGPLVIENGVSHTKAGALITLRESPVFHERFTRGTHQRSLAVGG DSERLLWQQQRQIGQPKRYKALMKQIVGAPFTGVLSQKPESDQEKEIIELLVAASKQP FDNPGLPIMEQQKILDAALGSIMAKLRNLLSSRVMVYLTSIARRLLDPENILTWSATS NNCQNFCNSLIDTDLFEPLTNGPHMQSIETPSPLYLMSFVCPPEGYLNNKIVSKFDVP IGLTEEYLLRFHYGRHDEADLIDTLQEYWYDWGAFDSTLYNYQDLFPWDCTEAYRRYP TKCGDCNLSKHVWAFPFDSWSIIGLHLYRDKHMYQPKQNADAKTTNPWLRDRLTILTA SSILAHAATAMAKSPTFCKATAWLHSKEKGLRRIDPSLARVKLGGIHRAQPFSHYFEA GTYSHYFLAEWALKKRAYKIIDYETLRDGRAKRQDIRIGKGRFTDTGSKPLDFNQSFD WFRGLDHSAPDYDYCDNQGQIETDAQFEAQANASIAAALIADSQLGCIQNAEHVEAQA AAAAVNCGSGCGTSTAPSHVCGSSSSSGGGGGGSSSCGGGSSSCGGGSSCGGGGGGGG GGCD AOR_1_1358084 MASSIANKVFIVMGSASGMGLATATTLLAKGAFLGLCDINQDGL SKLIQSLNEPQRNKKFGRIDGIARFAGTASHKLGHQEIYEIDETEYNFVMDINEPGSI VHAASMYSERGFAKGSGYSASKHASIGGPIHTPMLRANVDSGGEGTAPDVPLGRLGMA SEVADVVALLLSDEARYVTGATWAVDGGANS AOR_1_1064084 MEASTLSSRADAQCQTYSNIVWEVLKDLWHPQDNPKGIVNLGVA ENTLMHAELQDFVRRNTSIHQHAFTYGDGNFGSKRLRRAVAQFLNERLRPVLPLEAEH IVVTNGVSHAIEHCSWALCNKGDGILLGRPYYKSFIADISLRPEVEVITVSFGGLDPL GTDCVKRYEEAVLSARQRGVVVRGLILCNPHNPSGRCYPEETIKELMKLCQRHRIHFI SDEIYALSTWENKEETWDSPPVPFISTLAVPTDGLIDPERVHVLWGMSKDFGANGLRV GYLISQHNRNLHTALQSVALYSYVSSISDHLAAAILENVDWVDRYIESNRQKLSESFS FAVRFLRQQGISYTPGAYAAFFLWVDLGRAYCERHPESSTSRDLNTKISRRLIEEKVF IANGTIFGSEKEGLFRVVFSHPIGYLEEGLKRMMKAINNHACVQ AOR_1_1066084 MPSESKSNPISQLHSISKPPDGGFMAWWHVFLCHMVFFNTWGLA NSYGVFQQHYTETLGHSASDIGWIGGIQMFLMLFGGVFSGRASDAGYFRHCFVAGVII QVFGLCMASLSTRYYEILLSQAVCVGIGSGLVFTPGLSVMGSYFQKYRSVAVGLSAAG AATGGMVYPATTNALLNHTHVGFPWTMRILALIMLVTHIPSVVGYRPYLPPRSTGPVV EWSAFQEWPFVFFTASMFLNFWGLYMAFYYLGIFAREQTHLKESINLLVVLNGVGVIG RGSPCFIGERFTGIVNITILCSTISAICVYCWVAIDDVPGLYAWAVVYGIFAGATQAL LPALATRQTKDITKVGTRTGMVLTIVSFSCLTAPAIQGALIQACGGDYLGAQAFSASS IVLGMMFLWLYRWCQVGLKIDIKV AOR_1_1068084 MSLKQQIAVEPLRPGYFCSVKNPTRLGALSEYVFGGNTIAVAVN AAYQTVSDTHHLYSLCGHFIRPATTDRKLFCHVESIRDSKSFQTRQIRVSQVTDQGST KLCLIALADFHIEEPYSAVTYSIPPETECAPTTLCGKYFIPSPGTSKDCDLYRDIERF VDMRFIHDNDTSQKNQKQNPRLDSPPPSPSAITKEMFRVPEPLDNEAEQISALAFYAD KGLAYIPAIHNGYDLWQASACATLEFSLRILVHGLNLGKWHMAEQRTLAAGNARAFSE GRIWNGDGHLVASMTQQTILRPNAELGARL AOR_1_1070084 MVDPEQSSPSSTPGLLRKRRRAKVACESCRTRKRKCDGQEPCGT CVQLEYDCHYCPTLKAHKRTSETPRDGQPSTNAVEPPVAGHNPVPDISNTTEPPYLLN LENHARIYEHESQVTYPLFLQSQAEPSSCACLSLLYLMMEQLRAKDRIAVPGDLVLLR NCVSSSKQVLYCQECPRRYLSIVQNGTMLGVLCMCIAACYARALETIETEMKQASDTN ERKQLCISASSPEALHDPAPMGNVLSSFSVDIAASEWANIMRRTVKVEIFGTESNKEN CFMSFIDTLQERQRGWHQVPPAPDCPSTYQTLCDMTGPEPVCLVIVNEAKKLVNSFKF AOR_1_1072084 MGLLDYIQGQGHDDAYEQVNNAPHKAQLSHELIAAAASYEAAKA YENHVAANGKPPTHAQAKEFLAAVTGAFIDRTVETKGLDYIDAERAKHKATEDAQDAL VGSGEY AOR_1_1074084 MLPPVPALADYGISPDHGFLPPEPPLDVLPDPFYARWEWMVSNL QSLLLSRRIRDVVDQMPILSTSYLQSEGEWRRAYVVLGFILHGYVWGGSKPSERIPPQ ITIPLLEVCKHLELPPVATYAGVCLWNYRSIFPEEAADDVSNLSCLNTFTGSLDEQWF YLISVAIEARGAPSLSLALQAIAAARAGNSLVVTESLQKLAEVIDEVGALLERMYENC DPYVFYHRIRPYLAGSKNMADAGLPHGLLYDDGSTKPEYRQYGGGSNAQSSLIQFWDI ALGVEHRPTGETRSETDKDDKEGVTGAPRHGFIHEMRSYMPGPHRRFLEHVSTVANIR EYVEARRSDKALCIAYDACLSMLRTLRDKHIQMVSRYIIIPSRDAKGRAPRSSSPRRH AATTNLANTRNSDGKNKKLRGTGGTALIPFLKQARDETGEPAIDAWARRLLSNAPTEA SFAALSKVGEHPDGHLQVVGLSGTWAADDSEGGICHW AOR_1_1076084 MMSNVTQSALRQATRAYARRLPSTQHGSFASALPRRALATPYRR FYVSETKAGNAQVSVDTAIKQEQKEFMKQTGVQPQKVELPSSGVSGDASMSPSAGILK QATVMDQGTRPIYLDMQATTPTDPRVLDAMLPFLTGIYGNPHSRTHAYGWESEKAVEQ SREHIAKLIGADPKEIIFTSGATESNNMSIKGVARFFGRSGKKNHIITTQTEHKCVLD SCRHLQDEGYEVTYLPVQNNGLIRMEDLEAAIRPETALVSIMAVNNEIGVIQPLEQIG KLCRSKKIFFHTDAAQAVGKIPLDVNKLNIDLMSISSHKIYGPKGIGACYVRRRPRVR LDPLITGGGQERGLRSGTLAPHLVVGFGEACRIAAQDMEYDTKHIDRLSKRLTDGLLS MEHTHLNGDPEHHYPGCVNVSFAYIEGESLLMALKDIALSSGSACTSASLEPSYVLRA LGSSDESAHSSIRFGIGRFTSDSEIDYVLKAVQDRVHFLRELSPLWELVQEGIDLNTI EWSQH AOR_1_1078084 MSLKGFQKSIVRAPQQFKAKFNIGEHTKDIVYSDAERRFQELET ETKKLHDESKKYFDAINGMLNHQIEFSKAMTELYKPISGRASDPSTYTIEGNPEGIRA CEEYETIVRELQESLAPELEMIESRIISPADQLLEVIKVIRKVAVKRDHKKLDYDRHR ASLKKLQDKKDKSLKDEKALYKAENDVEQATEEYNHYNDLLKDELPKLFALEAEFIRP LFQSFYYMQLNVFYTLHEKMQGMNIGYFDLTLDVEEAYEKKRGDVKERAEELTIVHFK TKGIRQQNSKFGKDKLEKENKFSPRQKDPDVVENPPPPYSAATSGSFVAAAKSKAKPA PPPPKPKPARFAAPVETVTALYDYEAQAHGDLSFSAGDVIEIVQRTDNQNEWWTGRVD GREGQFPGKFLILHSAIETDPAFKSSEINNFLAGTYPAWYNSLPESVKQYATATILAE VSSEYESDLLPTDSVVPPSTTLSDPRPSITSSPFGSTALASASGTTSTEMGTTGSSSS SSSAPNTTTSFTASTSTSRAGAPAATGMAMSLLGTAGILGLALAL AOR_1_1078084 MSLKGFQKSIVRAPQQFKAKFNIGEHTKDIVYSDAERRFQELET ETKKLHDESKKYFDAINGMLNHQIEFSKAMTELYKPISGRASDPSTYTIEGNPEGIRA CEEYETIVRELQESLAPELEMIESRIISPADQLLEVIKVIRKVAVKRDHKKLDYDRHR ASLKKLQDKKDKSLKDEKALYKAENDVEQATEEYNHYNDLLKDELPKLFALEAEFIRP LFQSFYYMQLNVFYTLHEKMQGMNIGYFDLTLDVEEAYEKKRGDVKERAEELTIVHFK TKGIRQQNSKFGKDKLEKENKFSPRQKDPDVVENPPPPYSAATSGSFVAAAKSKAKPA PPPPKPKPARFAAPVETVTALYDYEAQAHGDLSFSAGDVIEIVQRTDNQNEWWTGRVD GREGQFPANYVQFN AOR_1_1080084 MTPKRIPGIKCPWKHCGKTFTRKTDLCRHYRIHINDRPFHCPVE DCNRRFIQRSALTIHSRTHTGEKPHICEHKECRKAFSDSSSLSRHRRIHTGERPYICQ EPTCGKSFCRKITLTKHRERSHSPDIVARPPSVNVAHAIRGQESVLASLPNSQGFLAQ QTYYPYAEPQVPAFYPQSCAPVMPVPLQNALLLVHTNPAANGTA AOR_1_1082084 MSQDPYTPPPLPKPFTNTTPPPTLLTQGAEAHLYKTIHLNTNTP AALKIRPSKPYRHPILDRRLTRQRILQEARCLVKLVREGVNVPAVLALDWEGQGGENG NGGAWLLMEWIEGLVVRVVFERWEAFIKASGGSLGEKELRREEEKVRGLMRGIGGVVG GLHKAGVIHGDLTTSNLILRTGDVDIKDGESPAMVGDVVLIDFGLAGQSSSEEDRAVD LYVLERAFGSTHPQAEKFFEEVLEGYKDSYKGAAVTLKRLQDVRMRGRKRSMIG AOR_1_1084084 MKLILSTSNLMTSGGHTVIRQASTEKSNVELINSLRSNFQTAQQ LSSAETTTTPRYRAWTRETEDGLYIPAIDFAQRGLAEEKAQYDITVKLFYLPGIPASR RCAHTREAIDLVLKELHVDSIDLLIVSFPGILFDAEDDSEEEVESDTGSEEPDDFDSM IRTWRVLEDLQEKGMISQLGVAEFGSERLARFLPHTNVKPSVDQINLKDCCVVPKSLI LYAKSENIQLLTHNDCMDILPIGTTRELLGPGEKGAGILASTPDADDGIQGDVEPQWV VKYTAVVKDRGVVENKGYFALADMGTCVQARED AOR_1_1086084 MTSPQTLQSTYRLNSGHEIPILGYGVYLISPSKTEIPVLEALST GYRHVDSAIMYRNEKACGHAIAKSGLDRSQIFFTTKIPPESMGYERTKRAVESSLREA GVEYFDLILIHAPYGGKEDRLGSWKALVEAQKAGKTKSIGVSNYGIHHLDELEEYIQQ GGGGRIDVGQYEIHPWCAREDIVEWLQKRNIVVEAYSPLAHGTRMGEAVLRELGKKYN KSPAQIMIRWCLQRGLVPLPKSATPSRIRENAEVFDFKLDEEDVKRLFTGEYEPTDWD PTLDFD AOR_1_1088084 MQPPEGVQVDLGKAQVIDRAPKVIKELKFGVLSNDDIVSQGVVE VSDRKFFDLDRDRSVVAHGPLDSRMGVSSKTASCQTCGGALQVCNGHFGHVKLVLPSF HVGYFKRVITILQEICKECSHILLPEGERRAFLREMRRPGLDNLRRLQIAKRINERCR KTRNCDRCGATNGVVKKAGTSALKITHDKFRAFNASTSMKKIPPPSKIVFDRSFEEAR SHNPEVEKHHKKAQDDMNALRVLNLFKRISDTDCELLGLDPKEARPEMFLWQYIPAPP VCIRPSVGQDASSTEDDLTAKLGDIVQSNINLKNALLKGAPVQTIMECWDYMQLQIAV YINSDVPGLNKADLGKPIRGFVQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLRVD EVAVPELVAKNMTYPEVVTRYNKEKLQQRVRNGTKKWPGANYLVKKGSTFKTFLKYGS LNMIADQLQEGDVVERHIEDGDIVLFNRQPSLHKLSILSHFAKVRPHRTFRLNECVCN PYNADFDGDEMNLHVPQTEEARAEAMELMGVKNNLATPKNGEPIISAIQDFISAAYIL SSKDNFFDRRSFTQICLYMLGPETRFDLPPPAVLKPQMLWTGKQVFNILMRPNKDDPV MVNLDAACREYKPPKDGRPKDLDPKDGWLVIRNSEVMCGVMDKATIGSGKKDNVFYVM LRDFGPPAAAEGMNRLSRLSARWFTNMGFSIGITDVYPSEKLLQSKHDLVETAYAQCD EVIAQYKAGTLETFPGCDELQTMENKLSGILSKVRQQAGDECIAQLSKYNSPLIMATS GSKGSSINVSQMVALVGQQIIGGQRVQDGFQDRTLPHFPKNARQPPSKGFVRNSFFSG LEPYEFIFHAMSGREGLVDTAVKTAETGYMSRRLMKSLEDLSSRYDDTVRNSSGHIVQ FQYGDDKLDPVDMEGKAKPVHFDRTFIHSEATTYDNDERSLQPAEIMEVCEEMLSKER AKLVRKDLLDVELGYMDRSNHGVDQFESARDFLESIQQYVSTKADKLISRGGDIDPSD ERSQQGLNHTGKLTEKTLRTFISACLMKYKKAQVEPGHAVGAVGAQSIGEPGTQMTLK TFHFAGVAGMSITQGVPRIKEIINASKEISTPVVSCELVTKDNVIAARIVKGRIEKTY LRDITHYVRETWTGKEAYITVKINWKTIQDLALELKIQDILAAIKNHRRFKSDDLKFR CQRSHIHIYMDVDPASKLGLSKTEIAATSADPFLRLKHLKRLLPDIQVLGHPQAYRAI IRTDDTSTTNTLLVEGYGLRACMNTIGVDGLRTSTNNVMEMREVLGIEAARTTIVREI SEVMKDMDIDPRHMQLLADVMTYKGEVLGITRFGLAKMRDSVLQLASFEKTADHLFDA GGAGRTDLVEGVSECIIMGKTVSLGTGAMEVVRRMNFFEGQIGARKTTFEDTWNNVCE APLKSKKRART AOR_1_1090084 MRGCLQLARWLSAGPKCPAASLPKAPSGLYNTIRSFTSSAQLAS RARAASKPASDLETRIAQIPIDRYRNFCIVAHVDHGKSTLSDRLLELTGTIQPGSNKQ VLDKLDVERERGITVKAQTCTMIYNHNGEDYLLHLVDTPGHVDFRAEVSRSYASCGGA LLLVDASQGIQAQTVANFYLAFAQGLELIPVINKVDLPSAEPEKALQQMKTSFELDTE NAVMVSAKTGLNVEQLLPTVVEKIPAPVGDTQKPLRMLLVDSWYDSYKGVICLVRIFD GEVRAGDQLVSFATGIKYYVGEVGIMYPTETSQTVLRAGQVGYIFFNPGMKRSQEAKI GDTYTKVGSEKAVEPLPGFEEPKAMVFVAAYPVDADHFEHLEDSINQLMLNDRSITVQ KESSEALGAGFRLGFLGTLHCSVFEDRLRQEHGASIIITPPSVPVKVMWKDGREEIVT SPARFPEEDDLRSKVAEIHEPYVLATLTFPDEYLGKVIELCEANRGVQQTLEYFTSTQ VILKYELPLAQLVDDFFGKLKGSTKGYATLDYEESAWQPSNIVKLQLLVNKAPVDAVA RVVHYSQIERLGRKWVTKFKQHVDRQLFEVVIQAAVGRKVIARETVKPYRKDVLAKLH ASDVSRRRKLLEKQKEGRKRLRAVGNVVIEQKAFQNFLAK AOR_1_1092084 MSATKDKSKVHKLSLKGSSKLVAEFFEYSINSILFQRGVYPPED FTTVKKYGLNMLITSDDQVKAYIKKIMSQLNKWMMGGKISKLVVVITDKETGEHVERW QFDVQIFGKHSKSTKSRASGDKENAGPENADTQASVEKTEKEIQEEIQAIFRQITASV TFLPVLDGDCTFNVLVYADADSEVPVEWGDSDAKEIKNAEKVQLRSFSTNNHRVETLV SYRLAD AOR_1_1094084 MHSKPSHCNHLIVVCCHAIYLGGPTQGLSEDEWLLEPFQKGETP TFTAHVKAGLQALAHDPAALLIFSGGATKKDRTSLTEGESYLALAQENNYFSYTVPPA QIIPETHATDSYQNVLFSLLRFKLYTGVYPSRVTVVTHEFKRRRFMEYHFPAIGLVPI VRGSGDEGRAAVIGINPPEEVTPEASLIEGEEKRGIGLWMRDRYGVLRELKEKRVKRG WVEGMEEGLFVNVGLEEVVEKLVRWDGGISGNEWFSRMEHLPWYSSGDIL AOR_1_1096084 MNPTPTPAEANDGKESPLLRGSNDVALVAPKTRYENVRWMFTFC KYGCTTYIYTTDENPEKGYIHPRTMKGHEAAAYLTYIVNHYDCLSPFTIFLRGKDEHW HNDAAGPKSSNVLLNLRFEAVRAYGFLANPQFLNSLGINVAANSSSPGIESNRDHGQN MNDFYTGPPILRELMATE AOR_1_1098084 MRLLHCALPLASLCAPGVLAQFLGPSYPAPRDLTSDSSRVAASW KKLDSTLKASLSRRNASDNSELSQLRDVTFSVGMFSTHDPVAQQHLQIHHTSAEVANS SVGINKVDGDSIYRMASVSKLITVFAGLLELDSRDWDRSLTDIFPEMADFVREKSSDF QPVYDTQWDKITLSAIASQMGGIPRGGNVELLASYVVSRALNITDPAETDPTFLGLPP LNESDPSLWPACWGGNRPVCAEVPYTEAEADNSPVFLPWGSPQYANNGYILLGMAIAN LTGKSIDQVYQEIVFEPLGMTSSLSDPPAENDPNRARSVIAGPIEGFAIDAGVTKASG GLLSTTNDLAKLGVAILNSTLLPADQTRKWMKPGTHTADLRYSVGKPWEIYRYVHPAS GIVTDIYTKMGDSGNYGGLLAFFPDFDAGFSVLDASSLTTRSASAAYLMDLVIDAVLP ALMDQAALEAQRNYVGTYRSSNAGLNSSLTLALSPPTRPSPGLVVTSWISNGTDIMPY LASILGGKDTRLVPTISAAGTTGKVAFRPYTPTTETAVGSPQRLVSRLYDVNDLYLLD STLYGGQSLSLLVFDVDQDGRATAVTPPAFRATLDRQ AOR_1_1100084 MEPSSPFGKFFYFSYFAVGSYLAKSLLDQVGSLPLHLLYSTVEV QSHDDAYNYLLFWLTKQRFNESKNRLIASTSLSSGLDYFSEDSDDGEFDDMLDAEASD TEWKASLSNTRQLLWSPSEGIHYFRYGGRCLALTRQIEERGTMLYTRTDKLRISCLGW DASILKQLLLEARVEYSQKEKGKTVIYRGAKRSYDNDFYWARSTARPARPLSTVILDH EEKTAFIQDVQQYLHPSTMRWYSDRGIPYRRGYLFYGPPGTGKSSLAFAAAGFLGLNV YILDLNATQLTEDALAQLFQELPRRCLVLLEDIDTNEVTSRRGDESKKKRKGNNKISL SALLNTIDGVAAQEGRVLVMTTNHQENLDPALIRPGRVDYQIEFKLANRNLMMQMFQN LFRDVLPSIDSHLEDSETDALLLTSTAEKVPLLPAADHALSRPESPEVDMEQLAATFA EKIPELTFSPAEIQGYLLCHKSSPLDAIAHVESWGEKTLEEKKKKDKKDRKGKKGKKD KEEKESKDESGEEKEEGSSSSESESDEESSDEENKQPNGIE AOR_1_1102084 MGIPEIRLHPTDLGREEVAEQAKGWLLLDMDLPNLELLESTRRA NKFLYPGYGSRDLWVRIIEQSAPGYLGLEAKGGRWNSSWMIS AOR_1_1104084 MASILPRAGFRAFTALPRAVALPRVSLASKLPRAQPLAQPIARR FVSNIPQEQPRLRLGSTAPNFKAQTTRGEIDFHEFIGDSWAILFSHPADFTPVCTTEL GAFARLKGEFDQRGVKMIGLSADKLDSHGEWVKDINEVGNTNVQFPIIADPDRKVAFL YDMIDQRDLDNIAEKGIPFTIRAVFIIDPAKKIRLTMLYPASTGRNSAEVLRVIDALQ TADKKGIATPIDWTVGEDVIVPPSVSTEDAKKKFGEVRELKPYLRYTKA AOR_1_1106084 MATDTHPTINKASVLRPGGSFYYEDREKPSLESDRDVIVRVVAT GLCGSDVHYWQHGRIGRYVVENPIVLGHESSGIVVSRGAKASGIEVGDRVAIEPGIAC NTCNPCRSGRYNLCKDMRFAATPPYDGTLSTYYRVPVECCYKLPEHISLRDGALVEPL GVAVHGCRLAGDLQDKSVIVFGAGPVGLLCCAVASAFGASTVVAVDIVAARLESARKY GATHTYQMSAEKSPELNADALAATAGLMDGANVVLDASGAEPCINCGIHALAHGGTFV QVGLGRPNLSLPVGQICDKEAVFKGSFRYGPGDFKLAVGLLNSRRVRVDGLVTHEFSF SQVEEAFKHVAGKGGIKSVIYGPDVDVEAAKQASV AOR_1_1108084 MPPAEQPPDREDSPRHEVEVPDANAPGADFLPADEHSARSAIDC GEAESAMGLARKICELGSQHIDERTTFAIPGYRASTSAPVAQSAVAGQRLPISSILGQ PLPIGDLMYELLEEYFDAIHWFSLVVYEPKFRRRLRSIEDGSAYPSQKPFLLLLAVML GMAAWYRSQRNRDTEDTRDHWRQWSADLIKIVEANLVELMDQPSVTAVQTCILLGSHH VYHGRPNLSFALLGATIKMSQALGMHREPVQGEFGDIEERKRVWWTIYTWDRFASITY GRPLGINDKDCNLSMPADILENPSFTTPGSSDNNMICYSAYQRELNRLYLIASPALEA VFGSRTFRTSQQLAGNTYASLVKHATQELQRWRVSLPAHLALDLERDYHPDGEPGSRA HALQSLSLQLTYDNVLIVLHRPLLARQVDHLTSTTRPPHGSSDGGSPASHPAASSGHS TPGLSFETPFPTAQAASSDLWMNAAVRTARITEMPVLAQLATDSHLVAFLAINLFNAA IVLVVMALSEPLSDTAQVVKRTITRILRLQDLIGTRSALSKQSTTVLKNVVTMLLRRE SEVIFGPITGYPPRLGHHVTQDPTSLGRMSVEDTLRLPLDGTMGLSDPVLGNHIRPDP GRAVRLNESLTTVHHVLPPGNDRSVNILSSEHHQTHQPPNQNTESQFPVQVPMDYGWD GAGAVPMGVEDPYLGNGESGMYWLWDMTWDGMGS AOR_1_1110084 MTTSSSKEVYDPNEAEVLKFIRRVSNIPVPKLCAAFEIDDSYLL FMEYIDGISMSQLTDEQKEVVNVELQQHLDVLHGIKSKSIASPSGIVIPPYRVMRQSS KDAWSRLSSETCDYVFCLNDLSQENVIVDPETLKIKAIIDWEYAEFFAAYFDYPFYKR LGPSMALEGERDDVPELLQLLNSESTN AOR_1_1112084 MTKGRFSQPYFGLKGGWLTFWVTVACATDMMLFGYDQGVFGGVI VTKDFLDVLHLHNNASLIGTITAIYDVGCLLGAIAAMYVGEKWGRKKTILLGTTIMAI GAVLQTAAYGVPQMIVGRVVAGIGNGINTATAPVWQGETSQIKWRGKLVIIEMILNVA GYSLSNWMTFGFSFVPGPASWRFPLAFQFVFIFVLYATVPWLPESPRWLIGHDKTENA QQIIADLENKDVHDPHVIAAYTEIITAVQYEREHAISWGQLLRGQTGDQGGTCVIRRL ILGAGAQAMQQLAGINVTSYYLPTVLMESVKLSETLSRLLAACNSVSYLIASTLAIPK IDGWGRRKLMIWCALGQGVCYLMITVLLRFSEKPDYPHQTQVAAAAVAFFFCYYLFFG CSYQGIGWLLPVELNSLSMRTKGAALGTATNWAMNFMVVEVTPVGIQNLGWKFYIIWT VFNFSFIPVVYFFYPETANRALEDIDVFFRENHSIFVNGNPEAISVARPARYIEMEQA LTDQSTSISKAKQMLSQDEGQIEYHETV AOR_1_1114084 MKLSTASALVTSQAAYAASAIDYNAAPPNLSTLANGSLYDTWRP RAHILPPNGRIGDPCGHYTDPDTGLFHVGFLYNGSGIAGATTDDMVRFRDLNPNGSQF IMPGGKNDPVAVFDGSVIPKGIDGKPTLLYTSVTSLPIHWSIPYNPGAETQSLAVTSN GGRNFTKLDRPPVIPLPPSDSDVTAFRDPYAFQSPELDAAADSAPGTWYTAISGGVHE DGPGQFLYRQDQKEMSLESWEYLGLWWQEKVNTTWGNGDWAGGWGFNFETGNVFGLNE EGYSVDGEMFMTLGTEGSGTPIVSQVSSIHDMLWAAGNVSNNGNVTFTPTMAGVFDWG ASGYAAAGHILPATSQVSTKSGAPDRFISFVWLTGDLFEQAKGYPTSQQNWVGTLLLP RELHIKTISNVVDNELAREEGSSWRVERGQSGIELKTLGIDIARETREALMSGPKITE PERTSKEAGLVPFQVSPTTKFHVLTAQLSFPRSARNSDLQAGFQVLSSDLESTTIYYQ FSNESIIVDRSNTSAAAKTTNGIVSTNESGRLRLFDLQGDAQEIETLDLTVVVDNSVL EIYANGRFALSTWARSWYKNSTDIKFFHNGAGEVTFSNVTVSEGLFEAWPERV AOR_1_1114084 MKLSTASALVTSQAAYAASAIDYNAAPPNLSTLANGSLYDTWRP RAHILPPNGRIGDPCGHYTDPDTGLFHVGFLYNGSGIAGATTDDMVRFRDLNPNGSQF IMPGGKNDPVAVFDGSVIPKGIDGKPTLLYTSVTSLPIHWSIPYNPGAETQSLAVTSN GGRNFTKLDRPPVIPLPPSDSDVTAFRDPYAFQSPELDAAADSAPGTWYTAISGGVHE DGPGQFLYRQDQKEMSLESWEYLGLWWQEKVNTTWGNGDWAGGWGFNFETGNVFGLNE EGYSVDGEMFMTLGTEGSGTPIVSQVSSIHDMLWAAGNVSNNGNVTFTPTMAGVFDWG ASGYAAAGHILPATSQVSTKSGAPDRFISFVWLTGDLFEQAKGYPTSQQNWVGTLLLP RELHIKTISNVVDNELAREEGSSWRVERGQSGIELKTLGIDIARETREALMSGPKITE PERTSKEAGLVPFQVSPTTKFHVLTAQLSFPRSARNSDLQAGFQVLSSDLESTTIYYQ FSNESIIVDRSNTSAAAKTTNGIVSTNESGRLRLFDLQGDAQEIETLDLTVVVDNSVL EIYANGRFALSTWARYVFCDGRQQGIG AOR_1_1116084 MTIDEFISSSHILHRSFSERPSKIFAGDGIRLMLKNGKTVIDAS CGPSVSCLGHSQPEIFNAINAYLRNDIAYAYSGSPYTNDATEQLADMLLAHKPGGLSK AMFVNSGQPRRTNFIARKQSYHGNTIGALCVSGHESRREFYQDFMSPNVSFVDPCYAY RMKDQDESDEAFVQRLSRQFEDEILRVGPDRVAGFIAETVSGATLGCLPAVHGYFKAI RGICDRYDVLLILDEIICGMGKTGTMHAWEQEGIRGPDIQTIGKALGAGFVPLSGVLL HEKIFQALSSGSGGLAHGHTFQAHPLAYAAAIAAQKIIKRDNLIERCAYIGQKLETLL RKEIGPLPLVGNIRGRGLFWAVEFVLNKKAKTLFPGQVKFCSQVVKKSLDMALNILGN LGHTGKYQVDHILVCPLYTVMGSELGNIIPLLKIAIIETSRPFLT AOR_1_1360084 MEKGDQSTLAYRDPKLPNVAEDLVVLNVLDLGCDERLWVPQAPD VWFRPLCFNVSQGYFVNILRVRKSGILSRHRHTGPAEEGGYAYEPTGDIHTLEVPDGV KEMVTLFHVTGACIYVDPDGVALGIVDVFSKLQAARKHYEEVGLGADFADQFVR AOR_1_1362084 MRQWKDLIGIPHRDRAPGWRPATLRGIYLGSLAGLMLTMLITIG GIYLGSAARGGLAIFSSTEDIATAQQVAYTFVPMVMGVIIGVMWSFTEYDALRLEPYF LLSNPKGASADVLLLNYVFGHFTTTPFRAARNRHWVAFCVSILSISLQLILPAVLGNL VSAEVVSMHFPQTLKTWPKFINLDTHAYWTFHNIANQALSINLAHSTNDVIGSRRYAM PPVQTYWNSGSEEDMWRLNQSVYWADVSCAYIDSDGLLAELSRIEGDHEALPVSELLL SDQGGTRLANVAGAFDTCTARSDQEEDMDIPQIQYWKLLQWNENPKFCGQFDLVGVAV HANQVVDAQHGSSKSSDQFSPFGCSVDYMTAEAEVTLYANGSVALVDVQSDAATNLSE TGFDTVMFSSSLLRMAVATNHMDDQYGMLSQGDVWSEVDAAISQSFVPLMSRTFDLRQ TVHVKSIRIVQRVALLVQKSSVLVSATILTFGVVLVASLLYLYPRRPNFLSGNPASIA SMCCIATDVIDATSLEKLSQMELEVLSTRQLRCFLRKGSCSWRETTQGQRLAISFPDN ATPRSQKKPRRRADPPPPFLMIPIFILEISAFLAALGGMLIIISKSFQYGYFPPLTDV KADDLRIIWMLLPPASAALIRGLYISVYQNFTILEPWFILQKGNATARSSFLLDYGSQ SPFAVALRCFNRRYLLLGLVSLTCILNTILTILASALFALEYTPMATGNIVQSEYDHQ SYNVGNHTSILSEADLFQSSIYTGISILPWTTTAHSLLPVQSNMTEDQWATSPLVGIG ANLTCQPFSVANSHSEDILSGPTYWQYSPSGHPNITCRISAQESALSGSKATFNVDFI APTESDQKHPLCQRPGILVVARSNEAGLAEVPPENIAALYCESSATIQTFLATFDLRG YVEIYDTMNGTAITEGPMLANVTASLANYNRAFANPLQANITQYPAKDRHKILQNWFG LLAAHVYKQKNTSDSTTIDIDLLIEAATLVYQTVFATDLTLHRDFHFNRLSTPVSVPD ATIFDTFMSFIPVRPAFIVVFLIIAFDTFVLIDTSSWSASERAAHLMKLNKRYALIRT DLGDGKGTYALDEEPSVVGECDVRDIPAKDPVVQSCLTLLDKV AOR_1_1120084 MVVIRYYGPDPGNHPDPKELPNIFRNLKSGPEAAFVLGCDGVLQ ASTIDHDILDMEDMYRGVDGTKVPQEQCWKPGPSLLPPPFAEEEKACFKKEIEENKEV IQENIRKRESGEVKPCGVVVCSPGERGE AOR_1_1122084 MQLQHSYYIGVDVGTGSARACVIDHTGEIVGLASEDIALWHYGQ VYYEQSTTDIWRCISLAVRRALAENKIDPSHVRGIGFDATCSLAAFSTDTDEPVSVTG PEFNNDRNVILWLDHRAGSETDKINATGHKVLSYVGGKMSIEMEIPKILWLKNNMPIE TFAKYKFYDLADALTHIATGSETRSLCSLVCKQGYLPNDPESSVPGGWAEDFFETIGL GEFKENAFSTIGGKHGLNGQHLHAGELAGYLSEKAAKELGLPVGIPIGSGVIDAYAGW IGTVGAKVDLPSNPSDKRQELFTRLAAVAGTSTCHLVMSPNPVFVPGVWGPYRDVLLP DCWMAEGGQSATGQLLKHVIETHPAYNQAKDLADVQKTNIFTFLNEHLREMADKNNAP CVAYPARHFFYYGDLWGNRSPMADPKMTGSIVGLTSDTSINSLAILYYSTLEFIALQT RQIIESMNRSGHHITSIFMSGSQCQNDILVNLIASACDMTVVVPFYVNAAVCHGAAML GAKAATADAKGNTADLQEIMEKMSKPGKCFFPTEDQREKSLLQAKYHVFLDQCQKQRE YRALVDQALAK AOR_1_1124084 MINKQNNPSLVLKAVQQLEFEDRPVPDLLEPYDVSVRIEYTGIC GNDVHYWQRGRIGQFILEKPMVLGHESAGVVEKVGSKVKSLAVGDHVALEPGVPCRRC TFCKAGNYNLCSEMAFAATPPFDGTLAKYYVLPEDFCYKLPTNVSLQEGAVVEPLSVA VHLVRQAALQPGQSVVVFGAGPVGLLCCAVARAFGASKVIVVDVQPKRLEFARAYAAT AHFLPGVETSPADNAVRLREENELEMGADVVIEASGAEPSVYTGIHILRPGGTYVQGG MGKEEVKFPITAACTKELNIRGSFRYSSGDYKLAIELIAAGKINVKALITQVFKFEDA PRAFEEVKSGKGIKTLIAGPGV AOR_1_1126084 MDDPSTLQDPERLSRHATRRSAATSATKSRWWRIHFFRGMIHDI KRRAPFFISDWTDAWDYRVVPATVYMFFANILPALAFSLDMFEKTHQNYGVNEVLLAS VLGAVVFSLFAAQPLVIVGVTGPITVFNYTVYDIIAPRGTNYLGFMAWIGIWSLIMHW IIAITNMCNGLTYVTRFSCDIFGFYVAFVYLQKGIQVLTRQWGSDGETSAYLSIMVAL LVLMSGWVCGEIGKSSLFHRWVRKFIEDYGTVLTIVFFTGFVHFGHMRDVSVSTLPIS KSFFPTADRGWLVRFWDLSVGDIFLAVPFALLLTILFYFDHNVSSLIAQGSEFPLRKP AGFHWDMFLLGITTGVAGILGLPAPNGLIPQAPFHTASLCVTRQVADENEENKGKVVR VVDHVVEQRFSNLAQGIMTLGTMSGPLLIVIHLIPQGVLAGLFFVMGVQALEGNGITQ KLIFLAQEHGLTASSHPLKRLERRWSIWLFVIIELIGFGATFAITQTIAAIGFPVIIL LLIPVRAFLFPWVFTPVELSALDAPTASPFTMESVGGVHGYDEELPAAYDQPNMTDER GPTGGTDNSSSGRPEESSQALGESDLERGDFLELQDDSTATRRRKNDSSI AOR_1_1128084 MKGSWMLAAATAALTSTAFADDSNCYCLPGDSCWPSTSSWESLN STVGGRLVATVPIGSPCHDPNYDAAACAALKSNWTTPLPHLESSSSIMQTYFANQSCD PFTAESRPCLLGNFVSYAVNVSSSDDVIAAINFAKDNNIRFVIKNTGHDYMGRSTGAG ALSVWTHHLNDIEYKDWSDSYYQGPAFKVSAGVMGYQILNAAHAKGLAVVTGECPTVG LAGGYIQGGGHSALSTKFGLGADNTLAFEVVTADGQLVTASRSQNSDLYWALSGGGAG NYGVVMSVTVRAHPDAKISGAGLQFAATANTTEAFWKAVAKFHTLLPNMTDQGVTVIY QMVSGVFAINPLTAYNKTTDDVKAILAPFTTALTDLGIAYKVSYTEYDSYYDHYNKYM GPLPYGNLAVATYQYGGRLIPRNVLENNPTGMASVLRNLTSHGVIAVGVGMNVSQPGN VSNAIFPALRNAAVTMQIGTNWNETAPWSQMVADQYKITNEYVPQLEAVTPGSGCYQN EGNFRQPNWKETFFGRNYSPLLSVKSKWDPNNFFYVLKGVGSDAWTVSESGRMCRS AOR_1_1130084 MGASEPNGGPTLQKGIWVAVALAALIVILRVFAKLKIGQFRVDD ILMILAMLLAIVATVFLTLSVNHGFGKNLETLPLKDMEAVLKNTAIEIPIVTMSTTIA RCSFIIYLLAILGSNKTYKFVLWVIMIWQLSGNIVSAVLPLSICRNVNILWDPTVKTT CGDSSAVIKFAYYSNTVNSATDLFLAVFPIPVFWNLNLKTRVKLGLIVLLSLGLVAMV ASIVKTTKLNQLPSITNLGASGGIELIRWGYVENAVIIITSSIPCIRPLVMSSVKKFS SHSFSRSYELRSPFTGNRRTGENDTAQSRRKLATTNNDVETCSIERILNPYSHNTVIG SHQTSSNRSDRGITKQVEMSVYSSDDPARSV AOR_1_1132084 MSSLLNTALAAVTTDGKDSFLYYQNGQDILEAHSASGSSWTAKA STVTSNAASGGSALTAYYVEHDADFQNKSTIHVVYLDRSAKVADRVKILSEGTWKDGK VDGISTNPASTSRITGGAFNGSEWNPDGSQWAYYNTPNGNQLQITEIRRTPKSPWKTE TVLPENTLALPGTDLASSIVKGTIDLYYQDHQENINHWVSQDSKWHDKKVLIPASEVE NSTPLATVNNGKKHVFYADRSSPPNIKDYLDGKSVQVAPFYPGTHFTAVSVNGKVTLF YKKLNPAGAIAATIYDGSSWKDGGIVVPA AOR_1_1134084 MNLYERNLEEAHENIKMDLLHIPPKERKSRTSRPKVRTGCVTCK ARRKKCDEARPHCRTCVSAGRECGGYEETIDRRTRAWKTTNATVDVPCKIKLEVQKNQ LTVAKDCGAWISRLLVDPSQADLSLSERWYLGLFRSSTASQCSGYFPLEFWRRMVHQF SEVEPAVRHAIIAISALHRSFSTTQSAQNSGKPNDPHLFPLRQCNKAIICLQQRLQSA SCRQDSHVLITLVTCVLFVSFAFLQGDTDAASCHLRHGTRLLQETYLSNTKKKLDYGP ALTDVFYHLELHWASLREPEAASLNHEHSIVQSMALGNPVWCKPVHSLEDACNLLIGL AWLVCENDPENSKMVVAREILDKHQEAILQKLQTWKTELTTSLTRKKVLLSSRDRHTL AALNLWTEIIFIRVSTDSRQNEGESRFDSFTSNFQRVVQLAKSVLSSDFSQSPMPTFS VGMGMIPPLYLCAFRCRDWHIRREAVQLLQRWQLQEGAWTSSGTAFVVSRMIAIESEG LTPGELVPERARISAMRAGALPDGSGIRLWYRRSQGGSSTQNDSNRNPWESEILPF AOR_1_1136084 MADQIHRWNVAHKLEKRKLLVAINCVAALAIFFFGYDQGMMGGV NNSSDYLELMGIGYGANINGDTNVPVVTDSLLQGGIVSVYYLGTLVGALFGGWIGERI GRLKSIAVGSVWAIVGAALQCSAMNHQWIICARLINGIGTGVLNAIVPVWATETAEHT SRGQFIAIEFTLNIFGVVVAYWLEFGLSFIDEGRSAIRWRFPIAFQIILLFLLLGLVW FFPESPRWLVKVGREEEARYILGRLRGTGPEDGDKAEAEFRDICNVAELERSQKYSTS YFSMITGRGSGNLHIGRRVQLVVWLQIMQEWVGIAGVTVYAPVIFRMAGFDTEKSQWV SGLNNVFYMFSTLVCVFTLDRIGRRWTLYWGSVGQGIAMFLAGGFSYLCKQANAAGDT SKASSYGAAAASMVFIFTSVFGATWLTVPWLYPAEIFPLSVRAKGNAWGVVGWSIGNG WLTLLCPIMFDSIGEKTLYIFGIANVITIPMVWALYPESNQRTLEQIDLLFAADSIWV WDAERNFQTLVENNPELTQATRARALSDTEKAKAIED AOR_1_1138084 MNCVYIERGEPTKEDRDLGEVIERLDNLAYAVENIHSYLSSTET PTSSGRPAAPQQTGGQLDIPVEDTEPYKDYLQIPSSRGSADTVLTWPIFRGRFRENAL ITTLFQSSHGAESSAVETWVVPDGFQPTDEERIPALVDRFIQNVHTKNPILDLEALIR WGRHAAEFGLRWDAQSCLVLLACALGCVSQAFTLSMKAPGQDERTTHASEEKSSFASG LKEGETFFVMACRRIGLLRYSVLGAQCHFFAGVYLMYTFRPLAAWNHFYQASTFYRLR LRMIDGLNIDDDIPADEAAQRGTPVSRHMEQSLYWSCFKSEVEIRVELPLPQSAIAEY EYPALFPTPPNLSEDYPEGGSHGAGWSTSWNSSRPASSYHSNRAQTSFGVRNHIMQLF DEEQSWYYYLTEVALRRIGNRVLNAFYREGQSMWSDIRPFIPLALEIETQINAWSANL PPGLRHYKDNEGSGQTRNRFSEMQDSISLELSWAIANRLLEIRLWLYQPFLYFVIHNP VDTGQEARRTRSSSFTGEELATIAILVQSGMHCALKILEARCLRHRHHGIWFDLRALV TSSLIVIAAIKSGNLDVPGIDSPMELKSHFEGTLQALNYWEDEAPDIKKARCILAGLL TEMG AOR_1_1140084 MADTESNACQHAINEHATEDVTQSKPQKTIRSAISNIVEKTRAD PCEHYQDAKYPEGGLRAWLVVLGSWCAMVPSMGLLNTIGVLHAWTADHQLAQYSSSSL GWIFGAFSFFLYFGGAQVGPIFDSRGVLPVVLPGSIGIVLSVFFFSASTEYYQIFLSF SVLGGISSCCLFTPAISAVGHWFDVRRGLATGIACTAGGLGGVFFPLIILYIGPTLGF AWAMRIIGIISFVLCALACLLLKTRLPPNQQAGMAIDLKALLEPKYALTTLAVWLVEF AVFIPYTYIVSYGLYAGLEQSMAYKLSVFLNAGAIPGRAFPGILADRMGRFNVMTTTA VICAICTLALWYKAGTNEGAIIAYAVLFGFWSGAAISLTPVCISQVCRTEDYGKRNGT TFTLVSVGTLIGIPLAGAIQESNGGEYWGLIIFGGVLYLASAVAFAVARGVAGGWGWK VKF AOR_1_1142084 MHHLTVDLCNTEAPTRDAPGDVPASLPSAKDSKQHPRRGGDENA SVYFIGTATTIIEWEGLRVMTDPNFLHAGDHVHLGPGVTSTRRTNPAVDLHELPRVDV VLLSHYHGDHFDQKVESSLRRDLPIITTPHAKSTLTSKGEDSFTRVYDLEPFQDATID ITGNTSKKQARVRVTGMPGKHVPTGVIEKLNDLVSAIPPTNGWMIELGYSDGSSTTDL TVGYRIYISGDTLLIDDLKEIPRRYGDQKIDLMLAHLGGTTVPSPALGPLAMMVTMDA KQGVQLMQLIRPDVTIPIHYDDYDVFASSLEDFRKQVEEAGLGSGVVYLDRGEEYRFR VRA AOR_1_1144084 MLASAIHLPLPLPHGPSPCDHRFLPPTYDLQDLVHDIKSYLGDS SGIDSSDIDHEHLIALAQKYVSNPNDWLRFFYNDPSKNYTRNAIENINRKANILLLVW NPGKGSPIHDHANAHCIMKVLAGELTETVYHPPHSEGDETSPLQLKHQKRYQTDQVTY ISDDIGLHRVHNPSPNQVAVSLHIYTPPNAADYGYHIFDGATGKASFVSQAHAHSNTE KPACQA AOR_1_1146084 MTPRRSTRQTGLAALACTECRKQHLKCDANQPSCSRCTQGGFVC QYLPSRRGGRRKPRHEIVASHYSPGNGTGNNIQTPHHATGLPLQVSSAPGPMNTPDSS NISLQRSSRPGLVGVPWPAILSPNDASSDRLEPPERLWDEDDRCARLYYEHFHVAHPI LVPSTLYKDRDYPPFLQLVVEFVGSHYLPSGPRQQLKDKVDAALESNPDRSPCMVQAW LIYSIALYARGERQKAQEAFSQSAEIAFELGMHRGDFASSAHPERSVEAESMRRTWWE LYITDIFMAVPLKTITFRCTTVAPEVGLPCDESAYTGCGEIPPPRKMLDFKRRVFAAQ EVAFSSFSYRIEAVTILCRVLVLNRLRDYHRDHLQAIENALVSWVNHLPSRKLDIVDS YGNVDEMIFQAHLIIAYATMLLHLPRSDLRPLLTQPDDCFWPSAPCHLSSTFPRLVHS IKATEASRRVSDSISICPNIQKHTPFVIPALALCGMIQLATSINHSEECFDHHCNRVT LILGCLKSTKRTWGSAECAYDCVRSTAADILSDSIEKWNAEPLKSIPTPHDSNDVERA NSNVPPAVTVAEGQGLMIPELAPGFIDPTCYNASFFNCLADFDLS AOR_1_1148084 MPTFLFLAALLSGAGVFASPVPTEAPAKQTGYPVIVGENGYTSA PYHGPYTGTPTTTGAVKAPATLAASIEPKPPSPTATYYNSQGVPLNPMPAPYVPAGGL GTNGTEPRYMVESDWDFESIALGVYQEYIELDLFHDGLARFTDEEFQEAGLGPEARSL IEFMANQETGHATLLSNMLGEAAPKQCVYNYPYKTVREWVDFMQRVTRYGESGVWGFI SHLDSREVATLLSLSISTEARQQLIFRQMSGLTPIDVWFENGWPQSWQWTMLAPYISY CPEGTTRLAWQNFPTLQILNNPNINRVSPDDTPDDGSETVGKRITDPSVSDIPKDENC LNKDAIGKNCAPAIAHNRSEPLSYPGKQVFLEWEAPGKSVGPNNSYITTTTAGEPKFV AWSSQLNLTYSPLTLTGDNTGYTYQPEGYVYGDDGIINGTMAIMLTDLDLFVTPFNLT MLNPHIVALGLYMAG AOR_1_1150084 MGDAPALPDYVLDADAVLKDTEAAWRHGRVPNYSKTRQFYDQTK TMKHEAGSLPDLVEKLVKNWEIEASYKTSLADWRTIDQKTYTFSLNGGPPQTGEHMLN VGTYNALLTASSYYDPAHNDFETSHKAFKRMMPTFAWEVTEVYSGPPTVVFKWRHWGD MANDYVGYNDRGEKVRVKAHGGRIDIQGIVIAKVNEKLELERIDVWYDPMDMFRQIAR ENAEVVADTASVSGGCPFSGAAKTSSSE AOR_1_1152084 MSAMDPFARKIAIESWILYGVGLLIIGCRLISRRIRLKSWSELQ MDDVLMGVIALTFTGVTVSANNVARVSSDPIVHQENPTPDAYSLMIWGNKMVFTLEQF ALVTIWLVKCCLLIIYNRLTLMTKEHLVVKIVAGYVVISFIVIEVLFLGVWCRPISHY WELEAEDFQCSTYFNHLITTTVLNISSDLMMLCIPLPLFIRSHLPLKQKVAVCAVFSL GGIVILMAALNKYYNFTELQNPRFLKWYVAEVATAVYVSNVPLLWPLLRQLFRCLRST VHSHYPSGAPRPTGPERGLAPLRSHSRSHSRYRTDSVHSIINHSHEGSMPDAHELILT PTRERGYRADVMGESDTIPQQSKDITVHRTVEVTHEYP AOR_1_1154084 MSQFQVESWIWYGVTVFVIILRFISRLLLVKSVKALQVEDYLML LVLCFYTGLVVTLNRIEHAQTNLMKPGDEAHLTPESIKDRIYGSKLVLATEQCMLATI WGCKGCLLLLYARLTEGIKQQLAVKILAGYVVGSYILLEILYFAVWCRPFYNYWAVPT PNEQCSTATHHLIMTMVFNISSDILMMCIPLPLLISASLPLRSKITLVGVFSMGTFII LCATLSKVYSFKDPFSPEWLFWYVREASTAVCVANIPNCWSLVRRVFNLSSWTGSSHS KGRTHHYTPYAYGTGTHSRTRRHTSSQKKGLWTSVTMSGVRKTESAEEIIKDDPEQQA HQGIPLEIWHQTSIHVTEELPRPDDSHKGSTTTVPGRG AOR_1_1156084 MGLISEAKRAMQDAPKEVFNAYVLMCTCFFALSGVSKGFDEGNI ASIVTQAHFKARFGLDTQSEDEYANTKGWLVSIATAGAVFGCLGCSPINDRFGRRWTL RIATVIYIAGVLGQGLCGGNLSGLYASRFIAGLGIGPLSIVPPVYITEISPKAIRGLL TVLFAACQQLGVVLGFFVNYGVTKQYPGVDEQWMLPTLLQIVPAVVWGFGTFLCSESP RWLLYKGHREEAAATMSKLRHLPRDHSVVLSELAGMDAQILHETEAVSNATVWDLLKE TFVPVENRRRFFLIFMATLFSQWSGANAITQYSPTIFGYLGISGDEAKFLATGIYGVV KFVSTVCFALFIVDFIGRRRSLMTGISLQLITLIFVGAYLGVTSHLSADEIEATPSAS RASTAAIVAIFLHAVAWSIGWFSIPYLVGSEIFPIRIRSLNMSISMAFHWAFYFGCSR AMPSLLAATHKWGAFVFFSCICLISLVYVFYAMPDTTGRSLEELDSLFQRPWYTVYQV AYPSRDEIQVERLEDKVSADGTSKHIEQA AOR_1_1158084 MNFYPVPPVIQAEIYVRIPDSLRCTGQPTEWRGGSAVPASDIFL EGPTYVADGSLYIVDVPYGRILKVDAEKQVTECVRWDGEPNGLVVREDGCIVIADYKQ GILLFDPSTNTISPLLTRRNLERFKGPNDLIISSTNDIYFTDQGQTGMTDQTGCVYRL SPTGKLDCLVSNGISPNGLALSPDERFLYVAMTRSNAVWRLPLHADGSTTKVGLFFQS FGCAGPDGLAVDEEGNVFICHPSLGSVFVVDADGIPKARIVTAPEGGKNLTNCTFGGP DGKTLFITDSIKGNVQCVRWHCRGVPVSKKVKQAKI AOR_1_1160084 MAPGITEPSSSAGPSTAESKPTVYVLDTFPPKAIEYAKTLFNII QPQDEEFKNWRQNARALLIRGSYVTADDIASCPNLIAIGKHGVGIDKINQDACAQRGI KILNTPGANARDVAELVVALALSVARGIRSITTRQMLKPVPKETCNGLTLYQKTIGII GMGNIGRTVAEIFRGGFDANIVAYDAYMPEDVWSHIPHTRAKSVDEVLVQADVLSVHV PLTAETRDMISYEQIRAMKPDAILINAARGGIINEADLTKALSEGYLWGAGLDCHEQE PPSHEKYEALWKNLNVISTPHIGAATSRAQLASATAAVDNLYQYLSKL AOR_1_1162084 MHWKQSAGPAKSVEGFLFFPAIVTELSDMEADRRTSTGSAPAIP RRLQACERCWKRKQKCDRQLPACTTCVEADAQCAPRRVPIGPTTEEGSGLSHAAVPNY VETLKRKRDELDAQFRQQRARREWDESVPGPRLSPNMETTSAGSPVESNPTPSTAPTS FSERSVQVAMGEIGFLSRNAMAEPRDETRGFPQELAMGNMVRAALVISGEDPSQSRDL CNHRRTFVTMMGPATGITKEFATPYVNRFLDHVGVMFLHIDRRKLQGEFDSYFDAHRG QPSHTKAPNPDTAFLEFRVYMAVAIGMLLTPEPGSELLATGLHAAATERLTIIIEQVN CVKTLHCLWSLALYSMLSSLGGSTWHLVGLAMKKCISFRLHREPYPDSDTSQEELNSR RSIFWALYVLDRTISCVMDRPFSIEDEDILVQLPVYNSTSKTVAEFDFVCGVLPPEGV CTITAT AOR_1_1164084 MHASPLALVELFRFQESKPDIVLSTLLQIPCAYALNRYIYELEQ HVQGLQTEVAVVEGRELDRGHSHSTAGVTDSPTPTRPERNSQALEPSIAIQTNDVISS PSITEGVGISFMSLLFADARWRDQNLKLLQNLSQSSGILETSVQPNSLPPAEEALAVF NNYLNGPHVLNPFLLRRDVQRLYHSAFSTSQTGSSSQKPDHLTKHDTFRTFMILAVGS IMLYRSGVHKHHPYGYFLTALQYIDTNILSRGLDSIQDLLLVVRFGIYHHIGTSIWEL TTLCMRMCIEQGLHKLPRPAMRRRISLLQEQLQRRVFWECYMISQYSSITLDRPAAIA ERDIQVGFPADADDEEIDAAEASGSFSDLDSFCRATTRPTTTGNTEMTVFFYCLRLRK ITSKIRTKFQQSNGTPGDGHALTMDSITASGRIYEDLEELLSELEQWRRSAPTFSTPR GLYHTQEWYDLLLMRERLLLVRKAIDLVPKRNNIPPDDLLLICLEYAVSTITKFCPLF EQRKITYTRSYFQMLFTAGLSVMLWVSVASNHDTEMMVKAADAVKQSKKILKQMGREM PDATPYVSVYEALEVHVLGKYHASSRLTQQTGGYLGQTQPHDHASPGMSGMLHDQSIG GEQHSVEQNHSQFSSHWPPLLNDLGSHHLLDHEPSTYASLLSRDLFGDNTFWNVEVGL GEYVYGDPMFLFSGIADDNV AOR_1_1166084 MGSITPHAAYSLNNPFRTRILNGQITPLMSIKFVTGNEIPMMCK MAGVHAMFIDMEHSALDMRTVAQLILACNYAGVSPVVRSPSKSHWHIESVQEVRDIVK HAKFAPLGTRGCTNNQAVMNFQHVPTLVQNEILNEQTMLIPMIETPAAVEIADEIFAV EGVDGVLVGSNDLCTDLGIPGKYDSDLYQDAVTKVIQAANRAGKPVGIGGIGGRLDIL EKWFKMGATWSLSGQDASMLQAGLKQMSKNYTEISERLQKEP AOR_1_1168084 MTSKDIESKTALELEDLKDAPHRDPLLELFPILQDKTPEELEKL NRSVVRKLDWYFLPCITMILLMSYLDRINVSNARLAGMQSDLHMSDTVWSAGISLFYV GYIISQVPANVFIAKGKPSILFPCIALVWSAVTICMPALTSGWGFCLCRFLVGVAEGP FVPAVSLLTSSWYTKQESPLRMGIWHAGNIISNVFSGLLAAAILTNMDGIAKLRAWQW FILLEGIVSIIVAVTGFWFIPNFPNNTGRRWFTEEEAAMAEYRQVVSAGGTREDDEGD YWGGVLLAVKDPFTWGFAAIHFSLIIAQSFKDFFPSIVETLNFSEVVTYLVQAPPYVI AYITTLVVSWSSGKTGDHCWHIVGPILVSLVGAVLMISTLDRGARYFSLILLCTGPFV GLNIQISWETTVVPRPRTKRAALIAIANCVSSVSHWFTPYFFLRSQEPRYQTGGGIII AGCGLSVICCLITRWWCVRKNKKLDEAEAISGNVTEWRYAT AOR_1_1364084 MPDSYSDIEIRIVQACTIAQGQKKPNISALARQFNVPYQRLRAR IHGRANLSSRPISTKTLDDSQEKALIRWIRQLDNLYSPPTAGMIEQSANQILQRNITD GQSRTVDKNWVYRFIKRLPEEFKLIQQKPKDKKRLDAEDIGVLQHWYDCLEAFIKNIP PKNIYNFDETGFQLRQGKTQKVVTTMPLRAARGNPSKEVGELISAIECIAADGFTLPP YFIFKGTYHLERWYDADIPEEYRISLSPKGYTTDKISFDWIQHFHRHTKHRISTKKEV RLLFFDGHESHLTYEFLQFCGLHYIIPYCFPPHTTHLVQPLDGQPFQVYKHFYRKRNN ELAQRGAEMDDKSDFLKEIHSIRTMTFKQRTIRDAFEKRGLYPLDSEKVMKSLREALE TAPELEIITTPSPPPSSSSPPSTIRGLRRSISKAQSFINNSPELDQSFVRRLDRVFQS SLETTELAAQLKDDLQQHLRYRKPQDRRKSQKRVKYHGPLTVYDAKRRIADRTEVERL QGLRQIRKTGALEYDKPPQPTNTGDLPSTEAGQVDREGPRLPYWIDTQGDVV AOR_1_1366084 MESNNPSWFLYGPGEARLQSLPIPEIQDPHDVIVRIAYVGVCGS DVHFWKHGGVNKKVSKEQPLVLGHEAAGTIHTVGTAVKSVQVGDPVAIEPGIPCRRCR ACKHGTYNICREMKFAAVPPDVHGTLTKYYRVPEDFVYKIPSGMSLQEAVLMEPLSVA VHSTRLVNITPGQTIVIMGSGSVGLLCGAVAKAFGAHRIILADILEHKLSFGSEFLDC ETFLVSLDETPEESAARLLDMLDAPDGVDAVIEASGAEGSVQIGIYALRRGGSYVQAG VGKPKAEIPILALSQKELHVHGCFRYGPGDYDLALKLITKGSIDVKRLITSVTPFEQA PQAWDKTARGEGIKNLIQGVQD AOR_1_1170084 MAKVLGLASPFKTPIKAKKPLDRVNAVPEVPDSASSGGVTGTRD VSSSSKAPPSGISAIRPLRSEEKFRTPERQCEAFYAQEKPEMSGARYGDCSDPMPICQ TVRSEETSPLVRKSLRQRKLPDRGLVSKVKRKLQFSHLNLYE AOR_1_1172084 MAGSTAVTPIRTNTTRLQMLPALTFGKKPVRQTVDLRQQDTKTA TFWPYGNSYRGGHIPSSECKSDEFLRSKRNSEIKRNFERWRFPRRFELVDPMVIVQLI SNTLGRLAFFNDMTFRTRFNVTRFHSNRAPQISACEYLRRLTHRLRLSSPILVMMVIY IRQLCKTHPTFDVSSLTAHRLLLSCALVASKSISDFAWPNQSFASAGGVSAAEMAILE LELLKYLEWDVAPHQEQLSECYVDLVLGSNGYLAEHETTAAGPSTVEFERDCI AOR_1_1174084 MLTLNIAYTEPVNNSSDPSIPVLTQEQVWNGLKLKARHPQDFVP SLDDSRILEERDDGTYIVREAHVAANLHESPMAGKWVREECQLHAPVRTYFTLPGGSV VENIVSIGHDQNLYLTFTYEWKLADLEPGTSEAKKAKDEHMRIAISSVQGTIRALRQM AEEGTL AOR_1_1176084 MKSDKDILMKPLPKSPGTGSTTTGHSVSHAEEVLDRQLHTPVSQ IGFFGIYRYATRWDVAILFGSALAAIAGGAALPLFTVLFGRLTSTFQDIATHRITYDH FHHELTKNVVYFIYLGAAEFVAIYLATVGFIYTGDHVVQQIRVEYFQAILRQNIAFFD TLGAGEITTRITADTNLIQDGISEKVGLALTGLSTFVTAFIIAYIKNWKLALICSASL LALLLTMGGCSTLMLIFSKKALEYQGRGASMAEDILDSIRTVAAFNAQETLARKYESH LKDAEGPGMKSKVIFAIMVGALLCIMYLNYGLGFWMGSRFLVEGISNIKAGDVLTIMM AIILGSYNLGNVAPNGQALSNAVAAASKLYSTIDRQSPLDALSDQGKTLEFVRGNIVL QNIRHVYPSRPEVTVAHDLSCYIPAGKTTAFVGPSGSGKSTIISLLERFYDPVAGTIM LDGHDIQTLNLRWLRQQMSLVSQEPRLFATTIAENIRYGIIGSRFEKESTYEIRKRVE AAARMANAHDFIMALPNGYDTNIESFSLSGGQKQRIAIARAIIKDPKILLLDEATSAL DTKSEKLVQAALDKASKGRTTIVIAHRLSTIQKAYNIIVLANGQIVEQGPHEHLMDRR GIYCDMVEAQQIKKRYSRYSKRYSQLLTNLSPKHNPMTFFFDKDYPGDDESDIYSILS DDASDIGLHTGEKQRPVSRMSLSHLMQPVKEEAYSFWTLFKFLASFNRPEWPFLLLGL CASILAGGIQPSQAVLFAKAVSTLSLPPLEYPKLRHDANFWCLMFLMIGIVSLVLYSV QGTLFAYSSEKMVYRARSQAFRVILHQDISFFDQQENTTGALTATLSAGTKELTGISG VTLGTILIVSVNLVASLGVALVIGWKLALVCISAVPALLMCGFVRVWMLERFQRRAKK AYQESASSACEAASAIRTVVSLTMETEALQSYQAQLRRQLKSDILPIVKSSLLYASSQ ALPFFCMALGFWYGGSLLGHGEYSLFQFYVCFSEVIFGAQAAGTVFSHAPDMGKAKHA AREFKRLFSSDTMHASRSKGVPVTSMRGLVEFRDVSFRYPSRLEQPILRHLNLTIKPG QFVALVGASGSGKSTTIALLERFYDPLKGGVYVDGKNIITLEMSSYRSHLALISQEPT LFQGTIRENILLGSNTPHVTDDFLVKACKDANIYDFILSLPQGFNTIVGNKGGMLSGG QKQRIAIARALIRNPKILLLDEATSALDSESEKVVQAALDAAARGRTTIAVAHRLSTI QRADLIYVLDQGEVVESGTHRELLRKKGRYYELVHLQNPDATGTK AOR_1_1178084 MVFVALYLPSGQMPEGYSPAMISYPTLVVVLFIFLVILFVQVQP KGPTIKGVQGPFLDIFCCGIATKLAFISGRSAHHFHALHESYGKIARFAPGQATTNTI KALRNIYGSGTGKGSAFLKTGFYKSISRRNIFTASDPIYHSSVRKLFGPSMTPGSMEA HAGVVRECTLRLHDVINSRLESKTILSLNELLYCHSVDTVSEVLLGKPLGCLKRGKPY FWTAQLPRIFYWATIRDQFDGSGVPTVMKWLLRRFLRKGIRLRSEEARMRLIHEQLKA SHTRRDIMVEVMERAETSGLPESEIAENFSAIMLAGFHTTQNALCAVIFFVLTHPESH AKLVRELQGAFHSAEDISGDVVQQLPYLNAVITEALRLYPPVPLGGPRVSPGAYVDGV YIPAGTEICTSLFALHHNPEYFNEPYEFIPERWTEHGSRDKKEAVQPFLVGSRACIAK YFAKQMMQITLAGFFLDYEAEYVGTVKDWQRESRCYAFWELPDLKVKLHQRRQA AOR_1_1180084 MASNQRTSVLFLANSEHGQTNIILAITHELLVRGDVDVHIGSFP ALERRIDKLLADNASAYDGSFRSRIHFHPIRGPSNTDVFIRTGKRGAFHPPGYHGAVL GFQSLCEDIWGWTEEEYVDIYNCCVEIIKTVQPSVIAADFFFLQGRDAAYNTGYTAIL INTTSLTHIVLGLQSQSAALWKYPLPGTGFPYPLPWHLVPLNALAVVKTAKMYHGSGR RREIREWRIRHKIHGRFPFADAWRPDRFHLSPALKELDWPMDVPDNIFPCGPILLPTA SVHKQDPELASWLQRAPTILVNLGTLYAPDPKVAENIASGLKLFIDAWKGEKIQILWK LPKHPHDEDDVYSRSIEPLRQETETDRVRIHPWFSVEPMAMLQTGQIVCSVHHGGANS WYEAIQNGVPHVVLPAWQDCYENAARAEWLGIGVYGNKTRAPNINDRELSKALLKVMS DRSYKEKALDLAKLCQKKEGRVAAAEKIVELARNPDLMAMHIPDMKIGDSQCQLSEIR NRSGMTLQSVQLPPPEVKPTAKPFLNDLAEAVLMTALCNTWSILPLLGYSLLLVPRLR FLVLVYLIYIKYIAKAHEKGTLSLRNDSFRTSWIWKTYASYFPLRLYRSASLSPQKKY IFGYHPHGVAIRGAVGAFAADVAGFSQLFPGITNTLLMKDSVFYQPLLREYLLSAGLS GVSRKSCIRHLTRGGHDGRGMGRAITITVGGSREYNVARPGTMEVVIKIRKGFIRVAV ETGADIVPVVAFGENEIFDRVDVTSRSVLRIAARVWEWFVGHKVAFSIGRFNIFCPYR KPLNVVVGNPIPVTQQRWDPDEKYIDQLHQQYMRELERLWDSWKDTFGTDKSVKFEVV E AOR_1_1182084 MAKTERKTPIEGASIPLGSSPSDDGASSSRHTNHAVLITWSSFV VTIPILALTVAFLVLVFKYRVSHGDAPFESLRTSDAKDEGSALFVNMNSSILLFLASW ASSVATMLSGFMLTLASFLIARRFFQDIQSSRVTRLPTPYQLALTLKFLDGSTLGAIW SWIVYLVSWRKKRAPLTPPLTAASSIALLATLLGLLVALGDTWLHLTTTTVEFTQVVP VTNQTNYGFGLIPECLTSNNSVAAQSGEQILCSVSLAVTGSFLRNGTTSLGVLNDMSD QATVSTYTDPQANKYTFLQAPEGASLSNRDYTAKTYGARTQCELISTKCKLQNNASIV TYNCSSDFAGSFTQSGLKTAFFTNDSMAEDMSQSMYNKGVGNPYYFAAADIMSLAGGD APNSTEFVKSLHGPYAFILGCNTTIYDIEYDRVNNSITRFVPTISNTSASNVWQTSIS HLNNWYPTIEQTAGAAILSGSAQVFVDKIAEAFSKVTIALGADAVDARPALAAQDRES FLVARLPKAPLITLVAVCFLYVLCGLIFAVLAMVAVRHKIPDIQARMSLAGVVADRFG DSSSWNKNTTVDEMFEEYSGKSEKRVAIESPDLQGAPRFTTWTVESTVVH AOR_1_1184084 MSVSSKTSHYDPFRGESSREIALECVVATFIAIAWCNSIELVVL CFTTFKRYAGCYFWSLIIASVSIIPFALGYALLIFKIFPSYFSVALEVVGWWGMVTGQ SMVLWSRLHLVVHSRKILRWTLIMIIVDAILFHVPASVLEFGAHSNHQDQFNPAFDIF ERIQLVAFSVQEIVLSVIYAWAAVEMLKLLPRGHYKGILIHLLVINFVMISMDAVVVG MQYAGFFRLHVSLKAMFYSVKLKMEYAILGNLVHVAAVPVHPLSSYTPTDRASSVSHI AOR_1_1186084 MLSITELIMDLGLAIVILGVSHLLWNYLSSPLKAFPGPLWASFT NLWRLQDVFKGRCDITHNQLHRKYGTAVRMGPKVLSLSDPSVIPHVFNSKNPWMKSDM YNVNDVIVSGVRLKNLFSHQDEKWHSTYIRPVKGLYSMTKVQDMEPGVDVTINLFMDK LRERFVEKGQLCDMADYLNFFAWDVMSQITFSQNLGILEAGSDYQGFLGRSNKSLDYF ASISQMPILDLLLDKNPIVRLGPPTFVWANIFSLEQLQKRLSGGTPPSGHTDFLDKFL ETKKKYPDLVNDNTVVTYLLSNTLAGSDTTGSAMCSAVYHILKHPRVHRKLREELYAA KVPLPAKWKDLQGLTYLDAVMRESMRVNPGVGLMIERIVPEGGFTLPDGRFIPAGTIV GMNPWVINKNEAIFGANTEEFIPERWLPSPGESDQAYQARFTKMKSTDFTFGAGPRMC MGRYLSQLESYKLIATLFSTFEMELPSLDHTWHVTNSWFVRHKSIPVKMRERTDLAVS V AOR_1_1188084 MSSKPIDNQGPTILAVCWILVLIPALIVALRMYCKVILNRGFGW DDMVISLALVLLLVYTALTTRGVQMGVIGKHVGDIDDPSKTPEALKLIYIGMIICIIS CVLSKTSFAITLLRIVTRPWQKAILWFIIVSMNVIMWLCAICYLLQCKPAAALWRSEL MSTADCWPSSIFQTIALTAGAYSGCMDFILALLPWLVIWKLQMKRREKLGIAIAMSLG IFAAATAFIKTTKLTNVSNVADYTYACSEILIWASAETGLTIFAASIPSLRVLFVRMS SSYNRSDEPSSYAYSSSAKKSRNRRGLSGSRQRDPYYCGDTIILPDRRDDNSAKSILG SSGIKQTQEVLVTYDQGPEENEQVADRIDILRSGSHR AOR_1_1190084 MDLDPNLTISDVLVLENLLDDIKAQRSEGQDREAVIQSRTSHHE ETVKKLQALNDPHHSDFEPSVVFTWDLRDLRLYPWLDRWILQPYIGLATRIVRHETDV VMLSHILLYLTTSVPSAILLFYRFSWIHGILHWLMQSYYTGTYTLLMHQHIHMGGVLK PKYRWLDMTFPYVTDRLMGHTWNSYYYHHVKHHHVEGNGPEDLSSTIRYQRDDLFDFL CYFGRFLLCVWFELPRYFFRKGNLRCGFKAGTWEILSLASMYWSWKYLGWKPTLFCFV LPFMQLRLGLMVGNWGQHAFVDEVDPNSDFRSSITLIDVASNRFCYNDGYHTSHHLNP RRHWRDHPVAFLQQKDRYTNENALVFRDIDYIMITVRLLRKDYNHLAKCLVPLGDQIG MEQVEIAQMLRTKTRRFTEEEIRRKFPQRNQSHQ AOR_1_1192084 MAQTRILLTGATGYIGGSVLTTLKASTAVEVQSAQIDVLIRGPE RVPEFENLGVGVIVFTSLDETEFIRKLASDYDVIVNTASAFHTESAIAMITGLHERET KTGQKTHFIHTSGTSSIADRPVSKVYLESRELHDTDDVYAYQQSREAHEAYKQRTTDL AVFRTGMDLSVKTTIIMAPTIFGIGTGPVNRLSIQIPALIRRALHYGHAVVIGDGQAE WDHVHIADLVTLFELVLVKVLKGEDVPYGAKGLLFAETGRHTWMDVSRGIAAAGSELG LLATDEVRSVSLPEAAAWASNGNAQVRELGFASNARSKATLSRALGWQPQKTETDWHG AFKEDFEAIAKTVI AOR_1_1194084 MSGPDHPPKTRTRNGCANCRQSRVKCDGKEPSCTRCWQKGWQCT REKLTLKWKSDYHSRGLAFGREGVWGKGTQSKKNSRAISTGEQNWHFNSTVYPWSFVN QDISTLRWLYDEERAPGQSSSVELSMFGLKRDRLGVPEQAPLWYSPPVFPYPQGQKYA IMVEYFIDQVCPRTTSSLKIASPFTSVILPFCLSGSVNGLAALQALAACYWSQSNPAH TSTAVRLKSQVLRELRRMIAADPSYTISPDPEVLVLMMMLSLYDIVDQCDKGWIVHLQ GAKDIIRLRRKNLTNETQCPVTAFAELFFAFQDVMGRTACAKADLFGPSFWDQTDRSV NPWMGCSPELVSILFSILDLSRIRPKMDTDLAQEVDFSMRASALNRRLGSLVQVLADP EDRALQAVADLKRLACTVYLHCALYDAEPSTPIVRSLVRRIIEKLSALLQENLIINAT WPIFVAAVELDPADGEDWQDPVTGELVCGRALVLRALATMAQSTVTSVARVRSIIETV WQSRDCDLAAGSSRRQSSQHNDWEWYVVPLSDALSLV AOR_1_1368084 MTSLLHRPGLQSLYRVSIPQDLQRNLWPLIAGSLTLGILSWAIY DYRDWVGFGTGGIPPTIRGWFKANRLRIVRAFNYLCGDDLQGPSGLPQTEPRYLPPTL PQRAKGPPSLKPRTLPQRQCPEPIEPQARDTLFNLMNDLHSQYPHLLHLGLSKTEGGT VDAIYARSELPDMQS AOR_1_1196084 MPPFITLEEHYASPKVREASSEAREHYAYFPPAIMSKLESLGEE RIQDLDKGNVSLQVISHGPGNLSPPLCSEVNNDLASAIARNATRLAGFAMLPMSEPAA AVAELERCVTELGFVGALVDNHLDGQFYDDERFWPIFEKAQQLDVPIYIHPTFASDSM MEHYKGNYSDSVALALSAFGWAWHAETGHHILRLFASGLFDRFPRLKIVIGHMGELLP FQLDRVFAISDRWGRERSFREVWRNNIWITTSGMFSLTPLACLLQTTSIDHVLYSVDY PFSPNEKGWQFFEEIEKSGLITGRDLELFAYQNAEALLRVRAVNV AOR_1_1198084 MGSGSNNSNALIIVTAVFLGTSLVAVGLRCFVRLSIVRAFGHDD ALMVMAMLFNVAFAVCTFLNASSGAGKTVTYLVDRPEDIRRGYLFWWLGQILYVTTVT IGRLSITISLLRLTVERIHIWILYAVMALSTTVGIVLFFVAMFQCQPVSYYWDRLSIE GHCLDMDLLLGIVYMYSAVAATCDFIIGILPVLLIWRLQMDRRTKTAVAGILGIACIA SAAVIARVPYLGSAKSPDFLHATTQISICSNVEAGLGITAGSLATVRPIIRLWSRMTF AIPSNHSLTASCPISGKRRGTADCRDDANSHSTYASYVTEPQWPTQTTYAIP AOR_1_1200084 MFGKRKTSQKPNEELIREFRNDFPHVFSELSIASTLHPQGLVTH AQPGALQPAPWNEQPSMRSNLVNFVSPTGQPQGLHTPLPDQMCAVLHSPAGDLHTPTV EWNLTSSPSRWTQMLSGQTIRSQDDPLPANIAMFPSVDPSQVFSNANPFAPTFDEPPS VTRYFDHDHREYMAPDECDRKESFATSVRELSTDHSVSLASNVPERVSVHPEIPFRYH VILHAPTAMFDHARDIPVTYLNKAQAYTITVVDSRPPVAPTRPVQYRTRIGIAFEELT HRSNPALCWQLWHDARGGSDAERRGSSPCAVELVALKGGGRETPDPTWHLESASFNQF CVSWTSSGEAHSAECRILVRFHFLSTDFTQSKGVKGVPVRLCAKTERILSPECPPEGE TEAEICYCRVKVFRDHGAERKLANDVAHIQKSIERVEKQIARADYHGGALGKKQKRRS VARGPTPSDPTRSMSRSPSVSTPGDLYKVLYDLQQLFSSALSVSVFSLPGDEQDDPDQ LAGITLGNHPPSSPGGTDVRGDTDHDGPTEDGLPGRPSRSPVQRSFSITPGKASAADP APVACFYVQIQKNHRSSTYYHAMYLAQRTVRDLALQLSKLARIDLRGIVRVVHVKRMG LSIIVDDDVIQHLPEGQDMIASFVETPAVNRDLRCRPRFEVRLTY AOR_1_1202084 MVTYCRDRWGNIYRCRSSWHNWGRWVLLAVIIVVALIAFFFYAC LSARKRRRHGQRPIYGTGWIPGTQPPPGQWQQQQYPSQPPPAPPGYQPSTEHGYGQNY GSNQGYFGQQQYGSELQQPPNAYARDGVYSPPAGPPPGHPK AOR_1_1204084 MSLAGGQNVVDDAIAARGPGEVLDSVVNGPAGFDAEKQAYEVTA TATSSVDSDEYPEPTPEEQSALRKVADNLPIVSYSLCLVEFAERASYFGAQTVFSNFI EFPLPKGGNGAGAPPRGTQETAGGLGMGLQASSGLVLLFAFLAYILPILGGWWADVHV GRYKAICVGVLICGIAHLIQIFGALPSVLQKGTAHSAPPFIISLLTLALGAGIFKPNI APTILDQHRNKKAYNKVLKSGEKVIVDPELTSSRTMLIFYGVVNVGAFYMLATTYAEK FVGYWLAFLLAGIIYFLLPVVLALAYKRTHKQPPSGSSDLNNAVKIISMALRRNKFRV WRKNFFDAARPSVLAAEGIQVEWTDKLVDDVRRTLVATEVFFYFPIYNINDGGIGSVA SNQGASMTTNGAPNDLLNNFNALTIIVATPVLSYILYPLLLRYNIKFERISRITFGFV LAMISGVIGAIVQWRVYKTSPCGYYASSCDAGVSPLLIWWQLPNVILGALSELFCNVT AYELAYARAPPSMRGLVMAIFLFTTALSSALGEVLIPVTQDPYLIWIWGAPAVALAVQ TILFWFRFRSLNHDKFMIEEKDYVDTTLTTKSERAGKEL AOR_1_1206084 MASKAFLEEGGRSDEERQCAIKGDAHFHRLGWKRLTVVSIVEGI ALGSLGLPYAFATLGMVAGVIMTIGIGFVAMYASYNIGQVKLKYPEIAHYADVGRLLL GNLGSKIFIASFVCLLIFVVGSHCLTGAIAFKTITQSDVCSVVFSITSAAILLILAIP PAFADVAILGYIDFASIILAIGVTMIATGVQNANGSGGFNVGLAAWSPWPKEGITFAE AIVSTNSIVFAYSFGGCLPSFMEEMHTPEDYIKTLWWLGGIQIVIYTLTGSLIYAFVG QEVQSPALLSAGPVISRVVFGIALPVIFISGSINTTVVCRYIHGKVYRNSVVRYINTP RGWITWLGLVLFVTILAWVIAEAIPVFSELLSIISSLFVSGLSFYLPPVIWYVLLREG AWYEKHNLKTAMYNLVVFIVGMIVFGCGTYASIAELVHKFESNSISKPFTCSA AOR_1_1208084 MWTTTCGFRGRKLRLAITITAVLGFSLFGYDQGLMSGIIAGTEF TKEFKPLYIPGDATEAYKSHVSVLQGAVTACYELGCFFGAIFTMMFGERIGRTPLLVA GGIIMAVGALISTVSFGPHWGLGQFVIGRVISGLGNGMDTATIPVWQSECSKAHNRGF LVCFEGAIIAVGTFVAYWIDFGLSYVDSSVQWRFPVAFQILFAVFVTAGALMLPESPR WFVMRGYDKEACEVLGALSDLPADSEEVLADFNLMKADLAATEDSKASWKTLFTFGKT QEFQRMMIGCSGQFFQQFTGCNAAIYYSTLLFENNLHLEKRLSLIMGGVFATVYALAT IPSFFMIEKVGRRKLFLIGFAGQGLSFIITMGCLIKDTSENAKGAAVGIFLFIVFFAF TTLPLPWIYPPEINPLRTRTMAASASTCTNWICNFAVVMFTPVFSSASPWGIYLFFAL VNFTAIPFAWFFYCETAGRALEEIDIIFAKAHVEGKWAYQIANTLPKLTPEQMSQMAT ELGLDVSTQPVAYGAEKAELALSSGESQEKQTAN AOR_1_1210084 MADELQDVVAIVVLSPSDYELRVRYWDDFFPERAALDLLEQFCD FTSQFYHLKAQYWEANFQRSTTSLRSQALTTETIPLLLHSTPDLLIDFIGRADVIGKL PRTV AOR_1_1212084 MHFAGIVAIALATGATAYDLPENLKQIYEKHKSGKCSKELQGGY DNGHSHDGKSFSYCGDIPNAIYLHSSKNGGQYADMDIDCDGANRHAGKCSNDHSGQGE TRWKDEVQKLGIDDLDANIHPYVVFGNENDDGDDPEFDPRKHGMEPLSVMAVVCNKKL FYGIWGDTNGHTATGEASLSMAELCFPEEDPSGDSGHEPNDVLYIGFTGKEAVPGKSA DWKADSTESFEESIKELGDKLVAGLKA AOR_1_1214084 MILKSALTAVVLCLAVEGAAALDPKCAPGGNFDLSYWNLQLPTG KTGHPATKTPSQLKGCDGYQESGVFYTDSKDGALVMKVPGSPSSTACVTTPNSKHCRT ELRELSFDSGDKASWSPSAPKNRLKATVTVPTPDDGSHGTVIGQIHIDDTISTKPVCE LYYSKSGDLVMGVEKTRDGGNSIFTKVGNVSVGERFSYEIRYESDELSVSINGAAPQK LDTYSLDSPRSYFKAGNYNQGDSASEVHFYELNVEH AOR_1_1216084 MYSPSIGDRLDSLDTPSMIVDLDLMEANIKKLFDSLLPTGLNIR PHLKTTKSAILAQKLAAAGAKGCCVAKVSEAEAITAAGFDDILITCEIIGEPKVKRLV ELFKKHKKIRIVVDSEVGATAISNALAQAGVAEPISVLIDLDVGLHRTGVANAQAALA LARHIKNLRQLRLIGVQGYEGHLQHLHSWEDRKKQCLESMKILTDTATLLRNEGFNIE VVTTGGTGTAEFCATVPGVTELQPGSFIFMDTDYRNAVGTFFSNSLTLLSTVISKQGD RKVTIDTGLKSLTTDSGLAECKDPRYTHENLGDEHGSLSWEEGTPDLAVGDRVEMIPS HIDPTINLHDFYYGYRNGVVEEIWRVDSRGKVQ AOR_1_1218084 MTRSISSTDRVVFIGAAGEMCRVAIERFATASNASLVLADINTD ALESLLAKLPAGRATTKKLDLFDEASLHETVTGAALVVLGAGPYARTSGPVLAACLKA KVPYLDFDDDVESTTAALSLHEKAQEAGVPCYIGCGASPGMSNMLAVDAVSDLDTVDT IDLCWLVGDERPGIGKAVLEHLMHIAAGPCLTWANGKSSLNESWVETGYAPMVGQSGE TLLHETAHPEPVTLPRLFPTAARIRCLGGLDPAPFNGIARGLGTAVRRQALSMDEAVG FLLNLVNNPPSYNGWTDALGGLTGHFRGGDITIKELWQLVAQGAHALGPWRYALMGMI DQIRSGECTTGEILSFIASSARGQPAPYRGSLLVRAIGSRHGHPAVVIKRTTKCGEGS YLMKNMASITGTACAAFMVMALEPGQKRTGVFAPEGWAKPQDFYRALEQVGTPREEIV ECLTS AOR_1_1220084 MKELFDVAVIGAGMAGILAARDLSQKGHSVVLLEGRDRVGGRTF TTEAFGTELELGGTYVHWTHPTIWHELQRHDISVFPPLDSEKAYWLADGDVHSGTMND YYEALNPLMSHLVHDARSQFPMPFNVSASANDVDQESLEDRIVSLGLSAYERDILEGA MSGLVHSYREQGVAQLLQGVSGTFGDYNGFFETASFWHIEGGTKRLAAAMMSESTATL RLQTPVQSISDNGSFVTVTTRADEDIHARFVITALPINTLDDITIKPELPAPVQSMIG SKNPVMASKIYVRAKGTIEPFNAFAPAGKNPINAARVESRYEGDTLIMCICSDAAAIQ ANDHEAVQEALRKFVPEIEVVDTASHDWGTDEFSQGGWGWYRPGNLTGAAPLMRQRHG RIFFAGSDIASLGAGFIEGAMQTGVIAAREVATALANGE AOR_1_1222084 MKLLTVAFSLLLLGQVHASPLVLDKRSSCQLGDVWDLNAADAAC SASCAIQHGDKHGGHCDKNKVCVCN AOR_1_1224084 MYAAAPQARGARKRNLGAKMGKRVRHFVGVESSEQLAFIDELQA LGLSNTINLPELVVVGDQNTGKSSVLQAITEVSFPVKDTMCTRFPIQISFRQTSAAKE LPVKATVVPGPLSEEDDELLARVEDFLIEKKELTSEVMEEIIDKATECIFGDQKSTKQ LTLSDATLRIERSGPDEMHWTIADLPGLIRGKKSGKGVDGLNDAGNGVNEESHVRNNA AVAEELARTYLNNERNIILVVVDNVDVERHKTFELIEEIPSLQTRCIGVLTKCDRKQE GSDHWMIKLLQNDLATVPHLDHGWFGLRNRLPIERDSSDAERDEMELKEFAKPAWEGL SKDRTGIRSLMSYIDKERRAQIQKEIPHIITEITQHLRECEANLKRMGESRTTARAQR YYVLQFCNEMQKMAEGTLRGQHQDIPSTDAKAMLRYKIRLRLDQFRDDMCRSENIAIK FTDYRADLEWLKSQSSDPRVWEEHVVNGQGLYAAIAQEAKICEGRSLPGSVHPDVEEK LFRKLSVHWEGIAREFVEDVKIMVTDCYNILLKIAIPNSKVRLEVSRIVGKTLEEWNK DADTALRELVEDNQARPLITRNPSLLSFTSMTDEILLGHSSNNKAANGKANGGSNGED VGPYFIPTSLNQILSARARLDGYYDIALWRFIDNVAMQVMERHVLGPKCPMRIVSADR FAQLDDTELNTVAGEDEADTRMRARLERTRSRYQKALERWERLRVL AOR_1_1226084 MNFIDILNTIPPENASLTFFAALPCSRPYLNDSSPYQLITLPSR YKKNDSSDMFFRETMNTPSTFPHTLAFIRKEILRSNHLTWENLDREQIGPDIVLLVHL GSGGNGFRDTAHGGVLAALLDETLGCCIESWAIQLHASEQASSATRPRSYTAKLNILY HAPVESPGIIIVHAWFKKREGRKWFLGAKILGGNGRTRAEASALWISERVTVM AOR_1_1228084 MSANPPSTAEPKAAVDTASDSNHLQDFQGEVQTNDQLPSVETLR KIQDYSVLDRHGKSHPFKSLHSGPGVAQRVLVIFVRHFFCGSCQEFLRTLSASITPKA LEPLATSTSVVIIGCGDPGLIEMYEKETNCQFPIYTDPTRQLYQDLDMMCSLALGSQP AYISKGMARIVGESMMQAVKYIPSGLAHKSGYYKQIGGEFLFELLDSNADMTGEEEKQ VTWCHRMKTTRDHTEIPELMQLLGINQATDPN AOR_1_1230084 MIVPYGRWKSPLTPELLSSSSISLHEVVVNESTGAIYSVECHPT ENGRHAIIQHWNGERRDVLPKDFSAHATVQELGGGSLAIRPDGLITFSDEESSGIYLL DPTSSKVTLIREGVKGVRYADFCHHPINAHWVLAIKEDHREATPETQAFMVHNTLVAI NIDTGEETTIAQGDDFFSHPKFDPSGKHVSWIQWSHPDMPWTGTVLHVATWEDGCLKN MRRVAGKAQEESITQPKWGLDGSLYFASDRTGFWQLYAFNMNDDAPRLLALKGLEEAD FATAEWELGSSTYISLDTTTIVAAVITHATSRVFLIDTTTSCARQLDLPYLDLGSRAN GIYRVSPTSFAIVGSTATSPQELALVSITPTYTVQRTVLASTASFHLDREYVSHATEF RAPQKYGPQCDGDVYMFYFPPQNPNYQSDDQGPPPVLVYVHGGPNGCVTPALNLEIQY WTTRGFAVCALNYTGSTGYGREYRERLSGYWGLVDVGDAVSAVDFLVENGMVDKARVG IYGGSAGGYLTLRALHMYPDVWAAGISSYGISDVRALQADSYKFESHDVDRILLSTTK AEDRDAELTRRSPCHFAAQMKAPLLLLQGTSDMVVPVAQARMMADAMHKCGRVAEVVE FEGEGHGWVGHQTIYESYKQKEEWWKLHLT AOR_1_1232084 MDRLPTEIILAIIHEIPNTQDRLTLVQICRRWRASFLGIAFCST HLEWPQVRRLVVAALANPVIRFSIHKISIENVAHRATPVPLGSPVQEIIDLISESPVE YDAWRKGLSENQSEVWIALLMAILPNLAAVSAQHSHPQGWITRIVSKAAWKQLPFEPN TLPALQHLEKLDLTWCGLSTVLSHREYLPFLHLPYLRSLRLGPVQELHSTHSPADHPA FLPVSARSQVEDLVLDFFCNGRHGMVDFITSCANLKRFVYQHTNYMVWVSRQDEEDCA GVDASFRPWCFHEALQTQKHSLEVLHLNDLGDASIPRRTHLYKGHVDPISHDRWFGSL ADFRKLWDLHIRASNLLNLHPEETEEMILLGDILPKSLRVLHLADRNDEICAVLLTHL EDLLARREEQFPSLQSLLISPEREEPHGTRIRIKDSFRKQWTALQEMYDRVGVRLSLG AGGKMETNQKQKHWSPGRIVDEALLD AOR_1_1234084 MASLRTKLLGGLIHSHPRAPLAPRAIASFSTRTVLHNTDKTSKN DQPNDTNPGYWKYDLSFKTQMSKAVLFTVLAGAGAVESWTWYREIREWWTGVRDDGRE I AOR_1_1236084 MAKTVVATGTSSGLGFEAIKQLLQQSEPYNFILGVRDTVKTQGN YDRIDFDRSKHTITIFPLDLLSLPSVQSFAQKALSQLGDQKLDYLFLCAGMLDSADGP GPNGSPWCSGYVVNHLAQHYLLHLLRDTLSRSQSRVVVVSSGAIRNVRGQDPATLDVD LKANSKAGVRPVYSASKFVQLLGAFYWRRELPSCTVIAVSPGLIPSTNLATDLGLSMD MPDAKTIPEGAQNLLRAFTVTDLPSDPEQLFLTSWGEWWPKDVYSLALDQKLQRKWCL TKEEIEKAEGLA AOR_1_1238084 MKVAIFSTLLPLVLALPAPQTQSTEGKLPWKKGSVCLALTEDCM GTIGWCNAEAQRLKEFGAREKCLAQRERRPADAPKLPWMKGTGYDCAYALTPEERCYG TALFCREGLYPQGQYRDEQECLSDREDAPKDAKQSLPEAELKAKKPFLQPAPDSDTSC MTFDRGSERCVGTRYYCTNDIMKFPYTDEDGSVYNNAAECLDARESEPQSADPDRIVF PDN AOR_1_1238084 MKVAIFSTLLPLVLALPAPQTQSTEGKLPWKKGSVCLALTEDCM GTIGWCNAEAQRLKEFGAREKCLAQRERRPADAPKLPWMKGTGYDCAYALTPEERCYG TALFCREGLYPQGQYRDEQECLSDREDAPKDAKKQQSLPEAELKAKKPFLQPAPDSDT SCMTFDRGSERCVGTRYYCTNDIMKFPYTDEDGSVYNNAAECLDARESEPQSADPDRI VFPDN AOR_1_1370084 MIIPIYQAELVHPDIRGLVTGLQQFMLGIGGVCGSWISYGTYIS FSDNRQWRIPLGIQIVPAGLLSALIFLFPESPRWLIRQGQLENGLQTLARLHSGGNTS DPWILAEFEQIKTQVAAEKEHSEVKFRDWMTEKSIFRRLLLACAMQAGAQMTGVSAIQ YYSVTIFKQIGIDGTDTLKYQAINSIIGLIGELLLMLVVDKMGRRKLVVGGNLAMCLT YVISTILLAQFPPTVNNTGAHWGFIIMTWLYNFCYASMGSLSWMIPAEIFDTATRARG VALGCMVSFAFNTMIGQVTPIGMTNSGWKFYILFVVSTHYCRLYYTVRNLHWGLTSHV QVCNFTNAVFFWAMLPETKQLPLEEMKKLFTDTPWFVGNISKSEYPVTEASVLAQQIE HKGLEDKGGTATHDEIITGNAV AOR_1_1240084 MAKISALRFEQHSTGLGIQCSSPRISWTFCAIDDSICDWTQSQY ELSVYRETGSPVEVVVDSHASSLVPWPATPLQSRERASVRVRVYGIWMDRATKRQHSG WSAWSSWATVECSLLSRQDWSAVPISSSSIPASHEDEPLRPLLFRKSFVLPATFTSLH RARLYITALGVYRAYINGLRVGDHEMAPGWSSYRHRLAYQVFDVDSLINPSCNNVLSV EVAEGWFAGRLGFSGGKRNIYGSCMAVMAQLEVDGVGGERFQVTSDSSWKCHQSPIIS SEIYNGEVYDARAEVGDWNRHGPLYLDENWEKVSILDFPSARLYAPNAPPARITEYLA PQKIFTTPSGRLILDFGQNLVGKLLIHSMTLPVNATVDGWDPRTVPFWRTNIRALVIH TDFKRTGRFECSNSLINQLHKNACWRWTGDIQIFGPSATFLYDSTGMLSEWMEDVACE QSDYHGVPPLVVPNILDHVWPSTPQAVWGDVVIILPWTLYLSSGDRDMLHRQYSSMAL WLDQGVRRGKDGLWDPELWQLGDWLDPQAPPDEPGDCRTRGTLVADAYLVYVTSLMGN ISAIIGRDTESAHYRQKAQRLRTLFQNKYITSSGLVVGDSQTAYSLAIVFGLLSTKEQ LATARSQLAERVRIAKFRVATGFAGTPIILRALTESDNLSLAYRMLLEERCPSWLYPI TMGATTVWERWDSMLPDGAINPGEMTSFNHYALGSVVAWLHETVGGLRPLQAGWKSAL VSPKPGGSLTHATVSYESVYGYWSCAWKLVPDGARDERMTLCLDLIVPPNCHAVVQIQ AGADGSGNREERVGSGRHQWKIPFTPGPWPPKATAPFITNPGQGL AOR_1_1242084 MILSTVLSLAAGVAALTLDVASSGGNQSSPLLYGLLYEDIYHSG DVGLYGEMIRNRAFQGSSSNGAASLDRNTDYWNPVGGVSLAIDTSSPVLSSSLPYQLR MDVPAGTTGTVGFYNEGFWGFNVDASKDYITSLYMRGNYSGTVDCFFHSNTTDQVLGS TSINIDQTPSDGWVQSYSSSFKPSQAASDANSTFYFTFDGSKLAGQSVYFNILSLFQQ TFQNRDNGVREDLADALRNMNMKYVRLPGGNNMEGNGSPYYWRWNATIGSLTDRPGRP GTWGDINTDGFGLLEMMQMAGDLGLEVMLGIWAGFYLDGEAVAEADLQPYVDSVMDEL EFLLGDQSTTYGAKRAAMGFPSAFAINWIEIGNEDYLNGGTNSYNSYRFKAFYNAIHA AYPSINLISTINPSPVTTKGSSVDLHVYGNENYFESLFGTFDHASREYPVFINEYAAT NTGSNKGEAGAQTLGMSCAEAIFLLGCERNSDVVVGSAYGALIKKYNEEPETVAVIKH TANEILYTISYYVQKLFAENMGTRTLPVTVTDGGFGPVYWSATANSSSTILKLVNYNG ETGSSNAVVVNVEGSSKSTATLISLTAPNSTSVNNLPSLGGESSVITTTTLSGSGGNF SVSFSNPYEIAILVV AOR_1_1372084 MGAHVSSRPDLRSLYPMLTTESKRLLKVDLERVCLENVQTCILV ANLCVAHGNPSSEFLFFRTAIAMMQLIRPFTHQDSSNAVSQELWIRIWWALFAADNWC SSSLGFPRQMKDWPRPDRSPMDENIFAGMAPEEALQDLNEPCQNPGLWAHMATLHEIF GPIQELNWLAATNKELQPSQMELDTENLAQLLDDWQKALPEEVQLTDPNLVGHSKRGT GGIFMGLHLAFHHYATLLFYQYLDPKSALTMRGRQFAARCKHHALSYSIWLARGRRQS GCEAVYPTVGHMAIVSSSVLLHTLLFGEEEEIAQSHDCLKANFEALLELKEYWPNVNT MVNDPFTPL AOR_1_1244084 MHNPIRNPEYEAFFKAKSEHDWPPPAHMIDALRSLLTDPSLPAS EAAKRAASLYIEQSDPNPDYTSLWPLLYDAVEKFTEQNDRLLDFLVEFQRLPDHNGAF HKLNGLSEYLVEFVFDYVDHPFYDTQRDQKRQGWVNINAFTAKLHNTGIRPEGRGQLR HGSWVLRKTLEKAPWEVFHHKDIEEYLEWLQDGYVEDYEGELDEEYNDKRDHFLEEID IRTLNGWIPGAAQWIMLCGKEIYGMEGSLGKEWPTKWTGQEGWSKQRWAFWRERFEWA STVTALDRKTRQLARQMVDEMRRIEGEDTRQ AOR_1_1246084 MPSFIYTFAINALLAGALVAQIANAYPQPAVADAAVLSEGSDPP GVFYEEVESDIVKRSKTLKIGAKPDKSHTCPKTDRYAKPVEYSSGQLQKAFIQAAQYA NDGKQIGARNYPHYFGNNEELPFHCGNNKMEFPLDKDSPGNVYGGQAVTDLPDRIVFE VKEKKKETLVKFCGVMRHGNNGDFLNCP AOR_1_1248084 MARRAACDRCRAIKSRCLPGVSNNACYRCARLRHTCTFLQKHLY EMRHVRTATLTADAPFQAASTFSPRKTDNTLNYVSKNGRRAPVPTSQVILLAGIPVPA IAEICLLFSVGPSLGLYMQQTLCSHVLDAPALLMEPYQAVAGAFKRATMRQLAASDSD LHRCAKGLQLLREARVGRVKEACTGDATLNEATEFSLIYGYPLIAYSELALPIVSEHG TNSLHHQRQLANPGRKSVVRPNVDTLYSHSILDMSHEDLVFEIPNITDRYWVCPFYDV YGNNYANLGSITKSPPGKYRVRYAFGVGEEPGITYCGGKSERPECDGYQGLINAPTPY GAVDARILVRQNDLEMVHTFQNHTLLYTVPGGCQAKPYAPKLTTAMLNASLARDLPMR IMQMTARFTPHNPPRNVSDVSRVDTMLLKAGIQDGYSKPVGANLTHLAQMAEAAVSAH AYLPKNIRDLKHGWLGLAPSAQGDYNLDYKMRSFLARYGYLALDATEALYPTYHEPET KKFALTLGPKEAYMITFVGKPPLAKQGFWSITVYNEEQYLVANPLERYALGDRSNLTY ADGAPVYGTDSKNASFQILLQPADIEPPKNWTSNWLPAPPGGGEISISPQALIGGEWV FPEVKKRAAFEG AOR_1_1250084 MSAPNQLQGVARQKDISVFVDVLRSSRPEIHGSVRLAAIEEHRI PDYGCLRNPREKTETCKRWESLVDYCMFAMSSSVAVSAPGVLDASESTRHVPIRRPRI VGAHAHVRNVLGLQQGQLNALICWDILALGEHPFSSLTAIQNFFAYHDISRFLQPCDS HNENDNIGDNAELKVLSIYHSSGLPWARAALKEAMVAAVDRPSLMIIQALVCLQHYWF GIGQPYQGNLCLALASHACHLLGYNKKFRNGVVDLDQDLILESELTRRCFWACWTSTC IVMEPEPYVKSSWQEVAMVPLPGVIRSTPSGYRVILGEIMDENWNTSVLPHGTKPLSV QAILIKMAGVWAKVQLLCKGNKSGSILLERFESGLKLSQIATALFDDAKPYRNSDSHP HLESNYNRAQTPQDQQDLLIMHDALYHQCQISIHSMIVPLFSGIADEDTSEKTVEAAD RRQSARTVAEHADLLRRLLEPYLSGQRHVSFLPPLVSYAAFVVGIVFLLMEISCPSRS SGINVNGLGVETCGNGNENGQGCRLSAVQSILNLLDIQRVYWRALQRPWEILHTALQG EFQKHSTRNLHQQANIGSNHPQAGNDKENPHMSAPGYSQVTEPPNDQPRPVASMVNDP AEDRPLSVVQPISRLATNVNDNGFEAAASEAEANAIDPLFSGVNVDGPQDYDWYNLSF VEAGFEQFAGLELSALSQHGWQTFG AOR_1_1252084 MISIPPNIVDSWPAPAANPETRGPTLPIIVFTFYTLAGIVLCLR FYAKIRISHAICAEDTLVGLAMLPTTGVTITVLLSKWEYLLDRHVWDLPPKMYRPSNV LATITFVLFCSASTLVRVSFLVFYRRLIQHLHWRLYIRVIHATILFAIGLYIAYILGL VFRCSPFKAAYDFNPPSFRPSYPHHCASREVLIFSGSTVMTILDFWVMLLPGPIVWQL NLPTKRRIGVLGMFLLGMLICVCGALKSNYIFGLFHTYDEPWVACPIWILSALELHIG ILCSSVPSLRVVVREGLRKRHENLACRRGRQNRGSGSSSSDSSLAHTHLKRSILPFPP STYHCTHSDQLEFSDRSFSNV AOR_1_1376084 MYQSIQSESESYFTQCRIAGAILLAATLVAYQCRQGIDRKFRVS MLVCDSTPGGLDFASQVGRWSWAIAVGIFSSRSLPTWLPFITVTQVQAVVYMVLWANW TWEKICRIEPSGIWASRVINDLAVVPVESHRLYMYSREDEIIWWEDLVQAADEAKALG YRAELRVFEGLPHVGHMRLHPEHYWKAVFERLGGRSFEAER AOR_1_1378084 MHSNVNFSSDGETLAGILFRPDRAEGKLPTVICAGGWCYTKEIV LPHIAKIVNEEGVQVLAFDYAGFGESSGTRRQHLDPWQQISDYRNALTYAESRDDVDA SRLGCLGISYSGGHVLILAAIDPRIKSIVSIVPVVDGYNNLRRAHGERRFADLQAAIL ADRRQRVITSSAGNNGGNDGTIAMASPTPYDELSVWPYPRVHEVFMQVKQGEAPLHEH WSTMESAELLLNYSVSPFLDRILNTRVMMIVAEGDNITAWDLEIQAFNQIPAPGKRVE ILPGVSHMSLYSDRADTNIAAAHAKDWFSKTL AOR_1_1388084 MPLLQKTSQKDPPTTALSLSHSSLEHGGLGIIATCKRGRQSDPV VTDLASRKNIPGIHHRSTSGTSQFSSASSSSVNKPGSQNIHLMRQATRSYTPPPSQSH QASLFESDASGNRDSGEGDPLGWSGSDTLPGISQTNVAGRSSFGYSRDNGSTLDTASP ISRSSLDFVFRSRTKASTDPISRAATVQAARQAFEEKEAAKKRKFEEQQMKAEEKQIR RREKHHWRASLRDEETPSPAWEKVPQDAPNLPCGSNPISSTPQPSSNPGSWKSQPKNT WVLFLTWLRTRIFKLRRRITN AOR_1_1390084 MVYDWDGKRDICYQMYIKDKKALEEIMEYMKDVYQFAPSKRAFQ TQFKRWGFPSKQNPAHKNLELVARVKQLWERNTSQRDMLRILNEEGFEIKERELMRVR AKNRWLLRVPNGMKSQSNMQTSAQPPEDEGLLALQQEVYKNEGPFNDTETLLTDTASP RPPSPGLSPEVLAKRKERLERLKAESAERWATRKRRRRTRGWAGLPADPPGPPRFPSE TTIDESKKHLNLDNVMYRQIRDQFQRICEDAGFIKKTVAGPEKWQEAKNKLIQESQHL QHVFWEDPNQLDAKALALDVVCTDVTKRMRTLERRMTIAEAKNALGVNPEESRQIRNA FYNTLKADHFTSKLEAGDEHWTKLKEQWIQSSELLQQILAPGPTDTEHTTKLKALEVL CRDVMKRLRDDQTKRDPAPKHSNSQEHTQSPDFGSSHISSAFGGSITNGISTLASQAL ASAPVASSDLGDLQIDPSLLQAANDPSFATANHQDSGHTFGYVDPLLEPSAMQIPVYL RIHAQSSLHGDAKPWIEKLTTRSVAELRQLVNTRYPETFITRIEGTDRDENGHEIYFL IDEDREFDAYLTHMQGRKASFLFSLEQV AOR_1_1384084 MSGSDGSNPSMCLSKRSRASDAAQDKLIRTERERVKRRRTSRDK DEIKQSLRPPMSNPPKAKNDNHQAVRVANSSSLHSIDQNEIALLEPWSLSTPVAGQYT NIDPILTRDEGYLFVGLEAAVHVYSVATSRLFRTLQLKPNQSIIGYSLSSASQEYLYI VTSAGSVSKWDWLAGKQVSHWTIGRKIISASPSYYANKGKEDLALLILRDHKTGKREI VVAHLDEKNLHETVILETSARLDHIKCISDGQAVIAYSGQRVLIGYQSPGQNDPELMQ YTWKEVALPVNISCVDIRCSTTSSRVEGQSVNSKKGPTSIDLALGSSDGSILIYHDIL NLVGKEEHRRGEKTMTPRRLHWHRDLVNVVRWSIDGNYVISGGHESVMVLWQLDTGRK QFLPHLSSPICNIVVSSTGNSYAIKLADNSIIVLSARELQPFATITGLQSYSQINKPR VGVYLRPTPAAAILHPQYCDRLLIAVPASRQVTHEGHRPANSCVLQTYDIHSNNHISR QALARSNATTLKISPEGSQIAAPNVTHLGVSPDGKWMSTVDIWSPNPHDIEALDCNGT GMRSIAAGYQEIFLKFWKWSKSSDLWELVTRIDGPHFSMKGPVPVLDLASRPVSHEFA TIGSNAVLRLWCPSSRQRSGLKMGHGEEQLETWKCRNTVDLKGYISGENFDSVSMASL AFSQDGSVLAVCLQSVHPGSPGIALLVDVQSCTVRYSRAGLYPGDPCVARFLGCHLVI ASTQSVSVWDTVNDIVRTPDNLEVDYSHNDKAYRLLAVDPNTQTFAVTVQHLQSPTAP KKGRKHFVQVYDMQSLSLLGQLPLGRSPYALLPDSRSGDYIVLDVAADVQRLGCSKKT SQALPSQDLVDKVNSGLVGLLGNSVYGSRYRHPSQTLMDSLEAPPPQSKELAGIFNGS PFVLPSASVLFQDVVQALSG AOR_1_1386084 MIIPVRCFSCGKVVGDLWERYLQLLDEGVADGDAMDQLGCKRYC CRRMIMTHVDLIEKLLRYNPTERDRAKSQM AOR_1_74 MNRPQQRDPGSTDSYTVAWICALEEEYFCACRMLDEEFTGPEIS EDYDDNTYVYGRIEKHYVVIGCLPAGRYGTNSAACVARDMVRTFPHLRFALMVGIGGG APTARNDIRLGDVVVSQPRDGFGGVIQYDLGKLRGGRFQRTGQLNAPPEKLLGVIPEM RRLFSDIRKPDRLAEHLQRLDDMEDYKRPAVDQLYAIESPPVDRKACDEGASYSVVVR PERRSHRVFHVHYGNIASGNTVLKDAIVRDKFANDPELNILCFEMEAAGLMNTIPCLV IRGICDYCDSHKNDDWHKYAALTAAAYARELLLVLRPQRVDAMPPWAERVAQKFEQVS RELSSLNNTQNTIQTRVECLDQKIDWGKLSAVQAAAFDSFANQHEEECLQGTRTKLLF QITEWAKSPEGKPIFWLNGRAGTGKSTIARTVAKNFQQAKVLGASFFFKRGEADRGNA MKLFQTIARQLIISTPQLIPAIQQTINDEPDIAGKSLKEQFDKLLLHPLLSLKSTDSQ TRTMVIVIDALDEGERDEEMRIVLRLLPQLRKSKAVYLRVFLTSRPEWTILQEFSKIT SCEHEDLILHDVPEPDVQHDISLFLDSRLSEIRKARSLANNWPGNANFRSLVTLSVPL FIFAATACRIFEDPQWDPNESLVEILAQENDGSEFNATYFPVINRLLTGQTKRKERIL VHEFREIVGTIVMLESPLSVISLSQFIGMPKEHIDRRLGLLHSVLNISKDPTQPVRLF HLSFRDYLLDRETREKSPFGLDSKEMHYELTLKCLSACEGLQKNICALPSDGTHRAEI DRRKIDDCLPDALQYACQYWAHHLIQCRNLNNVMHDAFLFLQTHFLHWVEAMSLLGLT SEILGILDTLQTGISGNESPAIWDFLHDAKRFLLKNRQIVDEAPLQIYCAGLVFAPQT AIIRRQFGSEGPSWICQFPQVEERWSAELQALEGHSQPVNSVAFSSDGRLLASGSEDM TVRLWDTATGTYQQTLNGHSDRIHSVAFLPNGRLLASGSEDRTVRLWDTVTGELQKTI EGHLGTVQSVAFSPNGQLLVSGSTDRTVRLWDTETGALQQILKGHSGRVLSVVFSPDG RLLSSGSEDNIICLWEVVKGALQRTLTGHSSGIRSVVFSPNGRLLASGSEDRTVRLWD TVTGKLQKTFNGHLNAIQSVIFSPNSYLVVSGSTDKTIRLWDTETGALQQTLVQSGAI RSVAFSPHDQLVASGSRDSIVRFWDLATGAPQQTFNGHSDRIHLVAFSPDGRLLATGS HDQTVRLWNIATGALLQTLNVNGLAPLMFNSGGKIMHLI AOR_1_1074074 MAIPPAGVAEPHPTNTEETPRIFTQEVFRPRIPLTRKRRKRGVD TEGNEPISNPEFATCGENNGRVTNQDVRQFFTSFKEALAHQTEIIEAARAEIRELKAE QQLLQTQNVELREEIQALRAKTEAQQLNTPPTKSWAAVVAGNPVPDPKTTVPRPRNEP NCVRISTAPTLDEEIDNDRFSRFLPTDAANTHIRTALLNAEPTKEVQVAGIGPTKTGY VIRFRDAQSAETARNNTEWLEELGNNTKVVKPRFGIVVHRVPTEDFNLEENKKQGIEK IMIENDLYEKEFRVEDIAWLKKRDMPMGKSASMGIWLDSPEAAEWIINNGLLLNIMKS RPGMEALINDHQSQNLDMLLIQEPPMTAYRSHVNHSAWRLYRPTYTDESVRFRSLLYV NRRISTSSHRQIHCNHPDVVAIKIWTPELQYLIFSVYIPPVALYEAPEVSSAQQILEE IQTSIRQHAEGNNRVTKLILAGDWNRHHPAWSHRPVHHSFAEHAEELINFFQAHELQW CLAPGQPTFWSLKEPGKTSVLDLTLTNNTERLIKCQLYHHHYGSDHRGTYSEWSLQPK QNVKLKLKRAYDRADWTKVGQDILNLIDPQPRILSSQDLDQVVENLVHTTTTVLDQHV PFLAPSPYSKRWFTPDLKVQQTEVNQIRRRWQDGCAILGPSHPMTKTLFEEMRRKRRQ WTRAIEKAKSGHWREFLDKAGEGHLWKAATYMRPRDADMSIPTLKVDTKEVTDNQEKA EVFLEAFFPKMADPGDEEVESPAEELRWEPITEIEIHRSIRAAKGTTAPGEDGIPTLV WKQLWAYLKETITIIFTKSLDLGYYPNQWKRARIVVLRKPGKPDYSAPGAYRPISLLN TLGKLLEAVMARRLSYWAEKYGLLPDTQFGGRPGRNTEQALLVLANAIDRAWVRSRVV TLVAFDLKGAFNGVNKTSLDTRLRAKGIPFKARQWICSFMENRQASVTFDDFETENLP LEHAGLAQGSPLSPILFCFYNSDLVDQPVDSNGGASAFIDDYFRWRTKDIPRIDEWAR RTGASFAAEKTELIHLTRRKSEHCKGQILINGQVIKPADTAKLLGVIFDKEMRWKEHI QRAVRRATKVNIALGGLRHLRPEQMRQLYQACVTPTIDYASTVWHNPLRDKTHLRLLR TVQRTALIRILSAFRTVSTEALEVESHILPTHLRLKQRAQITAARLSTLPGNHPIHEV IVRAIARSSHIGSGQRFPLAETMRTMDLNRLQALETIDPTPLAPWRTQPFTEIEIEPD REKAKANASARQAMTGATVFSDASGQQNQLGAAAVALDKNQQILGSRQISIGSMSYWS VYAAELMAIYYAIGLVFQLAQKNQTTATTTRGPATILSDSMSALQAIANAWNKSGQRI LQAIHQAAGELKARGIPLRLQWVPGHCGDPGNETADRLAKEAVGLEKKHPFRHLLSRE KGYIRDRISKEWEQEWRTSKKGGHLRKIDRTLPSSRTRRLYGSLPRNRAYLLTQLRTG HSWLATYGKQHRFQEEEKCECGAVETVVHVLIDCPRLNRLRQELRRKIGRAFNNISDM LGGAEQGKEGRLQDAPQDSSVLGAVLDYAEASQRFRSRAPRGRQNRTPGIGQHRP AOR_1_6074 MASGEKRPQSVEFESPDSKRMTADHIQLLPLIEQPKCASQSQVH ASDSTAEVMDSTYIRALEGLSRNSYAEENEDSPKDQVEIMAENSSKVHNSLVDFVIKA DENDCLASTVFIIFAECAADKVFLLGIESGALGISLLLSESLISTNNIEAVAFFIEES PHSRVFVRRSHDIWGLPIKKRAKNRYGVLIPSEEASFQMNIDETLAWMQKAFN AOR_1_8074 MDCITQSSVHTSKSHPLQIFHRYNHQRATQHLIELYETYTSDPT DEQKLLVAIEKIDSINSRIRDLNEESQLPLDSGVIDYGVFIYGWERNKTDNSKQQLEV LCRRNQYMKGWSCIPPHHDYGYFDYENNKTLSVILPLWLQLVWALLKKKQLDFVDDVE VTLLSHASSEACSLQPVCLDIPTVLSLLHQMGRLLDKGKKKQNCVRIASEYEDTRETI RRMFKFEGFQTGWIPSSMEEEQTISR AOR_1_1076074 MSLRWRDHGVYPPEPRAVFSFRHFPALKHLFLNLDEFHSRFWAG DPAGDPDLLVELMSLHLAGRITNDLHRLEKGLLGLGNALSCGHFPRLEEVLWDQNEQL SSECERRVRPLFAEAGVSFDYNSWPTSSTTLGEGGGLPGPIDNNPPTPPWEQEYEEI AOR_1_10074 MQFSRTLGFLSFLSLALSVPTDTHTHHLRDDLARGGYSKAMLKP QQTTTRDLISLDGLWKFALASDDNSTQPWTSQLKTSLECPVPASYNDIFADSKIHDHV GWVYYQRDVIVPKGWSEERYLVRCEAATHHGRIYVNENLVADHVGGYTPFEADITDLV AAGEQFRLTIAVDNELTYQTIPPGKVEILEATGKKVQTYQHDFYNYAGLARSVWLYSV PQQHIQDITVRTDVQGTTGLIDYNVVASTTQGTIQVAVLDEDGTTVATISGSNGTIHI PSVHLWQPGAAYLYQLHASIIDSSKKTIDTYKLATGIRTVKVQGTQFLINDKPFYFTG FGKHEDTNIRGKGHDDAYMVHDFQLLHWMGANSFRTSHYPYAEEVMEYADRQGIVVID ETPAVGLAFSIGAGAQTSNPPATFSPDRINNKTREAHAQAIRELIHRDKNHPSVVMWS IANEPASNEDGAREYFAPLPKLARQLDPTRPVTFANVGLATYKADRIADLFDVLCLNR YFGWYTQTAELDEAEAALEEELRGWTEKYDKPVVMTEYGADTVAGLHSVMVTPWSEEF QVEMLDMYHRVFDRFEAMAGEQVWNFADFQTAVGVSRVDGNKKGVFTRDRKPKAAAHL LRKRWTNLHNGTAEGGKTFQ AOR_1_12074 MHKWLLVNFDASCCFVRNRKDLAEALEVNPSYLRNNVSNTGTVV DHRNWQIPLGRRLRSLKVWFVLRTFGLSGLRAHIHNGIQVGIEFAELLRSRLDLSDII IQPAFALTVFRLLPTSQSSELDSKARKVYETIHQQGDFFLTSAVVDHVYAIRVVNANQ AAHVEHVTKVFELLVTLAESFTMSE AOR_1_14074 MGSSDHSVLSSIRLSLVMVALCLAVFLTGMDQTILATVTPSLTS EFHSIDDLGWWTAAYLTMLSVFQIPYGKLYSLFSIKIVYLSAIGIFEIGSLVCATAPN SIAMIFGRAIAGAGAAGIFTGGIMITTKIIPLDRRASYLGIMSAAFGVAAIVGPFIGG AFTDRSTWRWCFYINLPLGGLSILVFRQFDIFGMVSMMGGIVCLLLALQWGGTQYPWN SGRIIALLVVAVLLMVAFVLLQIFVPGSKTIPRSVSRHASVWHAVGYAMCITGGIYVA IVYIPVWVQAVQHKSALDSGIMLTPLIVGYVVFSVIAGVLTSGVGYYNPPMILGTILA SVGAGLLSTLSTNTSNGCLIGYQALYGIGVGLGFGQPSYVVQTVLSEADIPIGVTLVT LVQHLSSAIFVAVAQGVFQNTLAHTVRSLAPGVDPAKLTKLGATQLSQFVDDQDMPHV LEAYSTAIARTLYIPMALSCASVLGACLTPWISMKKAKPQPTEKQPTEDKPTKEKDGC TSGVNEA AOR_1_16074 MPLIVSRANLTQDWDELITSYWTSWSSPLQAVGELTFAHLGEGN EAEATALADVKKSLRQAAESDPNIIWLKCYDTESGRIVAGGMYHIHHSNPYRAGAPKV EAKWFPEGSEMRLLAEEFYAQLWAWRGRLMGDRHVCGNALWALPEYRSRGATELIMDE FVRHMDALGMEGYLEATEMGFALYQRYGFVAIARPRMRFSEHKERSTQGRRLIHEVQA HPVWIMWRPAGGEYRDGETVLPWEGRPKRIKL AOR_1_18074 MVTAHEDLQGYSVHVMGYPTPYPISDDPKKALAKYNRAYETEAK IEFYFQLFMIAAYGFIKASIILFYRRIFVSNTKSRFAIVSNICLAVTVVWAVTFFLLF LFGCKTKIYLHWAPIQEVREKCGDPLAAESALVISDLITDLAILLLPFPKIWMLQMSV RRKIALSCIFGVGLMALSASVVRLAIYLIVFLVGYEAGYDPDQTVTTMLWWSMIEVSL GLIAACLPTLRPLVYSARGWLLREGKKGFSGRWSRKLGSIGDRTSEGGTGTSSVDANK SSSVVIQEPRTMV AOR_1_20074 MDSVVDVLIAGAGPAGLTAALTLARQLHTAVVFDNKSYRNANIS HMHMIPTWDHRDPAQFRAEARDEVLHHYDTIKIEDVDLKTAEKTSDGLFQLVDANDKV WKGRKLIITTGSENVFPDIPGYAEAWGQRIFHCLFCKGYEDRSTDRAGVLAIQSAGNV PMAMHQTESAAQLARKVTIYTNGAEELGAQIFSTLTDKDKTRFTVNNTPIKKLTHTGS SIHLELADGMTKEETFLVHSPNTTVKGPLASQLGLELTPMGDINAAPPMHQTSVRGVF AAGDCITPYKVIAGAISSGCNAAVAASAQLLAEKHGHQPLF AOR_1_22074 MSLLDQRLSRMQQDHLTMRDKTGILWHEKETTDFQSLLNNQVNA LNLLLTALQCKSIIEQSLFLQRSESRVVFNRIKDDTSSLLWLRDSDSEMTKKSTVPED LSLIETRFAFDTDLFSSRVYCSAARSTMVHALRGTTVKPSPGEASIISDDNATERAFS IMSNEEASSVWKPTEYRSGHKHPTHSINAGRAWSLREGLSRPRTAPSLVSAMFPTRQR SRATSLLSVRQAKRSSQWLSSIALWDLWGGETSPYPSEATSPLRNTPVVPTSQRVLLL GSSKSGKSTALNVLNLLVGGPSDISQLFQSKVTVLESLSNHLRQLLEVGEGRYDTRDS VDEEYAVMRETSDSVAQLLESLYVLPVKQGQGRMAEICTDMTDIWGYVQKCGFLDEVK LECIDDGAEYLLNSLDRITEPNYIPTLEDSMWCYTKSTGITMARYTNGPSEVIFCDAS GSRGERKKWDRIFDGATKVLYFVDAGSYDQCLTEEHNANRLAEELTLFNSVCSTERLN HVEIVLFIHKMDKLERKLKTMPFDSTEIENWGTFGGDPQSVDDVKDYLYNTFSAIAQK SSRSISVTFTSLRRPEEFGKTILSYASSVVNMAIYWRWFLS AOR_1_24074 MRYLYVNLPTTLCLYRRDVCLSHIPGAPVSQMNSALSFFGVHPQ RSHTKEENTSKHHRRRSTMDNSGSMVARAITFPPSQSSHLSGDGISSSSEHSDSRSFS PRNTIRRRRGSFAVNEVPSARRLIHGQHEGDEDELIMLRRKLREAHTKIRRQEILLFR LQTDPYADHQYPDTVIDREYQRFIYSVQQTAWRMCDILGYDSGILCDAISAMRKLEHN VHQDESSALQLTMLLSLIRSSSYSKALYRSSVAMIVFLSFERWAFSPRNISASISPDE NVAFKSIFQWLLSDIKMDQTSDQDSMARLKDAEEWRLKTALHIARFKSAPHGRDLTKQ RILRELCQLFVTRDSSSEMRSVLYGLVDSGISLASFLQEQQSIYYFVRLKGRYDPETM LPHEPQQAAISLNQGSGAEVMATHFAVRRLMEKSLSMDLQ AOR_1_26074 MAGVNDTLIIAIDFGTTYSGIGYIHAAEDRLPGIQNLVTHWPPR VGTSEKTPTEIVYDDNQNTILWGFQAQGEIRAIKYFKLELDPDARSKLEALRSATEST RSFGRTHRTTTGAVVGEYEVNRDAKALCTDYLKAIYRASLEHMRHRIPDFARRAPKRF ILTVPAIWTDQAKNATKQCARRALGQHANIELIAEPQAAAVYTLKQGHMVGSVRPGDH YIICDAGGGTVDLITYCVKRIDPLELVESIPGTGEACGSIFLNRAFEAFLEDRLGRYY SGRRTESLEKWLQRTRQSFELDIKQQFTGDRNARWDIWLPPELYGAQIHGTEENCLII TGRDVIEMFKPTITRVLSLIREQLTTLLGRRSQRPRLAGILLVGGFGQNRYIYKKVRD EFQQLTQVTQPPDAWASVALGALCWGMSNKTVTARKLTRCYGQEVHLKYNPDCGYPVV PHPVTGERSHKAMQWFVEAGDEVSVDHPLEFLLVAYCDPSGEAKLPIDLWAWSPSREG ERPPKVCTRECYKVGDMIIDLQEPRRNAPPPRRRLDGQGYYQVLDFTMQLVFANEIVF RAVFHGTSQYARVDYTD AOR_1_1082074 MTPYLMLLLDNEGYQAGNEGPIHFISDGDDQGAGFVADYRSTMT GLLMEYLEYLNKWTHDTLGLKLSQQVGYNLPVDMLEAIPSVDIPEIETLSFSNLIDGF RQFSGPANLAGKNVISIELGADFGQAYYQTWTELLQEAQHAFVAGVNQLAIHDATYSH TYDNTTWPGFTSFNYSFAEQHSRHQPGWDVGYKQAMDYLARCQFILQEGIAKVDLVFW DKQIAQDAYPGILYEPTDLQDAGYTYEYLSTENFNLPMA AOR_1_28074 MARDFSTGSISFQATGSPFALDAWTGEETPITEYSVSQGRTNIS FSLKSTETRIVKFSASRKSANNESHVIWSSDSVLGYYVDSGKVWAKAAASDSATSVKL SSGKTVTLDQQGQSQISLGNWSVVLEQWLPPDNLYDVETVANKKNVSLSVSGFSLSSW KDLGYQNSSGVA AOR_1_30074 MQLFALLIATCAPALVAAGRGPGEICAPYPGAFCEEGLTCVSCH AGLPGAPGVCAFRDPCRRDDDDDVAWHRW AOR_1_32074 MVEVRQYHLSPTDLIPNSPRPLLHYKNVLAKPNNTHCDPVDVWD LFTRNEWDVQWIFRYSPTQISHYHSQAHECMAVLSGTASIRFGVADTSPDMEENTHGS AWEDGGVLLEAEAGDVFIIPAGVAHKTHNTKPEADFALLSPGTGHGIKADDKKALSEI KLDGFTMMGAYSGGDWDFVATGGDFEKVWSVPKPKYDPVFGASERGLCKTWRGSDTES KAHL AOR_1_34074 MAAERVTPPPRADETNTDDEVVALLQQLEEIDLFGGKQKGKGRA DKPLGIDLAMTFFRDEVQAHIGFLNDLKLAHSIGHAVFMDGPAIAGVMQESCKPKVID K AOR_1_1084074 MRISANDPELQNPSRGRVSSQSYHLHIPKGLLDGKGDIDRDSDE DKGGPSKTYAERQKDAMERLSRPKLQCCICFERYESSDIIRLECGDLYCTDCLKSLFM RATKDEQLFPPRCCRQHIPLSLITKQMTTEEKDAFQRAKIEFSTSNRTYCSNTVCGRF IIPSNIFSEQAKCEYCGSSTCAMCKNPFHSDDCPEDAALQEMLKLSTSQGWQRCLSCK AMVELTVGCYHMTCNCKAEFCYLCGKKWKTCRCAMWAERRLVARAEEIVDRELDHPLP LQERQHRIAQLRDHLLETHQCDHVERFERIAGDTRARFACEMCGAHHWRFILRCPCCH FQVCEACRRHRM AOR_1_38074 MASNNFPSSLHDEYPQRADLRQMMGQRPLPTIPAGTIDPASMAG DEPVKQARAVLDRLSAALAVDDAIALESCFFASQAYWKDQLALTYHLRTFSGPSVIAA GLLETKNLREIAGGFAVDGGAVFLPATPTLQFIDCGIIFRTGSPGATCKGKVVLLPVK NRDETIEWKIWVLSTFLESLDLQQEDEALLHSPGRELDGLATFDTDVFIIGGGNAAVT VAARLKALGVESVMAERNPRPGDNWASRYDCMRFHIPTSFCDLPYMSYDEELRAPHLL TRDELASQVRRYVETFKLNMITSAQILSTKYDPSTRLWEVKIKTPAGQQTAHSKHLVL ATGISSQEPYLPGVADSDFYQGTSLHSAQYRNAKQLAETGAKSVLVVGSANTAFDVLE DCHAAGLKTTMVVRSPTYIVPVEYLCDNHSLGAYDMGVEIADRLFLTLPSYVDAQLAR GLMTQFAAQEPHRYDALAAAGFPVIDSRDPDMALMHNLLERAGGHYVDVGGTKLLADG KAGVKAGVEPIAYTATGLRFSDGTSVDADAVVWCTGFADKDVRDNAFRILGGQSCSRE ADNGTTHKLGAREIAGRLDATWGLDAEGEVRGLWKRQSRLDNIWVAGGYTQQHRWHSR TIALQIKASLEGVLPPAYMNTPRPVRGSPQKCTLL AOR_1_40074 MSPEELDALLAAPALAPPPGVTPNFDNPSRHNDYAWGITTVCMV VATLCLFLRWYVRIWLDRRVRMEDVLTIGAYGAYWGTAYAAYGMIYTPGYYVHTWDLR NRDLIRPLYLILVYGCCYSATLPLIKTAILLDWCRVFVSVNRSRSFFWWGCMAVSFVQ CLWGILCILLLNLQCRPHRSIWEFYVPSKCYSLPDVMLCSASVQVISDVCMFLLPQKM IWSLHMNWQKKMGISIIFGVGILASIAACFRLAHTVTFAKSTDSMYFIGPLLFWACAE MTCGFFIFSVPCLSKLAMESGLRSRLSSALGLSGKTISGPSDQGGNSNSGPRSKPKPW RMSETNYSKIEEGSVVPLTNVSVSQHDPAEGRLSRDGNKSPLGVIRTMDVDVRSTDGA GIKLQDHRVPWEH AOR_1_42074 MVRATSVEDIKASVKFANEKDLLLVTKNTGHDHLGRSSGKGAFG IWTHNLKGIEFQSSFTPQGAPPDSSGIPAVTLQAGEQWFDVYQAAAKQGVLVVGGSAR TVGAAGGYVLGGGHSPFAHYYGLAADNVLEVTIVSADDPDYFWAVRGGGGSAWGVVTS VTYKTHPVPQNLTMGLVQLNATSESSFKRVITESVKLLPAVTEAGYTGYGTIENGFAA IFLKPNSTIADFNQTFAPFFNLSRVPGIQGVVAAYPSTWDGYLQNVLQDPNIGSNIQD TSRLLTLKVMQEKADDLAEFIVDNKQGAGFNFIGKVNNDERDNTAVHDVWKHSHGLLS VSVDWADTAADREKEAKRQQTVRLSKRLTEIVGSGGGTYVNEANPYEPDWQNVFWGKK YDRLLAVKQRVDPTTLFVCNRCVGTDIVIQP AOR_1_44074 MDTIFQTSPSKFNAPPNLCGNCHTGAKSQAVPHSDPRSADICAA NMPTRPIGCYQNPETVRASGVGPRTWTTPLHISVSRGHLTAVRLLLDGGADPNAIDGE GSTVLHTAVRSGHHIIVRELLRYGADPSAVDAAGWLPLHYAAEAGDENCLRVLLQPGG E AOR_1_46074 MSTDPKINDLHRELLEEGLKMRRAVLGHEYVDRAWNNATPFTRP GQQLITEYAWGNVWQRPGLDRKQRSLLTIGIIIAQKAWLELALHTRGAINNGVSELEI REAVLHSTVYCGTPAGVEAMMVTEKTINEMIERGEYKRPEETGL AOR_1_48074 MKYSIPATPSGTTHAHVAIVGMGPRGTSALERLCASATDFLAPG ARLTVHVVDPSPPGAGRVWRTAQSSELLMNTVTSQVTLYTDKSVVCSGPIREGPSLYE WATDAKLGLGPDEYPTRAQYGHYLEWVFREVVRNAPTGVEIEVHAARAVSLDDAPDGR QTLTLSTGRTLSGLSAVVLAQGHLPLVADAQLQQLTAYADQNGLRHITPSNPADVDLS SLKPGEPVFLRGLGLNFFDYMALLTTGRGGRFSRTPNGLRYHPSGREPRMYAGSRRGI PYQARGDNAKGAYGRHMPLIFTEEVIDGFRQRADSGNAPNFLKEIWPLVSKEVETVYY EALLRQHGFELGDFRDRFLATAHKSLEEAQVLTDFGITEENRWSWDRISRPYGERTFT AGAWRDWMLEYLREDAKEASLGNVNGPLKAALDVMRDLRNELRLIVDHAGLSGLSHRD HLDRWYTPLNAFLSIGPPRQRIEQMIALIEAGILDVLGPRPQARAEDGAWTVYSPEVP GLKVRVTTLIEARLPEPSLRHTADELLSHLLKTGQCRPHTVDGYETGGLDITLSPYRI IDSQGRAHERRFAVGVPTEGVHWVTAAGARPGVNSVTLSDTDAVARAALSAAVSGNTA VERQTEVKAWPNVEVSEVTVLEVGV AOR_1_50074 MSLPLWSESSERGSQTDNTVEISDIEAQSNISEKRSEPSRPLSM GEGKAFPPLLPNEDDYIVTFDGPDDPEHPFNWSFTVKLYTSVMVCFGTFISSFASAVF APGTAGVAKAFGVSTEVGILGTTLFVLGFASGPLIWAPSSELIGRRLPLTVGMFGVAV FSIASAVAKDIQTVIICRFFAGLFGASQLSVVPAVLSDLFNNIHRGPAITVYSLAVFV GPFSGPFIGGFISSSFLGWRWTLYIPAFMGFACCSVFVLFLKETYAPCILIPKAEALR QQTSNWGVHAKQEMVKVDFQELLEKYFTRPLRMLVTEPIILVVSLYMSFIYGLVYALL VAYPYVFESVYGMNPGLSGLTFFGLIIGQVLACGFVLSQQSIYVKKLIANKNVPVPEW RLPPAIIGAPIFTVGVFWFSWTGFTSSIHWLAPTASGVLIGFGILCIFLPCFNYLVDS YLPLAASTVAANIILRSSVAAGFPLFAKQMFKNLGVQWAGTLIGCLAAIMIPIPVVFK KYGPRLRGKSKLMP AOR_1_52074 MASNPGPSKRKRSRFACEPCRERKRKCNGESPCSTCSSWGYDCY YQNERRMKPKPTGMMVDLPAIPGPSPEHAGSYTESLEANSGAAFVRKIGLKMDPANAP KLNLFGWNVGRRNPPSGLATGTVLAVPLVDILSLNHMKNLANVYFTKVDPCYGFIDSA MFFRRLEARWQSSAEGDSYDGVLAGVAALGALFSETTINITEAHLVELTRSITDTHIG SAAPSVEVVTAWALRVIYMRMTAPPYPTWIASSTLMHLIEASKLHQTSSCEPYDLDIR QRLIGVAQHQNLWISYDLGLSRVSFPHEAVALPSPRPGDFTVELLGLLPLSTSLGPEN RRQDEEIEEFLLQTLSRNHTQPPSILAQCNLVLCLLRRLHMRNLMTSPATMERVVEQL KRSLGAARRMASDCSPWQHVANVPFQMISILLEMDTSASLELLPEAMETLKLVSATYN TETMREAYGTARLLILLYQRRRRSDTRLLSGLLEDHHEPSTTESPVQPMIPTSDEVSW LEGLVADVPSLHGLDFGQFLQLSPNTPGMWGR AOR_1_1086074 MIQYPTILDMLLALPGAKGTKYESEDAEHAAKARKAAGDTHCQG GEQAQSPKTNDHPVEEESIINNDATTVEVPHVPNEGAKIVEDAGLKAANQDGLDEALE STRSENDAASQACKRAPGLNCFKNGGKPQYRMLDDVPALEDLVTNILEYGQIWKGDGL FDSGLDGENGVILSARHYKKYIQKSTQREGFAIDRVTDKRSSRAQIGKFKTLSMIDRF GRRLSQAFAETAQGEVYFFTRSGLDRTAFPGTTVWRGWEYPALTRNPRVTKIIQVDPF KEGDLGHTIWTPDQGPSRNPPKSGNVAWNKVWGLRYYAPLVSNCVCLPCTGLMGKGQF FELQRFGSGW AOR_1_54074 MATPEDITIGNLNGNWVMNKGLSGEIDPILKLQGVPWLLRKAMA AVTLYINITTYQTPQPETGEPVTNIDFNQTAGGKLGGTTEKRTLSWEIKEHKDYIFGE VQGQSEFVHGSPDSGGHIRPDFELQTEVDNAQEVKTFLRGENHLDSPDGTGFIYEGSE GAWVHTFERSVSSGWTAEQIWGFELIDNKRHFTRRIVVANAGGRYLCLRLVFDYEQPK VSI AOR_1_56074 MKAFSIVVLLMGLGIGMAAPPSPPQGESDNVAICEYHVALQSEA LRLIPDDWSIPKGFGEHPLKNKGSLKLRLTCSVLQGVSTSPMYAGIAIPHLLEGELLV TSYVRTIQPAVQATPAISSAPSVYFGFPEARILRFPPGPHPPLITPASQVGFSWNHPF DIPSTVYSFSLDARVPLFTACFYIVFVCVLNYLNRRRHYRPWPITRTASFTYIAFAHN ILLGLFSAWAFLGVCRTVISSLPPRSSAPYHITDVFCRFDRGQGRLLSDYSPASNTSV PLATLDNDFSGTYQAESLWERGMNYYTWMFYMSMYYEIMNTILLLVKGKKVSFLQTYH HAGVIICTWVVYLYYTLTTLTIKVPVFMKRTLTRLQIAQFVLGGLASWLYVFISYNNP INVPLVATEDKSNIQTYVGLEVGSNVAPCMNNSGQVFALVLGTLYLVPLIQPFLKFFG RIYKLEAKQKAL AOR_1_58074 MMWDYYCDKSILITGASGFLGTAITHRLASKARPKRIYILCRRG ESQLIRKWQRNLPEADFKWLFNLESITVIDGDIMQADLGLSPQMITELQKEVNIIIHA ASTINLTYSLERVFDHIVQPSESLAQLALGFTCLDRFVYVSTAFANTHLYKLSSKPQT EINEEIYPLLGEGKLLDDSLLSAQDARDQITKTGSSTEFELHDFPWPYAYGKHLAERL VLNLFIEKGWASKVLILRPSVIGPAEKYPYPGYSVPLSTPSTALATAMIASSVFSVVV PTRCSKPEIEATIDEVPVDVVVDRMVAHVAFNTTGCVHAVGGERGRLSFMDFWGAAMK LRRLPCEPRIIWSSTVDWHSPDLHPVLRLYVILGTAFLFTEEKTNNLWKMLSPDERGD MILFRNTAGKSYELISRREHIRFLIRLFAKRTIFPAWLLVYLCR AOR_1_60074 MTETDPNPDLLLSSLEASPSKLSTTQIQYAQPIPDSRHGASARR TADERDNGPEGKKLQFWIDRKVTLAFFPLCLLTLMVALEAASLSIALPVVTEELGGSA IEAFWSGTSFVLCSACCQLIFTSLSSAFGRQLLIVIAVIFFLVGTIVSGVATNFTQML VGRSIQGTGGGGIIALSEVSVTDMVPFRLRGAYWGVLGSMWSVGSVIGPIMGGGFSTN SDWRWIFYINIPFAALSIPLIVIYLKIKTKKTTRREKLKNFDTVGLVLFVCSTASFLV ALSWGGVMYVWHSWRVLVPLIIGTIGIIGVMGYETHIPKDPILQVSGIDNHSLLINYI GTVLQGLTLWCILYYLPLYGEAVHGFKPLPAGVAALPLAFAIAPSACASGIIAVVSGR YRILIWIGWAVATLGFGVLCYFNRTTKGAIWISLIAIPGLGLGALVTSIAYAIQACSE SRHLATATALFSFFRAFGQSLGVAIGGVIFQNRMEANLQKYPALAPMAKKISHNAVVL VGKIQTAPASQNKDDLRQAYTDSLRAVWIFCCVCTAVGGILSLFTKEYSIDQTHDTDQ GLEE AOR_1_62074 MPSNRQFDPNFTPYVINSMGPKTPERTRVLLGALIKHIHDFARE VELTPAEWMLGVEFINSIGKISTPIRNECHRICDVIGLESLVDEIANKIVTEDGVSPT SNVILGPFWSPNAPFRELGDSIIQDPNPNGKVTYMHGVLRDMETGKPIEGAVLDIWQA SANGQYDFQDPNQTENNLRGKFRSNEKGEFWWYCYHPTPYSLPTDGPAGVLLNLMDRS PMRPAHIHLMITHPDYATVINQIYPSDDPHLDIDSVFAVKDDLVVDFKPKTDDPKASL DLEYNVKLALKKHHPNPNSAPPVSSFERFNKGQNKL AOR_1_64074 MEFRSGESANPRKRPHRADDENVEDSGTATAAEGFFEALSEAGV TNCFVNLGSDHPAMLEAMIKAKQENSSKFPTIITCPSELVALSAALGYAQVTGIPQCV IVHVDCGTLAMGQSIHNASVGRVPVLCFAGLSPFTQNGELLGSRTEFIHWLQDVPDQA AILRQYCRYSGEIKTGRNIKEMVYRALHFAMSDSKGPVYLTAAREVLEEHVDRRFLGE EILSPIVPSALPESEVELIGSTLINAKKPLVIAGYLGRNPQAPPLLAELCDKLPISVL ESVGSDMSMRTDHEAYLGVTITTHPAVCEADVILILDCDVPWIPTAGKPQKGTTVFHL DVDPLKQQMPLFSINATRRLKVSCEIALRQLNAYIDKQGIEKTNYATAFEARANRYLR RKENLRALESPSQDGAIRVPYLASRLRHHLPEDTVYLVEAVSNAGLIIQHLNLTQPGT LVGSGAGGLGWGGGAALGVKLAKPGAFICAIVGDGTFLFSQMESVYWIARRYDLPFLL VVLNNGGWNAPKVSALLVHQDGLSSKSNRRDLNISFEPSPDYPGIATAAGTAWGITVK EQEKLDSAIQEAANVVRGGRCAVIEVS AOR_1_66074 MAIGSHPESERRGFHTGRTKDITEPNTLPTMDGYVNWKEIQMWI HSINTRSNRELFQRPQRMKVVDVLRNRLIEAPADCEWPTYEAFWVDAFGGFANDNINL IEHCELAPGHILVYGQVAQFTLDTCKFGKRRDMFIIRSRAGIPSGAIWISAYNETAPM NTTREFIALSIGDGAILGPALDLAFEKRFTENPDLADFELQYLYGNAEILPVLNAMMV ERNPESNIARRLGIGTIFLKEWADADREFKTLVLE AOR_1_68074 MPTAKATLNGTLLAETSTWEEVEGNIYFPPSAIKDSLFAKSDHT TYCGWKGDAKYFSIVLGDVTVPNAAWYYPEPFDKAKHIKDFVAFYPNKVDVKVE AOR_1_70074 MQTQEAEIYVIAPAAADTHNAGLEDGNQREATVPGQGNTPEIQE MRRADTQSVANPQPSYRSRESLMTADWLENIHMGQRVPTATPKLLRDELDELRNKDDS VPPGTLLPVSCRSWNASREDPATVNLLSFLPHKHEALALFRYYTNYIDYLYHIIFPKR AEDQIDGIYRAIERGQPPNLNHLALFFSMAASSLFLQLSVESSIHAELCSREFSFLTG AALIQSNYPVNPTVEGLQAAMVIMHHASNISSHPSVSGLFVHGAVISQAKNLKLHCID SPGLREEREANPPDAVELETKRRLWWDIASYDWFLGFLSGPQEWTYLINPEHMKVDQP LNLDDDDFECHSGLPRPISTPTDMSFSLERLKLGIVCREVIDATSHEHLYGIEISYEK ILELDRKFHQALAEIPEFFRLDPTSRRRFASLYQNRPTIAWQRCLLQQGYFSRLCRLH RQFFVRGAREPLYSYSHIVCLQSARKVLEIKKIMDEDEPKFTPPSSVVWSVMHHVFMA AVILLLDVCFNWDDILAEKRKEEVLDACRMLSNAQLSSSLVKEGINAMMGVLQKHWKH EKLAASPNRNSIPNVNAAGPDMPRQPLPSTSGASYTPATTIELDPPGDLPSNLDDTDE RQLEDIWSEMLDNGANLDLGDTAWTGLLTELTNATMPG AOR_1_72074 MAKGSSAPPNQKPPSEYTPRACLTILGSFTGLFCTVGFMNSFGV FQEYYGKEQLADKSESTIAWLGAISIFCIFFISVFSGRLLDVFGPTFMLCIGSLGTVF SLMMVSLCKEFYQFILAQGILLGVSLALLACPMLALVGQHIKVKRGAALGIVLGGSSL GGVMWPIAINELLQKPNIGFGWTMRIVAFIMIPLLSVSCICCRPPKTSPPPTQRPASD EEVTITETKASVPKTDYSVLKKPSLQLSCLAFFIIYFGMFSPFFFTTSYAVAEGFSTD LAFYTISIVNGASFFGRVLPGIVADRYGKFNCCIVATFFSGIIALCWTKATSVAGLVM FSLAYGFASGAILSLQQACAAQVATPQTIGLTMGTVMAATSFSAMAGVPISGELAGKY GYLALSIYSGVSLLVGSVLLAAARFVQSRKLLDVV AOR_1_74074 MDQDSEPHTSDTGGKGSVPFTEEEGHHVTVDIPETAVEGITQIL SFDEKCSLLDSYFEATSGILDLFTAYEIDQLLSEGPISCQNLLHQPSAVSGDMKAIFD LVFAIGAQIRGIGNDSNITTSYFLRARAAAFKGMLMSQTLDTVRVFTLLAFYTLGACN RNAASMFLGIAAKAAVILNLNGTGNDDKLSEEEVCARIRVWNSVQNLDTLSSFIFGRP KGLPASCSVLAKSTQFDTVGGRNSRALFTAMVKACNILDHIVDTLGKNNDILHVPTAE ELLRQLRQWSRELPEHIRRIPVKCDLNATLQPADRQALLGSLHISCVHYFAVMLITRP FLVAYLVSRLRGKAPDNLISDPDEGSDASIKNSKVSRLAQVCVSSATDMVDMCVKAKN CSFTFRNLSLLEAWTFGAGLVLGFSVFGGEPRSDIENGFQSAQIILGDIALSSKQAQL YHNILMNLADAVKKYRQRVTEERNYTVQHYMDRILIWEASPDENNSSRERLSTMPQGS EDARQASTSRPQTIFDFTSWTNIQEEQAFYFNMLEMTQLKHFSRFMKPIFLPDTLIKR PVSTALEEKKPELAAVSETRVRLSSVISILDFEYAASQNLPPAAFAFLKSGSEDEHAA KWNRDSWKTIRFRPRVLRPIDGIDISRCILGTKFAAPFFICPAGGAKLAHPQADLCLT MAAGRHHILHWVCNNSHMSQKDMSDARAPDQTTFWQIYARSDLDTTTQEVKQAINLGY KGFALTVDAVRAGKRERDLRVTLAQREQDGIRVNDDDEEDDNFAREPSVGRPAVHPGF DWVSAMKWLRGMTDLPIAIKGIQCWEDAVLCMEYGAHPWLSNHGGRQLDSAPSAVETL VSIRQHCPEVFDKCEVIVDGGITRGSDIVKALALGAKGVGLGRPFLYSAAFGGAGVSK AIRILKNEVETTMALLGITSLNQLNPSYVDISSIPLGFARSVL AOR_1_76074 MSIGNGKASSFINSFPLPVSIRVSTIIYCETTTTIHQLKMLLPN AKPVKLTDNVTIHAPLSRQGHGPGIIIIRDDTPTSQRNERSTLDPEPLQKWAEESYTV VQVTVSSDHPAVKEDLHRAIDALSGHDNCDKETGYGVIIYSPSFVADIVDEIDKYDEI KAIVSYGRLTRTPNKPFLYHLPEQGTKEKSESGVIYRYPEVVSASFIIPSHKDFNASS AAVAHTRCLSFLKKELDGPWFDLEEIWDEHTKYEFDERSVENTMSTMVQEPYVNHIPT MTGGIGREKLTSFYANHFIFSNPEDTKLELVSRTIGIDRVVDEFVFCLTHDKPVDWLI PGIPPTGKELRIPFMAVVNIRGDRLYHEHITWDQLTVLFQLGLMPEYLPIPYDLPNRP DSQAGRTLEYRVPGAGAQTADKMVDESSVASNEMFCYAVRERSV AOR_1_78074 MEDIFAKIAKDTIKFDVGDDEYWRGVYEPLHESSYEGIARVKDE VYGPAERNRLDIYFPLNDKREKKPVILFVHGGGFFSGGKEWSEKIWGNVGWFFAKHGY VTVLANHRLVPNVTYPGGAEDMQMAREWVYYNIAAPKYGQGSPEKVILLGHSSGGAHL AMNLYAAGDPKRIPKLPIFPPVAGIIYLSVPFWCDMRKPIRNKVFRSYYGTDDEKVWG PLSALGLFKALPDDSPLLDSTRLPVYIGSVEWEVPETANATIAFFNAYRERSVPTGTL PILQVQEKHNHITNVLSIGTTDTAQGEKLLEFMQSCLAKLDQRKQQALL AOR_1_80074 MQPEHRSAEVIVIGAGIGGLAAAKTYLELSPLTNLILLEKRPTI GGVWSEENCYEGLKTNNLGGTYEFTDFPMGEKYGIKEDGHIPGSVLHSYLNDFATHFD ILRRIDFNTQVLDIEKLGQGWRLNTETTDSSSTVVYTCDKIIVCSGLASTPNPVNIRG IDEFERPVLNHSQLREEGARIAHDPNVETVTVVGASKTGYDVVHMMASNHKRVDWVIR ESGGGGVWMSSPWAKFAGAKTKLELLATMRFFTWFSPCIFGDFDGFSWIRKVLHQTRL GRYFVHKFWEGIRMDIIDQNGYRKEECLQHLEPLESLFWSARVGILNYPSDIHDYLRS GQVKIIRKDIEHLSGPGVVTFADGTSLHTDALIAITGWKLAQSIKYQPEGLESSLGIP CSNTSAEDRSLWRRLDDEADKEILGRFPYLCNPPPAIPYKQDVSPYRLYRGIAPPSLA AKGDNSIAYMKMVHSTSNIIIAECQALWTYAYLNGKISLDKTEVYHQTALLSRYGKQR YPCGFSAWYPEFVYDAIPYADMLLHDLGLKRWRKPTFKKEMFEGYTIHDYRGINQEWL AAQMKATPKRS AOR_1_82074 MADPEMKSAKTEPSNFEDNVEQLAGDYSNIASRDKALNLLANRH IVFDPNSPQAKRVRQKIDMHIMPMIFVIYCLQLMDKNSLSYAAIMGIKQDTNLTPSQY SWLGSLVYFGYLVGDIPVTFLMQRLPISKYFSIMCMIWGIIVALHAVCHDFASLATVR FFLGAIEVSTVPVAILITGTFYTKEEQVTRVAIWYTTSGWAAVFGGFLAWAMYRADSF RWQGLFVLYGAMTFLTGVVLFLFLAASPTEAKWLTEEEKVIALERVRGNKTGTEIWKF NASQLREALHDVRLYLTFLVLISIGMPNGGLTAFGPTIINNFGYDVPTTQLLNVGSGA AQVVGVVLALFVAKWTNRTIAGVFPLVLACVGAAMMLGISSSNNNARYGGYVLAYQFP ICVLSINTFMTAGISGTTKKFAFGCAYQLGYAIGNIIGPQTYRASDAPDYYTAKYTML AFFVVAAILIGIYGVLHHRWNQRNEKHGPAPMPEHSSAIENEEFADLTDFQMRNFKYP L AOR_1_1090074 MAERLVPVISLKDFEQRKDQITAELMEAAEYAGFFTLVDHGILK EEIEAQFSISKTFFDLPAETKGKTPHDPKTNNGWEYMAQLRPSTGTYDQKESLWLRHN SDWPSDDDVPGFQNTTREFMNKCAGISDQVLTCFARGLGFKEDYFKIANDPSQPDCLT QLRLIHYPASENSIGTWRAGSHTDVGCLTLLFQRDGEDGLEICPGRESHSSFASGDVF TPLPAETGPIVVNIGDMLMAWSDDRLKSNFHRVRAKEYGMSPSRYSIAYFNQARRDFV LQGPLKKYPAITVGEYVAQAVAKNFSPSMRAAA AOR_1_86074 MGAVRKPTYSGQLQRALSLSSFETEDTHSTPKSSAGDTTEDQIS IHSENDGSSVHSDLVAHSHEAHIDPGLFSTARDVEKIEGWIDLPEDRDMIALERVAD AOR_1_88074 MDRDQFRAAAHATVDDIINYFDSVPDRRVCPTVTPGYLRPLIPE QPPTEPEEWSQIQADVDTKIKPGLTHWQHPNFMAFYPATVTYPSILGEMYSAAFNAPA FNWLCSPACTELETIVLDWVAQALNLPKCFMSSSENRGGGVLQVSASDTIATVMVAAR ERRVRELALAEGLKEGTLEYEDRVMDLRPRLVAMGSNQAHSSTAKGALIAGTRYRSAT AKLENNMELTGDDVRAVLEQCEKDNLTPYYITLSMGTTSTCAVDRISEVTAVLREKPS WQRIWVHIDAAYAGSALVADEFQYLAKDLAEGVDSFNFNMHKWLLVNFDASCLFIRNR FDLTDALDITPAYLRNPYSESGQVIDYRNWSISLGRRFRALKIWFVMRSYGLNGLKAH VRKTIRVGDEFTNLVRSRSDLFELITKPAFGLTVFRIKDPRAQANGSAVNRTTAVKPN EKNDALTKEVYELINERGEIFITSTVVSGIYAIRVICANEAAEEKYLRRAFEILVETT DEVLGRSN AOR_1_90074 MSPAAPKYILVTGATGFIGAHVVDNLLARGLTVRAATRSKQKGE QMKAARPQHASRLEFVEIQDFSQIGVFDDIMEGIDGVIHVASPFTYDTKNNEQELIIP AMNGVKSILSASAKQASVKRVVLTSSFASVVDISRKYEGDFTYTGSHWNPLTYEEAID PATDAVVAYRGSKKFAELEAWKFIEREKPSFDLVTLCPPMTFGPVVHPVNGVAGLNES NAVLWSVASGADPLPTARVSAWIDVRDLAEAHVQALLRSEVGGKRFVPASGEPFSYEL AADIIKGRFEWARETVTGNYKSGKKPVQAYKLDGDAVTRELGVEFRSFKETVVDLVGQ VKETFA AOR_1_92074 MASVEPIKTFEIRQKGPVETKAERKSIRDLNEEELDKLIEAWRW IQDPARTGEDSFFYLAGLHGEPFRGAGYNNSHWWGGYCHHGNILFPTWHRAYLMAVEK ALRKACPDVSLPYWDESDDETAKKGIPLIFTQKEYKGKPNPLYSYTFSERIVDRLAKF PDADYSKPQGYKTCRYPYSGLCGQDDIAIAQQHNNFLDANFNQEQITGLLNSNVTSWL NLGQFTDIEGKQVKADTRWKIRQCLLTEEYTVFSNTTSAQRWNDEQFHPLESGGKETE AKATSLAVPLESPHNDMHLAIGGVQIPGFNVDQYAGANGDMGENDTASFDPIFYFHHC FIDYLFWTWQTMHKKTDASQITILPEYPGTNSVDSQGPTPGISGNTWLTLDTPLDPFR ENGDKVTSNKLLTLKDLPYTYKAPTSGTGSVFNDVPRLNYPLSPPILRVSGINRASIA GSFALAISQTDHTGKAQVKGIESVLSRWHVQGCANCQTHLSTTAFVPLFELNEDDAKR KHANNELAVHLHTRGNPGGQRVRNVTVGTMR AOR_1_1092074 MDSRASNLSNLWSEKYGYDFVVATTQASINSDLRVFLSEEDQPV SYTCFCMDCEGEPTKMIGLEELLKLTDGVNPFEIPKATPYKDSRVQKLFCARFAIGIK MQIGLPPGIAPMDLLPIISLGESASNVKFTMCCSDVTVVELKPPGGWVSDGKWNVWSQ PYGDPWYVQTKVNLTMAYLNEQLDTPYLNQHPRIKKRLKEALGNLSGTAFSLQQLLID LDSAFLETAPIFKGVDDSDALAVLQKYFVKIYSDHAKDGLPLVAVTAVAQSADKSSLK LTAFEREVNPPIGSSGGRIEHPTSIQQSATTLNYLCAVNNHTLPGTASFDWNWVLPKD IDNMSGIIAVNRDTFAAHLRDELVALAAPYCIKPRCIITDQPKNWDNPVKFNVQLNPG QTPDTAHASGNEIFISYSKPGYEGRGHNGVTGEVFEELRVVSTYTLNAKFLGQTLTVS QHLCFSATVRYNMLGPSSCNPFDKTLTTTYTISVDENGGLVFIKEPHEVLVDNSQDPD LSGFWGMYGLTDVFHKLKNQVWASVDFGDLKFNKLQNFIFPGAKVFTYKGASFSDYQD LICEITYLDPDQVESTTPTKLLAKQASGSLISYPARSTGRVLALTTSSEMMQNYVQGE IVTATGKFEALQTDDGHALLFAIDDSGVFHVIVEESGVTQTGWQVQDLSSSAIQAYFS ASTDPVVKTFDVGSALDGSICLAVAVTADGTDHLLVSLNNSSSNTQWTSNPQWVRFDF DADGEGSGDIQITGTLFAETMDKQHYLIVDIDRQSQSPAKFIERYYVDPSVSIGTRWV KHDVSVDIQDGNYRSCIGRVKNGYVDGIYTAGSTGGEAQFIYEPVINVFGRGPPAPVR LRLPGENIPSAIATMRNLDNDSPLYGTTDLYAVGGSSLYRFAADRQKRNELPTAVVTS DVLLGTDTLLAMSHDGVTTLWGRNSSDEVFYVSCPANQLDLPGSWSACVPILTNIERM SAYVNQVDGGSTVFTSGGGRLQKLMQGTSSASKIWRAQDITITSPPQTKSLSFNSYTT TIHVTNEHDLPARDVLLTISASSRTPVYMNGVYYVLGNLPTQVHTDAMGSVTVIEATE DINATVFTVSFSGGSGSITINPMDKVFSKLTALNSTDKLQDASFPSQTTAGGVVGAPA STPLVAPSTARRDIEVVAGHMDSLKSAYSNVKEAQSTILASRNHGVVPSTLFLNSGKV SSAGLGHDIAIAAGDLFRWLKSGIDAVINVIYDAASGAWHFIAKIAGMVYRAVLDTVE AVVGAVEWVFNAIKTVIEDIIRFVEFLFEWDDIRRTKDVMHNVAKADFDREITSVEQA LNQWTGITDWGSLGPATEQTASGSASNPAEGQTSGSQLLAGHFRTHASELRILGDSPP FDATDNVIDDLLTALSNEGEVLSTTYTQLKELAKDFSSMNVEDVLKRLAGILGDVVLS SARVVVDALLDVLHDMASAAVTLLDTKIHIPVISDILNAIGVPDLSFLDLFTWIAAVA YTVVYKIGKSEAPFPDNNEVQTIISATSWDQLAGMISGPSMTISPYMQKCIFIAGHSI SGFMCLTGDFVNTFEAEAETGENPFSTPSAIMGVIAAGSQGASDFLVPKDAIENKAVS TISTITTCAVIAAKVVFSGPAQKRFGAPEGSKFKPLAVADGRATGAIVNSILVIPALV VSGWHFYELITKPAGATRSAAIVGEVSNLASYISRIAYAVAVNDEDPSSRQVPIGIMA LSNLACAGLQAAEAVID AOR_1_96074 MSTFKYYSLPGFGEQCREKYGFSDSCIIGDRMIVTGQTGMDPLT LKISPIFEEEVTQAFQNINDLILLTLKKEGRTIEEGKTGWDYVVKLHAYLVNLSTMRD EARETMVRHIKKFCPNHQPLFTMVGVESLPFPEHHIELEVDIWLK AOR_1_98074 MAQSTILVTGANRGIGKGLVTAYLAKANTTVIATCREVSEQITK DLFSLSRGHGSNLIIVSLSLDKPQSVINAVSQLQRHHSINKIDIVIANAGICIHYGPL ARMEDADLVSHFDVNTLGPIRLFRATLPLLQASSQPKFIYISSELAIITGLERSSSLT SAYGVSKVASNYLVKKIHTEHPDMIAFSIDPGFVQTDMGNRGAQCNGLEKAPMTISES VEGIVNQAEKASKETTSGRFIKHDGEQLPW AOR_1_100074 MSPQTIVVIGATGSQGGAVVKELLANRDLYHVRAITRDVTKPAS QKLAALGAEVRSADLNSGSDALAQVFAGADGIFALTDFWQTQSTATEIAQGRAIADAA ARTETLKHFVWSALPDPVKLSNGQLLNIHHWKGKSLVTEYIQKEHPELWAKTTTVLFP NYFENCITTPDRYLPQKDANGAYTLSFPHSPETVMPNVAIADTGKLVHTILQAGSKYF TKTIAFYSEALSESEKLAKIGSQYNVPTKYQKLNSRECQELLESRDGMSPEIALDFTE QLMMFELFGNVYANKEFVQAREIPGLSLQTWSEFLRENDLSKFL AOR_1_102074 MAQPSALPAGSKLWLLDLGILDIDASYVLSGANVPLHGAEPQTH ETRPCLMIAGLLYHPDVGLILFDTGSREDVIKSWDKEFLECAPRTWDKDIHSLPAAIK ATGAGEIDDVKAVILSHLHLDHTGGLEHFFGTDVEVWCHEAELKDAFWSAATGIDSGL FLPDYLRADLLNWKTFSEQNLRALEAPSVIATSSAVSMFEPSANFIGRIVWLP AOR_1_104074 MGVNSAFLHAATIGDATTIENEYLKDKSILTAKDADGRTALHLA ALHKDVKVLELLLNYGIEPSTTDNRGQTALHIAAQQSSLAVVELLLKRRANWSIRDHD GNTPLSYAYQQYSVEVLSCFLQYTPTYPAEIPCGLTPEIVLRSSASRKWTPLQISVNA HGR AOR_1_106074 MNGEMMVFCQARIAYYQPTIIQACRILIDIGRKERLWRQEDYLA EGELLMSALEAGYAKGGNLPRYATRFGTLSEQEIDVSGVRKVVLVPNYTYATTAAQDA YADWFVRWCHLQEEASNYAVEESPDEVAPLLDLRSPKAPRYLAEAVTSSSQGPSDGMA PLIDLGSLEAQQDVDDLASSPRPLLAE AOR_1_108074 MVKKHLKNIRLASGKTNGQIKLPVAAPFVHPDLDRAAARAMEGK GREAEESREKVKSAGAWVQDYMDRKAQEAKNPGSSLAVPELGRKGFVSRYNDPNHPVN NGKISSVLTGSLFGSKSGLIERAATSIKGSHDSKRIARGEPRSEPIKEKWQRYQRKKK PGLAKNVLQQDVLYRLNVNMPTEDELQQSIAQLEHLMQQAG AOR_1_110074 MQIYSIVAAATLSLAGTAHAWAQAADGTWIANQNSYIFTDNLQN KWEVVEACTIRNTNTWLSDYEACAYWVNSEGLIARGKCTPAVRPVGDPAHIVHCE AOR_1_1094074 MEREHIDERYDRNNFHLNPAFHHHDYDYRDDYHWTVNFFYACSS HTTFPHIKAIMHHSEAKDPELVLRAEIMMIVATMHSRLTTETLLDHLIVPVMLFSFAG CRVRILIAIHDGQNLGIAMSGFMEYSEGSQDLWDMLTRYLGCGINNRLSTEKLLSAYL EEALPWREKCS AOR_1_1096074 MKDLIFPSQMAVKAAQNRPFAFPLIKEFLELLGNAFPITDSVII AMAKSPSPDAPRVLEKTLTRFPGAGMPEEAVQAASKNLGMIPILLDRVPGQVPIKEVL EQIGTLEYGEEEEEEEEEKGLPALKALLDRQIVSADETVIATVAPNFSTSKYNLIEHK PDAPITQKILVRAASNASSIKLMMEKLKDLITITKEVILATIRDWQGADTIKIIYDRL GSVPITRNVWKKAPIENPEFMTGFLFRLQRDLKPRVVWEDIWQDSHTDAETKATVTMA FLNLAEGQEAIDLLQAYPYDWEQKEDHGFENLIQRLLPNDIPSPETEQVAAIIVERCS NEVIEKFLNTEHQISITDKVMQAAERNKRANKEALL AOR_1_114074 MTTRNLNKTWRDIIFDQYSADLSANEPFPIRYLINAPEWKKDII INVPKKISLEAYRRSVLAFCRTKYRDSDPVYEDENLSVRPRVSRHQAQAEEIDKYQHD LETKDNPTGFGVFYDRS AOR_1_116074 MKTTFLTLLVLFVGLVVASPMFQADDDETVEVSEKGQCDWTDPS CETWDPISCTCQDEGYVSQSEPWDDSPDVSGDKLSSREVKIRTRSTKGVKGFYSGKVK GNWIAVDTDAYQKAKQAIFKQLSADPRVSFGQVDDLSKKFRAKGQSWRWTGKVDKKKL SEQAHTDHGPFGQFKNWQGTRFYDFTFYFRVT AOR_1_118074 MGSIVVEPYRPTPADITINNHKPGDPSKILFKNVCILDSTGRAP CHGNVLIEGERIAAVGDVSDSAARGALVIEGEGKKTLMSGLMDAHTHLSFNDSPTLDG LTSAPLEEHVLSTAQSAKTYLDCGYTMCFGAASAQPRLDIAIKGAIKSGMIPGPRTLA NAPEITTTGGAIVPGISRNADGPHEMRKQVREFIMLGADNIKLSMSGDDIHLTMPSTE TYFTLEETVAAVDEAHNRGKRVCAHARSAQSVKFALQAGVDVIYHASFIDQEGMDMLE QKKDHVWVAPALNFFYTTCTGEATPWGLTAEDAAKKGLTYEVDTACKAMQEMRKRGIR VMPGGDYGFAWAPHGTYARDLKHLVDLFGYTPMESIIAATAWGGEMMGYPDDLGKVAP GYYADMILVDGDPLSDLEILQKQERLHAIIINGHIHKHVVPSQAVYA AOR_1_120074 MNPFVQRSQAAWHRVGLVSEFPDLQLDNDCSPIAPSCKAFNIPK TNIGPPVEADIDLPGDLKEQVLIFKYKGKIHAIDHQCPHSSFPLSQGSLFDIEDFGIT LSAGLTCPKHGWSFDIFSGQADRGNYKLKLWEVQLRDPQAKDESADSTDQEVWVRRKQ RIG AOR_1_122074 MDDTHHSTGASQNAGEKQPTFESAKVNEPQEFPDGGLRAWLVVL GAFFGLLISFGWTNCVGVFQAYYETHQLQSKSPSIVSWIPATSMFMIFITGPVVGRLY DNFGPRYLLLIGAFLHVFGLMMTSISSQYYQFILAQAICSPIGAGMVMYPCFTCVTTW FMKKRALVMGIVASGLSLGGVVLPILVDRLMPQIGFAWTMRTSLASFFYSMGMFIPIT FMVTYGKYRGMSVELAGYLVPIFNAASGIGRILPGYAADKLGNYNVSLCAACLSSIFV LALWLPGQDQATAIAFAALFGLSSGTYTAISPALVAQISDIREIGTRSGTMYACMSVA ALTGSPIGGALISAAGGSYWKLQVFTGTMLVMGTIFYIIARMHLAKGKLWMKV AOR_1_1098074 MLQSSLGSSKDPSEKQHHSLIPDDKLVSLERNVREINDRLKRVE SALLSTSGLGQEFQRTPQFSPPSCMLAESHHGADSPKTWDNLEAIASNPHSATEPSFG RQQPARGISMSDPKFILFNDRKASGEASAKTLQHHDPPTTDVPNNVKWRNLQSLLPDI HLARLLFEHYVQTADCLHREIHVPSTRTLLEATYDELSGSMMGLHETIILFLSIFASS AFYVCHSHSHSVSDQLKDASKWYNTWKEAVIFALLQPDAIRSSSLISLQTILIMTYLI WDTEGQSSMFHTLRGIAHSKAIQMKIHRLDAHHPTEDDDVIVTELKRRLWWHLASTDW LVASVPGSQEGIYSINPKLMAVKYPLNIDDADIRADGPSPNSLPEDQPTSMSFFIQRI KLAELCRDMVDSMQNIHQRTDIPDHEQIRQIVGWFNSFEGNLPWFFQMDEENRNKTAV LAAHHPYIIRQRHVLLFGLYSRLGRLLRPLLIRGGSHPSCSDLVNLGISCAEKLLNIR HTVEPEDLCPSFHSHSIDQHSFGALLLLTIDIMRDKDELRARDRRKNLIRECEMLRGK QRSLGEPTKNMIRALDQLIGIIQKPRLSLPREFVDVNGNHPGPTSTSTPYDSAGLPTQ PFSSEETEIPGSQTVPTGKADDPEEHAHMSFTSADNFPCAHEHPANNPAGQDITELWT ELLNSFPYSPGFTWEETFDWTWS AOR_1_126074 MAILFKLSLPIWALAVVQATSSHCSHKQSSHHTAAYDFHPSQAG IQTAQLIDPLPLPYDFNTSNSEMADSSNSWWMSSYLTGSDNHQYMVLSHVLATDTFGL YRGGIYDITEPAYSLFSEMTTQNLTAHSQDGKFNIRTDDFFFGSALANNSITKLRTVS NRTNLQFDLTYELTAPVLFNAGLGGLFQFGPDQTGEWSMPAGKTSGSLVFNGKKITVD TKRSQTWYDRQWNVGPAPTSHTWTWFQLHINNGESDEKELVSLWMYDSDVKGHRQWAT TQSKAGINIVQSVPTVEPFGNSWTSPHTNATYHQAWKIVLQDSTTLTVKTTYEDQELW AARGFATYEGFVTVNGTDADGKCVTGFGLVEIQGHF AOR_1_128074 MDLRRLGSSRDEAEQKLATIKRTLEEVRREPEGVSYLECFRKSN LRRTTIAVFLLCMLSAAGASFAASYSTYYAQTVVYNTEMSFKIQIIQQVLSLIGNVIS WWLIDRVGRRQVTFWSPFVLMVFLFIIGALATEGSSEAFLPPPT AOR_1_1100074 MPGDVSWPKNLLWNTLDTLLGKRLIKTVPLAAYGYLEWPEYDAD KCANITSQWVVSNLHMDDPVSIMLPRYEGRTCMAPGYNYTNTCELGSYPTYVVNASTV AQIQLAVNFARNLNLRLVVKNTGHDFNGKSSGKGALSIWTHWFKDKAFYPQYKAANGY VGPAIKFGSGVQVGEAYEYAKSLGVSVVGGEAVTVGLGGGYTAGGGHSPLSSMYGMAA DQVLAMEALPRLPTTTVTFNFTINDAPNVDAFWKGIEAYFDNFEDFVNAGTYGYYYVG ASAVEIGTHHAGSTDYYFRMHSFVAPNMTIAETKALLAPWYNVLDSLNITYTPWYYHA DNFHDAWAVAFPQEYVGAAAVKTASRSPAPT AOR_1_130074 MDRRPNLDNVPPFKRKKLRRGTRSCWECKRRKMKCVFERPEDAV CVGCHRRWTQCVSQEFPEQVPAHIDSNRQLRDRLRRVESRLDQVLHQDANSTPARSID QHPHPPPAYTEDTTVGEDLSHTLYSALPSPQDIARITTANSHHSIPFHEILTTPYSIL DRDGPRAHSPLLSITGTGVHPVLIARHMLHLASFLQHLHPDLHDEIRGLSESPSSMRD RLAEMAIRLVTTRDQFVGSVEFLECIMMQSLYEANCGYLRRSWMTTRRAMTIAQSMGF HQSGARLQYHVLHPDTKAYPHFMWFRIVFYDRQMCLLLGMPDGSPDRSMGSEAMLAQD SPVGRLERQHCVIMSRLLERSHVNPAACSDYALTRELDGELHRCARTLPSRWWLTPNL SKEQKKEALFCDMRRLSAQLCHYNLLNQLHLPYLLRHSVDRQYDYSRISCVNASREIL SRFMMLRRWNRVAFSCRTIDFTALMAAMTLVLAHLDRYRSSQVDDFLAVRALGDRALI EQAQEHMEELDRLNADPLSARSACLLRRLLAIEAKAVDGEQLHCAQVVRVQNPENAIQ PEATHSEDKHVYIPYFGVIQAAGEIVSAAAAARPSSRPSTPTVTSPGPDGPTQTRTVA ATTMPSRPAPNDHVHGLSGPLVSEVLSDDPMQLFGYPGMAGSEDGTFQDMDLAFLDNL MRGTGEDIMGATGWAVP AOR_1_132074 MSPPTPETKNDTDHGHELPSSITSASGPGDEKDLAYATPLRLTM IMCTLSLSTLIAALDLGIVATAIPEITSDFHALDHIGWYSGACFLLVGTTSAPWGKMY KYFSATYIYMTALGLYLVGSVVAAAAPSSIALIIGRALQGWGCAGTLGGSVLIINFTA EPKLRPMLIGLWMGVFMIATTIGPLIGGVFTSEVNWRWCFWVNLPVGGTAMALQFLFL RMPKHIKPTPATWTKILLHLDLPGWTLLFTSVICFLLAMEWGGLAKEWSDGAVIATLT LWVVLSLAFVAVEWFQGDYAMMPLRLLKSRTSWSHLLYAWIANLGNFQILFYLPIYFQ SVHGSSAIMSGVYSLPFMAFYAFGAIVSGILVGKTRLLQPIELVSGLITVLGAALIYC IDTGTPKAWWIGAQVPFGLGIGLGNQVPVTALQGFATPETVAATMGVAFMCQAISGAY FLNSSEISYMGVTELKNAFHGEDLALIRQAYMVGIKDVFAFALAGACLTVVLALIVPF EKLPDHEAKKDEDKEAADKAS AOR_1_134074 MGMCSLQSKKDTLSKGNPTSAMSTVECQTLKLECRIATNNTKDT REPKVEEDVLSGLFDAQPRPPPPKNVVDVHRQIFQTKFDISGQQSAGPSLVTTSDDGR ARPPVLDQKKADHLLNKFRAKSSYFPFVTLPRNIASAEHRFLYLAVLTAASSDDIALL RSLDNRFRSVLADRVVNAGEKSLDYLQGLLIYLAWYNLHLRPRSFQSYQYLQIAISMM VDLGLDNEAIEKTEFDRSSPSSNNEALDACLGCYYLSSL AOR_1_136074 MVTLSSNLRGYLLRLSQKECTRGKMMYSCIRLQSAIEAAYKGAE RQDVFWPADSQLTSDLSLNTPSINIARLTLSLLEEASIYRLQPALLEFDLLKFQSIMS KGRAFLDYFISVPTSDFANLSFAEWERLIAAIHVITEIISAAASLPGIVAAVGEESRT LTRYLECLANRMEKLSQSGRNPGEHPDMFYLFKSVLDLLCPLPLAATYDQGLPPAVNP EPHHGKKRCPVLSGIRETQFWDAYQTSLPADDIDIDLDMLFTNEFLSELSPEQWMDAS EDTSYT AOR_1_138074 MAPFQLGIVLYDFQLLDVAGPVDLLISGSKTMLSHINRDGFVAD ELVDQGIDINFHYIAPTMDTVCLMSGFKIQPTTTFAECPKLDAILLGGPGPDFWNNIP DSYREFLHRKVDEVDCFFTTCTGGIVAAKAGLLRGKRATTNWEFLEYVKKDCPETTWE TARWVIDGKFWTGGPAFAGIDMFEHWLEGRRSDAVVALSRASLAYQPRDINGKEI AOR_1_140074 MLWVTNGGGKQLSQPKSHLIDGLLRVLSEEDGNRSHYILALDPT ETPKVPHFETIAKLSQRILSATESIDTEYIESNGLLHIPRLTVDPVLNKAIYELEQVA AEMKQKFGSGPPLKLDVYSPCMLNGFKFIEDYAVQQPLGPNEIEIQNRSVGINFRDVL VSLGQLESDDTGLECAGVVVRVGDSCRRIKVGDRVAALYPTAFSTYIRLPETGPVAII PAGMSYTDAASIPVSFVTSYIALRQAASLQAGESILIHSGAGGTGQAAIQIAQYVGAE IYTTVGSESKKQLIMEVYGIPEDHIFSSRSTGFAKAVMRRTRGKGVNVVLNSLSGEAM LASWKCIATYGRFIEIGKRDILSNNNLPLGMFLRNTMFRGFDLGGVMMDRPDLCLSAL DDILSLMSDGTLRPVQPITTYGIGDIEAAFRFMQTGKHQGKLVIDVGMEDMVTTVMNT KPNFTCDGNATYVIAGGLGGIGQSIAAWLVDRGARTLLLLSRSGAKGPEAMKFINSLQ SKGARAIALACDICNESSLRKVLEEWQPQLPPIKGCIQAAMVLRDRTFERGMEFFILL SSLSGIIGTHGQANYAAGNTFQDALARYRVNMGESAASLDLGLIIYTGANLSLRLWLT ATVAPCMDMSLTANPQSVFNPNALALDEKDIDPDKHLSKYGVDSLVAVELRTWLDTEL KANIAIFDIIGSTVATIAQLAASRSKLQKGYST AOR_1_142074 MSPSLEPLAITGMSLKFPGNAVSPESFWKLITEGQMTMRDYPPD RSNIDAFYHPDRDRLDQISTRGANFLNQDISRFDAAFFSINAAEAEAMDPQQRLILET VYHAFENAGMTLSQASWSKTCVYTGSFSHDYTFMQVKDPMALPKFHSTGTGMNMLSNR VSWFFNLTGPSATVDTACYSSLIALDLACKSIWSGDSSMGVAIGSNAIIGLDTSLPLD NLGLLSNESRSYSFDQRGNGYARGEGVGVLVLRPLKDAIDHNDTIRAVIRSSGSNQDG RTQGITQPSMALQQQLILDTYNKADLDLSLTRYVEAHGTGTAVGDPIEARAIGSIFRN HRTSDDPVYIGSVKSTIGHLEGASGVAGVIKTVIALEKGIIPQNTDFQQLNPRIDDAY LRIKVGDKQVPWPTDGLRRASQGAQWYAMGRELLDAYPVFRDSIQQAGSYIQTLGCRW DLMEELQKPELESNVNNTEYSQMLCTALQIALVDLLHHTGIVPEAVVGHSSGEIAAAY CAHGITRESAWKIAFYRGLWTSKLEQFSSINGAMLAVGLSREAIAPFLERTSIHYSAS RLTIACKNSPQSTTVSGEQQQIDTLEGYLEQEHIFCRRLKVKVAYHSFQMSEIATHYH QAIGQVEGTNHKGKRPNILSSVTGTWISHSEMQSASYWVQNLVSTVNFSDALTTLCSN TTDVTIKKLDGSHRQSLRMHHLLEVGPHSVLQGPVKDIQKIIEGPAAVYHPVLVREVS ALESFLQAAGHLYAAGYPINLSHVNRCTIEQERPMCLPCLPEYPFNHSRSYWHESQIS RNQRLPKVKKNDLLGMPDSNWNPLEPRWRHIIWASDLPWIKDHQISGTIIYPGAVMLV MPIEAAKQLASPGRVISGFKLEDISLLASIRIPQGEGGVETNLYMRPDDSMENKYSHL FSFKLCTYVNDCWTENCRGRIHILYQPDEPDPVNGDRLEREDLADSLYSFSKAPDGCG STVYSDVIYDHMARCGFEYGKTFRQIHALAVGGKGEREVIGDVNNVKVSMQDTIHPTT LDGIIQTMVWSIIQGGTRTVPTSVPTYIASMRVAANCSKCKALKTHTVTETSETGTLL TSIRAFDRDLREVMVSIEGLKFTAITSKAPTESTSPVKDNLCHRFECKPDINLLSNDE LHTVCRGAYPDLAIPKDFLIELDFLVMVRITETLQVISQRDIEPQKPHLKKYIDWMRH HQERLLGGELMFSTEPWKSRFSDTKFIQGLESRVMRKGKQGELLVRVTRHLVEFLNNE LDPLAFLYQENLARDFYAEMVEYSHGFRYLEKYVELLAHANPQMKILEVGAGTGCATA ALLRILGKSYEHKSMSPKYAHWEYTDISRSFFDEAAKQFASEGSRIAFRTLDIEEDPA DQGFEYATYDLLLACMVFHATSDLARTLTHARRLLKPGGKLILVELTNASAIRAAGVF GLLDGWWLGSEPYRFLGPFVDESQWNSLLLQAGYTGCEIFFPDYDDRICHETAVIIST ATQNPSQLTYDRPIDIVYEPNDLAQLDLGRCNPRF AOR_1_144074 MRFLCLHGMGDSAQILQQQTAAIRYELSDKYTYEFVEGAIQWER SNQDAGFSEGPTFTYCHPEQADSCLRTIHDLDQFFEEEGPFDGIIGFSLGASLAILWL KHKQEALNMGDITSLPIKVNPHMEEKAPNAEATHLRWQTVDEESGDTERPRLNRLNRS ASYSSQSSGRNLKARTTVDPSLSLPIHYRTVSFEIDEAEALHRTKAAQVKSEIATDLS NLDWHTITVEELQKRWQVDASQGLSSEQVQRRLRQYGKNSLAALPHRWFWQIFGYFFK GFGAILLVGCVLVFVSWKPLGQPPSQANLALAIVLLAVFFIQAGFNAWQDWSSSRVMA SITAMLPESCLVQRDGSQVTVDGPDIVPGDVVYLKAGNKLPADVRLIEVSNDASFDRS ILTGESLPIHGTVDSTDDNYLETHCIGLQGTHCVSGNAKGIVVATADSTVFGGIAKLT NEPKKGLTTLEKEVLRFVLLIVLIMLTMIIVVVIVWATWLRVDHPDWINVPTLIVDCV SVAIAFIPEGLPIALTASLTITANLMRKNKILCKSLKTVETLGAVSVICSDKTGTLTR NKMFVTDCAISSSTLSPESARDRMVMDGKASGVHQLRAVAALCNAAEFDASSSTLPLV ERHIYGDATDQAILRFSESLGPVSELRQAWKMTYELPFNSKNKFMVRTFNAAQPNGYG LALSAAEAVQFRQSDGLLTIKGAPDILIERCTHTIGLDGNVEALDDNMRRKMLEVKDR WSSEGRRVILLARKILPAAEILVHPSSREFESEMMTQAKTGLVLVGLVGIVDPPREEI PDVIKTLRRAGIRIFMVTGDFGLTALAIARQCGIVTTESTVDTVASLHRSTHIAEKIP PSTPSPAIVVSGPELMSLDDCQWDQLCRYQEIVFARTTPEQKLRIVREFRARDEIVGM TGDGVNDAPSLKAADIGIALGSGSDIAIEASDMVLLDSFSAVVEAVQYGRVVFDNLKK TIVYLLPAGSFSEFWPVFTNVMFGLPQVLSSFLMIIICCFTDCAAATVLAYEAPEADV LLRPPRKPKRDRLVNWKLVFHAYGILGMLESVASFAMAYWYLERSGIPFSALWFKFGA VPSNVDPDYYQARLNEASSIYFINLVVMQWFNLMAVRTRRLSIFQHPPAFNKDTQNLL LFPAIAFALCMAIFWLYVPPFQEEELRSALFTAVADSTPKQSYLVEAPTEPGLSELRH DVDLFARSPVPKARLISYLKNWITECAPYLDKFDEARHFGVHIPILARESPGLFYAIL AFSARQTERKAGLRNCHDSLELYQESIRWVSPGLQAKDPNVLVTACVLAVMELMSVSP QDWRRHVDGCAALFDCFNVNGFSGGHLQAVFWCYVRMELCGVIVSNGAERTVLPLDKW VPAGSMGGASESIEDNEKRVRELFLDQSRLTPDMHANWAVYLCAKACDLEQWKRLWDE LQFWLEQRPSAILPAKSTSGYIQQSVVSHILYPAAGDQTPRDTAPLFKSLDVLCLARK EAVAKLFRTIEQTTGWGALWRLRDLEAVWGYETNEILSVI AOR_1_146074 MTVDTSSDREANDFQHVQVAKTSMWRSLMNNPKVLFIAFFASFG GFEYGYQQGVLGQSLVMTRFMENFPSVVNSSTATGWLTSILQLGGVVGSLSAGVLGEL YSRKYTMFVACCWVILGSYLYVGATEGNPSLLYAGRFFTGLGVGLFSGVGPLYNAELA APEMRGLLVSFYQFATILGIMISFWVGYGSNYIGGTGETQSDMAWRLPSIVQGIPAVF LACGIWFMPFSPRWLVKQDRDEEALTTLAWIRKLPQDHELVQMEFLEMKAEALFEKRA FAKATPWLAERENKNVFMSQIAQYANCFRTMGNFKRVCTAWLVMFFQQWSGVDAIIYY ASNVFTSLGLTSGTVALLATGVTGVVFLISTMPGMLVIDKVGRKPMLLVGSLVMLLSM VIVGVIVAKFRHDWPSHEAAGWSAVALIWLYIAGFGATWGPCSWTLVSEIFPLSIRAK GASIGAFSNWINNFAIAFFVPPMLEAWAWGTYIFFAVFLGVGIVWVWFFLPETKNASL EEMDRVFKSNTGEQDAEMLREAQREVGLTACIERLSKGPVPVEKDSYGSHLEQV AOR_1_148074 MAENPSSPGDMVNEISTFLFFDLTLYGDRRFIDDRPATSDITLN CGPFANGQGTSTSTIPGASATQSSIVEQDVELATADHADAEEFNRPAYLSGVDADISR ASTFSDSSTASQLPDNSRTVAKSRSLAYFSGHRCSSSRLPITSNPTYPIPASGADEIG LLRYFRYHLAPWIDVGDPECGFEIHALLLAKTSRPLLAAIMALALTHSSLQQNSNAIG VNVTLQQEAERGLAHADDPIKSMGQALLMLHDFLFSHPRQWRDFLRARTRGLSTLDFA RTPQGVLNQPWWLLHSRIDLAAGIISAKAPLMSYRSQLLLDGPFPLVPQQRPAKWVFL RVLFLLADTLSLLFSPSDTLSSSSERGGQLAVHTLLQTDFTSRWTSIWTSCHEWYHTR HVEMRPILDISGVEADEINSGNCSSFPILIYTSALALLANTIYHISSFLLLIHKPRLL KTLPGPKRFISRIWHAQAIAGIATSNEFKEQWDPILIASLLTVAPEMTHKSQQSILLN LLGSITTVTGIKLDSEIDDLRCGWNISQYDEEAVD AOR_1_150074 MATKPQICVIGSLNIDFVTYARRCPGPGETLTGSSLSIDAGGKG ANQAVACARAAFTSRTQQDVAVRMIGAVGANDPYYPTLLQPALEKSGVDTTGIEQRSD CQTGSATIIVEEGEQGENRIVVVPGANHDGMNDVDKLLSVVQTQCPAPPQVVVLQGEI PRSTVIGLMQHYNKSENPTNVVLNIAPVYPDGIPLAALSGTAVLIMNETETIQMAESI PDFPVGSQTEADLQPELLAPLFHQVAKIPIVLITLGAKGVFFSTATGRNGSVRGVRVK NVVDTTAAGDTFVGYFSAEFARYAATGQPLEDFDALIEPAVQQANQAAAMCVQRKGAI ESIPFAYEITDRQ AOR_1_152074 MKWTAILIALSAVSTQALESRDFDGKVTCGGGLPADYKAIKGGI DYLNGVGGTPVEDPNKCGRVSCSYNSAIYMCNWTNEKQALKSFATLASGAQAILDKCT NDLGTVGKVEAPNGWGVEVAYASC AOR_1_154074 MSSEYLEPGRYYIKSKESGEYLTVSQEDGSIVARPEKDKPFEFS SADENGFSISLEGGDALGIQDETLVAGASSAFWNVTKSEAQHAWV AOR_1_156074 MALVTIRVAIHPRGKPIKSLSQKVRIDPHNSTEELYDVLAQMSG LSIFRLRITKKSDSILVARSKESTLEEAGVKDMDVITVKDLGPQISWRAVFITEYLGP VFIPGLFLFQLRPYLYSNHGTIPEPSSFQLLLCALLIIHFVKREYESIFVHRFSKVTM PARKIVLNSAYYCVMSCNMAYWAFRPDTTPSNPVLCCAGLVLFAFGELANLNTHFVLR DLRRPGTPERGVPYGFGFGVVTCPNYLFEIIAWIGIWFVSGLSLSILIFIIIGSVQMA IWARKKEHRYRKEFGGKYKVKRFAMLPGIY AOR_1_158074 MVQPIETPRQAFLAHPLTVILVGIILSLLVRRLLWNLKQRELER LHGCERLHNEGGCLRYDFLGIAKAIKLGFHFRRRTSLPYTNALFKRYGETYASNVLGY RLIFTCSAENIKHLLSTAFADFDSSPLRKPLFQPITPDGIFTLDGPGWKKSRDQLRSR LSNLRKIVDLNQCERHFQAFLQHVPPNGQAFDVQACAFALALDMQTLFSLGESVDALS FCQSREKKQFLEDLLFVKEKIVQDGFRGPLRYLYPKRRFLHCCKRARRYVIAHVSRQL TGCSSMSEKAEGAQPTNAEEEVSLLADQALSILLANDSMSTTLSGLFFCLSQDERVVK KLTASILDTVGLEPPTWGQLGTLHYVRWVLQEAMRLFPAVVFNARVANKNSTLPTGGG TNGNSPVLIRKGEIVVFSTWARHRLGKDFGENPDEFYPERWEHLSGDMIGFIPFNKGP RACPGQHYAMIVLTYIVARIFQTFSTVSNYDTREWTERISMTLENENRVLIGLS AOR_1_160074 MTTGHRQFDDGLSERERLIHEAGLTLQRSMDYAYNVVRSDGHWC GEMSSNVTITAEYIFLRQALGLDLKTDGAAYCRHILSQQNSDGSWGLAPEYPGDVSTT TEAYLALKMLGLSTDAPAMQQAKAFVLNAGGVAKVRVFTRIFLATFGLFPWKAVPQLP VELILLPSACPINIYKFASWARGTIAPLLIICHHQPVYALPNGVFAENEYLDELWQDS TNKSEPYSPSIWELLSQGDITGLTFSLLDKLLYQLNGLRSIPLLRSYALKQCMKWILE RQEPTGDWAGIFPPMHASVYAFVLEGYKLEDPPVRLGIEALENFAWEDAKGKRVQPCV SPVWDTTLMSIALSDAATPNHQIVDRAIQWIRDRQLLEPRGDWRVYRPRLAPGGFSFE YTNSHYPDIDDSAAIILAQVKHDPISANSSSVIAAATWILGMQNPDGGWAAFDVENDK LFLNKIPFSDMDSLCDTSCADITGRILEAFGLLIRRVPDKDSSQLFQLLPAIRAACRR GIRYLASTQEANGAWFGRWGCNYIYGTSHALCGLAYFLQEDQQVPAMVQPALQWLKSQ QNDDGGWGESLLSYQSPERKEQRSTASQTAWALMGLLAHLPHTDIVIERGIRLCHDFT FRFDDVLDASKLEAALDRLMEIGDWGQLGARLRLNDNGKLEYHIPAEYDKSTRPAFGF TTAEYGISINEHPLGARLPTTGQDQSVLSPSCAEFAPLVRHEDSPRELADWIYSDRPQ LHVHVAVFEDATLLTVSYLHTLFDAVARTNFFKAWIAVLEGREEEVPPFVPFDQDPLC QLGKEVARENYTHFGRLVAGLSLVLFGLRYLFELLWFRKEEEHPIRVPGHCVERMRET AQQELAAATPEGAEVPFLSEPDVVSAWWVKTMVTALNPAPSRTVMVMSPFNVWGLFKE SFPAGAKGFIGNAFFNSYTVHKAGEVLEDNNLAHLACKNRQALVEHRTKEQVQAMTAI QRQSFMQFPPLVGDSNLLFMTHTNQHKARYFETDFSAAVVATGVPLTERPHALGRPSY INDIEHCRLYPTRNVCRVIGKDAAGDWWLLFKTRSEAWPSIHRQLATLLGIDQAEARD VPNAVDQVAITHNGSSPAKMYSEARGMLLQIRLLWRLLV AOR_1_162074 MSDEEILLGEIPPIASTPCAADIIECQIRTEPSTANLFSVYRYA NAPWLCVLLISVICSVVAGAAMPLVTIVFGALAREFINGEENTPDDVRDRVKHLTLLL LYIAIGSFIATMISTLGLNVVGEHITRRLQWRYLSSVLQQNMAYFDVVGTGELTSQID KDMKLIQTGISQKLGNIISGVSGFIVAIICAFIQNPRFASIMISQPVAMILLVGLMGF WLSVTQQRGLPHSVKLENLAQDVLNAMRSVIAYRSQERYARKYHNTLLQPAALDFRER LIFGVIVAGSFTILHWANGLGFWQADRLFRQGYCTVSEALSILYATVVAGGMLCQALP YVVDVTKASQAANRVFSVIERVSPINSMADTGRIYGPVRGEICFDDVEFAYPSRPERT ILRDISFTVPAGHTVALVGLSGSGKSTIFGLLTRLYNSIGGGIMLDNEPIEEMNIAWL RSQIGYVSQDVNLFRTSIHENIAHGLPKHTIETLGTSAVKELVIQAAKTAQIHSFITN LPDGYDTVIGSNGSSLSGGQRQRLAIARAIVSQPSILLLDEATSALDSQGEKEIQEAL SKAAYGRTTMIIAHRLSTVQNADAIIVMKDGQILNHGTHSELMVTSALYRDLVEQQAL RQNNAVGHSLSLAKSIETKSSNITVNHDTPKRPQDAPGLSPSPESSIKQVWLLNKPEL PYVIAGIIFSALAGMTYPVQAIFFGNGIISIISPGASTGGHSVHFWARLYLIHGIVVF IVYSVRGYCFAVSASQLHLRARSHLFRALLLKKLAFFEDKDHSAGAMVSFLSSGTPKI TGVSGTSLGLAVESIVMLTTGITVGCIFGWKLGVAAMAIVPLVAMSSFLQCYIEAQVQ KHVKRETNAVAIAHEAFSAIKTVTTLNLQTNIIESFHRESHQDKKSGYWVISAALHAC TTSLRILSISFVFWYGGTRLIATGEYTIQQFFICFAATVWGSQSAATLFAHAPDIAGA HAAAARLAELLRPDDSPSHFRYPTRPSQLTLNDITFNARSGSFIALVGATGSGKSSVV NLIERFYAAESGQITLGHNSIERYDLNHYRGYFALVDQNPSLIGEDLRECLQSDERVV LDEEILIALEDVGLADFVLSLPEGLSTPVMANGSTLSGGQRQRMAIAKALLWGPKIFL LDEATSALDSASEALVQEALQRAKKGRTVIAIAHRLKTIVDADEILVLDHGHIIERGR HEELMKLGGKYWQMAKLQQLHGEVGR AOR_1_164074 MAKIPALLRLCAPHTGSSSSKVSVTIHIAGAGDKQPKVFTTSDK IEGVVTITVVEKTSFDDIKITLEGISKVMTWGGINGPPLVGARQTFMKLHYPIEKSTY QLPSILEPGWCYKFSFTFVVPENLTLTPCEGKTDDAGIKNAHTRLPPSMSKETDTECF SIKYIVRVVILGPIYGKDKPTKRLVHAVRPVKILPSGSMGCPGDLSIKMLSSHKDIRI RHGWRGKCAGRLAVLASPTGPIRPPFCRTDAADHAKTSIKVDLRYSPVGTEPPPRLRK VYSKLNLVTSFHTTPREQHPCFKENKSSNLPSGNHVQSLMLPKFDIASAQWAKVQSPS TSVSSPWQDYQANFNNEEATYTASIVVPISVPMHDDLVPSFHSRFISRMYTLELILSY CTANGPRRSAVKIEVPIEATS AOR_1_166074 MLQVPPSLIAATLDRTGIVSIETQELAEEDEVDLTSPNDRQSEQ DNVDATTGYSSQYETDPNTPSVTLPTPSVVSDEIGEDNMLRSRPRPNLWSVSDFPLET TPRPIYNPAPLDIEYRSILDNVVSAARRFIFPTRDSIDTSPLAEFQNLRVREQSDNTP NLRSLEKLERDKRIGAVFEVLSHLNPNPPGFSRENWQSTIRKYVRLHEDYTDLEPWGG RETADLVYTDSEGTLTSFLVAKDYLSSERWAGKRLRFYLEVKSTTSTCETPFYMSKYQ YERMQTFSEDEPESSELDAIYIIFRVFNIGTDSVPMRVYVDPERMRRRRHLVFTAETW SIVPGSSEA AOR_1_168074 MATSTQQQWVLEGSNGFDSLVLQEAPVPKVGDKDVLVRFHAASL NYRDLIIVNGQYPFPVKDSVVPLGDGAGVVQAIGPQVTRFQVGDRVLPIFHQGHLAGS LDAKSIKTGLGSSLDGTLRPYGAFDEERLVKLPENLSFIEGATLPCAALTAWSALYGL QGKAVAQGDIVLTQGTGGVSLFALQFAKASGAKVIATTSSKEKAELLKQLGADEVINY KEFPEWGEVVRGLTPNNEGVTHIVEVAGPATMKESLKAIKIDGVISMVGFVAGSPAVD QPSLMDTFEEMNRAIEANDIHPVVDNRIFKFGEVREAYQYLSDQKHVGKVCIEIQT AOR_1_170074 MSSGSTNNMLRVSIESQKFPGSYLRMDGRGVTEYSGSGGGAVNV QNHVASYETLIIVNHPDDNTFSIMSSAFPNVYLRMDGSDIKSGDTYAQGAGKVNCQWG SHSWEKFRFENQEDGTKAIASVHFPNAYLRLENVTGQGGPSGAGTVNCQSYIGSYEKF KIHVV AOR_1_172074 MSVGRKSSVSLSLNSLSTPTPSSNPGPVGLSFVNSDIDLLPEDL EHGLWQYQEGLESHTLLHSPSMQSYVSLDDLSMNPYTITGREIDFESNVSADVDLGSS QSALGSDIYPEFQAQDIASENLSWYRQGNIVSHDFGTYQNDPHLNDHLNGRFIRLDSA MQELLRVENHIVDRRMGRSTKKSRPNLRCTWKGCKYKNPFNRSAELERHVRTIHLFPY SYQCTYDGCLKRFNRKDNLKEHMIRRHNVSF AOR_1_174074 MSDASVSTSSSSFYDVSSNGLGHNHTISSPMHNDLGFPVHDVNM EPLPPDSAVIPGSPFYYPPWQLGVPLHDGSIVFRPIIATAGYSNGHLPSNTPLTLPTL PVEPQSMRVQQETRANNNRESRRNQQLAQRCRWEGCTSTRCFNRQADLLRHVRYIHIA PQSYQCDVNGCLKSFNRGDNLKDHKLKVHGWDS AOR_1_176074 MDCQDVIPSAFVPRRLKVVVIGAGISGIQFAHDITTRMSDIDLE IYEKNPKVGGTWYENRYPGCACDVPAHGYQYSWAPNPCWSKTYAPAHEIHAYLESVVD KHDLRKFFRFNQRCVSAVWSEERAQWTTTFRDERSDEEAVVRSDVLIYAVGRLNDYQT PSFEGRDKFKGQVFHTARWPEDVDVRGKRIAVLGNGASGIQCVAGIRDEAGEVLNFAA HPTWLGPEPFIENREYDEQEKLKFRRNPQAYHDFRMDIEKVMLPAFAFLWKDTSPSKS LRSRAESYVESKVEDPELQQKLTPDYTPGCRRWTPGEQYLTAVQQPHVHLIEDHVAAL TENGIRTDKGDEYECDMVVCATGFSLYNPRVPVVGRNGITLSDCWGSDGPCESYLAAM VAHFPNFYAFHPPNCPINGSAFPGIERTADYMIRVIHRLQTDCLRSVSVRPEAQRDFN KWVQSQMSSMVWSDSCNSWYKNKDGKIIIPWPGTTTHYYAATEIIRWEDFDLMFEDPA QRYMSFGNGVTKDGFKPESIPWVQPPEYLN AOR_1_178074 MTIPDIPTRQRAAVRRGTGESATTTIENIDVPQPGPGQILVKIN WTGLCGSDKSLLHDDWKDFGVNMLPQSQGIAGHEGAGVVVAVGEGMQKRWKVGDRAGI KWIASTCGECEFCLNGVDEVHCEKQINSGFSAPGTFQEYCLVDGRYTSKIPDGVSDEE AGPIMCGGVTAYTACKRSAVKSGQWLVLPGAGGGLGHLAIQYARAMGMRVLAIDGGDE KRDLCEKLGAEAYIDFQKFKAPADLKDEVMRITKHGAHGVVVTAASKTVYEWAPMYLR PGGTMVVVGLPNDPSILAGAPPLVLALRRLNIVGNITGSLRDVEEALDFTARGIVHPI LSKGKLEDLDSWIEKLKAGQVAGRAVLQVAA AOR_1_180074 MKPTQESATPVVQGRLIGHFHDRPLEEHGSGWSSLWDSNESDLW DRGKPSPALIDLIEQEKDAAIFRPLKPDGQRKKALVPGCGRGYDVIMLALHGFDAYGL EISATGVSTAKKYAASEMQRPQEYNFGLGWTGPVTPGNASFVEGDFFKPGWERQISAN GDIKFDLVYDYTFLCALHPQMRPQWAARMSEVVAPDGVLVCLEFPMYKDPTQPGPPWG LNGVHWDLLARGGDGIKNIGEEAEVEEVDQLPGRFRRLQYHKPARSYDAGRGADMLSV YALK AOR_1_182074 MPRGLHNPLPASLRSECKKATQILESFLTAPYFGNPGKELPGKV LVNAKGLAICTVAKAGFLGSARFGSGLVIARLDDGSWSAPSAISLTGAGFGGQVGFEL TDFVFILDDAGLRSFLRMGSLTLGANISIAFGPVGRNAEFTSNATMEGISTMYAYSKT KGLFGGISIEGGLMVERRHANKKLYKSKVSASQLLGGEIPPPLDATPLLQLLQSSSFQ QRGAIPHSQPTTREPTTRGLNPVPQGPELPTGPENQSPAELSPEEVQPTARHFPAELH WESSLSLPSELPAETIINIPDEPTATPVSAEERPQTRTPASTGVESKPHGTSTPETAP LEIRSEPTTDTPAELKRPIVVEPEVCAPSQTV AOR_1_184074 MGRVRTKTVKRSAKVIIERYYPKLTLDFEVNKKLCDEVAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEVSALDFSQHSETGKL DVDQDTKDLLKSIGFDSIPTNVIQVSQQQVSDRPRRFVR AOR_1_186074 MRFFQTLVALPLIAGAVASPLDARATLENCTPEGKQTIDNALSQ AAKMAQAGANLIRSNSDYSANLFQSFFKTNDAQSRNRVAGVLDKIATEATNGNQGVVT YYCTPEGIDCVDTHAFTMTAYGETDGTYGRIRTCPAYFTKFPAWSDSCSVLDQATSSL HEMAHTKGIFGPETYGYDAVHGLSSSAALENAESYAFFSKSAFLNCDVTN AOR_1_188074 MEKYQKIEKIGEGTYGVVYKARELAHPNRIVALKKVRLETDDEG VPSTTIREISLLKEMNHPNIVRLFNIHTEGYKLYLVFEHLDSDLKKYMDALPVNDGGR GRSLPNGLSMDMGLGEAMIKKFMSQLIEGIYFCHSRRVLHRDLKPQNLLINRDGSLKL ADFGLARAFGVPLRTYTHEVVTLWYRSPEILLGGPQYSTSVDMWSCGAIFAEMCTRKP LFPGDSEIDQIFKIFRLLGTPDEDSWPGVTSFPDYKPSFPKWKRDSDEHLIPGLERHG LRLLDALLEFDPARRMSAKQARSHPYFRHRSS AOR_1_190074 MSPNKSYKTGEAFNTALGAGLRSTWAGADAIHGAVNAGVKIQGA VAEHKWTKARVNEQLQRAQHPDGKPRVDHSAQYQAANPTLSNLGNDKNLYNRVNGQR AOR_1_192074 MNNTALYANENGELCGRRDLPIPQPIEGEILIQVLFTGVNRSDI TSVKLLGSRNRVLGNDFCGRVLDVSGLADTAFEPGDIVAGYTIANHDRPLRYGSHQSY ISIPPKNIFKVPENVPPANAAGLMTVVQTANDALLNLHLPLPSMTQGPTDGVFVIAGG ATTVGISTIQLARSIGIKSIIVTASPGRHELLESLGATRCFDYRKDSVVQDIKAAVEE TGLGPVFGLDAAATPDAAKILLDALADRDDTHLASLSPWAGDRFEFVLGGRDYDVIFH PPGAPEPFVSLARPADAAKMWDSLMWVLQHYGKEFKLPVIEVFEGPAEEALEELEKVA DQGKFGKLVLKHPLI AOR_1_194074 MSPTCRSRPLLNRRPAPKISIKFDNSRQRYTTGEQIEGEAKVTV DAETKFSEINISLEGSSRVTLLQPITTQLETSTSHTFLTLDQPIDDVNYPASRILRPG KVYNFPYTFIVPNRFPLKPCSHEATHTSIRQAHTEAPPSLLLRNLSQSLCEISYLIRV VVCQQHPNNGKLETLASCTKPLHLVPVHGGGLSSSRSEKHMYRSSIVQEVKSQWKKQA LGRLEAVVSILQPIQVPSLCLPTDTVNTDVALQLRFDPVRDTLPPRLAKIHPTLKQST LFSTKPQDDYPSIDNIIADQMSRGAHVQVSSLPSHTISSIRWTKHMLPQYSGSSGFDQ SSQPSSTTQTGLSLTPSSGCYYTASVMVPVSLPSNSDLAPTFHSCLVSRAYALELRLS YCMPNASVFQRMSTLEVPVKIIGVSTLDTSGDNLPSYSSSTPEKPSHFVSLPTPYYIE RKSWNTEYSENNLRGIKCLQAPEWHEACTLPTYNDVVTRSAKLP AOR_1_196074 MPTTYAMRLLFQKNELNQVTNKWEKSYISEIAKLGGRVTRLNTP QVTAGTNGLIYHVTMPDKSSPRLEAVKFGPKRLEKLGKLVDGWIVPDK AOR_1_198074 MRFTTVFAMLAAAMGVVAEEAINIKICNGINEAGDCITANVYIQ HQCYNLNGTPVSNNVRSFTIPSGYRCRFWSSTACNGGGTGDVQSPGSNQNGHPQVNSV KCYAN AOR_1_200074 MLSLAFLVYATGTLAAASVQYIQSQDFRIGVDPDTGAVLSIVNP SDNASMSWISGPDNAPWQPLGSRWGLGYADLGDLNRAFWNKPDLTLGDDHMTASYAMD KLNVSVTRWIDTSSKSFQECYSFLNTGDDALNLSDVGSESLGIYLPFNDHYTSTEDVL EHRAHAHIWASGESSSWVKLTRMGLRGPHLGLVLTEGALSGYSVESRNTVTLSNTRGF FLIHPKVPSLQSKETSQICWTMFWHDDWQDFFEQSLKRSDHFIHIEASPWTAVEGDTV NLTVFGRPGDSISFNGVDLPLHRETGLYSTSVQADKAGERQLTFTLRKGDRENNATVV LNTVQDIDQIIANRVKFITTKQQLNASFPDRSKAGAYAVYDNQMEGIASFETASDRNT GRERVGMGVLVARWLLNHSDVDVEKSLRIYYNYVNNKLQDPSGYVYDWPIGSKTASLR LYDWPWVMQLHLAMAKLGNEAVTSHGNYTATPVERLMSTMERFYTEGGETHYSIGLPI FESLTFLKAYGYRDAYQRALQLFTAHGKQIAKIGQNYPSSEVNYEQSIVAPAAIILLE LYRSTGAKSWLEAAHAHFDRLEAFGGRQPDYHLHDIAIRHWDGYWFGKDRMWGDTFPH YWSTLTGIAMHHYANATENKTYKTRAEGILRANLALFTEEGRGHCAFIYPTTVDGRKG HYLDPYANDQEWALAHILQVRENH AOR_1_202074 MLLHLLFGLSVAVGLSAAERGLPFDFPFSQTPTRFNIRVDSELV DFAKSRAASYRPSYGISDEWTKEGPPAASMAELSTFWAEHYNWSEVEDRMNKRDHFSV VIPGAAGYTGDIPIHFVHHRSMNDSAIPLLLLHGWSSTHLEWDKIIDPLAQLFHLVTP DLPGYGFSPAPTESGMDARTMGAAYDVLMKELGYGTYGVVGTDVGYFVSSWMMSDVPD SIIGHFLDFMLVPPTQDDIDRYSGNQTTPEENAYLGSFTAFESDHSVYSAVQAQKPLA LSLSMGDSPVGFAGWLWDLKYAGSDGYQYTMEELITEAFTLWIQAPYGAMRSYLETLI VSLGHTTSGSKSWNK AOR_1_204074 MPAERRWDQREYRIVESASHNNNARTVIIETTTAGTSVPPHYHS RFSETFELINGSVSVHTGPADTSELAFKSTLTPLEPGKPMTVPLGWYHQFIVGNEIST FKCTLEPGDIGFENMLKVLDGLKRDGELDQLEEHDVFLVVLMDLTDAHPLWKVGEMLI NVRKERSEAIEQMKEDLLKKYDTPEALARLMAD AOR_1_206074 MASTTNVLISGASRGLGKAFVEQYLARPNHTVIGTVRDVKSAAA EELRALPAAEGSKVVLVKVEYTSTTDAVDAVKELEAQGITKLDIVIANAGIAGQQGRI ETIDPKGLAEVYLVNAVGPAVLFLALKPLLDRAQTPKWLAISSGLASLHDLHKYPMFP GFPYNGSKAALNHFTKTIHVESPKIIAFAVAPGFFETDMGRKTAAFFNFENPPFSDIN TNIKSIVGLVDNATRENYSGQFLNFDGQLIEW AOR_1_208074 MILSLYFGLATITASLASPVSQPLPTAIIDSGVIVGTTTCLPSS TAVVRQYLGVPFGAPPVRFSPPEPVARWSTFYNATQWGTACIQQIGHSGKELFGMLDL PPPANGEGEDCLNLNVFTPEAASAGSKAVLVWFYGGSYMNGATSVNLYDGTSFAANQD VVVVTVNYRTNFFGFPGGDVPATERNLGFLDQRLALDWVQRNIAGLGGDPSKVTIFGE SAGGGSVDALITAPPDPVPFRAAIMQSGQSSISMPLNGGAEKYAESWKKLAEFAECPS DNTLECLRKAPALELKQFAENASLSFGPVPDGGVALSATPRLDRLYSSEGNSSIARVP ILIGNNADEPKPYIRGVNDTKAYLETLGLGEYVDMILEVYPLGQPGAHNENDRLSLIA TDLLMHCPSKVLADDSSKIGIPSYRYFFNASFPNNEAFPGSGAFHAAEIEFVFGTYPK ENATQFEHEVSQAMQKAWADFAKDPSQGPGWGEGPMIGVFGDGVKAGMSDEGKKALAA VDSAIMDRRCELYKPLYDQLVLSSSS AOR_1_210074 MPDEDDSALRHLLQTRGMEDVQSVLKRSESDNKKVPDRKGNQNQ TPDSEGEHPFIQDLNPDLIDPNLFLMSFPEAIKDIPQGPTQPEVAPTLPAASDDSLFQ DCSDDTFVEINTESPTGAQCRVNDSPSRTKGSSNENGARESIAHPRPDTTRLPLSIQQ LLSNEPRCQHDTSTKDDNPSDSEGVEELVTQLSDRMGSLQIGSDGHVRYYGPTSHFNL LRMPTPDNLTIHRTVRQDGPDVLDRLGVNKEIPAGFEEHLINLYFTWHNPLFQVVDRE MYEPARQQWRTKMEETPYYSEALTNAMCCLGAAFEPRYHPDFITYPRSVSDFFADRAK ALLEIELDSPTLATVQAMVVLSAHDVGCKRNSRGWLYSGMAMRLAFDLGLHIDTAHYV TEGSINAAEAELRRSVFWGTYTVDHLWGFFLGRPVRINMEDVTVDKPGRHQTREQDRK WVPYGLPSPPLACLAAPVPDPVDLLSQHRIQLCEIMTPLGHVLYGCSRVSKRILQGLN ENTTDQLLKWKTNLPEVLQIDLDNTDAPVLPHILLLHMQYHQTIIHAHRPWISKRYIQ PQPPQGPGHVHARKMCIESAIAIAQLLHLYETQYTFRRMNVQAVSITCSAALMLIFAT IASLKREGDQEISAYLSICFRALEEFGVSWESAKRAQNFLISLQRRWESRVRSYTSAK RAVSQSQSRSQSCFPTSKKPRISSEPDPGSSESGVLVGEEDVRAFDPVGSGFPIDPDM MVELDWLCTETMRDMSP AOR_1_212074 MESTNKPMAVYQKDVESINADAQSLLERYSGLSPAEVIPHVLSL RDEAFKVYHYPCIGQMRFLAFNFRRMPFYHQVVDRLRADPSATFLDAGCCFGQEIRYL VDQGIPGKQLYGCDLEQIFIDLGYKLFRDKDRLEATFVTGDLTADDPAFAGSPLSQTL SGNIDIIFASSLLHLWDYDTQVRAAIRLVQLCRDKPGVMITGRQLGSRLGGHYPIHGV EDNALHYRHNVESLKGFWRDVEIATQTRWKLEATFFVDDAVEQTRKVVPDYDSNATMI CWCVTRE AOR_1_1102074 MSTTVTHTTTLTIEIWKNRDPSTTSTLSFKLPEIDQSLRREYIQ LGNVFKGIDDLDNLIFNPQAWTSQEGLGIEPMSLQLHNYSNTWEKGAWNHDTFIGLVE WGVARIKWCYDIETLKITSFVPSPTFLAQLNEQPCVVNAIKRSLSRCVYVITGVAEAS GTNVSVCRFKRCGIIIRSRPFSLFVGQGITQSMSRPLGGPRPIPVGYSLHRLTLGKGK LMAEPVTASSFPKKLLSFDIDFPLSISDQSS AOR_1_216074 MSNMTTLQPREGFKLFYYDPSLAAAVIFIICFLATTILHTYQLF RTRTWFFIPFLLGGYFEWIGYVARAVACNQTPNWTLGPYIVQAVLTLVAPALFAASIY MELGRMIVVLGAEKHSMIRIKWMTKIFVAGDVLSFLMQSAGAGMMGVKSKISENGPHI IVGGLVVQIIFFGFFMISSAVFHMRMNRDPVADGGAGFNWRRLLYALYGASALILIRS IFRLIEYAQGNGGYLVAHEWFMYIFDALLMFGTMLIFHVEHPSELNAWLRGSGVVCRG IIRFERIGGSGMMMS AOR_1_218074 MPSRRSHTKSHHGCTQCKQRRIKCDEARPSCGSCQKKRIVCAFL SQEPLPINPLQQYAPQPSSPSPALHSAPSILLLDLELLHHWHTTTAASLAHSKSIQDL FRITVPDVALSYPFLMHSLLAVSALHIGHKCPPECRRKYTEAAIRHNDLSLSLCTPLL SNVTAENCHALFAFSCLVVIFAYAAEHAASSLDTLDEGDVVKVFKLVRGAGSIVGQAR PWIEQGEMRQLLAAGRNLRQPSTTKYAHELYAQLQEIIEQHAKPLGHDQKVGSALFSS VEHLRDVLRRCTTREDPGALMSWPVMVHADYLDLLLQGEPTSFVILGHYGVALELLKD EWWLDGWGEFLVNLALKRLGPTEEWKMARCLELLRADRAERAARDDSAGS AOR_1_1104074 MNLNKAMNLFELFERLGREEDFEEAIQHAKSALLETASSKSLYV GKLNLLGVSLKARWFFNRAPGGLEEAIGLFTKAVEVTPNNDPNLISYLSNLGSSLEGR YDLFRHKGDLEDAIWLSRKAIRATPASHPKLGSRLSNLGSQLQRRYKRTDNINDLEET IRVLHQAVDATPANGSNLSTYLENLIDNLESRYYRREVISDLECAIRLSRKAVNVLPV DHPDFAG AOR_1_220074 MRYHIVYALTAAASLSAAADIYVSPSGSDTAAGTIDAPLLSIQS AVDKATAGSTIYLREGTYSPTTNIQITKSGTASAPYVLRAYDGEKVTIDGEELPGTPA ELDASLANEDRGILHIQDAEYWEFYDLELINGPYGVYARDSSNNHYERIITRENYETG FQLEGASSNNSVYYLDSYLNRDPRKNGESADGFACKEGSGEGNVLRGARLWNNVDDGL DLWEFKSAVTIEDTISYGNGYNRWGFSPFEGDGNGYKLGGGDDADIGPANHVITNCIA FGNSKDGFTDNSQPGDFTLTRNTAWNNAKVGFKFGTAVATLSKNIAAENGESPTSLSE EQISTGNSWDGSESWGNSSFVSVDATLVQGARNADGTIDPSDFLLPKSGEEIGATTAW AOR_1_222074 MTKTSEKKSWILNAFAMFSPGHLSPGLWKNPQDRAGDFLDLSYW IELAKVLEKGKFHGLFLADHPGIYDVYKGPGNKEPALLSGAQFPIGDPFLLISAMASV TTSLSFGITASTTYETSPYALARKFSTLDHLTQGRVGWNIVTSFLDSAAKAYGMDEQI PHDERYARADEYMELTYKLWEGTWRDGAVVKDPKTGVYSNPNQVRAIEHNGKYFKSTA ASQLPASKQRTPLLFQAGASSAGKRFAAKHSEVMFLPGLEPEKTKAVVDDMRKHLAEI GRPTDSIKFIAGILVIVDETDEKAQAKYEEYLAQSDLEGVATLFGGWTNNDLSKFDDD EDFSFTAVGGIQSLISSWSKTVPNSNGLKWTKRRVLQELALGGAHPRAIGSPSTVADI LQRWVDVADVDGFNFSYAVSPGTFEDMIEYLFPELRRRGVIWDDYEVNGGSARENYFQ DGLGSRLREGHPGREYTWN AOR_1_224074 MQLTKAILALALVVSPILAAPTEVGEGSVNTNVEARAVDTVSCT PDQNQSGVKFEVDVKAAKALAQKIGWTTDSTKSDYPHPFGDKEKLWAHIPLEANKCNS KTRMLEYPVYWTKSKVKEWDPSKKKKEQQKTPIRIVYSNLNGALHYCGTMIHKTVAKD FGGSGDFKLCQ AOR_1_226074 MALDSKSFQPLDIKLSGPHDDEEDIFFKDLLLSLVGGEITPNEA ANNLDKWIVEKSNTDLEERKKYPDPWNVPSPENPSWVAPNPSGLITCFFESFARLCSA FPPGHVGQDRLIRFLEALRDMPKHEVPNYLPNDPPEDFYYLLELWPFGGSWLGLTEVF RTEAEDRGYSYATFVTPGSDMQIGWRNWQSILARITALGFVDCSFLCALEGILPQSKM PSHSRVSGDVIGGVQWILHPDTGLYVYRQCKAVEKVSTLDSRAMWSLERWGQWKDRLE TIASDDTFAPEVREIARLAVDRMGELEVSDGSS AOR_1_228074 MSREALTFPPSNRTFLEPHLPPNNEPELPKSNLPFTTLTFATSL DSSLALAPGTRTTLSGPQSKAMTHYLRSRHDAILIGVGTAVADNPGLNCRIEGVGGYG GEGLLGQPRPIVIDPRARWDFTEQSKILELVKEGKGRAPFIITSTGTEPAAEKKALLE SYGGKFIPLDLFLEEHGERRLDWTAVLECLRNEGLRSVMIEGGGTVINSLLEPGWAHL VNSVIVTIAPTWLGQGGVVVSPRRRVEGDAVVPAARLRDVKWYPFGEDVVLCGRV AOR_1_230074 MRASESDIIPVVSVGYGRPIGVKFLPPLFEGTPYRAVSFLDLVS SREPFRYSAHNMGVVLETLHPRPKAFVVGIAIDPSYCGEMEKVWNSYVENVLRPESEN GQWENNAFVALPRTHFVDPKQVTRPPANLGWETEMFRQLDSVFRPEEVDKGNHKVVPE N AOR_1_1106074 MNFRVPFLLCLFVLIAAVIADEYAVKMKLKECFDKELDKRNKEA PRDIKLSDENLEKMKAIVRAEIDKEPLKKHSPEEQKNSFVEMEQEAKKVLPENNLEEE KMSGRAETRTPDPPDRDEQENSPPRLVRPKRTTRPPAHYAQEQEIETEQRNTRSQRKK KNQGKPVAQDKAATSDDSSTEREDSDTSKLVKEIVKLRREIRRRDELYKEELQRVKEE FGAALTEFRHELLANRPPTPQAHPESCAQSGHEEILREIQSLRVAVNPSGSPSYADVA RTPPTSQPSNIRTLSSWNTTPTTFTDTLYCTIDTSKMADTESERPSAGPIRTAVETEI RTMENYTNWRCRAVTVDPKNTNRIRIACRDEAEHQLVKKVAETKVGAGARVLRDELYP IKVDSVNRTAVLDENGDIRVGAAAAFGEENETTVAKIAWLSRKENAKAYGSMVVYLTK GSDARRLLADGFFHAGGESGVTSTFEHRPRPIQCYNCQEIGHKAFQCKSTQKCARCAA EGHHHTRTVGSSIHHIMNKTLRVIQLNVRKQGAVHESLMNDEETQNTVALAIQEPQAR RIQGRLLTTPMGHHKWTKMVPSTWREGRWAVRSMLWINKEVEAEQVPIESPDLTAAVI RLPERLIFMASVYVEGGNASALDDACNHLLDAITKVRRDTGVVVEILIMGDFNRHDQL WGGDDVSLGRQGEADPIIDLMNECALSSLLRRGTKTWHGGGHSGDCESTIDLVLASEN LADSVIKCAILGTEHGSDHCAIETVFDAPWSLPKHQGRLLLKNAPWKEINTRIANTLA ATPSEGTVQQKTDRLMSAVSEAVHALTPKSKPSSHAKRWWTADLTQLRQIHTYWRNHA RSERRAGRKVPYLETMAQGAAKQYHDAIRQQKKKHWNQFLADNDNIWKAERYLKSGED AAFGKIPQLLRADGTTTTDHKEQAEELLAKFFPPLPDNIDDEGTRPQRAPVEMPAITM EEIERQLMAAKSWKAPGEDGMPAIVWKMTWPTVKYRVLDLFQASLEGGTLPRQWRHAK IIPLKKPNKENYTIAKSWRPISLLATLGKVLESVVAERISHAVETHGLLPTSHFGARK QRSAEQALVLLQEQIYAAWRGRRVLSLISFDVKGAYNGVCKERLLQRMKARGIPEDLL RWVEAFCSERTATIQINGQLSEVHSLPQAGLPQGSPLSPILFLFFNADLVQRQIDSQG GAIAFVDDFTAWVTGPTAQSNREGIEGIIKEALHWERRSGATFEAEKTAIIHFTPKTS KLDREPFTIKGQAVEPKDHVKILGVLMDTSLKYKEHIARAASKGLEAVMELRRLRGLS PSTARQLFTSTVTPVVDYASNVWMHAFKNKATGPINRVQRVGAQAIVGTFLTVATSVA EAEAHIATAQHRFWRRAVKMWTDLHTLPDTNPLRRNTARIKKFRRFHRSPLYQVADAL KNIEMETLETINPFTLAPWEARMQTDGEAMPDPQAIPGGSIQIAISSSARNGFVGFGV AIEKQPPQYRKLKLKTFSVTLGARSEQNPFSAELAAIAHTLNRLVGLKGFRFRLLTSN KATALTIQNPRQQSGQEFVCQMYKLINRLRRKGNHIKILWVPASEDNKLLGLAKEQAR AATHEDAIPQAQVSRMKSTTLNLARSQAATTKALPEDVGRHIKRVDAALPGKHTRQLY DGLSWKEATVLAQLRTGMARLNGYLYRINVAQTDQCACGQARETVEHFLFRCRKWTTQ RIALLQCTRTHRGNLSLCLGGKSPSNDQQWVPNLEAVRASIRFAMTTGRLDAV AOR_1_234074 MTKDTHSPRLVESTKFDSATDPMERPPRNDVTDKEQWAARVIQR TYRGYRTRRELQGCGISATTRWVEAVKEAEWRLLHRPSAPEATVENNSSAHARRNWQR AVSVAKRAGGDDDLDQEPASPTRNATRQSSGNLASQPAQLDLPPGTTAKMMDLQYFLE LVDLKHRHGSNLRVYHSYWKNSTTAQNFFFWLDYGEGKDLDLPQCPRDKLERQQVRYL SREERMNYLVRVDEAGLFRWAKNNERVWTDNRRFKDSLKGVVHIDEDAPQFQGNTEAG DPDSFLTSSSSSVSSLSSSDYDSDSDMCVREAKETYVNEDYKAVKKLKKVVHVSPSTV LSSIRGKSLKKEDMWIFVADTSFRLYIGIKQSGAFQHSSFLRGARISAAGLIKVRNGQ LRSLAPLSGHYRPPAANFRAFIHSLQDRGVDMSHVSISKSYAVLAGIEGYTRTKHKVR ALHEKVDDVKQKLLQGHHNGEKDQDPARRQNDDHFKPRPLVGDKRNKIEKGHLELPLR TKPANEVE AOR_1_236074 MSSSKSEDYKVGAYIGYRMEELGVSDYFVVPGDTNLTLLDSLLE NPRLRMVECCNELNTGYAADGYARASECKTAVAVIPYIVGGLSILNAISGACSERLKV IVISGCPPTSVLTSSKPTHHTPSPTNKDVALHAFQGVTAASVRVNTAESATDVIDNAI IKCIQQSLPVYIELPNDIAGAPCPFPIPFTRKVEEATQLNRNGEALEAVTDLWNSSHR PVLLLGSQARVSLPRDIVQRLSEKLGCPVLCQPDGRWLSESHPQYWCTFWPGLLNPEG EKLVLDSDLWLAIGVSWSDLHTHSIDPKEENHRLIALQHDGVELPEDKVISPVNLREL VSAMIDSNIIRRSESLPSSKPSHPAGIQTEIKTSDDALTVRSLLSGVQAMLQENSKLV VDTGDTWFAASHVELPDGVDIYMQLPYASIGWSVPATLGAQVAHPHGRVVLMVGDGAF QMTAQEISTMVRMKLNPIIFLFNNLGYKTETAVHEGSYNYIANWDYTKLATSFLDKPH AHPPSPYATDKPGDDEMPVFAEKVRTQADLLRAMERVSAESDKLAFLECSDMSDVQES DCEDIHCPPGRDYNTFMQETAGIRQLYEAGVPFFTKEQLQDLSRQLKQAETSDKPEIL IKGLEGTEETFEVKTGVTRAGSEPGTEWVLKAPAIEYRTFGFLTSYRAYQMDGFSDLS LRVLHYMELRDEVTKELLPRFRYVVDSVDIITNSFTSCIQAWEASESYAQLQKTVESR ENFPLITKIVALALGSMQPRSLDNWDHRSEYQHALALTLRDIVGKRQGETSGNVQCYV QDPAYTEVDKSILKTYDITILEDPDAFVEIDGSTIVLTFAPDVPVRQIVADIARPAMM IWNTCEEERWVHNGREQFMIDLLSDEEKFGEVAIFIRRE AOR_1_238074 MASVVTDFVQEYKSKRWIYARNAATTGRICAEMLQAEFLPGVVT HRTKDPSSLERKLRQRERLRKRLYPSKEDVQDEISDLAGVRIALCFPRDRERVQDALS QRFDIELKKSYGEVNTNSIARDTSSNIHQRPGYCATHCWVYLRESEPQARDGSRRRRV EIQIVSMLRHAWAQFEHDAVYKAQSKMNLEDRQLLHSLSSAIHRGEWLLNCMSENEAV RHTSTDLLFEMVYEVGCLVADVAKQESRARGTTKPLEEFLRNLKMARPKRSSGLISKA RL AOR_1_240074 MDKLVSKLSGGSHSKDKEGGSSNDKDYVDKGLDSIEKKFGGGRI NPDDPKVRQTNEKFTDGARSKFESMTGKHIPEKFSN AOR_1_242074 MGLIPRMKLYLNPWGNYEDFQHHAPAQRRNFIISQLESARRREW LWVVVVAGVGFFADAYCIFSVNMVVPMISEVYWGSLDAKPDRVHNYEVGLSIITLAGA LVGQVLFGIAADIWGRRKMYGLELVVLIFSTLGMSMASSGKFDSMSIIGVLLFWRFFM GLGVGADYPLSAIICSELAPTRIRGRMLAAVFLCQSLGEAAAAVVALIAVAGFRHSLP NDPEIRECTGSCVQNLDSIWRLIVGLGAVPAFIAIWFRLTIIESPRYTTDVLQNSLQA AADVSQFYQSAEISSASSLGHESLHPVGETISLSPTQTREYGFMPSVISRQPSSVELE NASSPPLHFWRDLRSFLKQKRNLRTLVATSLCWFCLDLPFYGLGLMNVDIINTIWYGN HIPSAGVYESLLRVSYQSIVVVSSGAIVGSCIAVLTIDRIGRRNLQLLGFCLLFILNV IIGASFRYLSTHGDSSALVVLYVLTQIFFNFGPNTTTYIVPAELFPTRFRATCHGISA ASGKLGSILAQCFLGYVDFGNGATWRNVPDWLGYALLCLSFFMLMGLIATLWIPETRD KEGNNKSLERITEDMQAKDVPSVDEEDNGSIHTQATTSLPGPDWRSD AOR_1_244074 MAQVGIGDIITGVKFVWTIYESVWDGPSSAAGDFNSFCQEFRSI KLLLERIQRVKESKSTDVPDLGAFYNETIQECAKLVNKHKLLAQDKPSTGNSRRGSIG KKVSVWFEKVTWPLERSEAERLRHKLERCLKVATLKSTEETRDATLGLIRTAENNQLE NLEMLKSIKTMTAQISLLLRRCIIDGPIDTEAYDTHYPSQRGHRLRPAGLKPENILSG IPEDGEMASVDSQGYQKVLDRIREISERLGNLTRRLDTHSNHGQTVDPPRSISRKYML NPDGTGAETPTVDAMVRFLHQVSDDVRDALETVGYGHELVPKYDASHRHQALVKTGQS INDTAEEWEQFRHWLDFQLVHAFNTNSIDMKPLHPSQPLLLDLTPPPECLSPSISITR TRSVESDLSIGSPQSTISIPIPDRKVPLTNHPVQVEFPDPNIPNRALFRTLICTAVAC LNIQTHEPEAIEAVDIYGGVKVTQAVIRGSTTVKSSMLPYIPSSRVPPSSSASCSIWF QGSHKVKIEEQQSVIRYSISPIYKCRDRSDFENFQRVLLRRKVVACFDVRKISVGSDY YSNSEETVRVLEDPITKAHSLLFFASFPGTSRRARFVDMPVNELSIPNAKSKHIKLPI QAGSRRSSVDSVASAFSQESRQSTLRSLRSNPRSKYLELEFYQEKDCAAFARKLKHID GS AOR_1_1108074 MVDQMTRTLLQVRSSKAFLVFAVCFAISTDMMLYGLIVPFAPTA LHEKAGLPLDDVPRWTSILLTLYGAANTVVSPICGYITDRVQSRQRPFLIGLLKLATA TALLCVGKHLSLWIIGRLLQGASAAVVSTVGIAILVDNFDGEAALGQTLGYVAMATIV GTTAGPLLGGVLYEHGGYYAPFGLAFGLLVLDFIFRLMMVDSRVIVEHSNSGHPTTSD EESWTDKSILDKKQTSVESRVPEPLHDNSRSIGSTLILLRSPRMLTALLVYLIISTSM TSFDSVLPIFVHNTFAWAQTAQGLIFICLMVPQVMSPLYGYTIDRWPRLRRYQAALAL LGAVPILVCFRYVTQNTLEHKVLLCALLALIGTCFAILEPPIMVEISSIVEQIQSKHP GIFGKGGATAFAYGLSNCAFAAGAMVGPFLGGWVRDTYGWATMGWVLALPLGASSLLV LGFFR AOR_1_1110074 MRICVLQSSYQGSESMLKGLDTDLPNPGAFTSQHTFENRFIRKD TAKEEIDSCVKEGFDFYLNFLWGTLDDDVAGIQASQYFESLGVPSAGIRSWERSRTKN DFYKEARKRGAPRVPGTDRFPLFVKPANGCASQMIDERSVCHDEGELREALRRINVQL YESRLNRGRSLGVPDFKAYADGFDPVTRSEDIVVQEYIPGKEYVVSVIELGDSAVALN PCIVKTKDLSANEKFLTFDLKFDSETRFELIEKKDNPALYDRLQTTALEAFKTGMFHG SHMGCDVDLRVRPDGEVFAIEVNPQPAAFLVNCNFQDLPIISSFPGGHQAVINVFIAN YMLQNNHSISSKVAATYDGLASDYDNLQSGTRMPQIVRGIVEKFDFQGNIFDLACGTG IFGRLLSECKPGSHRRAHTGSLVGFDISSMMVETCRSTGIYDAVYIDRMQTCLLNNLD YGDVDHIVCLSAIHFLSPEEFTFVLVLCFLMARKSITIGVDEIPDGYNESLQALGHHH MYSTNHVANMELFGEPRGWRLATRHREFAWKSFTTQHEVWTTYYRFEKVGNDDCGTKL LEAKRKV AOR_1_1112074 MGPEDTTCLKNWNQIRSEPGEDCIHDVIEIHATALPDEPANSSW DGDLTYEQLSSLSSKLAELLVEQSFGPEVVVPIYTERSRWTAVAMLAVLKAGGAFLLL DPAHSNSRIEAIYRTVEARTVIVSPPLVNTHSPDFSPMHVLSVEDAEHHAPLATGSSR RTPKGVVIEHSLFATNALTRSRSQVLPAKARVLQRASPAFDASIAEILFTLVAGGCVC VPREVDRHTNIVEYVRHFAVNVLYLTPSVARGLKLDQLPYLKALILVGEPMNEVDIAT WAGHVDLVNAYGLSECSIETSFLQPVPISTDHRNIGIAPCASCWTVDPENHNTLLPIV AVCELLVEGPIVGRGLRQIRGGQPHGIYKTDDLVQYAPQLNGFLLYHGRTDTQVKLRG QRIQLGEVEHCIYRCAGNTLKTAIVELVTVDDKPSALVAFLLTAEDGTTGVPNSGDIL RSSTPQFRPLVQDVRRSLENQVSSYMTPARFLPLSYVPKSLTGKTDRKYLRETAGRLP LTPTDEVTGIIVQRAPVSEPERILQEVVGDVLQLNMNAVGLDSNLFHLGGNSLAAIKL ISRLRRLGWHNLRRTDFHQHPVLSHLALKLGESAQLQTPPEGESASSTRVSWEPLESF RAMREFLGHDR AOR_1_1118074 MGPIAKAILTIVGVTRSVPDVSEQGIEPFEFIVVTTKDIPDVPP TVADIIAPAVTPGKTAIVLAQNGLKIEIPLAPRFRTNPLISSVVFTGASILPNGTILH SDTDMQRIGPFWRPLVPAHVAEEAAIRYMSLYNPNGNLDIILDADVPAMQWRKLAYNS SFNAVATVLQMDTSRIRMCQNVVEELVIPIILEIRAAAAAKGITLQEDLVDAVMTQDG AESFFKPSMLQDYEKGNLMEIENLVGEPLREGEVLGVSMPTLRLIYNLVKGLQVKIMD EKGLWEARYQPDNAYK AOR_1_1120074 MGCFGLRKLVRKKSSLENNNHRVYTVVHRWEAVNPPVHEKEPTK EKALNVVDDAPSEEILARDESLWNRAYQQLDQGLVNRYEDLLAKEREQINDPSKDENN EKHETNRQEDLDIILEDDPSGNEAIRQEDLDITIEDDPSKNEINDKHETNREEDFDFI VENNLEDAPQERTSGIRHEENLRETASSIEDLEKAIQQDAATISNEISGQHLNQVEES IRHNIESTQKLPPLGQRHLEINIEALNIQRQLYEKGLSDDECKCRQLFWLASNDVGSS QESYKSGVPDRLVGTGGWLLEQPHLHQWLENDKGLLVVSADSGCGKSVLAKHLIDNVL PESCPSATICYFFFKDQVQNTQKQALCALLHQLFTSKPALIKLAMKSFSENGDNLVDM LPALWDILARVLKDPGMGRTVFVLDALDECKESELTDLADKIKSIQKDAEISTRFLLT SRAYGNIMWEFRELNDESPHIHIPGESQSDKFTQEVDIVIKHRVGLLARKKHLNATIQ SHLEERLMQMDHRTYLWVQLVFDYLEKHSFRKVKDGIDDISNLSDQLPTSVNDAYVKA LSKSEDIHMARKAFGVVLAATRPLTLTEMNIALHLDLERDELQLESDKDFQVSLRKLC GSLLTVNEKKVVFVHQTAREFLVQENGFSLGSQRSPIDMKEAHRVLAVSCITYINKFM PRVVQTHPADSTSLDLNRAFSNYSAKNWLHHLEAAGLRDGEGLEPYLARICDPKSDAY ASWSALTYLVENLPVNKHDERNALWMAASFSMTSVVRRLFQHEESKPWRVIGLAVRFK RSFVL AOR_1_254074 MAEVRERSLSPERIAYLAQSRIPEIIFCNTALLAIATAGLLVRL FVRIRYLTGINLDDLLCLTSWIFTFVLCVTCIMMTKYGFGKHIGTVQDFGDRAMFLKL DFVTMLSYVLALGAIKISFCLLYLHIFPGKKFRMACWWLLAILVAETIEEVLVVIFQC WPVHKAWDATGLVEGKCVDMTLFYYANFGIKLATDVALFAMPIPKVLRLKMEVGKRVG LVMMFSLGLLVCVTSIIRVTYFNPFSVDHTWVLVDAMNWSCVEVAVAIFIACIPSFKT FISYRSPTLQRLLGFASKDDFTSPSKMYGSSTRRTYNGFSTVGQSSIKLKPVTGHSRA DVEASHNGSQERIIHAGIQVTTDVSVKETV AOR_1_256074 MVAINYSVLFTIQTVLFGGPGANVETDTGISTLANPRTYADLKC TVNDKPVTIGTDAVNYVHHHFPHPGNDDDPRRYPHQFFNKDEITWGNERCNKPEGGEQ PVLLEFPILLDKSQKKAIQELYNYKQLKPRPEPGPCRIVTRKKGDDLCGVMCHIEYGK KNAKPGFKRCT AOR_1_258074 MLIFVALCCFACTVSAVKFYGDTPSVAIYTTAMNRLAEPVEDNL SIGSVFVTSDLASKNGSDYLADNGIFDTTLVDFVTQRKGLYAAPVSSTGLDVRAPKDQ LNCENNPVVDKISPANQKHICNAVKSLVGGGVAAVSALIDNTVCSERSTGQPVKCHTI VAFIGTAGVTMTTSEVGDYCSEYLSANDKKCGSQGVTGDTGNKRAYVAVVNTQADDTS CSGLRGKCTEIPV AOR_1_260074 MAAPVAFLLPNLWGAGQNAEKEVFDLLLSKMQSNEGQSHRPTNG KAANVQAASSNPVVTESTTIKQAGITSHVGHDPVSNPLALLVAASGAIQSESVSNTPI STVESTDEGPTPYLTSIGNLIERSTYVSLGLQLSSESLQSGLDALFAAPTSNPKCTDY FRPPDDGPPRDVSPDLDPVELGLISMEEAYHLFPIYFIRLHPVNGILDPKLHTVEYVR SQSALLFTWMLALTAQFDHGSAAIAKRLRLHGEKLSRHVHASGLKSVEIVQGYYISLL SATPARTLSEERSWLYTMYAFGVAAELGLDQTSPTYTYNNDGNIIPKRTPGPPTRHDI EDQTRRERLTRNRERTWLRILLWERANSGASGRMNAIPETDLTRNIERWWMHPLADST DKHTVAFILLRRHLAVLHAEVQRQTLMPHSNPHWVRDRIDVTLEPWRKTWIYAIAPSS EPLPDVHLHHVYLHNRLWTLSFALQASISNNRDLNAIRDDCFQAAVHCCEVAVRDLQQ IGEPLYCMLAPTWAMISYAAILALKLFPFVHQPRSEGEIELLALLAQVALQLERAGTT PSHRFGIAAILGQQLLMILRTRAAGLASITTIADSDHDDNNSGVRNTSCPLSREHLSN ISSATGMTQDTDVLGPLVSPYDPCLATATMGMDSDLMGDEFADLFREIFGPSFGEVF AOR_1_262074 MQTISVDVLVCGGGMSGMACAAFAAESGAKVLVVEKQAVVGGSS NYSAGMFWAPKNYNSLRSWVPDGDPELQAAWMKDYLPAVQWMRENGIPTAKRFDGIMT IGIGFPIKIPQLHLHHQQRIRDSTGSQIFTNTAVVKLLQKQPGVPGSPIVGAIIRRGP VDGAGAVYYEVKAQHVVLATGGFQGNAGLTSMHLGQGGDNIFVRSNRGSVGDGLTLAT AVGAGTSRGMNTYYGHLLAAPLRSEDVDPKNFLSLAQYQSKYCLLINEHGRRFADETT GDEIVNQYLAKQEKRRGFLIFNDRTRRQHCISAPFPNAGEIDRLEKAREHGCNVASAP SLDGLAEILNQWGVDGSQALRTIEQYDRFIRLGDKTSTLDAPVGKAGKPPVSLVEGEG PFFVMEVQPSITFTYGGVLINAEGRALTPDKTPIPGLLIAGVDGGGFSNLGYAGGLAL AFVTGLWAARTIATKLKLPVPQLPAADLRDAGPHEDPVIASRL AOR_1_264074 MPSSQNTSCDEATSLLQETPGSPDQVYRGKKAPSDSHWITTVIL LCGGAVLFDLSNNLGSVAEVAILEDIVCRDYYATTTANPILPSLERCKIDPVQTEIAL LNGWRETFETIPAILLALPYGMLADKIGYRPVALLAFLGNAMSSNWSRVVFWLHPTLP TRALWLSAMWQILGGGPQVATSLSFSAVATITPANKRTNVFSQMTAVILTTELIAPPI GAALMTINAWIPFLASSVIAAISVIWAVFFFPAIRNRTEPASTTAHEEQPARTWYALE RIRQFYNQLVQNRNAALVVASFFVTLLGTHAFGVLLQYVSKRFHISYAEASYVLPLRA GTNLVSLFILLPAGTHFLDRHQGLEVISRDKIITQVNALLMLLGCLLIFLAPNLTLLS IGVVIFGLGASFSVTARTLVTSLVDTPSLNTTYALMSVMSSIGSLVSGPLLAGVYHQG MVMGAIWLGLPFLLAAGLYGLVLIAISAVRVPSQTACSTG AOR_1_1122074 MADQACDRADNAFGPKVRGCRDETDFTLFFEQSFFSLLPSICFL VCSLYRYLKLVKKERVLKSSLDGLMFAKLTAIATLGCLQVALIVLYALPGGELTRVSI ASGVLGFLATLTLAVMSYQEHHRSIRPSILLGVYLTISTLLDGSQARTLWLRGNHRPI AIVFFAMVVLKLITLVIESIQKRHLLRGPYSHYPSDALGSMYNRGVFWWLNSLLLQGS SHLFQQGDLPPLDPKLASETVGYQMESAWQGCSKTSRYSLAWVALRVACRPLLCVICP RICLIGFNIAQPLLIYRVISFLDDPTNDQTRFIGRALIGAALLVYSGIALSTASYQHQ IYRYITLIRGGLLSLIYNQTLRLSAGTLTDHSAATLMSEDFDRIAVGFEHSDVVWASP IEVALAIYILYREIGLACFAPVIIVVGCAGSAYSLSKRAKVAQQHWMNALQDRISSTS FFLSNIKGIRISGLSEPSSTKIDNLRIHELNKSKRFRQNATTRMTIGVLPETIGPAAA FIVYVLIARRSGQGILDPAKAFSALSLVSLLSKPILNFLYAFPILVASLSSYDRIQNY LLMNQGRLNSALASLEQSKPRERDLYPVKVPVLDTVQLQTLDQPDRRKPSNSLIKINN ASFSFKTDDKPTFQGVSINIKRDSAYILIGPVGSGKSAFLLALLGELKLTEGTMLKAP ECGIAYCSQEPWLPNLSIRSIIQGPSDFDEIWYAEVINVCCLETDIASLPQQDMTVIG IKGMRLSGGQRRRISLARAVYSRKQLLLLDDITSGLDTVTENLVIQRLLGQKGICRKY GLTVVLATHKVHFKHMVDTIIEVNPDSSTVNVQRSYQIPETPEIYEDDPMIQLDVCPG NPQPDIPEEQATRVSEAPSDVSRRIGDASLYLLYAKEMGLASVIMVISTSIGFCFFSR FPNIWLKWWSEAESREPSKRNVRYIVGYAAFGVSATVCFLLLYWIFLVESIPRTSIRL HRRLLKAVTAAPLSSLILVDTGFILNRFSQDMSLLDMRLPGAMIQTLDGLLDAIAEGV LIAQSSPWTALTFFPLLAILYAIQKFYLRTSRQIRHLDLEAKSPLFTSIIETCDGITT IRAFAWQETFRQLNMSLIDESQKPFYLMYSIQCWLTLVLNLLVMGILVVLVALAVELR NTSGGALGVALNNVSAISATLAYVIQAWTSLETSIGALARLKSFQSETPSEHLPNECH DPGSTWPSTGMIQFIDVTTQYRADLKPVLHDINIKIPSGAKVGICGRSGSGKSSLIMS LLRLNEITKGNIFIDDVDITQIPRETIRRQLAVLPQDPLILSGSIRLNLDPLQKHTDE AITSALSRVGMLKSLLSKGFDLDSLVRKDTFSSGQQQLISIARTLLNPSPILLLDEAT SMMDMQTEATIMNLVREQFGNRTVVAVAHRLHTIVDFDLVFVMYQGTIVESGTPAELL QNREGWFTNLWMKQVQDGAQHVDEPA AOR_1_268074 MYSQLIRDEAINIIRRGPVPQHIAFIMDGNRRYAKGHGIPTAKG HYLGAEAMSNVVEACFLSGVKGVTVYAFSLENFKRPKEEIVQLMNLFKFQIYELCKPG GLAERFQVSIRVLGQLDLLDEDLKALLRQIMVDTRNRTGGFINCCVAYTSRDEIVTAM SRAVRSSQLKHSSADITDEVLTRYMYTADDPAVDVLVRTSGVSRLSDFLLWQCHEDTD IQIVDAMWPEFGIYHLFLVIVRWQRKQMNIGQANIQTAVGGVLIFLVSLVAFMVMCTC ISRGTSPWTFKLPLSWTLSLA AOR_1_270074 MDEEIWNFDCTGTVIQFDGKEYKIKEQLTEVLDNRMGQRHVLAL AENTETAEPHMVKIRYELNPKYFDFDNPEEEREIAIDHFSCEVDAAERLGNVGYGPKY VAHWGQYQGLRWPFDGGAVFFLVMGTVPGEDVDEIRDELSDRQLASIRSQLARIIEFM RKKGYKLEEQHPSFLRYDKAADKLYLVDLTFIGFTDPNSKTSIPVEEDNIYVEAINIW RYPYGESPQSHTLAEPFDVSEGNICHGSTNSL AOR_1_1126074 MADVVDNTAAPAPQVAGGGGKPPACRTCGETDHETEEHFEWTLM NAINALTGARQNRLQAKRAASSPASASNNQVAPAAQEGRQGRKTKRGRRPSLRERRRR RDAQQQQQGAEQSLVLAQRAHRQPHPPREVEQPMALALQALQQPHPHAQHQGCEGPQV LPQRADEPLLSPPVEDEPSDPEDLLVCSRTVRTATFKGNASLRKSQGQRVPVSLDRVS SKELAPQRGAGV AOR_1_272074 MWTTTSGLSGRSLRLSITFAAVVGFSLFGYNQGMMAGLLNGDEF VDSFPILKMPDNPTAGEKHYIDVIRGAVTSCYELGCFFGALFSMFLGDKLGRTRLIFM GASILIIGALLTTVCFTGHWEVGQFVIGRVVSGIGNGMNTATIPVWQSECSGAHNRGF LVCFEGAMIAGGTFIAYWVVFGMSHAADSVQWRFPVALQIFFALVVAAGAMMLPDSPS WFVMRGLDKEACEVLGKLKGTSPDSDQVLHDFNFLKQDMESSKNTQSNWKTVFTFGKT QEFQRLLIGCSGQFFQQFTGCNAAIYYSTLLFQENLGMEKYLSLIMGGVFATVYVLAT IPSFFMIEKVGRRNLYLVGFLGQGLSFVITFACLIKETEENSKGAAVGIFLFITFFAF TLLPLPWIYPPEINPLRTRTVGASASTCTNWICNFAVVMFTPLFAGQSPWGVYLFFAL FNFLGLIFGFFFYVETAGRELEEVDIIYAKAHVEGKMAWRVANTMPKLSFEEITQQSR ELGLDTNDHGVHEKTELGLSSDSGQETEEVHEKH AOR_1_274074 MPSSTAQPSGVLLVGSIPFTTTEEVLSKVCSALPGRLRSIPDGE TNVRNNYIGWQLDCFPKETRNSILGVATAEVPPDHRGTFSLESVKPTQFDAAALESYK TFIKLRDKGAIPQGVRFQVSLPSPLNSIKAHVKADFQPQLEPLYEHRILESLATIIEG IPAEDLAIQ AOR_1_276074 MSDVSNDGLAQKWRPREVRAPSEHTEPYPRPDHILDDDFEGMKK PHTVNKATKRIYELVYNQEREGKFVLILGGDHLFGISTVSGVAKAMRERHSCREIGEV WIDAHADIDILETSSSEKGMFDWIKERNLINLQKFVYIGLRDVGDPEKDIIARHGVEA FYTDDVREHGIQKVMDSALEYVGDETPLHVSFDIDSLNPEWAPSTVFPVAPRLTRDEG VYIAQRLSDAGILNC AOR_1_278074 MPSASWEDLAADKRARLEKSIPDEWKFKSVPIEGSVIDLPEKSG ILSPSEIKITNSSATELVAQLANGTLKSVDVTLAFCKRAALAHQLVNCAHDFFPELAL AQARELDRYFETHKKPVGPLHGLPISLKDQLRVKGTETCMAYISWLGKRDTSDSILTA LLRKAGAVFLVKTSVPQTLMVCETVNNIIGRTSNPRNLNLSCGGSSGGEGAMIAMRGG AIGIGTDIGGSIRVPAAFNSLYGIRPSHGRLPYGGMTNSMEGQETIHSVVGPIAHSAQ DVRLFLQSVLKEEPWKYDSKVIPLPWREAEENAAQAKIAEKSLNFAFYDFDGVVRPHP PITRGVEIVRSTLEKDGHTVAPWTPYKHAFAVDLANKIYAADGSTDVYKHINASGEPA IPNIKDLMNPNLPKADLNEVWDAQLQKWRYQCEYLDKWREWEERTGKELDAIIAPVAA TAAVRHNQFRYYGYATVFNVLDYTSVVVPVTYADKAVDHRLADYQPVSDMDKAVYAEY DPEVYHGAPVAVQIIGRRLSEERTLAIAEYVGKLLGH AOR_1_280074 MSKALRLAMSLSRSYPWVANPFIVSAPMRVMSGPALAVAVSRAG GLGFLGPAVKTQDMLVDLEKVSTLVEEARKSSSAFSSTTNVLPVGVGFQLWSDDLATA VSGIQKFKPCAAWLFAPKGGQEDYDHWSRSIRDASSQTQIWIQIVVDALAGSQIPIFA AGGIADGRGAAAALCLGADGVVMGTRFLASEEARISRGYQGEIVRATDGAASTTRTLL YNHLRGTMGWPEPYMPRTIINKSFIEHQAGRSFDELKVEHDQALKAGDSGWGPEGRLA TYAGASIGLIHNVKDAATIVHDVREQVLERLGPEGRSKI AOR_1_282074 MQNSVYETAASAVQARNRIRSHIYQTPLIPSRVQGKSNNARVLF KAENFQLTGSFKIRGAMSKMSGQPANGRLITASSGNHGIGAACAAQALSKDLTVVLPD SVVPAKLEKIKSYGVNVILHGAETGLAEQYAQRLAASQSYTYISPYNDPDIVAGQGTI GLEILEQCEEVDNIFVAMGGGGLISGIGAVAKAFSPRTKVYGVSAINSKALAESMAAG HVVETEHRDTLADAVAGGIDTDTITLPLAMSVVDHVVECDEDEIKAAMKTMAFDENMN VEGSAALALAGFSKVAGQLANQTSVIVLCGANFDQNVFRNAVLDI AOR_1_284074 MVHLSRALAALSFSASALALPALSSRAQAASEHCGDYDYVILQD TPWIVYNMLYNANQIVGTQCTNYDQVTTSNSGTKEVIWSSVTDIEYVESTNNVPKGYS FVGLTQNLETKLSAIDSIPSTYSWTRTNTTAYKGNVCFDFMTNDVKGDSTSSSSRELM LWLQYEGGQLPIGWTNGAVATIDDLFGTSWKLYEDVNEDTGITVSTLMPETQFEGSFT GDLKDWLLALSKLGRFTESTYVNVGNAGTEFFYGNSVMNATLGLQINLA AOR_1_1128074 MWFRYKAANAADRLPLGKYPSQLETPIVVHDLDDSTQKQDHICQ WGIGWKTTLILCGSFSLALALAVTHALVFHYLDGKLENDPNIPSQTHVTAASTIVANI IGFCIRICLAAAFTQYFWHLVRASPMRLETLEFLYTMRGSPTSFFSMTVLQKGWLLAI ITMVLWAVPIAMSFPSSSMTVRSTTMTHEVPQTQVPGMDLSETWGGNTTIMKDDELAL FVSNNWREENDTDYVEVLRAEPLTLAGMGIQIKPVMYQLATQTIVNGEPRTMSSPCGL NCSYKISFVGPYLSCNNTDFDKVSPPLNRSAVVLYALESNWTVTPDADSSFASTLKSF EMKNAEVYNWVRNDSTNTVQLEYTSHVLTCSPRRAKYHVVQRFHNGEQSSTVTVGDVH DLVPMDEKLYFSKNNMTQAVVDAIRDRNIMALIMAMTKGISGNVGALVSSAETVEPIS QGAAFSTQLVRDNLLVQSTRLFNGFSDTWEGSLTTSYTLFTVSEEILNSMLANVTLSA INHFQLWPTLVNVTQQDVRTQYVWSRPLNLLLPYFLSLGVALPLAILGYWSLRQNGVP ATDNGFLQVAMTTRGNYKLDQLAMGGCLGGNHNESAELKNLEVQFGELIQPNRSRLDI EPNHLGSPTADVRLAGFAPKDEVAPLLVGKRYGKLCEN AOR_1_1130074 MISLLAPRGLRPAWIGITAIAAAAILTLFFLYSPRLQTTTSRVV VPDPANHIVTDPSYVDNNTTTKNVSPVVSDASLTNRLAQYFIDYPLHPPYKEHFGELG QRSQVLRDWLTLADHSPESTGKNLLLNATERVAVSLYPFLEKPKGQNATDKPVSDLRA SFEPGSAGVVIPTSNNTLRFAAHLVGTMRSVLNSTLPIQIVYAGDEDLSPDDRTRLSR IVESGPPLEFLDILTVFDDSTLQLQTGGWAIKAFAALGSHFERVILADADAVFFQPPE VLLDHEAFVRTGALLFHDRLLWKNVFPERNEWYRSQIRQPSAALDKSLVWTEDYAEEG DSGLVVLDKSRTDILVALFHICWQNSYDVREEVTYKITYGDKETWWLGLELTGATYEF SGHYGGIVGWEQVDSRGRHKVCSFVIAHVDAKDRLLWYNGSLLKNKGKSSMTNEYEVP TNWMIDAEWEKGARKEDMSCMKGGEARNLTQYEFDILNRSIELAKNLDATIYTVPDGT AOR_1_290074 MQRILFVLAIILGVAMAAPAPLSHHSGFVDEVPNAAYDALREAS SERIQPTSQ AOR_1_292074 MRSAYAAVPLALALRAAATTKDSWAFGNGFYSGPATNAHITKAT WSLVPPTVPQGVEVQDSSDQVWVSLWIGLQHTNGDDSSYLYQPLLNWSPDQESQGCPA TAEEWCVAASTYTPNGQNGQAYVTIPTGAQVDFEVTVENSKVYQTVTMDGKVISKETD DLDAELLYLYSGDECYTGSGNCGTLEAYSWNNITIHLSAEDEKFGDTLFLYKGSNSSG FTTSDGGKTWHAESINIEQDSWTGA AOR_1_294074 MLYEEEQAENDIWWLAYKLTIVLRSHTLHKNTEQLFRWQFAEAR RVLHPKHPRALYIAGDIAWIVLLQGRIQESRKWYEWVLTSRQRVQGEQHYATLGAVMG IATILDKDGSHDEALQLRIMAYEGRAASLGIENSLTLNSAYAIGQQLSNEGKYEDAVK WFQLVFYARNTTLGSDHADTLRSACHISHSLVLLDRHSEALEWDQIAWKERNKTLGPD HEDTLQSAHDIGYILSLLDRYSEALAWSQVAWKERHRTLGPDHEDTLQSAQNVGQSLF LMDRHSEALEWDQISWKGRNKTLGPDHEDTLQSAHDIGYVLFVMGRYSEALEWSQLAW RGRNKTLGPDHQDTLQSALNIGEILLPLHRYGEALEWHQIAWVGRNKTLGPDHEDTLA SSHNIGESYKALGQYDEAMKWFNLALQGSQRVLGMDHEETRVTMRSIEDLEQKRGRNK AOR_1_1134074 MWGRLYTFVLLLPFLGLLTYNELIKNIPSPVNPDQAHKAGLGIV PARNYSSLVHKRGTDIVFVHGLGSNPDTTWRARTSTQTTGASTEYPSWISDFLPDDLS LPDHQDVRIFFFNFDSYWKRDAVQTRLANIGNDLLEHITNTMRRSEAEQQRDLIFVGY SYGGLVVKHALVQANGNQNFASISTYTTAILFLGTPHRGSSFSKWGRIAARALWLIGS SPSMLAEVEYDSTFLLDLHTSFEAAVGDRVQVFNIFEQRPTLILSVWFLRWREFCVRE QSAKYGGVKVRNIGLPVDHSGLNKFGSRDSSYQTILAVLINTMSAKSPKRPRQALNKQ AELSIPLQLPMEDCQDMQQCIVALYGPGGIGKTQTAAEYAYHYQRCYTSVFWIDGASE HTIRQSFSVAAGQILKSWRRLNHNETAYQIFAKDFGVEDSKTSSTPTADQAVKGVIDW LSQLENNDWLLIFDNIDDLDSFDIRSYMPSSLHGNILITSRRADVSGYWRSVEVEKMS DKEAKSLLAKSSGFSGDMNEEVSLELLQLLGHFPLAIEQAGAYISVQHKFLPHESGLY SQALQRYIHEYHLNAERLLKHKRPHACHWNGNASSQKKPFV AOR_1_298074 MNVSTASQVPFLPSHALDKQQLRPIFECKNPNISDQPIDPKAIS YLPGEPSIGLEETTVNDYLSKELKTYLLDELYDHLWLVGRRSGRSIDALHAQRLKGRS VVPTEDPSLHLIWHRNQIYIKPIPVCLFNYTFWDIYLGPTKDNNSPTSSTFDPSIAMG FLRSYAFLTPHRLDFILAKESHLIPDDIDWIAWSKFIHKFRELGDEQVAQRYHYGQLR LSRLNWAVRIVRPQHANTLWFYHLPHWSITSYLSQATVPLLFIFASVSVVLSAMQVAL SVPSDKGFLQRLEDAGLPQMELTFWAFSISILLLSALIWVLLLGVPLGALAWQLSWGF RKREREKGDRLLSA AOR_1_1136074 MGESEFRCALCGTSFNIARIRTINEPFSAAWSNEDPQHFVSALD EDDDKKYGDCSTAETGCVWAIRKCEDIRTGTDEQDAPEYRYLFFDMVDGQLPTVGQAV PMGEPLEEKAGRFGVRRVHLEHIAGPGCCSTLGYSGADISLEEMRGCQTGQGLVHNDS GDEEPSPDDLECEINSDYFLSGLVDCMPFPEVGGAGVSPARHQYDWIEPADPFDDWFE PYMAVPFHPWCFGVYMKLCKLRLGHVEINKLVDYFDNIESYPLQYREEPDPAVQKAAD ENWVHISGDEWLAANPFYVPKLREILGRAMDTGPSFSPQDGAFEPLISMDKNTSDPFA RLPQEILDMIIDNLSTKDIASLRLVSRKFYQLHVSLWYRLIQEDMPWLWEVWSDEKPY FWATVTEGDIQQNKGETRIEFGEEKIMTHTINVDEHLAKWAMPIPAPRRTNWFLLYTD VKRHWSKLRGLWNRRRIWNYQQGLISSLKMHILSSDDHTA AOR_1_1138074 MDEYCSLYKEIQSLTALTSLIFQRPPDGMDYGEFGKEKSPPKEP EQGTDEELDKLPDETDFEDDVTTGVSTTTLILLKQRSLDRLAEVLARFKTQKTGRHGR GKKKDAHLDAKHVTSVAMVENSTLQRVTFLCSKNEGLKGEDEVFLERLCELLTSILKN GQRQTCQSEVFDLIFEHNTPRVEYYSGVIQDAFKRASGLKVPDTLTEDTIKDMVDQKL EARLWEGLIINIDGRQREVSQELPDCLSDKDLDKAVIETCDRIRSLFKVSNSHSTRND ELRDFLESFYAIIRNPRQRPALKNLLKQALHGSEKLFTKAWDALLFLARTFHAAVTLV ELASKLKLKLFNSFRFVPVSACMFKTKTYAPLGKDLPLKVLGALPCQPEGNGWVKLLQ DQRTINEYTNILRLPRSIHAEVQLMGYLETSLSKNNDQVFPYIGCSKKCCFFCEVFRA LHAPLMAKLAVFLRAMLRRVLTLSTAQAEQQGESIYSERPSMFRNFLVPGAFSASDRQ VQFSPMPGEPGFANFLAPSMPHVSNRLPIEQAEMYKINHDRKQYCVDIAVDQQHPDAQ HVGHGTAHTPVNDEIGRGMFSLAAHRNAPTTSTFSD AOR_1_302074 MSYHRILPKPDVWDNESPALDRSQTSSVLALYQSKDGGILKAST AEGQADFTSEAFSQYRQNFLPAATAANPGLLPPAITRIVEEQWRRLSPAEKDQWLPLG EADWFKSPNNKDRHRCSGDEYPSREQDDSETRSGKSANRYNIPVPNLRIRPVGNDEAY PPTSLPSFTSLAWGTNDSFPQSHDLSRLKDFCDGGPAEAEHYWEAPFSHQPQYDGDTR EPNRVDTHHIEARPSSTTERRNDQRLASLTHASSGEHGSQTMFGIAPPSWMLQKPGAY SQPGKPQSQGLTATGPTSTPEITAKLLSVFNKISTLAYGSPPINPAFQRSGSRGPVIS VDGQDPSTIKTIIDYLHSTLPMEGFRTRVFKGPDARPRHMSRRKNGPIVEYLNNISAW HHISAQIVDYIQHPYRGLRAKSKRVDDLGNGSVLSSREAGYPLPVALIARYQLTTVDA FACEISIDDPYSQMTHQQWMESLWRGCVGPDIVVYIQDHEIPEMGQSQDLVYSARLHD TLGIVIPRARGSSKERVLRHVVWAIDSIVMSTRCQ AOR_1_304074 MESAPRKPRKLNGPQGVQKSIASHNRLTRTIACQSCHIRKARCV YAAQHRRCTNCFQENQPCVPRGHVVRAIEEEPEDPISEASHNTFNLPLPRGIDHEVPR WAAVYSMVQEVLHFFPPEDNISDHHGDIEAPERDEVHPDMRAPKRIAPQPLSTLGDTK DPASTIENAPEPSLYKISAGGPWNDSARNKGFGLMASENSNGGLDLDDIDALLSF AOR_1_1140074 MFLVPTAEQCSSPEKGNSCRRACSPSCRSKSKQASSAWSGEKPD KPACSSPCGSKPEVPSNTFPGAKPVRPEPGTRSTGCCGKPVTSPCTSTEETPTDFIEV IPGTATPELEKGFTGQEHVVLSISGMTCTGCETKLKRTLGTLQSVQSLKTSLLLSRAE LDLDVSAQSFDEVLKYLERTTEFKYERATDRGFRLDVIVPNASEFMKQAWPRGVTEMT LVDDGIIGVSFDARIIGARDLVEHGWGSPLSLASPRPDPTLQAGVKHGIAYGSASLAL ATIVQTVIAGPFYPKALKALIFSRIIEMDLLIVLSTSAAYVFSVVSFGYVIAGQRLST GEFFETSTLLVTLIMVGRYFAALARQKAVESISLRSLQAPTAILTDDSAANEKEIDVR LLQYGDIFKVAPDSQIPTDGTVIGGSSEVDESMITGESIPVDKSLGSAVVAGSVNGSG ILIVKLTRLPVDNTISIIAGMVDQAKLSKAKVQDIADRVASYFVPVIVALTIITFVIW IAVGIAVRKQSGSRATTEAITYAITVLIVSCPCAIGLAVPMVIVIASGVAAERGIIFK GADSIELACKASHVVFDKTGTLTQGKLTVAIEHIDNASNTMSLLLGLVGSNKHPISAA VTAHLKAKGISPSTVPDTKLLTGKGVEGFAPGLILRAGNSRWLNLSSDPLVRSILEKG YTAFCFTINDNLAAVFGLEDSLRPDAREIVTKLQERGIFVHLLSGDDDGAVRSVARQL GIPAQNIRSRCTPGDKQAYIQALHNPLDQGSHKTRPTTIFVGDGTNDAVALATSTIGV HMNQETGTDVAKSAADVVLMLPSLLSILTMINISKKAVWRIKFNFGWSFVYNLFAILL GAGAFVDARIPPEFAGLGELVSVLPVVFAAVLLRWARV AOR_1_308074 MKIPAPQQLQQLHVSLDGGHYEPVTTFDPAKATYLQDQEALQEN LLRLCSVNGWHKSSRAACSPRPVLVSSEHQRRWRELHEALVLAITDIVERWLTDPEAR FPERMPLEPEEEDLLRWIDEQVPHNLPQYRDCRGSWRPDFLVEEENSEDGSGPVENFR ISEINARFSFNGFMFATCGQQAIHDMGICDNGNGLVGATDPAKILKGLLRLFQPGLPL HLLKGDEAGVDIHMLVDFLDRYLGITHRFIMPADLRLLHEPQAKGGYKLCCVVKNPDS CDPATLIYHDGDILEEIHQVGLELHQREIRALEPEMLRQISLRCFNDMRTILLVHDKR MLGIVKQELENLVARNVLTLSQAKILDKGIPETILPGSLDLDQAIARCKEMPELKDEY ILKPIRSGKGDGIVFGEDLNSEEWISRLEGLWSAQLIPGGGTCIVQRKVKQLLYDVLL VSNALRKSGILKVSLQFKDDASKYLQNLILGLHKHHGHGLPITHSASRGWFWDIRPNS TTFQTPSHQARSETMQEFPWHTDCSYEEAPPKYFALQVLREDRCGGGTLSVMNVGKLS SMLSPSTCAALLRPQFRIDVPPEFVKNDTSRHIIGSLMAADSSGAPNMLRFREDIMTP LNVEAAAALVELKDRLLGLEVQAETLHLTPDCLPRGSVVLMDNRRWLHARNEVMDPER HLRRVRWDARPFPAMTM AOR_1_310074 MASDALVDSIITERIRKLDQNPVDIQHDLPFLVADTRRIFQQHE RWLQCLPNIAPFYAVKCNSNIRLLRYLARLGVGFDCASWGEMKLVLNLGVDPSRIIFA HPCKAVSALQMASRSGVPRTTFDNVDELEKIKDNAPNLRLLLRIFADDDTALVSLGNK FGAPLDTTKALLLKAKDLGLVVDGVSFHVGSGASNADTFVTAVQNAKHVFQQGKQLGF DMHVLDVGGGFQDSNFEPMALSLQRAIEKEFPPSTQVMAEPGRYYARSFYTAACKVVA RRKQIGQDKLSQSDMLYLNDGIYGCFMNAVAENEIYRPILFKQETTSSTEREAGEHRY SVWGPTCDGLDCIAKEATMGCEVKVGDWVKFENMGAYTTATSTQFNGFPNRYDIIYVD DHSPPRCDREVMASTKRIRSEAVL AOR_1_312074 MAPTTFSLKEVLAVAEIHPFYNPAVEYPPTPETIKSAIELADKR STDIDLSSLPLVSKKDLYKAIARLTDDTSPQNEYRRSSYVSITGGGSGGLPLMFVTDT KENRNQRAVFGEFLCTSGVVEPHDWILTTHTSGYFYRSLDLLSEILENAGATVLSAGN YMTPAEVVHALAHYHVNVITGDGSQVVQVVHHISTLPAEEKAKIKLTKVLYTSEPLTE TQQSHIRATLGPVKICSVWGSAEAGPCALSDPDLTSPERPPGTMDFIFDTRQVVIEIL PHSASEGDSSAGVKSVPDGEEGIIVQTSLVRRRNPLVRYITGDVGSLQPLPEKARAII PESELEHLRVLRLRGRDRRFSFKWFGMYFEFENIVSFMQGDKTGVLQWQVILATLESS PQTKLEIRLLRQADNEHIMTKEELLNKLEKYFFILPENEHLFQVTFLDDLSGFEKSST GNKVMKFVDKVH AOR_1_314074 MPGPWSTKLYLLGYLQIAPALASIQWSPCTSNPSLDCATLTVPL EYADPENGALAYIPLARYNATVPASQRKGSLLTNPGGPGSAGTDFLLNGAGEGMVNIT GGFYDIVSWDPRGTGSARPLLQCFDSAGEEADASAALPAAAEIEYSQFRNQSYMPSYY AALKDYDNTIAELAGACADHDSPALYTSSTAYVVRDIAAIIDALEGTDNATLNYWGFS YGTILGAEFIQTYPERVGKIIFDGVFDSAANAQPYTSQLPYDELYVRDSINDLATSCT QAGIEGCALNKAPGNRTTPRNSTTDIATRLANLQASLYRNPIDVSDGSFSITVGMFSF FMYSFLRLPSSWPAVALAVSALEEGNADPVASLLTDAAGPETNAIAPDTGSFAGWPIQ CTDNAPSNHTKLPEVAQLVLNISLAEKTPWLNADLSTLSFCRNFPDTRPRVPNLGASK LTNGETNAILTKQNTSVLIINALHDPTTPINSAVRLHRWLPTSSQLAVRRGPGHTTIS LGSLGLVNTIREYLLDGTLPATTEVYDVSQEIFSSEIDAGTITPDPVFNGTYSDSEKM LLESTYNIYLAFVSLP AOR_1_1142074 MEQYDVAVVGLGVLGSAAAYQAAQRGKKVIAFEQFEFGHVHGAS HDTSRIIRTSNPLPEYVKLARSAYKDWAELEEATGQKLLTITGGLVFVPREKASPFES LINTLKVTNLPHEILNATEVKKRWPQFDIPDTVDAVYTADTGIAHASKTVAAMQYLAR SKGAILKENTPVDRVVPKKEGGVTIQTPKGEFHAAKVILTTDAWTNKLLAPLGVHIPL SVMQEQVTYFKPTDTAAFQPDRFPVWIWGGDPAFYGFPCYGEPTIKAGRDWSNNLMTP EQRTYVQSPQLFEQLSSFMKSFIPDKERQPLRTVTCQYTITPDRQFIISPLDNNKDII VGLGAAHAFKFAPAFGRALAELAIDGRTKEDVSKFGIPKSACCRSKL AOR_1_1144074 MDPTFDPTKETLNLLVSSGVAKARLPWPDLLLKSFLAGAFLSIG ALFSLIVAGGSPSLRAENPGLATLLSSFAFPTGFVILTIVNTELFTANVFVLILTTFM RRTTWLDLVRNLVLSYIFNLAGCLFVAGFLCWWSDTLSTDTLKGFAVTQAEGRVNVQW SVNFLRGVGCNWLVGLAIFLSISCKDKVSKIYAIWIPIWTFVAVGYQHCIANFFLVPI GMFYGTNFGVGKFIYQSVIPVTLGDIVGGAVLDGVFIWFLYGHRIMKKRPGGEPNAGD HLPS AOR_1_1146074 MISHVFFAWYLLLLSIFDGGVVARRGGGGNYDSGSNSDSSSDGD SDNGSGGGDDSSSPSGCGSGASNALSNTYLVPRHAWNWTSQSGAYSTDSPTIYDGSYF QGEGYLSYNITNGNKCQSTKQLRLLGYAWIGPQPPYPAGSENPFIIGFKAWESTKAVS EIHTSYTQIIWEGDSCASEPDLFGIVTTRGSASRTEASDTMIMNVSTSPAAPGAVDFN ASTVTDLSPRISDSEGLFRLRAQSCASHDTDMRWPATTVMQGSVTNTTLGLEFSGSVD MNSTQYQFYAGRDENLKVNFTVTFSGQFDSVNSTHALNVQQANQSLAWVPNSAVNIVP GSWGYILAGAAGIQILALNIW AOR_1_1148074 MSLGLEIPNITFLIAIAGGGYAWLRYLKRPLSRTPPIVSFTVRV LIRPLLVLISAILQKSNEKPSKNDVSLLRILVETSGATNGRIGFKSRLWIFGLVVVFI FASVHQTVSITMWTMFQLALHPENQDITRREIHDLTRRDFVPIPMSELNMRTLRKASC TDSFIREVFRMKGDAVNLVRMARKGVQLGDYIVPKGSLVLPLVSLFHWSPRYNEGDPK KLDGMRWVEKQKAASTTDPGHLSFGLSIWTCPGRFMAVAEIKLAVFALLADTRLELVG GRHDVADNFNLSKIDHLRDSLLSSVPIDTPSTAAIDHARRDREGTRQAVAWGEFKEVR DMAFSNRTWVVTSRYCDIGDGVDSLEGHIHSLWYMYYELGRNITPESSEHEGLVLDIL RIQGMGPLTRPARGVSGIDIARTVDGTLWNDLPFMCFYVRNTPSQLCKIYDGVGKPFG RQELDQVIGQSQAICGDFPVACFGPELIKAYPEAKVILTYRDVDEWHQSVSRTVHSLT SWVRPTWLKTWQGFFEGDFETHGRRAYCEHYELIKQLVPARQLLIFHVRKGWRPLCDF LCKAVPLPPFPRGNNPQNYHHRFALLMLYGNHGLSYVLACTAGIVFLVYIVLLAVAR AOR_1_326074 MNLPLIWIGLFTAVSYLVIRSIYRLYFHPLSNFPGPKLAAVTHL YEFYYDVVKGGKFIWEMQRMHDQYGPIVRINPREIHIKDPYYFDPIYTSKGQAKDPYI VRTFATPLSTAATVEHDRHRYRRDLVNPFFSKRSVMGVDYIVQDKVDKVCKRLTQVHE RGTVVSLDDLFAALTADVISHYAYGESLGFLDTENLKNEFRDAVASAGLLCHFARFFF VVSMVAETMPALVEWMQPSSKGLWEAKRMIEQMARSSLEKDHEKNANSRKTIFDALCA ESVRPEERTVARVRDEAMVVFGAGTETTARVLATGSYYLYRDKPRLEKLRAEIETVMP DSTDHVSLTQLESLPYLTAVINESLRMAHSVTMRLPRISPTPLAYKDYIIPPGTPVSQ SVYFMHMDPTLFPNPDSFNPERWLEASSKGERLTKFLVPFSKGSRICLGMNLAYSELY QMFATLVRCFDLEIQTPPESVRITRDFIIGLPDDADYLKVHSLVTNAL AOR_1_1152074 MRFNGFVAVPLLADRKQAIDNAVAKAAKIAQSGADLIRSESDYD KLFQTFSKATEQQSKNRVLGALDKVATELPTATLMKLRGVSGLAQPTLLNFPPGRTPH SYSIRDQATSSLHELAHTKGVFDPETYGYDTVYQLSSGSALENAESYAFFAKYKLCTS FAKESFLIWTIAAFLNCQVSN AOR_1_1154074 MYYLKIIFAALTVTTAIAHPGPDQPVPHAEIQRRNELAKKCASQ AANFNQRRISKRALQKRWEGSGHNVTYEITTGAPYYETIQNDTCVLSPEVTRGPYVWP RSQTLRQDMSEDQPGVPLWLDVGVLDMATCEPLPNVLLDFWHCNATGSYSSFTHLSPN IEFRELLTEKNLTDYAIGVTDLHTDDTTFLRGMWPTDENGVMEIKTIFPGFYVQRTIH IHVQAHTDWRVRENGTIISSNTISTGQLYFDEAVSQKIMGLEPYVFHTEIDRITNAQD AIYQNGTKGGFNPVVSIVPADGKDISKGMIGYITMGVDTAAIETFEYDH AOR_1_1156074 MGDKNPPVCLRCEQSGRLCIPAVMQPEILPFSPEQSLFLRPKGP HRYGKSTPAFSGGQFCLNIRLEIASEDETPFAVADYHVGIPQFSSRKLLDSASSLVSV VHPPAEPQLFRAPLDTPSPTARNLPATTIMGTDQKICNMDEASLLRHFRRTIGAWLDV SDNERHFSVHAVEIAPSSSLLLYACLATAACHLSYTNKSVHPSVADKYHEKCISILLP AVKTIDFGIDIDIILASTVILRCLEQLSARSLSQDQQRHILAGSVYINSHPDCGLAGG LAEASFWAFVLQDVQCALATRRPLRLATSSLEKGLLPTWEYRSYPTERDWAHRALWLL AETINYCYGPSTAAHMPPVISDVLKRKICDWEMQRPAIFQPLHFSPADSSSGRPFPAL WFTSTSHAIAVQQICLAKALIHEHESRALHSATTNRNVKIIEIVRNLNIVLGIALSAD DDPPIRIMACHALFACGSWIYDSLARLCVLGLLRRSEAEDGWSWVSLILPLSQNRHLT AG AOR_1_334074 MSSLVIGTAPEGLDLSADRIAQNDGTVAAVMAIATVFVGLRFWA RTTNKSANLAYDDWFVLVALAFAYGTGIIFAYVVLYSTTVPMVKLSVLLLYRRIFRLT WTLYFCGFLSIGYAISVSTTISVACVPSSFFWTQWVYPLSGGYCRINLYQFYLWNGVA NLFTDVIILCLPMPIVWSLQMPKGQKWAISGIFLLGGFVCVATIVRICAITKMKDSVD ITWVIGDAMIWSNVEPCIGIVSACLPTLRPLLRQIPQLRLWGMFGSSGLSRDYKMTGE GTSGISGTPLQSTGNRSGYRASNGKKYQFWPEEDEIYLTTDVGRASTHPREEGVIPSN GSTASGQELNAMQIRVKQNFDWREENP AOR_1_336074 MALLVILGIGFVTYLVFLSIYRLYFHPLSHIPGPKLAAITHGYE FYHNIIRGGLFIWELERLHEVYGPIIRINPREVHIKDPDYYDDIYASSARKREKDPVL VAQFGLEGSGFSAIDQETHHQRRAPVAKFFSKRAIENQEHLIRDSLNKLVGHFQEACR AHTVVSLDAAFAALTSDVIHQYAYGFNPNNLDKEGFNAKVRDGINGLFQLAHLLYFFP ILQTLMNVMPLPLLQVLNPPAFALASQKKELYEQGAAALEKANSKAGSGTLIDTLAAP SMPEHMRSAHRLMNEGFALVIGGTETTARSLALGAWHLFTREDIRNKLREELKQVMPT PDSQPTWNDLEKLPYMSGVISESLRLSTGIANRSSRVAPTEALVYKDYTIPPGTPVSE TNYFTLMDPEIFSDPHAFDPERWIRAAAKGQRLDRYLVNFSKGSRMCVGLNLAYAELF LVIATIVRRFDIELYETPKSNIDFARDFGTPYPDKGNFSVRALVTGVISE AOR_1_338074 MSLHNDAWKGTLDRASLTQYLSRGVDIDGTSTSGDTPLALAVKN GQTSAVKLLLQAGANPNKKGADGKTPLYLVAFAKDKRDRLAQLLVAHGADVNEPVPAW NNSTPLMVAITEAKDPKLISLLVEKGASLTQSNDKGETAKKLAGYSMNPAIQRAILPP DQQDGYKPELGNLLTSSGLFAIAYFSNWKDVAKDSIDRISDFLNWQSNTVQDINSLRT EDDFKTFLFNFIQDKGLEDFYPPNDQRVIDIARAAAAARKNPSVRAMSGRTLAIMAAS ALYTPVWYCDDSGSMGGGTGRIENQRILVARMARIMGFVNPQAAAGVASLRFINADIG NADNLTEAQVNDYMNQTNPNGATPIGTNLKKKILDPLIHNVLSAGQNLPKPYLIMTIT DGAPNEENKSLSPLGTDNDVIRSVIADAVNALKTHQPIPYSPDAVSYTISQIGDDQNS KAFLAGLKNNPVPDNVLYVTSESLDSQFADFKNNLDDLDNYLYNLFGKMLNIP AOR_1_1158074 MRFKPLLLSLLGVSPLGMISIAQAAAGNCQSLSDGSTPAISDCC SEQKSGTASVDGHVIEYSCRNFKRSASINIKGPYAEGSAQACAKRCVFRRCTMAVYKD NNECRYTNDANPEMEYDDTVLAMENKGKDDMTDEVRKALAKCKEECEKDKEKLKKECQ EQKIELGDKCLREKEELRRQCTENEERQNKQCEDQKSDMRNKCQEEKNELRRQCAEDA KEESKACEDRVKVIQEQLTECRDKNLQDTRWVSNPGLLGTEVKAKAVAFSPKNERMAV GELSPQRVVVWDMKTRQPLAGWETGAGAGMPMSVALSPDGTKVLCGTYEGAVKMWDVS TSSEQIFQEPKGRVSRVNRVAFSPDGRQVAAGLADGKVLIWDVSTNTQITTQGHSGAV QALEFSPTSGKLVSGSKDKTIRFWDPRTGRKDNEISHPGGGLNAIAFSPDGKSLASGS DDSSVRVWNAETLAQRRLLPAHTGPINDLAFSADGRQLASVSDDGTLRIWSLADDYVL SSHQQRKAEAVAFSPDGKYLASVVALEGLLLWTRN AOR_1_342074 MAVAKALRARRVLAIDVHKVGQGAPTNTHHVKPEAAFEEFPFNL GGDAVETDMAARENGSRGGRIRGSRGSYGGENESYLGFSSMSSGRDQWG AOR_1_344074 MAPKLDYVLVRDYLNNDRSLPGNSGSTSTIYLCVELFGYHTHPD ISTGDPNLKVADVGAGTGSAFNKFFGCIILATSVKDHA AOR_1_1160074 MSATSVDMSAMESKPDSVLDSQLSSIMNNVAAARLPETSESKKN EATAERSFCRDTKPCEPIAVTLSLKVPETRYNVDSFYQQAKPNAIRTKKGYFLQEDPT EFDTGFFGITNYEASRLDPQQRLLLEVVWECMENAGQVNWKGRDIGCWVGVFGEDWMD LCAKNIQYTDRLHALGAGDYALANRISYEYDLTGPSMTVQTGCSSSLVGLHEACRAIS TGDCSSAIVAGTSLILSPTMTTTMSENMVLSPDGICKTFDAGADGYGRGEAINAVYLK PLKDAIAAGDRVRAVIRSTATNCDGRTVGITTPGAPTQKQLIKRAYQKAGISEITDTA FFECHGSGTVVGDTAELSVVADLFKDKGVYIGAAKPNFGHSEGASGITGIIKAVLALE KNVIPPNIHFKEPNPKVPFKEAMLQVPLEPIPWPQDRHPRVSVNCFGIGGSNAHAILD SAASYGLVEDWTPSQQENSQSFLFLVSAASPTSLSQRVNDLTEYAKQTVPRFQDLAYT LAIKREHLQHRTFFVGEADGTITKSERTARQFDSTQLVFVFTGQGAQWPTMGKGLLES FPMFQQDIQRMDRVIHGLEQPPDWSIEDELVAGEDCCRIHEAELSQTLCTAVQIGIVN LLARWGAKPDAVVGHSSGEIAAAYAAGTMSLESAIVVAYYRGQAVKMARAGAMAAIGL MAEEVKRYLVEGVGIACENSPQSTTISGDSEKVGEVIGKIQSDNPDTFCRQLRVDKAY HSGHMEEVGAFYEETIKSKIHTNSNMVPFWSTVTGSLLKGPDDLSAEYWRQNLESPVL FRQAMEAILQHEQQSGQVFVEIGAHSALSGPIRQIMQTGNISKATYVPTLIRKRKANV CLMEAMGCLWAHGVPTVDLQGLVGQGRVLTSVPPYPWDHRAKLLNESRLVHNWRFRAF PHHELLGSRMFGATDLEPMWSNLLRPDDVPWLFDHELTKKIVFPAAGFVAMIGEAIRQ IDGSESYSLHHVLLKAPMLLEEGHTVEVVTSLRPVKVSDFLDSHWYEFTISAYDGEDW VKHCQGQACASFGKNMESKKISPLSRKVCSETWYRLMATHGLRYGQRFKGLQDITSDP KQKMATATMIEDHTAHESHYTLHPNIIDQCLQIMSVAMCSGMAAVLDQLAVPSFFELI CVSSGNGKLAVEADAHKTSTNITTGNSILVSGESPILAIEGAAFFSLTDSGETSQHGF PLVTHTKWAPDLDLLPPNELLSFRPVGRDGDLYADMILCALSEAHYRIKDKVPATEHL RKYKDLIAGWVEHMRNCLSPAPPHARRFLELDTQQRMRLIDELSEKVRTQDPDGLAII SMVKTVLLHISDIIVGSESPLHILVDEDRLADVYYQPSLWGCWHELLNLLMHSNPRAK ILEIGAGTGGSTTVLLDHLRSPDGVPMYGSYVFSDISPGFLHSAKEKYKDRKNMEYRV LDISKDPAPQGFECGSFDVIVASNVIHATECLNTTLQNVRKLLAPGGRLILQELWPEL PIFDFIMGVLPGWWIGEKDGRGARPYISPAEWNTELLKAGFTGVDAAEFDDEGMSRLM VNILSRSPCHPPQATDVTIVHRAEIGEWENSVAQNFVAAGYTVRWMAFDEVTCPATSG IVFLLDRPGPFLHDISAEEHERLKRYLGENREATMLWVTSTCQTVCHDPRYSLVFGLA RTLRRELELNLNTLEVDSYSSLASDSIIPVYKQVERHKDCHIIPDSEYILQDGKINIA RFHWTNLATELCHMPSDESPKKLSMTSCGVLDTMYWKSVDLPTAGKDEVIVDVKFAAL NFKDIMVSMGVLGQIEDLGIEGSGVVVQTGSDVQHIHNGDRVLFVASGSLQTRRVIPA RMCLKVPECLSLEDAATMPAVFATTIYALLHLARLKKNQSVLIHSATGGVGLAAIQIC QMVGANIYATVGNENKAQHLMDTYGIHRDHIFNSRDASFLQDLMRCTGNRGADVVLNS LGGNLLHTSWRCVAPYGKMLELGKRDFLGHGMLSMDLFKSNRAFFGVDLNQFLEECPD EFNDVIFERFLEMVEKRQISPIQPRTIFEASDIISAFRYMQQGVHMGKILIKMPESPG DLPQVKDKKAIVFNPDVSYLLVGGLGGIGQAVARWMACHGARSLVIFSRSAGQSDDHQ IFIRELDAIGCHVHTVSGDVTHLPDVQRVVEGCARPIGGVMNLSLALSDHLYLEMSHD QWTVPNRVKILGTWNLHSVLQNVALDFFVVFSSMSGQVGNPGQANYAAASTFLDAFVQ YRHTNGMPASVLDIGCVEGIGILKQAPHVVQRMRAISVRFIEESELMDSLHLAILRSH PELSNKKGPESASLGIGLCPIKSFSQVQDLPFWRTLDARARAIPNYDSFQQSDSNGEP DNLQELMDEVEANPATLKNPEVEKRIRGEVGHLISTYISNHEDMTDEEINNITIDSLM SIEIRNWTRRRLHVDVAIPEISKAGTVGRLGTLVVEKLKAKYAAQLEGST AOR_1_348074 MVILADKVPYLHIVWAQLVQKYPPRTLELMGSVFTQITMFWSLS LVFLLLDSFGPSYVKRYKIQRSSRQPRRTLLWQAALMAALNQAFTILLHLGQLFIVRP LVPQITGLRVEPKLPSAAEIMHDILWCTLGREALFYYGHRALHWPWLYRRFHKQHHLF NTPVAVASLYCHPVEHVVSNILPVIIPAHILRIHIVTFWLFSCGVIAQASLAHCGYSF FDLSFAGWKPEVHDLHHEKFNVNYGLIGLLDAIHGTRDTGRRPLWVGASDRQDEGLSK VKQ AOR_1_350074 MRPRFSATLILLLGMMGNFGSLLEAKDAPTIIQGMQNLNEKTHV ARQSLEGFDGSFIKGLLLARDLFETTKAAEASRKAFEDADPLSYDDVPDILENYHTVR KTIDDALKAVPAKVDSIDSMGVRMFATGLLRNFAADRTAYEKATKAKIPVENHTSIQG PIDSLANSFDDAISLFI AOR_1_352074 MTSKDRLSNSDYFPVIIIGAGLSGIAAGCQLKTKLGLGRFRIYD RNDGIGGTWWIHRYPGVACDVPASLYSYSFAQNPSWSTLKPSGEEIAAYIQATSDKFG LSSHIQLNTEVSSLIWDEQKEEWEVSLHQIPSAHGKSPNCSARRSKCSVRAKIVISAT GKFAVPQTDSIRVPGIETFTGSVLHTARWDANVNLQDKHVVVIGAGCSAAQLVPALLR QYNVRSVTQLVRTAPWVSPTLLSSRQLRVWETYTPWLMQKIPGLSQAVRLVMFLIAEI SFFRIFKAGQHYSRERYESKLRRYMERNSPSQYREILTPHYELGCKRLVHDAGWFKAL WDPRLHITDLRLGRVEKSAVTLTDMRGDYQFAHSHESKIPADVIIMATGYDTSSLLHN IHVIGSGSATLHDYWTSEGIQAYQGLAVPGFKNLFLLLGPNSSSGHTSVMIGVENSIY YILKLIKPILDSEVSCWDIKKESSRVWTESVQKASQESVWVTGRCHSWYVDDKGWNSA VYP AOR_1_354074 MVTYDWYELNICRLFREHFQKGSLSTNDHEFEAIPDDQLVKFQL FAQYSAAAYCDHNNDDGILGSIRCIEGVCPLVEAANAQTIAEFNEEDIRGFVAVDDTH RLLIVSFRGSNSVRNWIKNFQFWKIDEPGPRGFWDKLFGSDKPQSGNDICSCGIHSGF YRSWQLLKPDVMDALTRAREAHNDYHVVVTGHSLGAAIATIAGAYLRTMQIPCDIYSY GSPRVGDARFAEFVSAQQGLTARITHGYDPVPSLPPMSLFGIYDLGYRHIWPEYWISG VSLNGTDTIKVCRGLENLSCNGTRQTGFSFEIEDHRNYLGHITACGPKFTYRDMDESW SAEDLDRLRMLAGNDTFFATHYISNGTGV AOR_1_356074 MRAFIILPLVTSILAAPTQTLVRRQPDSVIPSAPSGVNVGVKAM AAPAPSAPPQAPAPGGQSGGGSAAGGGLGDLANLIGQGVQGITKLISTIAGAAGGGGG ESGGGGQSSGGGGGNLGDLTNLVGEGVKGLSSLAGAAGDAGSGLGDITSLISNAAGGL GSIGNLLGGLGGLGGLLRRDLDPDLVARAIENMELPDSDDDECIIEKLGGLLPGDAGR AT AOR_1_358074 MSKAQPIKRRPDGRSKFDLNIDSPQLQHLTRHIDEAEHVRIENC IGFVQVPVGIAGPLRITGPETTGEYYAPLATCEPTLVASCSRGCKVFNACGGLQFEVL SEAMSRAPMFLFASPAHAVAFARAVPSFRNEFARWAESTSRYVRLQELQASVIGSSVH LFCSYFCGNAAGQNMVSKATQHACEMLRAHRCAKQFQIQDFLIEGQLASDKKPSWGNV QRARGVEALAWGTITNAACQEILGCSTERLYRTQMALKEGGIRNGQFGCNINTANIIA AIFVSTGQDAGSVAEASWSHLTSEYDYESKELKMTIYFPSLPVGTVGGGTLYPSQKEC LDMLGCAEPSGKRRLAGMIAAFAVALDASTSAAIANDTFTMSHMKLARGEIFGPRASK L AOR_1_360074 MEPSVSDFKLVGLAAGFTLGFGFLTVWNAIKQTSEIEKPYKSPF VILIWIEILSNVVIGVMGWLVLEGIVPVIAPVLALLLFCWALEIQCCMQVIINRIYVV VEKKKTARKVKWGTACLITAINIAVFCIWIPAHMTPPVNHTFVLINRFWDPISKLLIC IVDACLNIWFLRVVRVRLVRQNGLKKYGPLVRFNMRMMFISVLMDAILIGLMFLPNPM VYIQFHPVTYIVKLNIELKMASLIRKLARDSNINNEIHEASMNLRTRFNPQSHYIKCD DEVREKRNLRHMQFAQLRQQGFESEDMKILKTTSVRVVTSPRPFVQDNLPPLPPLPKD GR AOR_1_362074 MASIAHLVVSGLLAATAVNGQNYGGSGRSDDAFSYVQPRNTTIL GQYGHSPAVLPSPNATGAGGWEEALAKAQQFVAQLTLEEKADMVTGQPGPCVGNIVAI PRLGFKGLCLQDGPLAIRVADYASVFSAGVTAASTWDKDILYERGVAMGEEFKGKGAH VALGPVAGPLGRSGYGGRNWEGFAADPYLTGVAMERTIQGYQDAGVQACAKHFIGNEQ ETQRNPNYNPNGTLTDVIQEAISSNIDDRTIHELYLWPFANAARAKVASVMCSYQRLN GSYACQNSKVLNGLLKEELGFQGYVQSDWGGTHSGVSSIEGGLDMNMPGGLGQYGQTP EAGSFFGKNVTFAVNNGTVDISRVDDMIVRIMTPYYWLGQDQGYPEIDPSSADLNTFS PRSTWLREFNLTGERSRDVRGDHGELIRRHGAEATILLKNENKALPLKAPKSIAVFGN DAGDTTEGAVNKATFEFGTLAAGGGSGTGRFTYLVTPLEALKARGKQDNTLVQWWLNN TLIADSDVTSLWVPTPPDACLVFLKTWAEEGSDREYLSVDWNGNEVVDSVASKCNNTI VVTHSSGINELPFANHPNVTAIVAAHYPGQESGNSIVDILYGDVNPSGKLPYTIAKNG SDYNAPPTTAVETTGADDWQAWFDEKLEIDYRYFDAHNISVLYEFGFGLSYTTFSLSD IKTEPLAESISSVPEQLPIQPGGNPALWESVYNVSVTVTNTGDVKGATVPQLYVTFPD SAPAGTPPKQLRGFDKVSLAPGESQTVGFELMRRDLSYWDVVSQEWLIPEGEFTIRVG FSSRDLSQETKITPVTA AOR_1_364074 MTSTAPTESQKFLSTRGGDYGLSFETVVLKGLAADGGLFLPHEI PTANDWQSWKDLSYAELAFKIVSLYISPSEIPPEDLKGIIERSYSTFRAEDVVPLTHL QDDNLYLLELFHGPSYSFKDCALQFLGNLFEYLLVRKNQGKVGKDRHHLTVVGATSGD TGSAAIYGLRGKKDVSVVILHPKGRVSPIQEAQMTTCTDRNVHNLAVTGTFDDCQDIV KAMFNDPDSNQALKLGAVNSINFSRILAQIVFYFYAYFQLARKSPTFKVGDKVRFVTP TGNFGNILAGYFATKMGLPADKLVVATNENDILHRFWTTGRYEKNPVQEQQADGTVKA EACKETPSPAMDILVSSNFERLMWFLAKEQAAAAGLDDASSKKRAGEEVLAWYQSLKA TGGFGPVDKDLLENGRSTFDSDRVSNSETVETIKSCYQETKYVLDPHSAVAVTVAKRS LAKNGSNVHHISLSTAHPAKFSEVVESALKGEAGFNFDEQVLPDEFKAFAQKETRVTT VENSWEKVREIVKRQAEEDIKAESSA AOR_1_366074 MSTRSSLFLLVLLFPPTWGQETPTCLSPASNGGPPNKAACCLEP GRQEESVDGVIYEYICNHYATNTNVFYHEVLNAYECAKKCSEEAGLCHAASWKPKASS SEGGRCFLAMAGFVEKPDRNGEWLLLVRTDRMEPVAGDPSHDYPQPGCEEEVDDAWTQ CETVVDEYCEMRTAHMQQQLDAKDADLVNCGRGMNPSIYISRSRKPYRAWCRRALAQV VNTGIATAKHSLIGYTKDDVTASQLTLFLPLNELWLSR AOR_1_368074 MASIEIDESTISNQTTQYVYLSRDPAPALAIPPGKDLLNYTAKI ESIFIYEPNTESETCYTFGGSLSLGPGSIAGVVGNGEQFFLQYCVGSDQSRYMLPLVK ASGGYKLHRDVTSEALRDDTILDRMLEEPDNNLADHFATTMFAKGGKKDKAKGAAALT IQTRGSSGDQEHEIKKAIKMLNFIDSMISVYTANKLYQEGNKRGKPISAIDETSLYMK VTAEAYFDAINNKQLAAVIQKKSMASAKFSQTCNRADVHPQFIKQFAQDIKQFDQAEY ATLDKILTEQVRQILDGNIQVNNTLSFTLLVKQPLLKSVPGLKEQIVEPTLRFFYITS TGRTWTEVVKNGKSQSSVQKVQLDFQYIPQVGTINPDLFKPLKKVYGKKIISQDADDS FIQQYTV AOR_1_370074 MASTKNLREFLETASKEPKEQVVAGQVPMPPVLLFAPRHHAEDR LHTELLEMTGKIFLELGESLVKKRTYNQIDTADQVLQAMVDVANAAQTAIASEGSVSK LVDVRPSPNMSINRDIPREDLHRELLDVLFSHLIHDKRTLRDLDYLLQKFVNAFSQLP TDAEGKHIVFTFFVNEVDRNNIGTEQSPIYEDVQSIMLNTIRMPTEVYRDLVTKNEKP LKTPATNATSSKNGPERHAPTREQEAPRYSTEQRTSFLDMLRNALGAPDASESDNEPE PPEDKVTLKMDYRIITAKIKPKKFKKAQQDIDDSMRRVVKLGAKEYGERVTKVLYVLK AOR_1_372074 MFGTPLLLLLPLTTSVLSKTSDAEYNKICPRGDDLVTLPSGNKI RYLCDDCLIDPVAEPRSAKTIEECADICSTEDCKATIWDNGRCFSSKLTYIGPSPGNS PGCIWMTSQTCLDSKDDCAKCVNDKEECENQKRKCEDALAKCKPGDDECEKKARKCQN DLATCEDDKAKAEKKERKCQDDLTTCEDGKNDLEKEKRKCETALASCKPGDEECEKKA RKCRDDLITCEDDKDRSEKKERKCQKELLTCEDEKNECEKNERKCQADLQDSQKKASD LQKSVDQCGNDLDKCKNSGSSWGKTDGEIKCKSGSLTTATLNGNKWYTLCGFIINDVK GGVRGLTLKQCVESCSEDDKCRAITYDDKRGECYLASKFKTGDMRYSDYYHTVLRLT AOR_1_374074 MKPILLAVPLLLSYTAAEIHRVPLEKELLVFGSDDDDTRTSSQR YIGSNTHQKALQDHGPDILGHDIPVKNHRNTQYFSTIRIGTPPQKFKVVLDTGSANLW VPSSKCKTISCKKHKKYKSALSDTYHNNGSEFEIYYGSGGMTGHVSEDIFTIGDLKVQ EQLFGEATKVSGFSNVKADGILGLGFASISVNSIPPPFYNMLDQNLLDEPVFAFYLSD TYKGRTSEITFGGVDEQHYSGEIVKIPLRRKAYWEVEFSGLFFGDHFADVEDTGAILN TGSSLIGLPSGLFETVNKEIGATRDYQGRYILDCDKRSFMPSLTFVLGEYNFTIDPKD YSLQEQNFCMSALVPMDFPGPTGPLVVLGDAFLRRWYSVYDFGNGAIGLAQAKRKE AOR_1_376074 MAQLVSLFTNSTQTSFRIVLLSLRIVMDKMLCALLLSAGMLVGP VATQEAEPEQVRLSPPSLSRLGSMPLSPPRSITLKDLRVMKDQYGNEQCCPPGTILVG GQCILPHSNVCPEGTVQEGNVCVGKPLCPPKFHYDGQKCISDHPPRCQTGSRFNGKDC VSTGDPFCPEGSTFNGHSCVSTTPPTCPSGAQLKDNICVTKQSPTCPRGMQFDGEQGC VSTEPPSCPEGAQFSEGLCISVVPPSCERPFVLQGNTCIHSSKPECPTGATFDGTVCV SVTPPSCKTGVFDGGVCKDKQPPICPPRTTLKGSSCTVETGASCPAGQSLSIFQDQVR CCPDGFSWDGSFCVLKREGDNCPPGSHFDGTKCVFTPTVQPICPPRTTWNGKDCILSV PPRCSSGFTFVSGNCVSKDTPECPEGTTFDGHKCVSPTPPRCPGDTTLKGRDCMSSDP PLCPPRTTFNGHSCVLNVPPTCPPGSTLTGHSCRFPDVPDCSGGSFTGGHCVVPVPPK CPPSFIFNGKECIHENRPRCPQNYVYDGKNCVSSDPPDCGSDAIFDGTNCVDITPPKC PDGTSYDGHGCSGGKPPKCPEGMTFNGRDCIDGKPPRCPKDTTFNGEKCVSNEKPECP TGTTFDGSKCVNERPPDCPENTSFNGHACVSTIPPVCSDGTVFDGSKCVTKNPPVCPP GTRLENGMCVITTDPICAEGTTFNGKMCTTATQAECYQMFVCPPFSPSKPRAG AOR_1_378074 MKFSVLSILTLGLTAGALAAPANMERDLPTITGVLSGIGPKVDA LDSAIQAYTGGDVTKVQQASDSLVDAINAGTTKVSGTSNLSGGDALGLPGPVNDLKQK ITTAVTHLSSKKSQIVQAGKGAQTYNDLIQQKTAAKKLSDTIVSKVPENLQNLASGIA GGISDAIEKGVQDFQDQAGKAGKRDVEGAEAVAAIEV AOR_1_380074 MGVERKIITRGSGPSPASGDKVSIHYTGWIYDPKKANKGFQGKQ FDSSRSPGRGPLVVNIGQGKVIKGWDEGVMQMSLGEKSTLTITPDYGYGDKAAGKIPA NSTLIFEVELLKIN AOR_1_382074 MTPEKAAMSEHIENDNPARHDDIDLPNSSEAHTAIAKEREMTLW QALRLYPKAVAWSLLFSCAIIMEGYDVVLIGSFLAFPAFNEKYGGLMSDGTYGLEARW QAGVNNAMACGQIIGLFLNGLVSERLGYRKTLMACLAATVGFVFILFFAPNIQTLVVG ELFMGIPLGVYQTLVVTYASEVCPVALRAYLTTYVNLCWVLGQLLASGVLKGLAERTD QWAYRIPFALQWIWPIPIFIGVFLAPESPWWLVRQDRREDAVKALKRLTSANADFNAE ETVAMIVYTDALERRAETGTSYLDCFKKSDLRRTEISCCAWAAQSLCGAGLMGYSTVF YQRAGLAVSQSFTMSLVQYALGVVGTFVSWTLMSYFGRRTLYVGGLFILAIVLFVIGF VSIAPSTPAISWATGSMLLVYTFIYDSSIGPVCFALVSEIPSSRLRTKTVVLARNVYN ILNLVTGIIIPYMLNVDAWNWRGKSGFFWGALCVCCLTWSFFRLPEPKGRSYAELDLL FERGVKTREFATAKTGLEDLQGEGKDDMVKV AOR_1_384074 MSPAPVGEKWWKNSIIYQIYPASFKDSNNDGIGDIPGIISSLDY ITSLGVDVIWISPMYDSPQYDMGYDVSDYESVYPPYGTVQDMEVLIDECHRRGLRIIL DLVVNHTSHEHKWFKESRSSKASPKRDWYIWKPAKYDANGNRKPPNNWRSIFGGSAWE WDEGSEEYYLHLFCKEQPDLNWENQETRRAIYDSAMEFWLQKGVDGFRVDTVNMYSKH PEYPDAPVIDPKSETQMSPALFCNGPRIHEYLSEMNEVLAKYDAMTVGELPNTHTVDG ILRYVSAAQNQLNMVFQFDIVDLGQGKDYKFLTTLPGWTLPELKTAVKGTQVIMKGTD GWSTVFTENHDQGRSVSRFGSEKTPELRVTSAKMLAMMQGTLSGTQFIYQGQEIGMVN APESWTIDEYKDVDSTNYYQMVQKISNNDPLELETAMKSLQRFARDHARLPMQWSSET HGGFSSSEKTWMRVHDNYPEINVKVQEKDDSSVLSFWKQVIQLRKEYADLFVFGDFEI LDEANEKVFTYIKRGQKQSALIVLNFSDDTLKFKQPAGVQDAKVLLRNVEGDLNELQP FEGRVLLF AOR_1_386074 MEDHPRPRVHKACDACGRRKVRCNGQQRCQQCEHMGLVCTYTDN RLARSRKHALRRGEVISKHKIESSSNVLLAPALSPVSTSYFESLIPEYMHFVYPFNPI MTEDEVQDAVSKMDSDRENAAFVYAFAAATIDLAQSNRPTSSASTHITQLVNQAIETQ PPMFLGFRPSILRTMTNVFIQMCFMSLGQYDLGFIYLREAITMIHLLRIEDKTALANL NPTERARRQRLYWLCFIHERFMSIVHFSPATLSPHASFPEADPTLAPGISQGWTQVIK TFLILEPTFINLWIGDRSQVSAKWVEKKYQELDDARWELEVSMLSETQQADLVITRQW MRTLLWQMAMSNWLLSSRAPCPSLSLELPLRLSGQLRQFLTKISQNTIRVHGSSILTK LVEIINTIADVVIHLPQATLEQTTSRIGDIVFMKSVVFSFHNLQQVSKDILLEKFCLI RDRFPGIEAACQLTF AOR_1_388074 MLPGDTVPIQSTGGINIFALQFANMGGTQVIATTGNAEKAAKLK AEGADHVITYKEDPRYGGTAKEVSDRVTIDGQIAVIGSRADVQESPYAGLHTTSTVII RGVLVGSRQLNDAVNTAIKINNLHPVIESRV AOR_1_390074 MAHPLPRSMKAWTFTRAGSAEQVLQLSQQHPIPTLRTNTDVLIR ITHVSLHPGTTIMMNLAPSIFRNTPCIAETDFSGLIISAGKGVPVTPSPDNEHRCFPP GTPVFGSIPVGQHLKGAGALAEYLVVDMNCIARKPANVSFAQAAGLPVSGTTALTLMD AADIRPADRVLINAPCRCSAASFDVAKTLGCHDVVSYNNSGESSTATDMEVYGEQPFD KIIDARGSQALWYSSPAILKSGADNTYTSVGPVLESYTYLSMFACLLKMGFNRFLPVW AGGVDRKYRQVTAMVNPDKLERLRKLCEAGQLGVLIGDTWSFEDAVQAFRVMASRHAR GKLVICVAEPSA AOR_1_392074 MAPKKIIIDTDPGIDDILGLLLALSATPEEVEVLLISLTFGNIE VRSCLRNVVSMFHILEREMQWRREQGRPEGFGALRAAPPVVAVGAEDPLEDQKMLADY FHGTDGLGGIHTSHPHLTPKETWEHLFDPSADPVEVEPVPTGNPSRPSFIPSKRRAHE EILRVLRENDPDTVTLVAVGPLTNLALASAADPETFLRVKEVVVMGGAVNEPGNVTPA AEFNAYADAFAAARVYALTSPSPKTTIPTNSSLPDYPTNLSKQLTLRIFPLDITLRHG VSRGQFRKAVTPHLEKGSPLAEWVSAFMAHTFHTVEKLHTGRVGDDVELSLHDPVCVW YAITAEDEKWKPSATSPEDIRIETTGQWTRGLCVVDRRNRHRIEGDEEHSSDHGLWLS SRAGNRVWRMDASPVEDNFGAVLLERLFT AOR_1_394074 MMNPYLSWAILLVVAGGLGWYYNGPVPKTKAPIKPIVEKAESAV SAKKPKKKTKKSPEPSPAPAKAEEKPVQTPKIEEVEVADEEIDKKEMAKRFAAVKNGV PLKESSKEGNSGSKAQKKKNKKGSSSQPASNNERSASRVSTRTSSTTGAEADDDLSPA GSPQVNASTSAAGYVSDMLEAPAPAASVLRVTGSLDSDSQKKKQKPQSFKQVETKKQR QQRLKNEARKQQVQEAEEERRKLLEKQLHTARESERREAAKSKPATQPNAWQTQSVNK VTNGNVTNGIHKTAPGPKVDLLDTFEPEKSSAAASSKEWDQGLPSEEEQMRILGAENG EDQWTTVSSKKIKKKGGKADDSEVSAVEDQPTPVAPAPAPVEPKVKITPTYLPDVLRS GKKGHPLDSDWAA AOR_1_396074 MAQIRGTAGYNLGHQNPFGGPGRADATSDPSPLDAIREQTSKIE DWLDTLADPVKPYLPAIGRFLIVVTFIEDSLRIITQWSDQLLYLREYRKIPWGITHTF LILNVLAMSICSFLVIARKHTEIAVAGLLGVVVTQGLGYGLIFDLNFFLRNLSVIGGL LMVLSDSWVRKKFVPAGLPQLDEKDRKMYVQFAGRVLLIFLFVGFVFSGQWSFWRILV SLFGLVACVMVIVGFKAKWSAIILVVLLSVFNILVNNFWTLHPHHPHKDFAKYDFFQI LSIVGGLLLLVNMGPGQLSMDEKKKVY AOR_1_398074 MWLWRGAQSAVFYYATCTPCAEKVDRRKRMKEAEAARSRREKEK NEEIIADQPRPFPQPTAFSTNPGWAEEIALGPGPPARRGGNRSAHRRTDSWNTDGISA NSCHDREDEPLWGEEMEVKGSSVGLSGSGKANAHEPSKYYIARVPPVNDLHPPIVSGP KSRAETRWMLQPVPSARVMAGKDRFPTQKSNTIDPSLTREKSTNKTSERTPLPPLSTQ NGEKKPARVRPPFAHFDDDDDDDDDDDPRPLKTPEPKDSREYRSPSSLSYGRDESNFV IASSLRSRSDSSSLASIDSDDIESPRVSIQSPQTPISRPMSKEADDGSKLFRPHVSKT LSNLHREDKKVELLHLEISDHHEEVGLGDLEQIRPWRWSMDI AOR_1_400074 MSLHSYINKKVLVLTVDGRTLLGTLLSTDQLTNLVLLDTIERII RTPDDPEPSSQIEHGLYLIRGDNVVVCGEVDEKIDGEIDWAKVKGEVVKGTKNA AOR_1_402074 MPPPPPPPPPPGGMGGPPPPPPPGALPSRPSGAEAKGRGALLSD IHKGARLKKTVTNDRSAPQIAGGGAKSSGPPIADAPPVPSMPKPPGSAPPVPGQAANR LRSDSGAGSGGDSSAALPAAPQLGGLFAGGMPKLRSRGGVDTGANRDSPYRSESQGSS APKPPVSPAPKPPGARPPPLPSSESPPAPPVNPLVAGLKKPPPRPASRPSSTVSNASA RSASDAPPPRAPPPLPGSAKPPPPPPVSSRKPSTPAPPPPPAPPSTIPAAPPPPPPPP TARPPPPAPARSTPPPPPPPPPPAASAPQPPNGTAAASIAVQAARNAFGHSQQTPSAP PPPPPASSAPSAPPPPPPSAPPSAPPSAPPSAPPSQPPSRPLSHEPLASQLPDRSTLD PSAYTLSNGGPSSGSSPLSSAVQGLIRVEDVRFKFQSEGLLPKPRPFVGGPRRYRAGR GSSVPLDLSALSG AOR_1_404074 MIQPSSDNWILCPPTKQFWDSFKDVKKSQKPLYTKKKSATKKLT KSPKIADPRLANALSEITYELLTDEKVFISPEALACYTKIQSLLKRAEHFPEIFHLYA HKPVPEENSSPVKFHKANPKDINSAIPTELANMALDVAIEQRNLSLVLAIIDNTFCAP AFARAKVFKKAAVPLGGLAAAPAACYAIASWAATLQNTMDPSTATGIAFAASLAYVGG VSSVGILAITTANDQMERVTWLPGVPLRHRWLREEERAALDRVALQWGFKDIYMRGEE EGEEWENLREFIGMRGMILDKTDLMPGMQ AOR_1_406074 MSKITVAGVRQNIQQLLDYSQNEKKRNFLETVELQIGLKNYDPQ RDKRFSGTIKLPSVPRPNMTICILGDQHDLDRAKHHGIDAMSTEDLKKLNKNKKLIKK LARKYDAFLASDGLIKQIPRLLGPGLSKAGKFPTPISHAEDMANKVTDVKSTIKFQLK KVLCLGVAVGNVGMTEDELIANVMLAINYLVSLLKKGWQNVGSLVLKASMSPPKRLY AOR_1_408074 MASATKHGKTIRSVTSCTECRRRKQKCSREWPCNQCQARRLSHL CKFASKGLSKATRQPSINSRVSDTVERNNVDATQNPMSDTIFPVANGQDLRALGYLSD SLSAPKESIGNADSHVISQTPHGVTSAEMEDALRLIPPKPYTDILVQHFLNETNYQYY SIYPPTFSRDYSTWWLGKVKGQPLTAEFTCLLLRVCACSALVLFPGERQKLESELGEN IQSLSERYHHAARRLSSTIPPGKGGLTQVRQLFLTASWFKTEALFVESWHALSSAIHE AQELGFHRNSAKVSITEFEREMSRRMWCILCAWDWQMSHLLSRPIIINNNNSVELPNL RLESPDSQIELPSPITHMALQCRLGQMILKIPGMMNESLSPMQSVSVQEEVEKWFASL PSAFRLIDPDTRWDDTHKYVVMQRHQLHAVGYMVKLKPLKQCLVQNLDQGAPSVRKGH QSSAIDYALKLMDASHRLLGCMLPMNAKFYFAPFLIFDTASLLCSAIIHDHTRSLPQR ERIIQALGVSVNMLGLVRITKTGAICHSILTKLIEGLPVSLMERMSLNYKYSENIDGE HYTTPESQHGSALLRDDGTHPNIAGIRSSVALPLDLASFEPTNSGIADIADIDLGTFN QIWDLGNLDLDFFDPQLS AOR_1_410074 MSGFLSSHSLQTPKEYYSSFNANSNLQEKFHNIDDEGQFRAYLG YLQDVQTRNFMLDFGNDDAWCAVDLEEEDIATLLRGVVLWDQVDVRYTPYSAKLWSTI TSHYGISERLQGMMCTDPVARPSQSTSPLTDGLREKNDERLPRVLRKNDLEEAQELKD LSDPAEIQAAASFRGLTFAHVTNQIWHFCSVDYGPRCRRLAVLKRLYQSYELIMMRIL QRQRHLRDEAKSNRPPLPIGPMFGESEVTDLRQPTLQSSLSFSSNADTSVGVQLSSPA VARFERLLDRIKLYCLSEIDTCLTEKESLTFLNFNLIALKDSQAVEKLTRITILLAKV TILFLPVSLMTAYFSTELKNVKGVYTINQYWVSFGVIMFLSIVLLTLFGYLSDTVEAL LGLGGPERDVFIEQVTGIIPDDRNPKNKGDLFSTRIFKGQINDRDLWLLDTPSLEIPV AELVEGINSAVARKSGRTDYAIDGIVYLHDITDTNVTQNASENLSVFQGLLRSASPDR IVFVTTFWDLLRTQEEGVRTEAKLNAVYGSVASICRVLDSSDGRSYREIIWDAVSGFV DAGFVEDSVDDDAMSTPTVEELLGIINEKDKHMACLQTALRATKETFARQLQDVQRKA TDEKATLYQQLQAALKDVNQLKEDLSRSQKSCLEEVRNLRKQFEFRKQDSNHKLRALE TQQLSSAGNGHENCWNMTSTRSFTQKPPHQAHHLNGQASSLNVLDARGEFPLYSAAAG GYYDEVKRLLEQGANPSMRTLFQWTALHWAVGNGHAKIAQLLLDYGADINAISDTGST PLDMARNDTMKGILRQRGAR AOR_1_412074 MGLTFSKLFDRLWGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRIVE AREELQRMLNEDELRDALLLVFANKQDLPNAMSPAEITQQLGLQSLTRRAWYIQSTCA TTGDGLYEGLEWLADALRKAGRD AOR_1_412074 MGLTFSKLFDRLWGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRIVE AREELQRMLNEDELRDALLLVFANKQDLPNAMSPAEITQQLGLQSLTRRAWYIQSTCA TTGDGLYEGLEWLADALRKAGRD AOR_1_414074 MAGMANGFSPLAIPTPVETTAKFTLPLDILLPLLLFPTIFLAHH IRKDYHAYLALGPGGAPSTPAGYLKICILRPFAVRNPLAPPSLPSTLLPQEGYLKSRN LPDRFGPRPQVVGIVPHRQMTQKASAEMYAALTKEMQSLVNAHPSVLYTGTSCFEKHS TGIFCRLEQEQQRKRLTCNGEVCHAHQFDGSLHLTLHPADIRLVLEKGWGQRHPIARE DWWWLRRSVPSGFVMIYAPRNEEERRCVVEIIRAAAWWVRGEALPEYSPE AOR_1_416074 MTGKTRRHASAYNSRDAVVDVEKQPFRQKHHRRHSHSSFDSDSG DDSVTSSAASYQPMLDGPARRRPRPVTGFYRVPNRIMRRLCFGLLVALVLFILTLFRF TIRSSVTQVPLEIPKTTPKPPQWESFPFLKRYHGGLRSLVPRKGQVPEYPGDNPDVMG LGGDAEANATNLQARDEALPVLSSVFDPYPNYTSPAYIAKYGEKRECFLDVRETMRIP LVHHYPGVPRGFPEAVMGSNEVIGIQDDVCFDRFGRLAPYGLGYSVRKGGIGAGLEGH REGAEHVWDEFPPVDFRKVDWAAAQNRCLVANGHRFKDLPQPRSNRFLSMPIGVRDLS NKPLEADPVEPEQPQAGTGRLPRTAVIIRTWHDFHYTPEDILYLRSLISELSLLSGGE YTIHFLVHVKDENLQIWSDDETYERVLKDALPEEFRGMGTLWSERQMSLLYPGLEETW TRGLPIHGVYRSSHMPTQYFAQQHPEYDYYWNWEMDARYTGHWYHLFDKVSSWARAQP RKELWERNARFYVPDVHGTWEDFKHMVRVQTEIGTNSPNNLWSAPRPGQDQSPGDKAR LHQQGDKAIWGPERPDERDILEVEGEGIPPTTMDKDRYDWGVDEEADLIVFNPLYDPE GTSWLLRDDVTGYNKENSMPPRRAAIITASRLSRKLLHTMHQEMIHKRHSMFSEMWPA TTALHHGFKAVYVPHSVYIDRRWPTKYLESVFNAGRNGASGGARTSVFGDREHNFRGT TWFYSAGFSPNLWRRWLGYKVDNDGGELAELAGEGRMCLPPMLLHPVKDVEMIIDDGA NEVDPPL AOR_1_418074 MSLAQNHYVIKLPVTPSRTRSLEPRAIAQQWIANLDVLLNRGDF SQLPELFHQESWWRDMLALAWDLRTIQNCNNIEDYLRQNQPRSQLSAFRLQHEGKFQP TFDRPVEGLSWISSMFFFETRVGRGTGVLRLTQNDAGAWKAYSIYTSLQEFKDFEEPL GSKRPEGTIETMPGGLSQGNWLERRQRQLEFMDEEPTTLVVGAGQAGLNMGARLQSLG VSCLIVDRNERIGDNWRKRYRTLVTHDPAEFTHMAYLPFPKNWPQFTPKDKLGDWFEA YASIMELNVWLQTSIKSAVYDDAKAQWSVVVTRGDGSERTLHPRHLIWCTGHSGEPKV PTFPGQPQFKGQVYHGSQHNDASKHDVRGKKVVVVGTGNSGHDIAQNFYENGAQVTML QRSGTYVITAEKGVFMMHEGLHEDNGPPTEEADIMSESLPYPVQFALAVHFTKRAYAA ERDILEGLQKAGFELDFGVDGAGISRAYMTRGGGYYIDVGCSPLIADGKIKVKRSPKG ITGFNEHSLILEDGSSLEADIVVLATGYDNMRTTVRKILGDTVADRCKDVWDLDEEGE VNAMWRPSGHPGFWFHGGNLALCRIYSKFLALQIKAIEAGLVSQGQDQTQPKL AOR_1_420074 MLTKSLFAGAALGLSLSSAVAHEAPVVEGNEPQTVYEAVLQDKD NTTVRGTFTTHGAEDGIGIQFRVALTGVPKDTFLNYHIHDNPVPKDGNCYATGGHLDP YKRGDQPPCNTTVPQTCQVGDISGKHGPVWTADGNFEVLYRDFFLSNVEDTIAFFGNR SVVVHLPDNKRINCGNFHLVSDGEEKKKKKKKEEAKEDQGC AOR_1_422074 MSILVRPPKRKLSEIEGLEERNRLSYSGRYPSATPSSHLSSERN SGKDVGYPIITAYPLAEPAEATRESSSRELNSSRASPVSFDGPFQVQRKFAPNVSIVL VGIRGTGKSSLAVILAATSGRRLIDADRYFQQVTGRSRASFKKERGITEYRQQEARVM ENMLSEHKEGWVIACGPGSMERSGQMLLREYAKTHPVIHIIRDPESIQAYLQAWDTDK VRRFLELSGPIYRACSNLEFFNVSETGHGDLTLGKNGQHHSQLELEVDQRSQTFTPFL TLKRLQRDFVRFIAFATGNATDLSNQHASFPLSTQPVETRVFTYAVSVPISTLFTRDL NIEDLESTADAFELKIDVTEGPSSRLGLESTLADRISQTMATIRRNIVVPLIYHVESD VGLVGSASPHNEPLHCSSTAYLNLMHHGLRLAPDFITVDLSYDDGVLSQIIAAKGSSK VIGHFASVQYVEQGWDGPVYLELYERAKRLGCDIVRLTQPASSMDDNHAVQRFRHRIQ ALPGPQLPLIAYNSGSLGRLSCCFNPILTPVINEALLPETQTKNLPCLTLREAQEALY ASFALDPMQFFVFGANVTYSLSPAMHNAAYKACGMPHVYSLHQSPSLRGLNELVANPN FGGTSVSLPYKTEAIPLLHSMSPHARAIGAVNTLIPIRDLEDSALVSKGSSLYLEKSR AGPIKGLHGDNTDWIGICNCLRRGLSPANAIRPSSTGLVIGSGGMARAAVYSMIHLGV QNIFVWNRTFASAEKLAYHYNRQNLPTHSNNAPTTHSTVHVLRSLQDTWPANYNPPTV ICSCIPAHSIGGQPAPNFRIPSQWLGSPTGGVVVELAYKPLDTPLVKQMRALSHRGWV ALDGLDVLPEQGFAQFELFTGRRAPRRLMRTIVLQEYKAEEGQDDQNAIQSRLESLDG QPT AOR_1_1164074 MSSDMRQASGSSKAKRRLIDADDDNRPNTAADEHASNPKRQRVS RACDSCRSKKDKCDGVQPVCSTCASLSRPCTYKANPKKRGLPTGYIRTLELLWGLVFC KIKGSEDVVRALLKAANMPSHLSTMGKEAEGSDTLLFSWKNSAVLRDVERMLSLLEQP EDEQDKELRAPGDNDSPQDAEGSSVLSSDTLEWYIPEGLGDGRESSLAAGPSPIKTPT IGATAKSHLTRNTRDSGTQTPSPNDATEDPRILVTHPPSLSQSILHSSDSISKYPPRL PSNTWALIDIYFTYTQCWFPILEKHDILRTAFRHSEDDIPVPATSAGSGNHAALWAVL ALASIQEASITATRQLPQAPSDRPNPKQLYATAKSLIPGEEGAYDIGHVQALLILSLI KLGQQEWAAAWVLVGQAVRISQCLRLDRPPSTQSINGDGLKSSGRAKHVFLGCFVLET IVAMQTDQTPSLRKSDLMKIGPINEDGLEEWHPWEDQTGLRPVGLSRGNFHRGPLHAL STFNRLVSLICILNDLCCFKQSMTSSASQLDVLERQLQIYISALPKSYRVDVQANSAK PASPHIFGLEMMYEGVVATLSLQFAVQKNDTNGRATESSKKLLLLLQTYMETYSLSAT FPTFGMVLSLSAPQEAETRNSPLLFDLDSGLKQKLRSFTSHLATVWLVQEKATSGRPR SLTNPTSADQNSFHLSEIPIPGNATRRAGAIDSTHLDISAADPLLSNSWMRTASNAED NAALSLPTPASSVNINRGVTETEPTSHRQHASISSSTRPINGAASLPDLNSFQPLQYQ TPYSEQNTNIGSFLDMDRYGSLHRPRIAPDLDALFDELASLDGTENADNQPEFMQNLG FVPDAGIPELYSYSSQVEPFLLAQTQQLPMPDPPGGATTGVSTGN AOR_1_426074 MGKSILLINGPNLNLLGTREPHIYGSTTLADVEASSKAHAASLG ATLETYQSNHEGAIVDRIQAARGNVDGIVINPGAYTHTSVAIRDALVGVDIPFIELHV SNVHAREPWRHHSYFSDKAAGIIVGLGVYGYKVAVEHVAVNFKSREEKAAL AOR_1_428074 MTVMQFDQEQLDEIYAFAVDLGRKAGQLLLESIEKRIAGEGSQS VEEKENAVDIVTQTDEDVEVFIKTAINEKYPTHKFLGEETYAKGQSRDYLIDEQPTWC IDPLDGTVNFTHIFPMFCVSIGFIVNHKPVIGVIYAPFQDQLFSSCINRGAWLNEKRR LPLIHKPSIPPMPPNAPSKCVFSCEWGKDRRDIPDGNMHRKIESFVNMAAEIGGRNGK GGMVHGVRSLGSATLDLAYTAMGSFDIWWEGGCWEWDVAAGIAILLEAGGLVTTANPP ADPETAPIEDVRLGSRLYLAIRPAGPSATETGRQTQERTVREVWKRVRQLEYTRPGA AOR_1_430074 MAAPQIVNDTRPEEPITCPAELDGVAYLYGHPLLNSLSPPLHKT VYSTLGLNWAQIPLSSVYGTSATYPPPYTLSPPIDKYLAAIKANPKFVGSSVTMPHKV AIMPHLDDLTEDARQAGACNTIFLREEQDGSRSYVGTNTDCIGIREALLQNSPSAEIF QNKPALIVGGGGTARSAIYVLRKWLGASKIYIVNRDASEVATILNEDKERNPTSTQAP LIHVTDPAVAASLEAPVAIVSGIPNYPPKTPEEINARQVLQAFLGEAPSSDEFKGVIL EMCYHPVPWTEIAELASTSGWRVILGSEALIWQGLEQARLWTGQDVVAVPGVVEKVKD MVAQSLAERSSKSNL AOR_1_432074 MSILSLVEDRPTPKEVYNWKIYLLAAVASCTSCMIGYDSAFIGT TISLQSFKDEFDWDSMSAAHQDLVSSNIVSLYQAGAFFGAFFAYPIGHFWGRKWGLMV SALIFTLGAGIMLGTNGDRGFGLLYGGRVLAGLGVGAGSNITPIYISELSPPAIRGRL VGVYELGWQIGGLVGFWICYGVDETLPPSHKQWIIPFAVQLIPSGLLIIGALFLKESP RWLFLRGRREEAIKNLCWIRQLPEDHVYMIEEIGAIDQTLEHQRATIGLGFWKPFAAA WTNKKILYRLFLGSMLFFWQNGSGINAINYYSPTVFKSIGVTGSNTSLFTTGIFGVVK TVVTFIWLLWLIDRVGRRLLLLIGAAGGSICLWIVGAYIKIARPSERENKQMDGGGIA AMFFFYLWTVFYTPSWNGTPWVINSEMFDPNIRSLAQACAAGSNWLWNFLISRFTPQM FAKMDYGVYFFFASLMILSIIFVFFLIPETKGIPLESMDRLFETQPIWRAHGTLLKQI REDEERFRHDLEDSGFVKSTDRQVEVVDA AOR_1_434074 MVLRVVVVGAGLIGPRHAKSVISNPDTELVALIDPLPNAARVAQ ELQTSYYPTVEAMLQAIPKPDAAIVCTPNHTHVPVSRQLLASGIHVLVEKPVSDSIEN GLTLLQFGQRSENAHLKLLVGHHRRFNPYVLKTKQILDAGSLGQVIAINGLWTLFKPE EYFAPPGDWRRARSSGGVVPINLVHDIDIMHHLFGPIVRVHAEKTLSQRPNPPHEAEE GAALTLRFASGVVGTFLVCDATPSPHNFEAGTGENPLIPKCSSGADFYRIFGSDASLS VPDMTRWSYDGRPDKSWNQPLTVEKFDVEEATPFDLQLAHFVDVIQGKAEPSCSGEEG LRALLVCQAVRKALETGETIELDQTILEGNR AOR_1_436074 MPNRLGIGSMSLGRPGIHDLPTKLHQASQFGYKGIELFFDDLDH LAKLLFDGDHILAAHHVRQLCVSLGLSIICLQPFWHYEGLLDRTEHERLLTEKLPKWF ELARILDTDLIQIPSNFLPADAQTGQPRTTGDMSVIVSDLQKIADLGLQQSPPFRFVY EALAWGNHINKWEDSWEVVERVNRPNFGICLDTFNIAGRVYADPTSPTGKTPNAEADL QASIARLRTRIDLSKVFYVQIVDGERLSTPLDESHPFYVKGQPSRMNWSRNARLFAFE EDRGGYLPVLDVAKAFFDIGFEGWVSLELFNRSLADPDPSTPRNHAKRGFESWKKLVA ALKLNTGDASMVHGLDGTISPSTSALPVQHRL AOR_1_438074 MRDRLKHIFRSPLSLRRGQRPPSDSADHLQKSGCITALPLDTPA DSSTLPVADFWQKVLHRLSMEDQVLIQKHTTTRSTPCIVSDTPVLLLEEVKQKRELFE SRRWNVSLNGYTFRLSDIANKVMTWLEKLKAIGDIAVNADQIHSRLPWAGIRMLFLEA TADRETMGPLLVGLDKVLYLIDLCTVYELLYPYDPKIEHTYHNLEATVIEVYVLILQF LRTAILAYERSTKTSTSATFWTLDYINDYNARFEAIIPRIDYEAQNYINGQLDGLLCS GSALGAAASIGNRDIIKLLLSAGAQINQKHAGGIYGGALVASVANPEGRKATQLLLDS GAEINQELDCGIFGSALAAAAARGAGGYNSTISQSLLRAGANVNQALTSGNYGSALAA AASTPIGHETIKLLLASGANVNQRLIWGKYGSALAAASFGSPANTSLLLDAGADVNQL LTSGLYGSALAAAAYSQAKHSVQLLLNAGADVNQKLTAGLYGSALAAAVAKQGADEEI VQLLLDAGADVNQKLSSGLYSNVLEAARARTRRELYEILLGVVIGKNQRNFGYTSLEA GKYKDRKSA AOR_1_440074 MMGSTSEPNGCPLTALRYIAQSYNHADSQASALRLVLTLNPHWE GPENNIEFVRFTDGITNTLLKIINRKPGLTEEQIDNEAVLMRAYGNHTEILIDREREM KSHALLASYGLAPSLLARFQNGLLYRFIRGRPATHEDLVTASIWRGVARRLGQWHAVL PIRGAAAPAQSEAENVFLNSVDVHPSKHNIDFPLIKPKQSGPSMWAVLQKWILALPSA TDEQRKRRLGLQKELEWAVSELDDGNGIGEDGLVFAHCDLLCANVIAVPSSDAPVTSA GEPTTTVQFIDYEYATPSPAAFDIANHFAEWGGYDCDYNMMPTCAVRRQFLTEYVRSY TQHKGLPESSQKQIIDRLYEDVDRFRGIPGLYWGIWALIQAQISQIDFDYASYAETRL GEYYAWRRELDGSRAKAGEEMPLRERRWAQEV AOR_1_442074 MSKCVHKGCGKVFTDPEEPCVYHPGPPVFHEGQKGWKCCKPRVL TFDEFLEIPPCTTGKHSTVDDTPVEPPKKTDPAAPEIVAPQPVTAPVADSGVPRPTYS PAIAPPSNAATPAPEESESDDPSLEIPANATCRRKGCGANYNSSVSREEEKCVHHPGQ PIFHEGSKGWSCCKKRVLDFDDFLKIEGCKEKRGHLFVGKGKPAGEEKVESVRNDFYQ TPHSVNVSLYLKKIDKNQAKVEFSEKSIDLDLPTTDNKRYKDTYQLFAPINPEKSQFK VLGTKMELTLSKADGTSWPVLRSDDKWSGERIQIGQAGRV AOR_1_444074 MFPTAARLSQAVRVTLFTRVGCGLCDTAKHAVTQLHKRRPFEYS ELDIMVPTNKPWKDVYEFDVPVLHVQSVKGQLENGEADLSDPKKLFHRFTEQEVETLV DEAEKAKS AOR_1_446074 MTSQALPELEVLIISTPNNEGRLRSRGVRQSPDITQGDVVEVSS IDSDQSYHTAVLPQEEGLHLDDGGAQALQVVRLQRAVEERTGRAKDEDLTGDAPLLAQ IPMFTNGVIQPSISGSNGEDPPVQLSQETADHLVLSYLTREYANLPILDLLQFQSAYE TTRTEQDIAAAPSSFHGILNTIFSLSGLSTPDVNDEDVSSLFSRGQRMSRDMENSGSL CERIQSHILQSQYLYATGNSRLAWMFIGFAIRMAQVLGLHFKIGGQDTRGRRDRELAR RLWHSAMILERMIALKLGLPPQTSNPLKVPLPTHWDTDYTDFISGKTSVVTADRPSLI EFLTACARLYSHVEDILAWEDELRMQPNSCALKKLLSFDFKILLKVDTLLYDWQASLP TYLQNDAVGGIWDDPVVCRQRNILRARYLYVRLRLYRPLLALGLALSTKCTCRPEGRP HATKEEPSSSTSPVVFSLVRDASIKSVAVALELISLIHTHEDGPLDGRPDDSRRDLIS PCWENIDYTWVCGTVFLAARLSNFTSFNDNNSGTINEGEVETSLTRAIDMLNHYHSIR PNGKVARIAQLCCNTLKDLSDVIRRPDIAHPSTGPVAVLDENIRNRLLERTENGSPAL SWNRRQSVEDTRGYYGWIESLPIDLAGPLE AOR_1_448074 MRSGFHKPGAIPFLALLVFSLLSLLSLAKEWDFYNLRFGYIGYH HNDVRQPSRVRDGPLDVRSRIRTPGSQCKSWTINGYCLPDLDRVHRPRRSVPDTPRSS FEAATAFDPDSIAYLTEEPSTFARGVRAFKSLFIKQTGDSQISKPLATGSASSVASAP SSLTSNVSNLAVISAPPTAEALLPKSYGSNITATTQEAPSQSLQYPRSHLCCTQRASI ERQQCRTFDLVTFIFAIAC AOR_1_450074 MPARIKDPGNLYESKVQPSWDVLITLEPNVLDTLEEDPLFSFLV EKKEQLIGSNLDTGVNTRLQ AOR_1_452074 MAQYAMNMANVCDGFYVIDPNFLALWMASSEVDQKALGNFAALT SLENPFLSAMLYRVLGLSVMLSKKLLRARRLRRLDPSRETKSLQLYHHILWLSREGLL ILEEFVLPMVEGYVELKILAYKLRASFYHIFVLFHNQPAVHCPGITSFPSNGTKLNDA SKAELPTKEPGSRFSFRSNAESISVPEQPAYSSGNASRYTAIQGPPGLTPVQPPKASS FLLPALDYTPTATACFNHAALLAERFLPGSHPIRLSIKLEYAAYLYDCLHDSNACRRV AKQAIADVYKAQEAMDDESFADAAEIVGILGKMVKRGGKTSSTECSSTASATLRGGSS RSEIGDTPATVTPVPPVTASPKTSNDLPPAVPDPTMMNPI AOR_1_454074 MVWKEIPMLPILLFPIVSTLIGSVLAIPISDQQPLGNQLWHSNG DLYSIDKPPDSSSSRGLTGRFLHITDLHPDSHYKTGRSVDEDDACHWGKGPAGYFGAE GSECDSPFTLINETFRWIEKNLKGDIDFVIWTGDSARHDNDERIPRTEEEVSAMNEII ASKFIDTFKEGSSRTPSIPIVPTLGNNDFMPHNIFNDGPNRWTKKFVDIWAKFIPEHQ RHTFVEGGWFTSEVVPNRLAVISLNTMYFFDSNSAVDGCSAKSQPGFEHMEWLRVQLE LLRSRHMKAILIGHVPPARSGSKRSWDETCWQKYTLWVQQYRDIIVGTAYGHMNIDHF MLQDSHKVDILDASKDSASLAISADTSPLVSIQSRQSYLVDLREDWSKMPSPPPGMSA LHELFEDSSTEHTEDADPEVLVANKKKRKFLKKIGGPWAERYSVSLVSASVVPNYFPS LRVVEYNISGLVDATTWAESRDQDTAVASPSSDVIDDDDDDDDAFIEKKKKGKKKKKQ PHFKVPKPPSSSAPPGPAYSNQPFTWLGYTQYFANLSKINEHMTNSWEVAGDSINPTD TEVNEVRETSHNDNAFVFEVEYDTRNDPIYKMKDLTVRSFFELATRIAKESSKKNDFL ADSTNVDDYDDDDFERQKKKKKKKHQNKVWRTFFERAFVGYLDSDDLDDLSE AOR_1_456074 MSGFPTLKPAFTVRVNIDAPLAVGSASRSNPLQVVPMTGGTVKG DSGFSPALDAEFVGVGNDYIHADADGKHLRLNAHGVLKTKDDALLYLNYTGVVTLTPA EQAVFAGTASEGSTPFGNIFTHFTFETGDERYKDLENRVFVGQGRFNIESGKPVVEYR VSQVHHG AOR_1_458074 MRYQPRNDSSLEFLLPSSWGIKETQITIYNQLFNHSPNSTTIPA MDPNTSYPLQTNDPLFYLCWRRQSCGWCLQGDASCSWCSVTSTCVPNPARFPILAPLG SSNICPLGSKERWELRAMPFGCNVSTFTFLTAVVSVLGTLATVALGYFVLWATKRLRQ SWAQGKLGGGYTDWSAWEGRGVLRRRGVRTVGDVEGNSERTPLLDGD AOR_1_460074 MRFSATTLLITALGWMTAVTAHTIQLKAHSRECYHESLHKDDKM TVSFQVGDREFGGSGNLEIDFWVEDPLNNRQYYKQAISSEDYSFVAHADGKYVYCFSN EGWTSNSKEVSFNVHGIVYVPESEMPQDPLEVEVRRLSEALAQVKDEQSYIVVRERVH RNTAESTNARVKWWSIFQLAVLIGEGIFQVWWLKRFFEVKRVV AOR_1_462074 MPVLIVDGERYRVPFMPFFRGYRTNNERWLTYNAKIRGKVTPEA PGSTKWSAQDDITLQDLRNCNIPWKYISAAMNNKPIEELKERWLNLRDGITQEIVAKP RRETNQMHFVCELPGKVGRNVSFSDPLATNDNADDYLIASRPSKVKHVLYTDENFDLE DVLLLHAIAAKWERDKWLAVSTQFNDRTGRSITPDEAKSMIDPEEVWDCDCHWGT AOR_1_464074 MRTAGLLSLLLAAIPAVTAERGTLGLALGNKNPDGTCKSTSDYE ADFDALKSLTTLVRTYSANDCNTAVNIVPAAKNKQFKVVLGVWADYDESFNNDFNVLK QIVPGNEDVIPSITVGSETLYRKGLTAQQLLDKIRTVQNAFPKVNVGTVDSWNIFNDG TADPIIQGGVTYFLANGFAYWQGTDIDHATETYWNDTRLAKEHIERIAGNNQDKIIFG NGETGWPTDGGSDYGNAKASTQNAERYWKDAVCAMLTWGVDVFYFEAFDESWKPKSIG DNGEEKDETHWGLFTADRKAKFDLSCPN AOR_1_466074 MPLDLDPSVSFANSAHSPPLHSIDTSRLRDDSLLAAPLTSSFTS PGASSLSTTGSPGRRNSFKGTSITSNPPSTLPTLPKYRAAWDSSTSSPENRKAESSIY YTTAWGSPYAAPSPRRLSWSLSQHAGIGRGSRDSSPTSMRSGIYNGSETNNPELVLTS APEEPTTHLHDSIRNRSPGRDIFGRRGGKSIKDFTQDWINQYLSGQPRTERSNWLSDD SGSEAPSFFTAQNHFADDLSDDWLGFEQDKREEDLLRTPTLADFANRGAGTGNGEGSA ARQRTKEYLHRRAETLRQEDFWGFAYDKDPQTITMADTKEVQLPTEPGAKALPSVEKP LPPPPADALNENPLAMENTTNAEAKAVKTPVSDKPAQRIRKKVAWRGKACFVGLPPED KRGSEESGHRLLTVEDVNRRLKSWEEKGYDVRGFSVGASEDYLNTELGGLSRPTWPDA TEVQEEWNSRSYTVCFPNKAVWDAYVNFLQEEKLRALGVFLGDDEVQPSVSPASAAMS QMAPFPGLVSSPPIPTASAASNPLSLSHPFSPQLSQSTNARNGMGSLTSPASQFGVQT PFLGVDQNLLPGYHIPFQPTPPAQGSLTPQSFFNARQAGAASTLAGTLPNLTSILSPV SPLNEQNAFHPGLNEQSGLPKETFGDNMGYDMQDNMTEGQLLRPIRTPTENPDNFHAS TVEIAHPTPRGHSRGHNLSETLQKGLDQYAQSEYHLEESINRQLDEGDREPHGFNSSD ILKSRWALPENTNHDIQHLPQHVHQYYGEAYPGDNAQEGSDIDTNPSLSGTPHGPLAN HIPWHEPKPSAGSYGGGHRSKLSSSTLNVDAKEFDPTAPSSQAFQYQDNSFQFPAAGH PMFAFGSGAGFKQPAGSFNVTAPSFTPGSFNVAAPVFNPTNNHYSADPEQSSGGRTKI FDDVDLSQAQKLTKKSKAIPIVRPDDNEANQYNEEAAENENGRSAAPTDRHKRARRSL EGPDGEAQISVSHALSENINAQATQSSNTTHVSAGGKENTSPDKDGVNSVEREVVPAQ DRKPQERKDTPVSEASTWMPSDTKDESADARADTLEQNQAATTQPGPEEAGDEKTDPK QPLAVEAGTPFIVPKEKEQPMEETTDTRLNGSVLSADAKPFEFKPSVPDFIPVVVEQP KTSPEDVVKKGDIMASQNAVASSPAAHKEDVVPIEPTQSGTDPSGDELRTGAPQSERG VGRESEEESMDDAELNAVMEQLNEDSDVGIERLSTPHPTNRFPESVLGPSKEKRHVHA EIRSEAPSPSPGRGQMSQALNVPKLDFDAQSQFSATPSKNFASTIHSPIRQLVSRNDH ISDWDSVISSGEDEKLANRSRFFDRRIHELVGSVVEERLSPLERALIAIENSISTITF GGSQNKWSWSASVEGEESDADDEEEYEEDASYRERSPARQRGRKFDKLKNVVLEALAS RDAQHAAEKPANSEFAQLQQSVTDLQALTLKKLSQDSTTDLREMIEEVVSAQFSQQKP RASEAEEIGADSLMLQIDGLKEMLRVSDERAEEEYKKRREAQDSVVELQHLLKVSEDN AARHSEAAESAETRLLQFKEEKIPYFEQVQSRSDALEQEHAKLKLTLAEISSKNISLE GTLDEYRFSSDHWKQESEQSKAQIEEMKTENKDLRVTIDHMKSRIEDGLSVRQNLSEK FDRLQDEMATVTRDITRDQASWRKRQEEHVARYNELQAAYNREVKLREKLEVDISELE QQEREAAKLKFIFGQSQQENARLEELVANLRIENHDLEIKAARFEREFNEARESSRVE IQRTRSSLETDVEAANSQVNIVRAELEAQILRLQGQLDSVRLDSDTAQQRYEMLLEEA NETKASAVASMANTHELAMEEQRKLHERILNDLRERHARALHNASEDRQRAESHLTER LELSEDKAKHLQDRVHHLEERLEIAQSAARAAAEAAQAAQAAKAAPVASSSAHSTSPS LSFSEGTMVPEKISPQALRESILVLQDQLQQRETRIEELEQEVASFDKDAPNKLKEKD TEITWLRELLGVRIDDLQDIIRTLSQPSFDHNTVRDAAIRLKANLQMQQQEKERASSG QSFPSFPSLSELTVSPRSLPLAAAAAWGNWRRGRETPNTGTSEQTPSKPSNATAFLSG LLTPPGSNARQATPNATAPVTRGWRQPSESRPLRGYDTTPRQTSARASRMQELPRTPP LLRRSSYDHDAEPTDYGEGSWAEENESTADGLVSASPKETGDGPFGPQIAS AOR_1_468074 MAAYLPLEIIQSILLHLDVETYVAARQACRSWRYAASAPYMFRK ALQQVPVSLPPTITTLTQDQWTTYLAQITRLNLLHCRQNIQKSVTQRELPLECSPTTV VGSSSDGRKLVTLKGGRVTVYERANLTSPWEYSRGITLCSQWTSVARAMLEGGTAGCM SINQRYAKQSIAVSSQGDLIAVGLGRTIQIYSLHKDQNLLSPAEYVLGQDNSLASTTR GHYEDTDGVVDTLEFADDDTLLRVSIHKETTIHQPTRVRYLGCPDLAHSQPDLNYWRA NINRIYLDSAALSVALAGQDEYRLIFRGLRLLPPSFPATQQQEQEDQQTSPPTPRYFV ASLQSGVIHSYCIGLATPLTSNSAQTVKVHRLLPSAYFRPGDGITGSRTEELPPLNPP LPSSRCDEQHITYNLLDTVPRWNAANLPSATFSSPLLSISTDHKILALYEPSGNYSPA IVSGGSLYLYCLENCHPVYQPGPQRFKQPTQKTSLPHQRATGPEDKSSVDARYPPPDI VPSWPFLLDKVPVDIDSLEVKRPTHDDGVVNSKSCAYTVTAHSGGQVLEWRMSA AOR_1_470074 MGRLSYPQELDSPSRQLVLELARDLEQLRVHNTELKKVKAYERR SFYESLDRIDSELEAQHNEALDKVAKLHDQVLEEAEETLRVHQRAVEEENRRKEEEAR KEAERIEREKAERLRREQEEAARREAERKAAEEARKKAEAEAERQRRAAQEEKERKEQ ERLEEENRKRQAEAHKAEQEAARLKAEAAQKSREEQQKKVGGARLTEEEINVQARYVE LHQHLKKFRQYLKDEGKSNMVVKQNMGDMRRSIKKCVGQLREGKGTNKGQLQEIRATL EKAASIPEPSVDIRQFMAFPPEDIANSDDNKVPALLIYALNIFSKSLISSLITEASIN PGHAEPVGIVAAQIFSTDAFIYKGHHMVDILWAKYRVVCPALWGFYGNEKTEAGRRAL GWWREAPGGPFISEQVHMDRMTALGAGFAALTLRNFGKTPRKNPFPNHMFWLAMHKIL MIPPSEIQETHVILLSAMLKSSAERIVGFFGHIGLALMRKAIVDLPSSVPRQSMGVNQ LKLLKDLYKREKNIII AOR_1_472074 MDQQGQSGVPGPAGRKLHIAHRRSPSELTPLMMEQLAIQQQIEL LQQQQQQIAATHQQYVNMGLLQPQQLGQVSAFSPSLQGGASMGGVSPQINAFQFPQIP QQQLGVPMNSPGQHSHRRNQSALPGLGLGPPPAPSSGASGYSDYNQPQGNQPKNDNGG HGRGRGGPPGGGHQRRHSLALPEAKKAAELAQQKRTASGFQFPAPGAGAESSDNAAGS DDKSTASTTPGPQGLGLHRAGNIRAGGHGRSQSMAVGSNRGSLSGRGAGGFQFPSSND SENPRRGSAHARTSSRNFDGNWRQPNNQNQGQDQQKSFAQQGSGFQPGHRARASMNQS IGSIGSFQYPGQPQLIQLPQGQVVMAPPQMFGGGQQLNPLQLAQLQALQQNGQLNGQG LGLQASQHAPPQLSAQQQQQQQQQQRKTLFTPYLPQANLPALLSNGQLVAGILRVNKK NRSDAYVTSPDLDADIFICGSKDRNRALEGDYVAVELLDVDEVWSQKREKEEKKKRKD ITDARANNNAGTDKLSRSDSGANGDRQEVGPDGSIRRRGSLRQRPTQKKNDDVEVEGQ SLLLVEEDEISDEQKPLFAGHVVAVIERIAGQMFSGTLGLLRPSSQATKEKQEAERLA RDGPHGRSQHERQQDKPKIVWFKPTDKRVPLIAIPTEQAPRDFVEKHQDYANRIFVAC IKRWPITSLHPFGTLVEQLGEMGDLKVETEALLRDNNFGSDEFSDAVLKSIGWEDWSV ASQGEALLASRRDFRQETTFSIVPSGTKELENAYHFRPLGDGKVEIGIHVTDIAHFVK GNSLVDREAKKRGTAVYLVDRLVNMLPRRISTELCSLLPGEDRLTVSVVLKANPETGA IDDDVWIGKGIIKSAGRLSYEEVDAVVQGASDLSVPEIKADTLRALYAVASKFREARF GNRVAHIPPLRLLHQMDDENVPVEYNIFNSSPVRDIVEELSHKADFFVARKLVAALPE KAFLRRQPSPNVRRLHLFIDRMNRLGFDLDPTSSGTLQSSLCKVQDDDLRKGMETLFV KAMQRAKYYVASSVQDEQRHHYTLNLPVYTHFTNPSRRYADIIVHRQLEAILSNGAIE FSDDIETLNKAADVCNNKKDSAHNAQEQSVQIEACRNMDKKRQEIGGDLISEGIVLCV YESAFDVLIPEFGFEKRVHCDQLPLKKAEFRKDSRVLELYWEKGVPSSAYIPEDERPK PANSRAAQAAAAAREAEAARERAREREEAMRKQTETGTISTNDVDALFDDDDDDVSEV TEMAAGVSLNSSADRSTQSMPPSPTRNGHLQQSPHRTRSDPKIATISGDAPEAKLTNK EKYLQLFKLREEDGEYIQDVTEMTRVPIILKTDLSKSPPCLTIRSVNPYAL AOR_1_474074 MIVSRIERSQSSLKRLAADHAALHDDLPPNYLFPSEDSSSDDLT QLTTLLAGPQGTPYSQGLWRVHLKMPDDYPKSPPKATFKTRIWHPNVEELTGAVCVDT LKRDWKATLTLKDVLVTISCLLIYPNPDSALNSAAGALLQENYEAFARQAKLMTSIHA PVPTDLKSAAAEAKTKGEDAGTTIPEQEEPRLLRSRKGTRVQSVTMKKKTTRKNGERA SSRSQRQSNSPGPETPAEEQQSEIHREADSTISDDESENLSNASKENDPALSPSPVKF APPSPRKNALGKRPLSVLTLPLDTDPFAMDPDDSDLEGMTASEKNIAANNYGGSPERD PFPQRKSPKLSVRSKGVNSSGRIREEVKIFEDASEPLDFDRCHSGDGKENHGSLAGPK GLGVASRQALPTCPPTSLAPSSTSAPSSSKTSKAVSGYNRAHD AOR_1_476074 MRATFILAAFAAVAAAQTSSAVESPSDVETTGVAETGSATATAT ATGGAETGSTVIPTVTSQPVIPSGTATEAPTTPTGSGAESSGAVTGSSSAGSSTLVTK SSSAAKSSSATATETSTKTKSTDESTSTKGSSSKSSAAASSTSEGAAVPMATAGPLGL IAGAAIAALL AOR_1_478074 MGLISRLVTFFGLVLLAHAGYSAHEHTVLYSNARLSASTALPQD IVIEALVSLVLVSVGLVLGAPKLKPISWSQWAGEIEKEGGGRNPYRRLEERYNFWDVR AKRKEFADWVKGENPLNE AOR_1_480074 MGFTDFVSEAGLTVANNWFATRSYVIGDAPSQADVVTFKAFSGA PDAEKYPHVARWYKHIASYEAEFGSLPGDASKAYTTYGPEATELPTNPKDKPADEDDD MDLFGSDDEEEDPEVARKHAENLAAYKAKKEAKGPKPAAKSIVTLDVKPWDDETNLEE MEANVRAIEKDGLVWSASKWVPIGFGIKKLQINLVVEDEKVSLDELQEQIQEDEDHVQ STDIAAMQKL AOR_1_482074 MLGVAGVYSHPIVSRMEDLDCHGFKVAARSWSTAALPSAIIAKP YISPYSTNTFTSAHPVSPARNYASGRPHPPGGTHRMNLGGEPEKSALEQFGVDLTAKA KAGKLDPVIGRDAEIHRTIQVLSRRTKNNPVLIGAAGTGKTAVLEGLAQRIVQGDVPE SIKDKRVISLDLGALIAGAKFRGDFEERLKSVLKEVEEAQGGVILFIDELHTLLGLGK AEGSIDASNLLKPALSRGELQCCGATTLNEYRLIEKDVALARRFQPIMVGEPSVPATI SILRGIKNKYEVHHGVRITDGSLVAAATYSNRYITDRFLPDKAIDLVDEAASALRLQQ ESKPDVIRELDRDITTIQIELESLRKETDVSSRERREKLQEDLKAKQEEAAKLTETWE KEKAEIETLKRTKEDLERARFELEQAQREGNFAKAGELRYSTIPSLEAKLPKEGEEQV AGSQSLIHDSVTADDIANVVSRTTGIPVNKLMAGEVEKLIRMEDTLRQSVRGQDEALS AVANAVRMQRAGLSGENRPLASFMFLGPTGVGKTELCKKMAEFLFSTETAVVRFDMSE FQEKHTISRLIGSPAGYVGYDDAGQLTEAVRRKPYAVLLFDEFEKAHRDISALLLQVL DEGFLTDAQGHKVDFRNTLIVLTSNLGADILVGSDPLHTLKQTDNDEISPDTKKAVMD VVQHAYPPEFLNRIDEFIIFKRLSREALRDIVDIRLKELQSRLDDRRMTLQVDSEIKD WLCEKGYDPRYGARPLNRLIAKEIGNRLADKIIRGEVTSGQTAHVSFNADKSGLEVTA EGTKTEDATNATYPTETENP AOR_1_484074 MENRTKKRRTTYHSYATPHSSHSRFRGTRSSTSANRPSSSQRPP RPPVLRQPLSNDSRNYLSGGLIQQLTDDDLEQVMVAIDIRESGTVGCSYYSAQEETMY LLGDMQSAGTEILDSLVIQIKPTVLLLSPRVDYLGPQDTDESEQGNSSQSYLPYHLDV RPSQEFSYLNAKNKLAALAISSEHEQRIRFLVPHNGLVDPEQMDTEGLDFTLQDGRFL HMRSSIDMENKVTIGCAGAVLTHLQRRRTTISTSSDETSSYFQVRAVEMLSLQGTMFL SGRTLLALQILESESHPSMVNQGPGRKSSSSKEGLSVYGLFQRFAYSPQGRHRLKQIF LRPSIDTDVIRERHAFISVYLRPDNNDPLSKLTRSLKHIKNLRPVMINLRKGISTGSG KITGFKTTVWATLLAFAFYGIDIHEALKETASGNELPLLQKTLRVLEAALLYKVDIDS TEEQGRTVVKPGLDRELDKLKDSYDGLSSLLKQVAIDIASTIPENLDIDVNVIYFPQL GFNIAIPLNERAEAAFTGSDDDWELIFVTENRAYFKDFRMREMDEKLGDIYGLICEKE IEIVYELAQKVLQYEKVLLEASDICGHIDSLLAMSQAASFYRLVRPKIVRENVISIKG GRHILQELTVSSYVPNDTLLVGGKLGVWAPCSSSKSQTALEAWGTPSMLLLTGPNYSG KSVYMKQVALIIYLAQVGSFVPAESVEMGIVDKILVKSNSQDSVSQMQSTFMNDLQQI SFDLKQMTERSLLIIDEFGKGTSESDGIGLICGILKHLLIVENAPKVIAATHFHEILE NEFLKPGPRLLLGHMEVQVCEESCNAEDQITYLYNFHLGRSDKSYGPICAALNGINET IVERANELVSLAIRGENLTAACAMLSAEDMHDLEEADMLARNFLGLDLSTEGCDEAVS DMLNTLVGETDGRL AOR_1_486074 MGKRKASGRPAARKESAPERTKFSIEERFDDSEDEFQTGRDHVL LEEEPEAKRRRKVIQEEEMLQPSDEEILGYESVDEDDLDDDEDMDEEHDYGEEDEIDD EELLRPRTKRGGAAGSDSEDEDEDGIAAWGSSKKDLYNADQIETEADALEEEEEAKRL QQKHLQAMNEADFGFDETEWVESGKGQEDGEGDAGEVTEVLPQLEITDDMSTDEKLKI LKSRYPEFEPLAKDFINLQTTHRTLAEAAKAAKTTKDEVPEVAPVAVIKFRALSAYLG TFTMYLMLLTSSRDASGNPAPLSPAQLRSHPVMGALVKFRKLWESVKDLTAPEVSDVE EDMDSEGEESDAPVSKKQSENKEVQVPKKKKEKVSKAQRAAEAAQAEAEARRAQRLRE TEANLADLSNLVTKSGKKKSTQKAKQSSKAADDSDFGDEDALTAKEAEEKANQKRSLR FYTSQLAQKANKRTAAGRDAGGDADIPYRERLRDRQARLNAEAEKRGRQKPKESEQLG GDSDDEDHRVANELRGDQGGSDDDDYYDMIAARSKQRKDDKKARAEAYAAAAREGGEV AIQEEIGPDGKRAITYQIEKNKGLAAKRSKDSRNPRVKKRKKFEEKKKKLGSIRQLYK GGEGRGGYGGELTGIKKNLVKSVKL AOR_1_488074 MHQVLSFIPNDQSPTKLGVSVILCGFFLYTFTKMMLGFGPQQNE FVVEGRTVVITGGSEGMGKAVACQLAAKGANVVLVARTVKKLQDALDDVKASAANLDR QKFHYISADLTNAAQCEQIIEEVTEWNYGLPPDVVWCCAGYCNPGFFVDTPVQTLRDQ MDTVYWTAANTAHATLRKWLVPVPPSQQTTNPRRHLIFTCSTLAFVPIAGYGPYSPAK AAIRSLSDTLNQEIEMYNGTRQSKSQINATPADVKVHTVFPMGILSPGFDNEQKLKPE LTKQLEAADKPQTPNEVARIAIAALERGEYLITTMFVGHVMKGSAMGGSPRNSTVRDT LTSWLSSLAFLQVVPDLRKQAWNWGMKNGIPSSRTSE AOR_1_490074 MDALLSLPVLSLFLVPTISSYSTSLNIIFFYMTWTTLVLSHPPL RVELFGTAAVRLLFFLLPSLLFFLFDILTPSAAVVVKAQGEAGLPSGSKRGKVRMKDL KVAGWAILNILLGFAAQAAIEEVRTELIGMRSALRVSMKLPMPWEMVKDLLRGLLARE ILTYNIHRFILHSPDYQVSKHHRKWYHSLRAPFPLTAHYDHPLAYLLSNFVPTYMPAM FFRFHMLTYLLYLTVISIEETFAFSGYSVMPTSFFLGGIARRMDMHLLSGAEGNFGPW GILDWICGTTVGGDEDEEELEEALSEKEMIDEQIRRAIEESTRKRRDERYKLRRRRND Y AOR_1_492074 MSETKQFGKGQRTVPAQKAQKWYPVDDESQPKKVRKAVRPTKLR ESLQPGTILILLAGRFRGKRVVLLKHLDQGVLLVTGPFKINGVPLRRVNARYVIATST RIDISGVDAQTVEKVSTPDYFTKEKKAEKKTEEAFFKQGEKPEKKKVASARASDQKAI DQSILASVKKENFLGSYLASTFSLRNGDKPHEMKW AOR_1_494074 MSITPIITFKAGICDLETSGDNSTVKPKPTPGYIYLYSEDELVH FCWRPRTAPHTEPELDLVMVPSDGTFTPYKPAGNANPTNGRIYVLKFSSSSQRYLFWL QSKSQHEGEDPSWFSPRDLKLGDIVNTLLQGEDVDVEHEIANLPRGPSDGDDETMEDV EGVDHNPNHNHGGNSGGAGPDATGGDIREEGEESREGGADGGRAAAADSDPSSVVQSF LQSLQRNSSQSQDPDKPFTTLQDLLPPAATLPFLEAADDKTVDNLLSFLPPALLLLAQ DVEDVSSIDDPELTEAVMASLDLSQKKNILRKVLHSPQFSQSLASLTVAIRDGGLPSI SEALKIPVENGGFMRRGGVPLGGGDAVEAFLQGVRNHVKDSDKENQMETD AOR_1_494074 MSITPIITFKAGICDLETSGDNSTVKPKPTPGYIYLYSEDELVH FCWRPRTAPHTEPELDLVMVPSDGTFTPYKPAGNANPTNGRIYVLKFSSSSQRYLFWL QSKSQHEGEDPSWFSPRDLKLGDIVNTLLQGEDVDVEHEIANLPRGPSDGDDETMEDV EGVDHNPNHNHGGNSGGAGPDATGGDIREEGEESREGGADGGRA AOR_1_496074 MASNSHDRGTNDDSHPTEHSIPLQDLSGSLSHGTTATVGSSFRP GRSLTRRGSNYERLAVDSPVEGPTATQNARQRRGSDAAPVDNPEAFAQAMSSVGLSFD GPTSPRTSARYSRDETGSDFDIVHLDSFGQQEAAHYLSPNTFTDTTPLTDTRNVQPIS GAASSSLSLPLDDRSSARTVHFPTSNAGSHLGDDLEGGFSGRRRGGSSATDRARSLSP SASGSALQRASSMMKSMSQRVVNLSNEPEVVEQSILREESQRSARLDAPPSLPSLPGY AHDAPSTSSLDTQAKWRDHNNPLRGKALGILGPSNPIRVKLCDLLVHSFTEPFILVVI VIQMILLTIETARPEFTRSERWGGNPMDYPYFVIFIIYTLELIAKILVSGLILNPAEY STIDRSLGFRKAFMEKGKNLITPQRQFSTRRPSTVPEQPQASIIRTFTGGLNQLDRQI ADDPVQKRRVILRSLKKAAPLLAHVAFLIGFFWLLFAIVGIQSFKSSFRRTCQWIGKD GQESFTLNDPNGSLQFCGGYINETTGKEHPWIPVSDNSQSPPSAKGYLCPAGSICIEN EMPYKGTMNFDNILNSLELVFVIMSSNTFTDLLYYTTDTDYLAAALFFVCGFIILSLW LVNLLVAVITHTFQVIREESKRSAFAVQKLDNVEKENLDQRKRSTLKRFYDKTEWLWV CVITFDLVVQALRTSTMSPGRENLINNTETIVTLILLAEIIMRFASDWRKFHKKRRNW VDLGLVIITCIIQLPPIRDSGRTYTVLTMFQILRVYRVVLAIPITRDLITVVFRNTVG LLNLIGFLFMITFIASIFATQLFRGSIPEDEDVDINFNNIYNSFLGMYQILSSENWTE ILYNLTTYTYPYSTAWISATFLILWFIVANFIVLNMFIAVIQESFDVSEDEKRLQQVR AFLKQKHLNGSSQGNLSLSKILRLGRNSDRYKEPLDYGPAALEMLLKDAVVQEFLDEQ HAPAEHQRGESGPAETTTTEETGQPGIFSRMWTAISTSIMRKEPNPFYTNLNKYSRAN EELDPREMAKEVVSATEQRKRAQREYLMRHPNYNKSLFIFAPDNPIRRLCQRIVGPGR GHQRIEGVDPYKPVWYAFSAFVYAAIIAMVLIACITTPIYQRENYPTRRLWFVYTDMG FAILFTLEALIKVIADGFFWTPNAYFRGSWGFIDGVVLITLWINVGGAMFEDWAVSRS VGAVKALRALRLLNVSDSAKDTFHSVIIVGGWKVIEAAAVSMSFLIPFAIYGVNLFAG QMVTCNDDNVTGSLDECINEYSSSPYNWEVLAPRAASNPFYDFDNFFDSLFILFQIVS QEGWTDVQAKAMSITGVGMQPQSSTAPENGLFFVVFNLLGAVFVLTLFVSVFMRNYTE QTGVAYLTAEQRSWLELRKLLKQVSPSKRSFDKKSHKWKMWCYRIAVKKHGRWARCVS TILVLHILLLVLEYYPEPFIFEFCREVLFLIFNFFYAANLIIRLFGLDRQVIVELSKL FLVAVTLLIIPRNNQLDQLFKTAAASLTAIGNLLATWLVLFLVFAIAMNQTFGLTKFG GEENNNINFRDIPRSLILLFRMSCGEGWNQVMEDFATMVPPICTTNDDFLHDDCGSAA WARTLFIAWNIISMYIFVSLFVSLIFESFSYVYQRSSGLYAISREEIRRFKQAWATYD PDGTGYISKEQFPRLLGELSGVFSMRIYDDEFTIGRILEECRVDRRDSLIAHRRVVDG VDLEKMAKILRRIPVDDVRERRQRLNTFYEEVKVSADPVRGISFHSCLMILAHYNVIN DSKSLKLEEFLRRRARLQRVEEAVRRQTVIGFFDTLYWSREFRRQVERSRSARMTAVP NFTVPEIFVDDGSQDDHPHEEVRATVPEAGDDHGESSSQPMLSPLSPTRGEGGPSSQP GHLPRIDTALAGRMSATHSPTEWSSISPSLSPNRPRANTTSSYGDGPDLHDELSLAPE RSRQNSAMSAQDVMQSLDDSIWGESIRRSFTQRRRRDS AOR_1_498074 MRPNLNSTPGLSLHTSFQPPQDRVCSDGYSTASPLSSTTNSPTS SPTSPFRRFNRGYRPTSGSRSDASDAGSRSQSPFRLSSVFRRPSLVFIRHRPSKVDLA LSEERTRCDEDAIERQGLDLMEPRPVDPVGIPMDLNANIFSSVAGDRSSGQSQASQVS QPRFVMGGIFEVMEGRA AOR_1_500074 MPVKMVIDLTGDSDSEDQIRSQRKPSAPSVESSAASSSVPHQNN LPNGSTIATTKLQSSTPSPSPVIKEQSLPARAISVVVPSPSSQLKKEIESAKWASSSQ SCTPELKGVLEKFYPTNAHVERGRKGAYPAARKVNRAAIPLPTGTPGPILQKRPEALD QQYRTLERKLSTIKGPKITFAPADGPKLAKVTANFQFINSYKLHKGVSPAPAEFIGGC SCGKHCDPERCPCSEKEDDSTENIIPYQRAKDRPDLLVLTPEFLRRTAMIFECGAQCA CDKSCWNRVVQRGRTVELEIFYTGNRGFGLRSPRYIREGQFIDCYLGEVITKQHADIR EETAVKNGHSYLFGLDFSPEVDEEDIYVVDGQRFGCATRFMNHSCKPNCRMFTVTNTI GDERLYNLAFFALKDIPPMTELTFDYNPGTERSEKVDSSVVACLCGEDNCRGQLWPSK RKGTK AOR_1_502074 MSTTAPSSASPSVVRSHSTTSRPPSYRPVVSSDNPHRTRSVAVR PATAHQSSPQHHHHHSQHYHSHSHSKSQSYDRRPPSNQAVFDNIARRDLEHPVSTRRS NSRERSQERPSTAYRAEPPSNKHHRNLSVQGHQRDSIDMAAAGPVMAEGVAGPQQIAS GSRLHPGTMPSKRRTTITTPSGQWALGKTLGAGSMGKVKVGKNIETGEQVAVKIVPRQ STEEHRSSRDAERADRSKEIRTAREAAIVSLVNHPYICGMRDVVRTNYHWYMLFELVN GGQMLDYIISHGKLKEKQARKFARQIAGALDYCHRNSIVHRDLKIENILISKTGDIKI IDFGLSNLFSPRSLLKTFCGSLYFAAPELLQARQYTGPEVDVWSFGIVLYVLVCGKVP FDDQSMPKLHAKIKQGVFEFPQGLSAECRSIISRMLVTDPKQRASLAEIMNHPWMNKG YSGPPENYLPHREPLQLPLDPEVIEKMTGFDFGSPEYITAQLTKILESEDYQHAVRTS IREHPAPNHGEKKRGMFDFYKRRNSTSRDTLSAPSAEAVQLGNDPLNAYSPLLSVYYL VKEKLEKERAEKSPGALGVPHSAGDAMLQMPDLPAPEAAHTNQYQVPGEKDNTRRARP RARTHGDDDLAEGVKNLNLAPGQGSPAPTASQPETPVKKESTAAGILRRFSTRRTKDR GRDPDRGRVSSPHAPSLNVQPPADSASPLSRGFSMRRARRAEPTPANIPSVGSQPQHQ DLLKAPGSQEPASRSNKSLGRSTSVNSADYRARRAARRNDQDGAGQPPPTSGSDYSSA SAHKDQTPQKETRTGRTHASRTMSLGHARRESIQARRARRDAAREANVPEETDADISG AGTALESANEGEDLSKPVYLKGLFSVSTTSSKPLPVIRADIIRVLRQLSVDYDEIKGG FSCCHTPSIEIDKVVDVGPPSPDRQGHVSNHRRRISFGGFLGHDDGKEEIRHTPRSQR RTRAPDHSFVTNSEASEEYLAARDNNVVVGERVMGETTTRVQSDTGENLVLRFEILIV KVPLFSLHGIQFKKVSGGMWQYREMAKKILDALKL AOR_1_504074 MSGKRARAAFEADLQTQESPYAFYGTPLPPLDAGVRDDGSYVPI WKQEVTDDRGRKRLHGAFTGGFSAGYFNTVGSKEGWTPSTFVSSRQSRAKDARQQRVE DFMDEEDIREAEESRNLHITDEFSGFGSTDVDANRRGGLMDLFRSGGETMGVKLLKRM GWKEGQGVGPKVRRRAHLGDDAAYSSGSTDKTYLFAPENSRMVSFTHKTDHKGLGFEG ESRLGSQKAGGDGSDEDADPFFAQRLTGQGISKRSAQKGPRRGAFGVGVLNDTGSDDE DPYSLGPQISYNRVIGKDKKKKKKLLEDVKPKVASNPLLTNKPVFISKKAIAGRNSTG FRKCHDGRLPLDGFVLADGVSSLTISAQEKKYAPPEVPKDWKCSKTPSKERDASKYVS TAEAAKASSLDPTSRAALLGEAQLPGKSIFDWMTPEARERIVKITGKTDLPPALGEKA PEGYEMSEAQKRKDLWDLVPKLDKQVAVQALTRAASGWMPYSEDPDKRSRYRTFLQVR AGLRESLPDRVSGSSTDEWVAELHEFARAAEVFKPMSGAMASRFTSASSGPKGSSDEA ESSADSLLQNPAKKPEDPAVAAAKIGMFGPMTRSNISFYPTRLLCKRLNVKPPDHVQS NPSDPAKPSDTAPGGRFQSAGYQTTGPKELVPQEVMDQLLLEAGTSSGAVEKPKPIVV EPERNEALEAERPGEEIFKAIFGSDDEDDES AOR_1_506074 MGCCLSTSRDNRSAYATQTATEERRPEASSRGVSSTTAAAIPSN SARVVIRSSPDHLPLNENFNAPIRRHVWYSKRRLWNRAQLDQERKEFFETRVTGKPEI WAALSAAISLMHTGDLTTAQSIIDAAGVTVPTGDLCQGAYDEQGVLYRLPQCIVSDPE NLVKSNLGEDDFDTDDGKLSLDEESGDELIADDAERRRDEKGKVSERDLIRVKARLSD RGGPDMVVTVGKTQNVAYIARKLQQEAEIPKTQRVKIAYLGKILKEHVPLVDQGWKQG NVINALVVARPSPSC AOR_1_508074 MTEEKRTGSSRSSSIHEDVTDMRQQYKRRGSKFDDASVFESINP ENRAELTRIASNFPLQRRATGSQESEARLQRKDTLEDIGLDHPSLDPTSGQFDQYKWT RMRLKLMDKEGIPRPPSTGVVFQNLNVSGSGSALQYQSTVGSILLEPFRPSGWLSFAK KSPEKHILRNFDGLLKSGEMLIVLGRPGSGCSTFLKTLCGQLHGLKLRKSSEIQYNGV SMEKMHKEFKGEVLYNQEVDKHFPHLTVGQTLEFAAAARTPENRLLGLKRQQFAKHIT KVAMAVFGLLHTYNTKVGDDYIRGVSGGERKRVSIAEMALSGAPMGAWDNSTRGLDSA SALEFVKALRLSSNLVGTSHAVAIYQASQAIYDVFDKAIVLYEGREIYFGPCDEARDY FTGMGWHCPPRQTTGDFLTAVTNPQERQARDGMENKVPRTPDDFEKYWKKSPQYAALQ QEIDEYHMEYPVGGEAEQSFGEMKRVKQAKHVRPESPYIISIPMQVKLCTIRAYQRLW NDKPSTLTTVLGRIFMALIIGSMYFGTPTASAGFYSKGAALFFAVLMNALISITEINS LYDQRPIVEKQASYAFVHPFTEAFGGIVSDIPVKFVSAVIFNIIFYFLAGLRYEPSQF FIFFLFTFLSTLAMSGIFRTLAAATKTLAQAMAMAGVLVLAIVIYTGFVIPVPQMHDI PWFSWIRWINPIFYTFESMIANEFHGRQFICSQFVPAYPSLSGDSFICSVRGAVAGER TVSGDAFIESQYTYTYTHEWRNLGILIGFWIFFSVIYLLATEINSQTSSKAEFLVFRR GHVPAHMRDLDKTQGDSGSTEVAQSHKEKETENAASVIPKQRSIFTWRNVCYDIPVKG GQRRLLDHVSGWVKPGTLTALMGVSGAGKTTLLDVLAKRVSIGVVTGDMLVDGKTLDN SFQRKTGYVQQQDLHLATTTVREALRFSALLRQPKSVSRKEKYDYVEEVIEMLNMQDF AGAIVGTPGEGLNVEQRKLLTIGVELAAKPELLIFLDEPTSGLDSQSSWSIVAFLRKL ADHGQAVLSTIHQPSALLFQQFDRLLFLAKGGKTVYFGEIGDQSRTLLDYFEGNGARA CGPEENPAEYMLEIIGAGASGKASKDWSAVWNESPESSNVQKEIDRIYQERASASNGS DDTHHGKPAEYAMPFMYQLWYVTHRVFQQYWREPAYVWAKILLATLSSLFIGFTFFKP NSSQQGFQDILFSAFMLTSIFSTLVQQIMPKFVVQRSLYEVRERPSKAYSWAAFLIAN VIVEIPYQILAGVISWACYYYPIYGANQASQRQGLMLLFIVQFYIFTSTFATFIISAL PDAETGGTIATLLFIMATTFNGVMQPPNALPGFWIFMYRVSPLTYLIAGMTATGLHGR AIRCDTAELSVFNPPSGSTCGDYLAPYLQSAPGVLYNPSATQGCEYCQLRNADQYLAS SNIYYSERWRNYGLGWAYIGFNVMGTVALYYLFRVKHYNPTSLVRGVANGAKLVCRVF KRRSGTTPRGREAENGRLV AOR_1_510074 MTDLQKTPFVRELASSDKKIRDKATDSLTLFLRSRSDLSLIELL KLWKGLFFCFYHSDRPLTQQALARNLSYSLVPTIPRSAVHRFLRAFWITIGRDFHSLD RLRLDKYLLLIRFYVGVAFEIFLKGAQQKAAQDKDSNKKRKREAEQNGKSKKRSKGKK QSEAVEEEEEEQNDETKWAELESYISIMEEGPLCPLNFDPDQPPTDEKKDYVAMPHGP DGLRYHIMDIWIDEVEKVLEFEEGSDGVRKPKGDVPIELILRPIEKLRADSPYKPVRT RAKETLEDERLIEWGFRTRKVDSDEEDSDEEWGGFD AOR_1_512074 MTSPSNGPSSNHADQPDSQRLLQHDSTLTVAQSVSLSIGGDSLL VVDERPKSKDQRACCGLLTKSSKTTHSIGLYNILDADLSPAGLTITYAQAATKGSISV AALEYPISEKEKANAQTWVSRLLDLAYGEAQRYKRLKVLINPFGGKGAASKIYHKHAA PVFAAARCVVDVQQTTHRGHATEIVEQIDIDAYDAIVCCSGDGLPYEVFNGLGKKPNA GEALAKVAVAMVPCGSGNAMAWNLCGTGNVSVAALAIVKGLRTPMDLVSLTQGNTRTL SFLSQSFGVIAESDLGTDNIRWMGAHRFTYGFLVRIMQRTVYPCDLAIKVEIDDKRAI KDHYNTYAHNPAPRRSPEETAGQSKGLPELRYGTVQDDLPKDWEVVPGEEMGNFYAGK MAIVSKDTNFFPASVPNDGLMDIVTINGTLPRTTTLKMMTAIPENEFFDMPDVKIRKA AAYRLVPRQKEGYISVDGESIPFEALQAEVHKGLGTVLSKSGHLYEAEGPRP AOR_1_514074 MASFLENAYSLVHLDNTADQPSIQDLKVQLEKGNDETKMETMRT IITIMLNGDPMHQLLMHIIRFVMPSKSKPLKKLLYFYYEICPKLDANGKLKQEMILVC NGIRNDLQHPNEYIRGNTLRFLCKLREPELIEPLLSSARSCLEHRHAYVRKNAVWAVA SIFQHSEALIPDAPELIQAFLDTETDGTCKRNAFAALMSISHQKALEYLASTFDSIPN TDELLQLAELEFIRKDAVQNAQNKARYLKLIFDLLDASTSTVIYEAATSLTALTSNPV AVKAAAQKLIELCIREADNNVKLIVLDRVDQLRIRNEGVLEDLTMEILRVLSSPDIDV RRKALGIALEMVSSKNVEEIVMLLKKELAKTVDEQYEKNSEYRQLLIQSIHNCAIKFS EIAASVVDLLMDFIADFNNNSAVDVISFVKEVVEKFPNLRASIVDRLVSTLSEVRAGK VYRGVLWVVGEYSLEEKDIREAWKKIRASLGEIPILASEQRLLDETPEDSALKEQVNG HAKPSAPTGSRKVLADGTYATESALTSQSAAAARLEAVKAAQKPPLRQLILDGDYYLA TVLSSTLTKLVMRHSEVSEDAARTNALRAEAMLIMISIIRVGQSHFVKAPIDEDSVDR IMCCVRSLAEFSERKELETTFLEDTRKAFRAMVQVEDKKRAAKEAVEKAKSAVQIDDA IPIRQFSKKNALEGAEEIELDLAKATGGDSTVETVSSKLSRVVQLTGFSDPVYAEAYV TVHQFDIVLDVLLVNQTLETLQNLSVEFATLGDLKVVERPATHNLGPRDFLNVQATVK VSSTDTGVIFGNIVYDGASSTESHVVILNDIHADIMDYIQPAHCTETQFRTMWTEFEW ENKVNINSKAKSLREFLKQLMDSTNMACLTPEASLKGDCRFLSANLYARSVFGEDALA NLSIEKEGDDGPITGFVRIRSRSQGLALSLGSLKGLKASTA AOR_1_516074 MSTQNQNEPFYLRYYSGHSGRFGHEFLEFDFRSLGDGRSAAVRY ANNSNYRNDSLIRKEMCVSSSMIQEIKRIIKESEIMKEDDSKWPQKNKDGRQELEIRL GNEHISFETAKIGSLVDVTESADPEGLRVFYYLVQDLKAFIFSLISLHFKIKPI AOR_1_518074 MPTPSDNTPSLNATSIAEEISTRTTCPPEIERLSSWRDSPESTV AESDAPDNALSPTIASAQSVLTRSDDAITRTNPDALKASAVPGASAKSMEKSDEDAAA GRLSPAGESPRSSNQSSSVTTPSTSALLSYEFSNIRLLPNYTSSFLRPGSKFTGTQQS DRQIYNVDVEIKHVDMVESYLCGYLRIQGLTEDHPTLTTFFEGEIIGTKHTFKTRNEA WGATEKTDMHHWARFPAWRPLAKQAKRPDFTYRNFAQREHIFMRWKEYFLVPDHRVRT ISGASFEGFYYICFNQVEGTVTGIYFHAKSEKYQQLELKHVPDHGCTPAIEFR AOR_1_520074 MGGVDTPPYPYLIHQKLLLINSAIQSMRNVEAHQDRGFETMEHP DMNNNDSDGSGSSDELLQQSYARSNSNFTDAFDGQVQTPATTISSSPPPSGLPSWVSS TASRPRGSSIGNPAVLEKAPPGDGLPVSDVRPQRPAGPARTPSNTYAPQRRPPQYISF QNDRQRSSSTKRNSRRDPNAQYRAQEKAYVQRIRADPQAWYSHFDEARDMSIVGDSDL EEPSPSSEVPFEDDAYDPDIQLFLTDDNQPTLEELKNPKNQERLEWHSMLASVLKGDV VKQEKQRLLGSTDTKRSSAQNNAIWLGVRARTCGRSIAMQRKLIEEARSGLGPIIEEI IKFEIKGETEIGKPPIKQVEDIVEQIERCELLYSTQKELEAAHPRVASEEFISSREAV LAWHNTTILINTELAILQKWVGNDELDFSKTRAKSVNRDLTDESSFLDRIMKEDGLKT LQGKHNMLHGVSEVIQKAKNTLIENANSFAKRHLPPYIEELLTLINFPSRLIQEIIRV RLSYAKNMRDPAQQSPILVDQMISQFQILMRVAVDLKQRYLDIAKPEPGWDLPPCIDE NFDSVVLDALKYYFRLLNWKLNANKNTFKEAEILEQDWEFSNHIGRQLEGGDIEVAEQ FSALTAKSLQRLMIHFERELVIHQNEDPADMDKRYKSVLDSTRIRQRKLYRFSRFLRQ LFENATEYNIPADVSYEFFESLLISDHFLIKSNASTGQKGVYLFAHQALWNRPGDIRA ILGTSFREEDMPKDSPHVPYILVIRPEKPLNWAGKEMQVGLLEQPTDLRLGKLRLVVE GTQQRLSNARQELSQLTGMQLDMTIEQRANLGRVNVELNKIKKISFKLSMTIMDSVAI IRNQLKERGVENHDLIQACYAFATEFGKRSSNVDPNRRAMNTARLVELSLDWVSFICD DCDAADRKTFKWAVSALEFAMAITSSRNLLSMDDIQFALLRQKVAGCMSLLISHFDIM GARSSRAAQAEKQRMEERAGGRKFGSGRILTDAEATKLVREQRLTHLQDIEDRRVDED AKRQALGRVLEGSNEADRSLAVLSSSATNVTLRWQQGQFIGGGTFGSVYAAINLDSNY LMAVKEIRLQDPQLIPKIAQQIRDEMGVLEVLDHPNIVSYHGIEVHRDKVYIFMEYCS GGSLASLLEHGRVEDETVIMVYALQLLEGLAYLHQAGIVHRDIKPENILLDHNGIIKY VDFGAAKIIARQGRTVVPMDAFASAGHKEAIVPKDAQIANQRGKNQKTMTGTPMYMSP EVIRGDSNKLIHRQGAVDIWSLGCVILEMATGRRPWSALDNEWAIMYNIAQGNQPQLP THDQLSDMGIDFLRRCFECDPLKRPTAAELLQHEWIVSIRQQVVLEPPTPSSDHSGSI SSSTSGSRQNSTYL AOR_1_522074 MAEYPVAYNGSATGTGGDSLTEDLNIYYSSGDIAWVIVSTALVL LMIPGVGFFYSGLARRKSALSLLWLSIMSVGIVSFQWFFWGYSLAFSHTAGKYIGDLS NFGFKGVLGAPSVGSAKVPDLLFAVFQGMFACITVALAVGAVAERGRMLPCMVFSFVW STIIYDPIACWTWNSSGWVANLGGLDYAGGTPVHIASGCTALAYSLMLGKRRGHGTHE LNYRPHNVTHVVIGTVFLWVGWFGFNAGSALSANLRAVMAAVVTNLAAAVGGVTWCIL DYRLERKWSTVGFCSGVIAGLVAITPASGFVTPWASFIFGVVGAVACNYATKLKYVIK VDDALDIFAVHGIGGLVGNLLTGLFAADYIAHLDGSTTIDGGWINHNYIQLAYQLADS VTGMAYSFFGSCIILFIINLIPGLSLRAPEEDEIMGIDDAEIGEFAYDYVEITRDVIS AANSESGENASKRSLTPTGNETTIEAKA AOR_1_524074 MKATTIGTLLLAAGTALAVPGKRAQKAVAISGFSASQNDQQGFV TFSFSDPNYNKAPIDANVLWERPGNPPSDARTSDGAYLVQFPDGVDDISTFTLQVQRE NSTSKVSFTVDDADEDTNWHCSNVNGTTNGKKCHYNGNIVFNPPSPSSSPSASPSASP SASASASASPTPSAEPSS AOR_1_528074 MPCSPRLLEQKTPVTPIGYGAMSLSAYYTNTPEPDSKRLAFLDH VYATGQRFWDTANNYGDNEELIGKWLALNPDKRKDIVLATKFGQVGGGPGRNDAAYAR ECCERSLEKLQTSYIDLYYVHRVDTAVPIEKTVEGLVGLVREGKVRHIGLSEVSPQTL RRAHAVHPIAAIQMEYSLFALDVEKPKTDLLNTTKELGVALVAYSPLSRGLLSGRLKS PDDLEEGDFRRGIPRFFPENFHKNLELAEKLHTIAARNGITVGQLALAWLLAQGDNVI PIPGTKSIDYFNENMGALEVELGMQDLREIRAAAEKADVRGHRYAVETSPNSYFADTP PLE AOR_1_526074 MREIVHLQTGQCGNQIGAAFWQTISGEHGLDGSGVYNGSSDLQL ERMNVYFNEASGNKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDQVVDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVEHSDETFCIDNEALYDICMRTLKLS NPSYGDLNHLVSAVMSGVTTCLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAHSFRAVSVPELTQQMFDPKNMMAASDFRNGRYLTCSAIFRGKVSMKEVEDQMRNIQ SKNQTYFVEWIPNNIQTALCSIPPRGLKMSSTFIGNSTSIQELFKRVGDQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDASISEGEEEYLEEEEPLEHEE AOR_1_530074 MVSPSPEGASSNFPQDPSEFDSDPRISFSKLDDKFILETDDGQE FEYDTALKRWIPTVDEQLLRQQQEAYKVEGVDDNDEVTASQLKKKRKQQATKDEGNGQ KPKKQRVNTAVYVTSIPLDADFEEIRYVFSKCGVIAEEIDSGRPRIKMYMDDDGKFKG EALVVFFRPESVNLAIQMLDDSDFRLGVTGPQGPMRVQPADFSYKSQQEAPTKTSAKD KRKIIQRTQRLNNKLADWDDDEPSALPETNSKFEKVVILKHMFTLKELDDDPAAILDI KEDIRDECSKLGEVTNVVLYDKETDGVVSVKFQDPEAARNCVKLMDGRYFAGTRVEAY ISDGSERFKKSNEKRAALEDLAERGLDADEDEEEKQRLVEFGTWLESSHTVENTAK AOR_1_532074 MSENFDLDKDLEVSGIVVAGHIGDKLVSDVQQELEKKRDTIQQR DRQIQLHWNAECDAVMFYFDIVIKPSIAILEDRPCLNRWFVQYHDRPKGTSIYKPRVE KGTYNVLIVIEPGAGFPRFYEGSHVESENEAMAPAVSTYAVEIPHQKGAVVVFDATLG RRDSAVEGIGASCIMLVY AOR_1_534074 MPLFGKQGNSLDELLNRPRPSAWQQFMKQPCIFLARKLYTWQST IAAQPVKDPVSVVCISDTHNCQPSLPDGDILIHAGDLTQSGPLKEIQATLDWLRAQPH TTKIVIAGNHDLCLDHNFHRPKVEEETPDWGDIVYLQNSEVSITCSNGRHLRVYGSPY SPRQGNWAFQYPRSEDFWGNRVPANIDILITHGPPRAHLDLLNLGCTYLLQTLWRVRP RLHVFGHVHEGAGTEWLQFDALQSAYERTVVSGGGIWNLIYTMKEFVGKFFRPATEAK CLLINASIVGGLRDHERRQPVKLFI AOR_1_536074 MVNESQAVTAGINSGVTNSVPQAILDITPPRQLLPYVLAILIAY PLLVSLLRFRRVQWLHQKYKFPNRASLAKMTDDEAWEIQKVLLQLEFPFLYVKALQFA LFRTYGIPTISGLLTKTSQFSNPETSYKRYADTSALVQEFMGNAPSSKRTITAIARTR WLHNGYRTSGKISENDMLYTLGLFALEPIRFIEKYEWRKLTDLEKCAIGTFWKSVGDG LAISYEAFPSHKTGFRDGLQWLEEITAWSEEYEAKYMVPHATNRETADQTTAVLLYMV PKPFQQIGLHFVSFMMDDRLRRAMLYDPPPASYAKLFSSLLSVRRFVLRYLSLPRPYF LRFTAFTEQPDRNDRIFITQWDAAPYYVAPTFRNRWGPVAWLTWAMGRPLPGDEGDKY YPRGYYTPDVGPKYFEGKGRASLEEYVQDLKSSRTGRCPFI AOR_1_538074 MMSEAEKARISPSLQSVTQEDLEDQTPLNGSHRSRYQRWAGSIK GLEARGIEPIPVEERLKTSPSASFHMLLMWFSMGMALNNMVVGSLGTLVMKLSFADAA LCAIFGNLLGGMAVGYMSTWGPRSGNRTLIVARYFMGYYPSKVCCSLNVLTNLGYGMM NCMIGGQLLSKISGGAVSVVVGIIIVALASLVMATFGMQIFQYYERYAWFPQLLVLCI ITGSAGPQFDFQSPSVGSSGEVNAKRLAFFSLCLSVALAWAPLAADYYVYYPPTIRRW RTWSMTTMGGCLAMIITLLLGVGLGSGVAKNPKWAETYDGTPASLLMAGYGRLGGFGK FCAFINVVTVVSSNAPGSYSMAMNFQMLGNVWSKIPRPVFTVTTTVIYTACAIGGRDF LYEIFKSFLPLIGYWIIIWFTIVAEEDLLFRRSKGYDWSAWNCRQKLPVGVAAALAFL VGWAGAIVGMDQVYYTGPIGKAVNGGCDLGIWLGFGFTALAFPPLRMLELRVLGR AOR_1_540074 MSDSKSNANDSKQSQPLQRPQAPEIKINTDLNEEISPSTAHPTL QVSSENAYANDTSALLNSGSVSPLDGHSGGSIRSFTSDPRDHESRPTSPHNVSSPTHK MNDSVSHSNYLAVPGTRSRGNSLESEDTHQSSSTYGGDTYVPTASHGSRADLTKNMVI NDEDALKPDPGREDEFTVENNKFAFSPGQLNKLLNPKNLGAFHALGGLRGLEKGLRTD INSGLSMDETALDGTVSFEDATSIASEESTQGSFSQPPRQPTRSGTEPAKQPDNGYTD RKRVFGNNKLPERKPKSILELAWIAYNDKVLILLTVAAIISLALGIYQSVTAKNGEPK VEWVEGVAIIVAIVIVVVVGAANDWQKERQFVKLNKKKDDRQVKVVRSGKTLEIPIQD VLVGDVMHLEPGDVIPVDGIFINGHDVKCDESSATGESDVLRKTAANEVFRAIEQHEN LSKQDPFIVSGAKVSEGVGTFMVTSVGVHSTYGKTMMSLQDEGQTTPLQSKLNVLAEY IAKLGLAAGLLLFVVLFIKFLAQLKTYDGADEKGQAFLRIFIVAVTVIVVAVPEGLPL AVTLALAFATTRMLKDNNLVRLLRACETMGNATTICSDKTGTLTENKMTAVAATLGTS FRFVKDAGASSNGTDENGDATEVSNALSPSEFAKSLSAPVKQLLLDSIVLNSTAFEGE QEGAMTFIGSKTETALLGFARTYLALGSLSEARANAEIAQMVPFDSGRKCMAVVIKMG PGKYRMLVKGAAEILAAKSTRIISDPTKDLSNRPMSGDDKETLNTTIDRYAAKSLRAI SLVYRDFSQWPPEGVRKQEKDSGLGDFDAVFKDMTMFAVFGIQDPLRAGVTESVQQCQ KAGVFVRMVTGDNINTAKAIAGECGIFTPGGIAIEGPKFRQLSSAQIHQIIPRLQVLA RSSPDDKKILVTHLKKLGETVAVTGDGTNDAQALKTADVGFSMGIAGTEVAKEASDII LMDDNFTSIIKAMAWGRTVNDAVKKFLQFQITVNITAVLLTFISAVASDTEESVLTAV QLLWVNLIMDTFAALALATDPPSPHVLDRRPEPKSAPLITLTMWKMILGQSIYQMAVT LVLNFAGGHFGYEGQVLSTVVFNAFVWMQIFNQWNSRRLDNGFNIFEGMLRNWWFLGI QFIIMGGQVLIVFVGGHAFSVTRINGAQWGVCLIIGVISLPIAVIIRLIPDALIEKLI PTFFSRKKAPELLVSDEDRFEWNPALTEIRDQLKFLKRVRGGRLRHLKHKLQHPEEFL PRSRSGSRSSRSRENSVPGTPVNEHSSTPSQPPTPESRSRKRARSRSNSAFGPATAMA GIVAGSIAGWSPIERAPTEGEPFKFDSTPHGGLENQQGIEIHPKTAADDRIVGDYLSS SKTPPSQNPDLLPYFEHAPPARAPSSRSIRSTSAHSRSAHSRSASRNES AOR_1_542074 MAPLIKSAGSAGFTSVNAARGSTSNDPEIIEIDDDDDEPMDDEE DVDANEQDDEEEEEVEEYEEDEEDNDSLEEMNGINGGSESPLDLGSFQNGHKAFDMTT PELFTSAGAQQALHPLRRTADRVTRQIEAFAEKLDRFKQKENRDSEFENYQAAYQLVK SYQNLANDSIEDISKQNTLKRAKMGWNTSRTNGTAAQDPKTQEELQRLQLEANTWQLL LNLISINDPPSRASAKQAQETAFQNLHRYSTDREIWEQFVGADHYALECVIIMKWLEH TSKSASQDIDSIISELEAQAQRGQGMWTHGWLYTKETIKGQKRLRAWPQPLEPNDPAV AASLLVSEKSESMITQLDPDAVTRQKQKLQKQDQFHERATWMTCWKMLRQGESWTKIR EWAESCLENWRAVSLCGSSVDASSSRGERTPVDDGTTRMMNWRSQTTWRNACSALARS QGTEDFERAVYALLCGETEAAFKVCQSWDDYLYVYFNSVVLSRYQGFCKQFQRKLNHS PTVPVAFVPEPVGYADVNKFVLYTKGNDRVGVEARNPYRTIQAAILGKGYDSFFHSLA KAVSQAAASKGDLSFVPDLPPAAVDDSLLIAAEDGDALRIATHLYIIANSLGYVRSDT QFSTNASINVIGYIANLEEARIYDIIPLYASLLPTYQAHSVLGQILIEVVDPRERRQQ VRLMENHGIDVEAVLRDQWQWVSASVSSVEHSSTLKRYPKVVRRKDGLPEVVPVKKDY IGTDVSGTEERVIRSLEWLRHVDGQWGRICQLGALLYRKFYVTGRLAAARELSRRMRL SDISRESFGFDLTEIPFGVGDGAEASTPEPSSPTKSKLFGSPHKRNRSLTNRLPSDEQ TSLLVQQSQTMRDLEELILAFNALEWFAVVCEKLDKNKRRRDSGTIKNLKDELQESLD EVSVHVDALLDEWLMGAEDETEQAELEEIRKTYIPELFLDYHNALYYAGHVLTSELLV QCMNLAMQVSENEYLTSAFVASRRMAELVDALALSSKAMVNTQAKPGKKLLGGESLGI WNVDVPDEDNGLPEAQ AOR_1_544074 MADAGLPTGDAPAPVEHLNIKVTDNNNEVFFKIKRSTQLKKLMD AFCERQGKQISTVRFLFDGTRVRPEDTPDTLEMADGDTLEVHQEQIGG AOR_1_546074 MSISEATTTELQSSLRELSLSNNSPVKDIARPAVKTATAKAATA KKKAPVVADSWEDEADESEPDINSPGCASSSLSPSVTTAEGPLDPPPTPISPQTSQTW SSVPVYPDVGSASSRTSNSRSPSRRPEKQTAVAGRMIAGALGLRAPKRTEEQRAYDRA VKEKEIKRRNQEREAAAKAKEEEERAKASVWDD AOR_1_548074 MKAIVLNGTNATVVYSQPIPKLRDDYLLIKTVAVALNPTDCKAI SQGRGAKDGLAGCDFAGIVEEIGPVVTKRWNKGDRVCGCTHGANSRNPDDGSFTEFIV VKGDVCMRMLDGMSFEEAAGIGVSAITCGQGLFQNLGLNLPLNPVQKKEYILIYGGST SAGTLAIQYAKLAGYSVLTTCSPRNVDLVRSRGAEAVFDYNDPSCGEQIHRYTKGELQ LVWDTIGSDQGVHACMAALSTKPGCRYGTILLNDIPRQDVACTRSIMMTFRGEPFDLY GKHFPPSAEDFEFAKMFTQLTETLLAENKLRPHPIRVCEGGLQGVLDGVGLVQQGNVS GVKLVYRVADTP AOR_1_550074 MTNLRSLPARARGVPFSRLSARQTNGFSTSSRSYSYADTLPNLK IGAHTRVLFQGFTGRQATANVKESLAWGTKIVGGVKPGVEGEHLGLPVFPSVKAAQEK AKPDASAIYVPGNQTAKAIEEAIEAEIPLVVAVAEHVPIHDVLRIHSMLQTQSKTRLV GANCPGIISAIGKCRVGFQPLPCFSPGKIGIVAKSGTLSYETVASTSRAGLGQSLCIS MGGDVLAGTNFVDALKIFENDPDTEGIILVGEIGGTAEIDAAEWIKDYNRRTANPKPI MGLVGGLHAPAGRIMGHAGAWTAVGEPGAHAKYQALERAGAVMVNHPEKFGEGMKTLL NSRPRVQNTTSTNTPNQKRGLHTMRRVTLQKNQRPPVSKQSRSLFIKQFQALDMLKEK SIPINETEPSESDIFLNLTVDRTALSPCVIASTSADFAPSHTSKFPFPYFNTDFSSSD SPIIKSIASHLHLPETTHETLAELVQALWQIFKEKEAYLLEVRVNPSTEGLEVRGARF GFDDAAFRSSGRQKEVHSLRNPAEEVHEEVEAEKDGIVYVKLQGEGSIGTLVNGAGLA MNTVDALTIHGGHCANFLDTGGKATSETVKSSFRIITSDPRVKAIFVNIFGGLTRCDM IAEGIIMAFRDLDMSVPVVVRLRGTNEEEGQKMIAESGLPLHAFDSFEGAAKKVISLA GGKPSN AOR_1_552074 MSKNHRLWPLLSFRHSREKADTDTDPAGLIASHGHDTRSETPDD HLQTSDSRRRRSISWLRISNRNKNKDAETKPTKLDQEEANTQPYLSQTEYKDEPDTSE GKLSGSAGSIMSAQGTPIKEEEGGQAEANIARLGEKVMKDLWSEAYKKLHSDNASLVE SYETVLLAPETDHMGGRSSTTQEKTDRQKRIQDLVFCRLQDMEQGRFDIPKRSRQGVI GDYVRRTVHGILYAKDFVTAAISAEPHAALAWAGVVMVLPLFLKPFTQREDAVAGLEF ISDLLIRYHIIQNSHVEIFMDVLGKRIYLVLEYQIRLTKQLSRSGLFQYMRDIATADD WKEMLTEIKRTDKSIEDILGDWSKKTVQEIHAEITSMKREVERQLNIVLDTINTQAAQ DQLDILHPVTRAAFGSYEQARETCLVGTQVPLLQLIQDWSNDAQGEPILWLQGMAGTG KSTIARTVASAFYNGTSLLGQETLPNDACLGGSFFFDHRDEDCRDPRKLFPTLARDLV DVLPEIQQSLCDGIRSHHATHAGSLDGQWKHLIFNPLKMLKTTLPVTILFVLDALDEC QAGTREDQDDISDILALLSQVRELTTVRVRVLITSRPGIHMRYRFNEIPEGIRDETVY KIRRLDGDGVKADDITRLIEYKLSEVRRRNDLPRDWPGKERVDKLAEKADGLFIYAAT VCRFLAMANRKTIEDRLGKIFDGDVGLDGMYTRILQVVLTGEVDNDQAMSDLFKQVVG SIIVLSRPLSIRALSRLVEVELSDTRWLMEHLYSVLEMPQGDHGPIQLLHLSFRDFLL ASQRCNDNRFWIDEKQAHRNLYQYCLKIMSNILRRNICCLEDPDTHIADMKPSVVHEF LPEQVQYACSHWVDHLQRSDIVASDDTVLYDFLRTHFVHWLEAMAVMGRIPIAIMILN NLTTLQLETNAKLRRLVHDARRFAVSFRSVYEKAPLQIYASALLFAPQESIIRRCFDE RKDTSHIELWNLTSTEPLLALTEEGDTKDNEISPLGSFIASTYYGSSSFQLRHTQTGN LIHSMDHGDRGPVIAFSPSESMIASAEHSIKLWDTSTGTCLGQRSIDGCVESMVFSED GTYVRTNEGQFQVASILGANPDLCHDKMVGEGVYVHNNWIMEGASKSSSVMVIGLSAD ERETV AOR_1_556074 MSTNITFHASALTRSERSELRNQRGLTIWLTGLSASGKSTIAVE LEHQLLRDRGVHAYRLDGDNIRFGLNKDLGFSEKDRNENIRRIAEVAKLFADSASIAI TSFISPYRADRDTARKLHEVPTPGEETGLPFVEVFIDVPIEVAEQRDPKGLYKLARAG KISEFTGISAPYEEPEKPEVHIHNHDLPVQDAVKQIVDYLDAQGYLPPKKE AOR_1_554074 MSKPTKYLLVSFPTSITPSHHRDDALDAVSATVAPENGSVAPFP IPEFKIGTLDALVQQADELAKLETACQVVVSKVGDALKNILEGDEAQISKMKAVNDKP VDQYLRTFTWNKVKYRADKSLGELIDLLQKEAASIDNDIRSKYSQYNQVKTTLATLQR KQTGNLATKSLASVVDPRSVVQNSEYLETHLVAVPAQQVKEFLKTYETVSPMVVPRSA TFVASDDEFTLYAVTTFKKHSLEFVHKAREHKWIPREFKYVEGGKEEERREVERVGGD ERKLWGETLRLGRTAWSEAVMVWIHVLVLRVFVETVLRYGLPLDFVCTLIRTPGSKQA DKAKHNLDEKYSYLAGNAFGRDKKGRVKKDDPSEVHEGGGEYTAYVYYEFELN AOR_1_558074 MPRVPSSAFFRAVPTTTKLCLKSNGPIKTQWLVHHPWKVSLPRN LSQRLYSSEAASPVPPQTSKPRSRLRRFVGFTSIALIAFTAGLVYQTQKTVSRMMTVT LRTDEETLTAFVPADQFSQEVDEYIRNHPVAVELRENPAFTESRPHLKIPAELRDRHL TAGTLSGPDRIVVPPHVFSEKDGKSLVSIFYLGSAISGHLGIVHGGLLATLLDEGMAR CCFPALPNKVGVTANLNIDYRRPAMAESYAVLRAETVKVEGRKAWVEARIETLPKEGE EPAVLVEAKALFIEPKQAAAMSSLYNITN AOR_1_560074 MASSVLWSILIATPLALGYTNPRSISIPEDYDVLQYVDPLIGSS NGGNVFAGASLPYGMAKAVADTDSVNNQGGFAYDGSNITGFSSLHDSGTGGQPSLGNF PIFPYASCKDDDVNGCVYPKKLRKTRYDPGSVSASPGYFALTMASGIQVDMTVSHHAS LFRFRFPADRETKPLILLDLSDLSDTRQDNGTIDVDADTGRMVGHARFLPSFGSGSYT PYFCIDFRSVSGVRDNGIFVNSRASTDVKNLTISRSINGYPLPGGAFVRFNSLADRTV LARVGLSFISSEQACSNAESEIPNFDFNATHSAAVDSWTKKLAPIRVSRNGVNSSFLS TFYSGIYRTMINPQDYTGENPLWKSTEPYFDSFYCLWDSFRSQLPFLTIFDPASLARM IRSLIDTQKHLGWLPDCRMSLCKGYTQGGSNADVVLADAYLKGISDGIDWQAGYSAVQ KDAEEEPYDWSNEGRGGLDSWKSLNYIPVEDFDYKGFGTMTRSISRTLEYSYDDFTIA QMARGLGKMDDAEQYEATSRFWQNLFRDDQASFINGTDTGFKGFFQPKYLNGTWGYQD PITCSNIDTSGRACSLQNNAAETFEDSIWEYQFFVPHDMAALITRLGGPSQFIRRLDY LHDTGITYIGNEPSFLTVFQYHYGSRPGKSTSRAHFYVPKYFNANPTGLPGNDDSGAM GSFVAMTMMGLFPNPGQNVYLISAPFFESVRIASPLTGRTATIRAVNFDPEYKNIYIQ SATLDGKPYSKNWVGHDFFTEGRELVLVLGRNESHWGTGKEDLPPSLSTRGALFD AOR_1_562074 MSAVRPLRRGINLLGSKSLSQPASIAANGSTLLPRQLTSPLHRG LRTPTAARPFLRVSSVSSSNGAVRFASSAAPSGPLRQTQLYDLHLARGAKMVPFAGFD MPLQYSDLSHVESHKWTREKASLFDVSHMVQHELSGPGAIELLMKVTPSSLDKLGHNQ STLSCLLEEGTGGIIDDTVITRRTDETFYFVTNAGRRDEDLAFLEAEISAYKQAHGAD SIKWTILEDRALVALQGPLAAEVLQSYVHGSGPETDLSTLYFGNCRELYLTLPDGSRT PHPLLISRTGYTGEDGFEISIPTAGSPSLPAQVTELLLTNADQVRLAGLAARDSLRLE AGMCLYGHDISTAQTPPGASLGWVVGKDRRDPATANFNGASAILPQLASPAKTLSQRR VGFTVEKGSPAREGAVIVDINDESRTPVGIITSGLPSPTLGGTNIAMGYVKQGLHKKG TEVGILVRNKLRKATVTSMPWVESKFYRGKA AOR_1_564074 MAAPHTSKALDGRGDEDSQSFASERADSPDKVKDLENQRQPGVQ SDSDTDDVGRQIEMEAGNSIKYRTCSWQKTAALLFSEYICLAIMSFPWSYSVLGLVPG LILTVVIAGIVLYTSLIIWRFCLRHPHVRDVCDIGQHLFWGSNIAWYLTAVMFLLNNT FIQGLHCLVGAEWLNTVSSHGTCTIVFSLITAIVSFVCSLPRTFSTLSKIATFSALFT FISVILAVIFTAIEDHPAGYTPAKGDPIVTAVPVAGTTFVSGVNAFLNISYTFIGQIT LPSFIAEMKEPKDFWKSVTAVTVAEIIVFSLVGSIVYAYTGNQYITSPAFGSISNEVY KKVSFSFMVPTLIFLGVLYASVSARFLFFRLFEGTRHKGNHTVVGWAAWAGILAVLWI GAFIIAEVIPFFSDLLSIMSALFDSFFGFIFWGVAYLRMRREDYGPNFYKNRGIRGWI GFIVNVGLIFVGLFFLGPGTYAAVDSVVLNYQAGTVGSPFSCADNGL AOR_1_566074 MAKGKGKRSSGVTAVAPEGGANDSNAGANAVPQFEESAFAGLRQ KIEQRLKDQNAAKQKPKNNKKDAPNDTPKKNNESTPKFDTKRNDTDKNKGKKRDRNGE VIAREDKNASGKDKSSKSKEADQSDALRQEILALGGTEEDYDMLAGVDSESEVEDAKN TSKGSGSKSEEDALRKELSGILAAAGQVVPDDIADDEEDEAGQDEEEEDDEEDVEDDE VDLDSGDENDSEEADQESSDEDVPPTPAAKEPTKNEKAKNSAEPPLPKEYSKLAVPPR SDWFMTELPPISAKHANGLPRHLVDRVYNYAVSLLEEESNLYSEAQKTLASSSHKFYT TIMSTGTLSDKISALTLAIQESPVHNTKSLENLIALGKKRSRAQAVEVLRTLKDMFAQ GTLLPNDRRLRSFANQPSLMAAFQGAGSKWSEGDALPNGLQKRHLIVWAFEHFLKEQY FEVLKILEVWCNDEIEFSRSRAVSYVFELLKEKPEQETNLLRLLVNKLGDTAKKIASR ASYLLLQLEQTHPLMKPTIIKAVEEVLFRPGQSQHAKYYAIITLNQTVLSTREEQVAA QLLDIYFALFVAFLKPTKKNKYQSNKKHGKNGKLNRKAQKALKEEEKGQAQHEEMQEK LTSGVLTGVNRAYPFTSSDSERLSKHVDTLFRITHSSNFNTSIQALMLIQQLTSSHQI AADRFYRTLYESLLDPRLATSSKQALYLNLLFKALKSDVNARRVKAFVKRIIQVLGLH QPAFICGVMYLIRELEKTFSSLNSLYDQPEDNESDEEEVFRDVPDEDDETQEQPEAQP KKPSSRYDPRKRDPEHSNADKTCLWELLPYLSHFHPSVSVNAAQLLEHKTMSGKPDMT IHTLMHFLDRFVYKTPKASAATRGASIMQPLAGSDAQDRLVSGTKQTQELPLNSEAFW KKKSDEVAAEDVFFHEYFNRVEKDKDKSRKKAKDPVEHAEEDGELSDAESEIWKALVD SKPEVEGADSDDDLDLDDLESAYDQSEDEEAEQSEDEGVIFNDESDVDMDDFEEETFE TKKPATKSKAKKAEDTFDEDDDFDMDVSDDEAFLDSDEDLPSDVELGGGVELPKEDDK PDQKKKRRKLKHLPTFASVDDYAALLAGEDEGM AOR_1_568074 MISGFRPIPGRYSGAYRAYCGVFRFHRTPSCSFHTDGRTRVSNF WIPTGGISKKNIQGEKEDVNDLLVRGGFLRQAYSGIFHMLPLGLRVQDKLERLIDKHM RSVGASKVSLSSISSQELWERSGRLGEGSEVFKFHDRKESRFLLAPTHEEEITTLVGS LAKSYRDLPLRVYQISRKYRDEPRPRQGLLRGREFIMKDLYTFDCTVEEALETYTSVK AAYTRLFNDLKIPYLVAAADSGNMGGNLSHEFHVPSSKGEDTVISCTSCDTVYNDELA DGKIHELGDNESHQASPGFDTGDMSPEATPTISTGLWMSISKDKRTLLRGWYPKFSMQ GETQEPVEREVNSHAVKSVANAAGIDLDLSVENPLEQWATNVKSNKASGPYRVVDMYD SQVRVYKRPPLSDLLDQAGCKVEDIDYSMLDRFPGTNNGLSLVKVQDGDKCAKCAQGR VKTQVAVELGHTFHLGTRYSEVLQASVMVDQSSSGSSEHQVVPMQMGCHGIGVSRMIT AVADSLADSKGLNWPRAVAPFEVIVVPAKGLEEEAEKIYDTLTSDPASPVDVILDDRD KQMGWKLGDADLIGYPIIVVVGKGWKKEQTLEVQCRQLDNLRENVPLEQLSTFIRSLL ERL AOR_1_570074 MSAQGQVVRTGVNVFVFNNQGQFVMGLRKGSHGEGTWGLPGGHI DFFEESLEACAKREIDEETGLDIFDIELLTVTNDVFKEAGKHYTTNFFAAKLVGGTGD PQLNEPKKCFKWKWFTWEEVEDLYKAQDAAEKAAKEATEKGDEIPEYKGPKLFLPTVN LFRQRAKLHPLKAYNAILESEEKPVVGPASN AOR_1_572074 MAPQDSPASDAPPGSVNINSLSVPQLRALQTRLSSELEHLTSSH AKLRAAQSKFRDCVRSINEGVVGSEKRGTDGRDDILVPLTSSLYVKGKLADREKVLVD VGTGFYVEKTTKKAIEFYEDKIKSLETNLTELEKIVQTKSSQQRLFEEALRQKLLSEG APSSAAAAAGGG AOR_1_1166074 MDHETAGAREWLLPGYGRPTSKSRGETTRAATGQARITLSKGST RNETETQKKPQVSYTEVTAAPITNNTGRARGAPRATRGRVQARRAYSNTAASRQSSNL LRDSPAKSNWRAGSEPSGIFKLPASFGSFKYEFFGVARSTLSAKSTAATQGRHEVFED ISRRTGAYVKPPSYTDKVIQLWGKPQDVASAIEIIERLLAKCNSFQPAHKKAEWAKIN AYSANKVMGVELKERRENMLLLLRKEPESPSDFPEQLFFLWPSDGPSIKESLGEQLEA LDIIRAKFGCHLYLPKNAPDYICALGPNHDTMRQIAQLLRTKWSETVANSHVRSKVYI SEPPKILRDKIVVENNTFFAKAFLHGKVVKSLQSEQWQNRRTLIQTKNKAHISSALSR SLRGVSFVRGHLRMRVNLGSFVLDEYRMPKNEKAGYSFEEFREMVLHEQTKGRLIPGL PVSQDELLARCFMSTDLLEPYESTSCSLKNAEPAYSVNFEFLGSNNALLRLEAEFARS PGAQEFEVTQRRWLRPRKSGQSSDRRPPLQIGVIDFERADWQLEIKSLEFYETSSIDA ALKSFSHSIGFRRSTTIGDISAKPERKVVFPSSAPVSRFVEKTAIRYRLKSTKYILEI ARYDEYSRLKTDASQGQAPAPALVTGETCDVPSTSWGASIFDSNWDNLMGEQANLSVG HSARHSPELHTFFPPKTISDSNDKNEGFWEFISLVKRVAEVLSPAQPRSSLENTARKI QLSTESSMVPTATKSQPPSNESSPKLDVKGLAGMLDADLGTLF AOR_1_576074 MAIDAADENDTLETRRRSARKQAQQWMTKGGLVRDDSDDELGDE DLPWEWIYAVDTAENKEDTVTDAPEDTPRSNRRRTSRANAKNRRPIIGARMGSFECKL GQVVLLKSPEPGKDWVGIITEFMEEEDEAEGETIKSANIMWFASPDEFMSTRNKRRAD ALPNEQYLTADFNVNPITSINGKATVMSKDVFFAKYPNGAPPKGKEQLSDYNKCIVCR RGVNQLQGRYTEEFVWEDVYREDRIFDLIAMIKDGLKKAKKRKQGDDDYVDTKDKEDD DFQPVTPRKKQKLATNATPQSRRQKALTTPTHKRIVVKKPLEFTPLGTRILSPSHFAS PYRQARTLLHVSTVPTSLPCRKAEFDTVYNHLSAAIMEGTGTCIYISGTPGTGKTATV REVVAQLNAAVLAEEMDDFIFVEINGMKVTDPHQSYSLLWEALKGDRVSPSHALDLLE REFSHPSPRRVSCVVLMDELDQLVTKNQSVMYNFFNWPALRHSRLIVLAVANTMDLPE RTLSNKISSRLGLTRITFPGYKHTDLMEIISTRLANIPGNIVDADAIQFASRKVAAVS GDARRALDICRRAVEIAEQASEAAKLEPILEDGNADDTESMPPTPSKTPARKERSTNR QSAPPKAQPPQRQGRVTIATIKQAIQEATSTPLQQSLRCLPLSAKLFLAALLARVKRT GITESTFGDVLDEAKRIADAAVAVAGAAGAGIKEYLLSGGSGARVRALGFAAMELMNS GVLALEHGPATKGPLGSAAIPSRGDRSGKVRLRVAAEDVRAAFREDIEAKGLGLGTDQ AOR_1_578074 MDVIPSTTPEEAVRRSAKRTAELFGADYLMVTPSTGDGSIGVSY RRKVEYEHVKELPPVLAEKQAKAAAGRTKRPKIQAQAKAPVDGSGASMALVKKAQGPA AGGLGNEDQPRSLIQRPSATRQQRPDWHAPWKLMRVISGHLGWVRSLAVEPNNEWFAS GAGDRTIKIWNLATGALRLTLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWDLETNK VIRHYHGHLSGVYTLALHPRLDLLVTGGRDGVARVWDMRTRSNIHVLSGHKGTVADVK CQEADPQIITGSLDATVRLWDLAAGKSMGVLTHHKKGVRNLAIHPREFTFASASTGSI KQWKCPEGDFMQNFEGHNAVINSLAVNEDNVLFSGGDNGSMSFWDWKTGYRFQSIDTM AQPGSLDAEAGIMASTFDRTGLRLITGEADKTIKVWKPDDEATPESHPVTWAPTLGRQ RY AOR_1_580074 MDPQHDAKFPLHEAAREGRTQVAESLLNANPKLANVKDDDERLP IHWAVAYNRLPIVELLVATKHFDPDVEDGSGWTPLMIAASLKNAEGDPIIDLLLKKGA DVNAKSNSGQNALHFATSKANLSTVRTLIANKCSARVKDKRGQLALHRAAAIGSSPII KVLLQDGKSPVNATDMDGLTALHHAISEGHGEAAITLLKAGAETDKKDADGNLAIDMA PDTSVRTYIRQTAEMEGIEI AOR_1_582074 MAQQKWKVGSFLQQAVAGVESKLDMILADEEQRQQIQPKQNTAT KNQPGNLSRSSSNARKNDRLQERLARAVVKSNTNANSASQSSSRVPSPVTSPVTSNGA RSSMDIESNLGRSSLNLEESAQNVAPADELSSIAASRTSHDSSSPRNSKDIVPSRASN EDSESQKANSEKSTEVVQENGVEPELQETEADKPHSSEDLAQDAANGPSDIIEPSSTD VDKTIAQLQAEHKAAESRWQAEMYEHIERIDALQSKLKYLTKEAAESAKKAAAAEAPG SMERQLREKDEKIALLFEEGQKLSKSEMDHRTAIKKLRQQLAENTKVQTENHKRTEKL ERDLANAEARAKRAEAAEKRANESLTSQSKSSRDLETVTAERDALSQTVQELKGQLAR AVARAEAAEAKAQSDALEQEKRHAAKLEEELASIKAERDESEESLKTEIRDLKSTIEQ EKERARVLEVELKNEQSVLESKMESLRSRAEEASSGVAGDAQAKLLRQIETLQTQYAV ASENWQALEGSLLARLANVEKERDEAARREGDLRRKVREANLKAKRAEEDLEEAKEVE HDLESKLEERMQELQKLEQKLQKATDDLVSAQKDFDEQKKVCDATWAQKLEEERVKWR EQVVPPAIFTQQQRTESPVAYSRRPSTLEPVGSFSDLRSSRRSSTLPMTSPEVGTPTR QNSFPTSTSALLSPPANSASFSQFTDTPSISFEPDEYSARPRTPSAFGGALTQNSRGI NDIISESTVGAGPSVQLVERMSATVRRLESERAATKDELARIVSQRDEARQQVVDLMR ESEEKRTVDARVQELEKRHAELEERYETTLELLGEKSEQVEELQADIAEVKKIYRELV DSTMK AOR_1_584074 MSTMPLEPPMYLSSLQNNIRARPIPWEGAVRAGNITDDHLKKIK AVDKVRKDQRRQTVEGDISGYVTLLSGSADAKSVLDSASRRTDIVQYILVLAADLIND VPALSSALIAHPDPYKPFLPLLRHSTNAEDPIPLLTSTFLTNLVSISLASSSKSAARD EEALPQLYTYLSSLTQNQDSGLQDIGVQELSALLRTSRSREIFWKQRGETVTPLIEIL RAATGGKDTSSSTVAGSSRAIEPGLSGGVGLQLLYRVLLVIWQLSFEGALIGDDLQAD HEFLQLYTYLLRLSPKEKTTRLLLATLNNLLSSNRTTLLPVAVFVRLPALLSNLSGRH LTDPDLLEDLKTLSDMLDEYTKTQTTFDQYAAELQSGHLRWSPPHRNPTFWKDNARRI LDDANLPRKLAEIISKEWDNDKQVLAIACNDVGHLVKELPGRRAQLEKLGLKARVMEL MADKDESVRWESLRAVGEWLRYTFDD AOR_1_586074 MSRSFTGCKRCKARRQKCDEQRPICGRCKTAGAQCRYAMQLQWG GRAFSRSRFGACVGHGGMQKLEYSPGEFIYTTKVSPPPSEPLALTRPVDPFSSLSSDQ KALLHHFLNDASQITACHTGMQRDICQMLVPMALQTPSLLYATMALSAIHLQALHNQS ENVKSAPEIARFMALSLEHFRAELQDPDVKGSDALLATARTLCLAEIHSGAIHPNSWR AHIEGARALMDACDNRGALSPRSSDGFRRYLDRWYRSIVSLTALTGNGPPIGDVAGQP ILSTINQHDSPDYLDDYWGFTVNLAAVFRGIGAAAWRSHPSQQCGGVAQEDEFSVHHE AAVLESSVRRLMDQEADSQPAFYPGVVEGLSSEYIRQFILCNEAFQHSALIQIHRRLR KTPASSPEVQASVKRILECTAQIGPSAGLSPWTMLTTPLFIAGCEAGDEDREKVRQLL SCLHDTIRVPNVLQSLRFLEQYWTSQIDENEGWNQFLDTSASFIDSSDDDVGLDADYG SQFVNGGPVHSRLFSEPFIPVLKSPSMMQKRATTMPLHHPSNRPPPLHIDSSGRSISG VVDAKNPKTPGHKISSFFGWRGTITTSPGAESSSTEVSDLGHSPLPSPMPPSLPSAVT PSTTVPFDASKGFPPRNPSLSSASILETGPSTAHVAELENELREISSELAGSIRREME LEDLVERLQSELPLDNGNQRTSDYFSDSGTSSIRLVYDGRIDDVEKYRRASEQERAQL KVDLTQRWQEERARRAAADSHVQILESQVHQLRRERVDLSDLSSRTKELEGALEATRR KLAEERQIKDNFEDLLTAMRVELEQIRNERDHLRDHMVPELKNSAASSSDATEVQRLL EELEALKIENAALAQLQGGRFATISEDNETPSSKRNSAGGLSRSSSLARMHSKPTIRT GLSRSNSLSQSSPVTPKGVDTRESMADRVESVEAQRDALHHALRRLLDRQAYEAREYE KRIRAMELELVHAQQIGSPRKLGYEREVRNLREEVNHLRQRAEEALDQKWQCEKGLAG LKMDLDRAEQETTSLRVLLQEHDITVPEGLGADQEGFAEVLATSSSLESAYKQLQAER EQAEASAVQSPQEEHGQLAASVSRTESLSQHVQKQLERNNALRNRLADAIGKGEKEQQ LSVVRINEMQARLKELEDTLLIAQQHAEEEMARHEEEIQKLNESHNAQLSRMKNGARS PAGLSPMPPSSPFVARSPRLDKTTSGDGISLNQAVKPEALERRVKELERLLRDADMEM GEVVSRMNRAQIEVAELQSDRDEALRQTRKLQAEIQAEREAFKALQG AOR_1_588074 MDFLPESIISLIQDNPTIQQLTSSSIAFQVNNARTTYLDPYISH LKSTYLDPYIIQPLASMLASSMPDLVSVLILALIFIISLKVLDYARRVVMFWVTLALR LVWWGFILGAIWYAYNAGLEKTGRDLGWFYGVVKGFAEKFQDGFEGGQRSSSATGGWG GYASGRDFQVPIGRG AOR_1_590074 MSKFGVLVMGPAGAGKTTFCNAVIQHLQHTRRSCFYVNLDPAAE SFSYEPDLDIRELITLEDVMEEMGLGPNGGLIYCFEFLLQNLDFLSEALDPLSEEYLI IFDMPGQIELYTHIPLLPSLVQFLSRAGPLNINLCAAYLLESTFVVDKAKFFAGTLSA MSAMLMLEMPHVNILTKMDQVRDMVTRKELKRFTNVDVQLLQDDDADAMGDPSSKETL LSGGSFKQLNRAVGQLIDDFSMVSFLKLDVQDEDSVAAVLSHIDDATQFHEAQEPREP NDEQEVNYEDADI AOR_1_592074 MGSVANLPKSGTFLFTSESVGEGHPDKIADQVSDAILDACLAED PLSKVACETATKTGMVMVFGEITTKAQLDYQKVIRGAIQDIGYDSSEKGFDYKTCNVL VAIEQQSPDIAQGLHYDEALEKLGAGDQGIMFGYATDETPELLPLTVILSHKLNKAMT DARKNGTIPWLRPDTKTQVTIEYAHDNGAVKPLRVDTVVISAQHSDDLSTEEIRVALK EKIIKQVIPAELLDDRTVYHLQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGA FSGKDYSKVDRSAAYVARWVAKSLVNAGLARRALVQLSYAIGVAEPLSVFVETYGTSQ KSSEELVQIVRNNFDLRPGVIVKELDLAKPIYFQTAKNGHFTNQEFSWEKPKTLKF AOR_1_594074 MASHVSSSALDPFSVKPLQEDVTNPRSKKRKTCHLENDWTKQTI SIRAHAASLSDEPYVLEPIAIIPRCRLPLSWLDFSPAVLSDIQPGSLFVANIPVLEND LRLEPVVLAVRLASDGGLYVIERVKKGIYALSKLARGVEEGDIIVAVKGWSPSEVKQA PRCMSPIQEGGDWWLMAQIDDPVTDPHFPTKRSKFDVSVVFGAVDHDVRMQDVSPPVD SGESRSQSVAPQVCLERGVSSDVFMPIAADSQEHGGGDGVGTESMKIESLQSPQEILD NLREQYLQALYISKTSVAYFAKGPLARCRTAFQSSESGSAQPSELIEFYREAVLTAKK MDLKYRETLPSTLKDVVLTISDDESTLKRKRKTKKKKLGKNGLYPTEEQFIRTWWKGR ALADQGVSTETSRDAELKKHVADLRLRETQLQILLILETMALEAVMADEAKSTGDGSD KTEVSKPKKIQDLKVMLELHLDRLCIWHAVSFDGVAVSDQNKGYGDNESGGKKVESDA VRDFCTEVIIPFYASRLPDKCKSITKKLGVPSVLSPFPKKAQPKNMPRAEPGAAVERQ PSQKHPRRTLQRVLTDEQTASKGRRQSLSRFNTMPSQPERESIEPLLPSLSANVRGGI QKAKRVDNREVDLNAVARQHETKLRKMQMLMDQKKELDAAINALRKPNRELVAKDIAE DAEKRRTGSARKPKNPVRNPFGEGVQVAATPKGSRKRDVIVGMPPLPRGMSMHSSTQP KASSFFGGDGSPVVPASTARPKSFSIASSSRDTNAIQETPTRRPTQLLGSFDGPATSV ADSPLSSGNLFRVPRRPIPRSTDVAPTTPVASRRTKSRPDIVTEPTSSLVMETPPRQN LTVPLIQADGPTEVAADTPAKVLGTPVKGSTRLSVPTSTAVPVTPEKSIYAHLGWDDD DDLGL AOR_1_596074 MAVATIDIMPKQASSSPLSSNNPSMNKRWPPLADKTSLPYKLTT LSRQKLAREATAPDPDIRRCLGHFRLHVISMEWAQKDMTTRINSFELEDDESEEEEED SKRDDGQEKDSDASDSTEEEKEDSETKAKDGTATDAPSDKEPVQVTFTVSFDQSAPTP PSPSDEKEQGLLEKGRNCLEKTKHLWQSPAQCIPVRIAG AOR_1_598074 MDLRTSSHIPSDALVQFYTAVRITVAVVFFTIAREPPAFLTQVG PPLSAVTTTLSPCFIIFLVPYYLRLATRRIPRDAPTYVDESVCTDVEEDERTEVDMSE IDISSDETVIHDPNWEEEEDGSKVEKYENSGILFQELAGEAARDEGDTTEEGPSQETE GELLGRSDILSGDETIHEVSTKEGNVGGNERLEDAEVEFSDEITGAVDLDEMVVGVSA KLEENDRVPCGEMPDVTDQSTEGDNLRESPPPKVNTHKPSPTPDFPPSSTLSGKRLRT YWDPAPGNELYISRQTRTFESFHVALESRTRPPWAFG AOR_1_600074 MYGTSHSSVSAPMNGIGGDLVDGSGTINPAALNTNSVAVILPTP SFGTSANTAPRGIKRSRTPDQRGLFRADGDHDDGADEHGRRKRGRPPKTPRPSTTAIG DQATNIHMQTPRMQAQPLPHQAGVNVSPPQASPPDKTTPTKSTLVKALPTVRDHTTDQ LNEEGDEYIPKEFDEAGEKKVNAMGYLTGNREYKCRTFRVPHRGTKLFMLATECARVL GYRDSYLLFNKNRSLHKIIATQIEKDDLIQQDILPYSYRSRQIAIVTARSMFRQFGSR VIVNGRRVRDDYWEGKARKQGFTEDDLAGEKRPGGAKARDAAAAEAASAASLLPALAH GDVIYSNALEAMPNSLPMGPPSSVSLAPLPMIHMATTTDDPRLREYNSMPRTRQELTG QPYQDRTQPSSAAEILNQASHTADFNKILSSQRSYRQKGLEDFYSKQREIPASAAQSQ PGQLDSTPSASQPLQSPQIASAPMMNTTQPQQAMLPHQTPMIPGQPGLQQAVAHPQPP VGQSPARTGPAVRPDLMHQRSNPSLSAGTPQPSGPYGYPSQPQQMWGQPPPQPQPSPL SAAGPQAVGIPQYASQLHAQQQHSPSPLAQHPSQSPRNQPRPPAPQMPQSFPLHHPQA PQQQPMASMGFPGGTAAPYAAMTAARGMYPSTQGPGGQQFMAGAPQQPGLAMGMSAGG AMPGWAPTPGGPMQPGHPQPGQSGAPLGWSGY AOR_1_604074 MGLPKIPGLVCLIPRAFVLVCFFGVCHGRRSCAFESTTSASIVP SIMKISGFTSVALGLAAFAEASYVNYTTVTGYFLQDEATTDPSTFDFTTTNFGLINRT YPTDKGHGNKNSHRTQWERFYHQVVELNRKSGPNVDYKVLFLGRHGEGWHNAAEDYYG TPAWNCYWSLLDGNGTATWRDAELTDAGVKQAQVAHDFWQKELDTQQIHPPDSYFVSP LTRTLRTANITFSGLSLPHKSTPFRPLIKEYLREGISIHTCDQRRNRTYIHDLFPTWP IERGFTEIDELWNGVTAETNAAQDLRSKSALDSIFQANNSGLFVSVTSHSGEISSILR VVKHRTFKLNTGAVIPVLVRAETVPEAPTTTSVSWTASAHCTAPPVTSVDSCVCPSTA VPVTTPLVTVSV AOR_1_602074 MNYLHHPYAYAGHAAVPMEQPIAYDPTMAHPSMMHPMEGYIYPH PPFDMIDFYHQPIMDYEEYAENLSRPRLTKEQVETLEAQFQAHPKPSSNVKRQLAAQT NLSLPRVANWFQNRRAKAKQQKRQEEFERMQKAKTEAEEAARIKIENAEKSESNPDVK EETDKETPKQSSDQTMSDDRTKTPASNSRSKHHKTKSESAREATFASLQRALNAAVAA REHYSPDEQGQPATIHEGSVSPTTTYSGMNNHGDSRAAQSSSTTPFSEWENAKETAMS WSASQSPQEHLGYSAAESLTVPELDGSHQNVQHSDTLQFHSSQNEEWSGQVQGTKSFP GYHSSNDAEASYSAAQYTLHPESSLSRRGSSDDLADSLEGIGIHAAGLPIRTDRSSWK EAGKELDLAARRKRPRPAAIGTSRSSSMLAGSAASMSPTTRLPSYGSAPGVRQSKSAQ CLNSRYAGVRKASAAQRSPLNLSSFAEAGALGTSKPEMSSMLSPAVTTGGLAPPTPLT PDDLHHFIPNTPSDGGYCLSAQPTSQLFPTTQPMQINIASPPATPMAMDMLSTYQYHS VAPPMSAPAHYTSFPDYVTCEGAPLTGRSWTGANSMPSPEAAFQNRVPITQADVSSLS YGQALEQGRQPADSLSAAGSPPLMYTTDADMHTSSGSFHGDAKPTEFYIREFPEQQEA HRFVAQQLPQKPKAYTFNNQTPSDWRGN AOR_1_606074 MTKGQGSFSAPPQVVTFDGLLSDFDGTIVDSTDAIVKHWHKIGE ELGVDPKAILATSHGRRSIDTLQEYDPKLANWEYVSFIEGRIPKEFGSDAVEIPGARY LLSQLDDAGACWGVVTSGTRALVDGWLGVMNLTHPKMLVVAEDVELGKPDPRCYLLGR KRLGLEHSSSLVVLEDAPSGIRAGKAAGFKVIALTTTHSLEQLQEAGADWIVEDLRSL SVKGVVDGRILLEIRDAYQ AOR_1_608074 MVKLGKNSKRTPVRLRHKIEKASAAKQRKQRKLAKKNPEWRSKI KKDPGIPNLFPHKAQLLHEMEERKRLKAEEQERIRDEARARKKAQKESQQQGDDAEDV MENDIDLEGDSDDEDMDEDVDESSNPMAALLASARARAAEYEDQHESDDDDEMDEDED EDMDGMDEDEEEGGAALGDSAPQLVSQTHSKESSRRQFDKVFKQVVDAADVVLYVLDA RDPEGTRSKDVEREIMAAAGGNKRMILILNKIDLIPPPVLKNWLVHLRRYFPTLPLKA SNGTANAHSFDHKQLTVKGTSETLFKALKSYAHSKNLKRSISVGVIGYPNVGKSSVIN ALTARLNKGSSNACPTGAEAGVTTNLREVKLDNKLKLIDSPGIVFPNAEKKKSKKKQV EERARLVLLNAIPPKQIEDPVPAVSLLLKRLSTSEDLKSKLLQLYGIPALFNAGDQTH DFLIHVARKRGRLGKHGVPNIEAAAMTVINDWRDGRIQGWVDAPVLPVVAATDDASAP AAAASGVDTKQVVTEWAKEFKIEGLWGDGADAEMAE AOR_1_610074 MPPRKSTSETVEDPASPSQAQTQSPPTQSQPIQATEQQLKARAE GGVSIEDYLLPRSLTLRLAKSVLPPNTSIQKDAVLAIQKAATVFVSYLSSHANEATLK RTVAPSDVFSAISELEFDGFRSRLEKELDAFTELKAGKRKAKKGDTEVTAAEGVKGSA ASEGGGGASRGAKRVKRVEGEEAASSRPEEGDDGDETQDEAEQVDEQEHESEAEEDDE GEEEEEEEEEEPGEEEDIDRVEDLDRDSRARRLMDPDAAGDESDSDDDAGPSSQLRGD LGLG AOR_1_1170074 MCQLHHAMDDGFSDVSELSSPPASPTPPPGFYPSPPPSQEADES SGTRSQDRDDLPPAKKRRRVAAPKERRTQRLDLSSSAGLSYTEQQAQIDLLTKTIRRH RKIVVIAGAGISTSAGIPDFRSTDGLFKSLQKKHNLKASGKLLFDAAVYQDETLTASF QDMVRSLSEEAAKTSPTAFHHMLARISQENRLTRLYTQNIDGIETSMPPLATQIPLNV KAPWPRTIQLHGSLEKMVCQKCRHLGDFDGDMFDRPDAPECPECARNNQFRIETGQRS HGIGKMRPRIVLYNEHNPDEEAITSVMNADVRSRPDALIVVGTSLKIPGVRRLVKSLC SVIRSRRNGVTMWINNEPPAGKEFEDCWDLMVKGDCEEVARLAALKRWDDHSENVFDE CNASEVERVKNEHGVSIVIETPKKRQKTQTGFLTPSSSHDEEASKVPKKGGSRSNPAS RGRSLQEVLKASKTAESKKPAAKKSAPRRKIKKDEPAKNTRITTFSKVTKAQKVATDT EKAVKLEKEENKPMHPLPPGAARNNGPMIPQLAQKGEETPQRSSRWRQPDTISPKSVP KGMSQLLNQPAA AOR_1_614074 MPMIMDDGINVDDLFGESASLELGLPATAPTSNSTKGLAQRLDE MRLLGCCQKIAWSKQGCIAYISQDTLRVNLRHLECRPSDGKWVLSDDTPLHPVAEAHG GQPLVHLCWNEIGSELAVVDSSGRVSIYNIAISLNSLAGQRQAAFDPVDDATQIVGMM WLNIQRSVHAFNVAAMVQGRRAYSPFRRRPIGPFHPAGKAALLCVTRSGIIRLLYQNP DNKWAEISAELKNASYSDRLLTHAAIVATQNGILIATYSACQKIYFYRVQINWTPPQW DPSQLKQAPNQFPVPSFRFMHSKVEAPCIIPSASRNGEATNDGMPSSTNPLYCLTRLD IVLAAHDNSAGMTTNPWIIAVFSIPPHATPDHSQQQSPCSVIVRWQLESAPQVLHPKF DEVNAKKNNAQIKPKSVLRRQEDMYCDRYVTMIEQTEHGNVLAVTYDDSSVTFYDPKT MAVLNGTDDTNTLTSLAHAGFQYPPDSAGLHISFSPSGCNAVTLDGEGQAQLRVMEHS YGAENGLYDENKFSAAVASLTLAFCRGCGSEFNTDDILLILKRQASPDAQISFINEVY RALGVNCNYTQENDKLMSHQYLPKCLSVQAALGFIDKYKSRNFASNVPWTILQLRHAS VLYALFLQYLKGGVQAEPPDADAIRILLGNTKWALDLLHYVLNDLLDLADDLESLLSD QEAFAQKLKTISSLPLIILLSSMSRAFLRFICRGLRGIQAGYATAPLTGDAGVYYAEI YRTLDTSPVRIDVYEKLLAGVDSTVRHVYHGAGFGDNERPGPEKELLVNGRIPPVLVT AVSTILRQTVPALKPDIDRMAIYMGDYSWLGLGSDRRAELYRRTRDVDIIKKIPFRST ASAGSDETQSGKHNPSQVRRRCVRCCEIMCGAYPPRPQLSSRMMYKLGYVRYCICGGG WTLESDFHR AOR_1_1172074 MLSSKVKAGQLWGKNKDDLTKQLEELKQELSQLRVQKITGGASS KTQRIHDVRKSIARVHTVINANQRAQLRLFYKNKKYLPLDLRPKLTRDLRRRLTKHEA SLKTERQKKREIHFPQRKFAVKA AOR_1_616074 MAASTGTGWAQLRQQARSLETQTESLFHSYSQYASMTKLPPDPS EEEIRLESQLKELLEKRQSLVSQLARLLDSEATLTSSALKQNNLARHREVLQDHRREL QRLTSAIAESRDRANLLTNVRSDIDAYRASNPAAAEADYMLEERGRIDQSHNVIDGVL SQAYAINENFGLQRETLASINRRIVGAASQVPGMNALIGKIGSKRRRDALILGAFIGF CFLMLLFFR AOR_1_618074 MWKRSMLLSSFTEATLLQGGRCLSCQFRNAAAISTIRLKPALRY YASSSNNDKAAKTAVPNPTANNKVQFKQNPSPLSGPSAAPQPGDDDFVPPTLDRPIGS VIPPQEGQNTGIDSRTLRQRRDDFVNYDRHLERRKELTRQVAKPYFREWSNLRHHEGK TFYSGPRLFKRDKALYFPNMHGTTLASPKEPQDTTTQLRGRISVVTLFSSVWAETQVA TFTGPEQNPGLHEALKSGGDMVQKVDINLEENALKAWLVRRFMWRMRNKLPEQQHRRY FLVRKGVTEGVKESIGMMNSKVGYVYLLDENCRIRWAGSGPAEEHELEALNNGIRKLI QEKKVSMESELPASEWGRKSQNESAAQKPRVVMRP AOR_1_620074 MVQSISDKETFFQGLERLDALLDDSGDDAEGLSQIIALAEDYVE PVHQDEPALSDSPVQKLGALGATSALQSPSLDVTVQRKCVNTSIIVVEDVQDPTVKGS KIMALKKNKVDGKKKRSSTTKIVPEQHQIFKGLVFFFFPNSDVSPLRRLRIQRAQEYG ALWARTWGDNVTHVIVDKGLAFQEVLKHLCLETFPPNIALLDESYPSECIKFRTVLTK EVSQQNEPSDESLPLKPAGRQKRQLETQSQSSESEDDSEYPPDTQRVNDFSDEAHKEV VSEPLRERDALDDMIDEAKAVNDLPLDPLDSFDDEHVTEEADLGTSEESDCQPNTRKR KRSSGRDNEGNNDWQQKFACVQKHDPKSNSENPNRRTIDVLQQMLDYYARTDDHWRTL AYRKVISALRRQPKKILTKSQALAIPGVGPRLADKIEEIVCTNRLRRLENTNLTREDI ILQEFLGVYGAGISQASRWLAQGYRSLEDLRTKASLTPQQEIGVDHYHDFSQRIPRKE VETHGEIVKRVVQKADPGMQVIIAGSYRRGAADCGDIDLLITKPDATIEQIRALMIDA VVPKLFKRGFLQASLAITSRGDGSKWHGASLAPGGQIWRRIDLLFVPGSEIGAALIYF TGNDIFNRSMRLLASKKGMRLNQRGLYTDVLRGPQRVKLNTGRLLEGRDERRIFEILG VPWRPPEHRIC AOR_1_622074 MGRKPNQLILEFFIRGQKLEDASNRYQHTCKACGEKFPKGRIDS LTNHLVKKCQAIPLRDRQRVLLRLHELPDLADGDQNKDPNVAGQNKGKGSDLPFTTRQ NFDGLNVLAEASRQVGASDQTKRGGPAYTQSVTVGGKTVVVDPALEAEGFQGHPQAEH VEEDVKPPGTPQGSNATLPSLPNASQDQPPSASPPLAEASLTPDPTSNARQSQLSMIA ASASEMVPQGMPLDHDIVDGLPKVGAWNQQLSTQEQLLFDSLHEHDPTLTAATQRAAA FPRPIAMNPNSQAKGFVNEFGNSTKPAKPKCSGDSPCTTCASVESARLWKHPCIRTRI AEEFELYNANLHATLAYHDVSGIRNQVKFEHYAGRIEVTHFEESMVYVTFSALQGHKP STSTLDPQLQGLGDDTQFQGPLHELYLLDSDADDLPGKLEMYIKKTASFFYEREASEV MRPTLLLASELSQQKKDILLERVLELWVATHILVDSDLRWRTFCNPTLPPTSMHALAQ PSDDGRMPIDEVTNAESYALLCSQLRAATEKRASQLSKSVMNDLERRLLQRQQSGWFE TFLVALILLNCVERTCWLFRSWEDEAFAQRWPLDKRPPYYASQGDRFSDILHMLLKMR SLPPKATPRPDNGILKAVDGSDMNAARWFDMIKVSPLYLEQRQAAVFDPNDSRSLDLR YGAKLLPPTNVYT AOR_1_624074 MSGMTCSYAEKYFLLDFAKTSGGYEPIGFPLQLSEASHIIKMAL AGESRPSSSSPLADEIVFFEDSVLMQTIANIISYATKSYAYVKSYNDTKHKGYHAIVV ATDGPHICANNIVPQLLTSANPIQTLCAHNPKGPVLIYSEDPSNEYLKDLTTACERYN VHILLLSTNIFDNQAFDTSFFDQPASMLTLPCKESTNSQSAKRPIPSVGPSMPQNNQD LIKPENNEMTVTLRDVLLHTPVQSPKDNGSPHSRDKYATDTERDTVE AOR_1_626074 MAPQPSRRLLIFQEARNPQNTAEVVYLPVNKLGLPICGPGPELP SILELPLRILKAFTDIFNQPKYKGWAVMGAGPYHDTSEEGKYYAVVLEQVQGHLQSPD SIVAV AOR_1_628074 MLHGSSTEDARHHRPPPPAIPPSPTLSNPDMILPFEGERESSTP SPPFNLPSLSHLQSFYNNRPFPQDYNGNGAPMASHRSQKKSFPRHTWQHDAVDASRRL SDIGEEDMSSTSSVSGFGPTLEVTHNRRMAGSPASQRTTEDTEAKDAGGRSSSSSSTI SGASESSSSEGAKNQQSGQRASQEGRAADQDHLLEAVRIMKENSIGRMVATTEEGGPD DELSSVILSSEAERILENAKKRLTLMEGNLTRARSSMRASPSLSASPTPSPGPQAMGL GQPVGGLYQSISRADRRASTRPRATYTSSQDTSNNRHSRVYSETRLPSVDQSSLPTVE PDLSRSLSALGSSSVSNFNNDERTFRYDPSRAYLTHRASVSKPHSARASPSNLQEDCS GSPSGLGISAEDTEDHTLGAEDLHSVYPVSDPPSRSQSQLHVRDLQDQMKGLHIKISS LKVKAQEDNLRRRSLQSLRTPSPFTAADQYFTNTMELRDSQLRPNAGRSPDPTQEPQR SGEYKHARDGNEAVTSHSGSEIPNANAKPPQPKVIEPVDEDGRSVIESLYEDAEEGEY NSDDSSDIDREALSEILREPLEEYDDLDAFPAVPNSDSRPHEEREDAFDYENFILHSA LGNYSARLRRTSNVSTSSVETTRPIHDRPHVRHSRTNSGASLSTVASYETATEGDHDD LESVLYWDRKFNDVISVILLNLLLKSGTRQGLSQRWERLVRPHPKSVANGNMGINEDD TRLLEQLFKSLGDVCMDLQTITTSSDPDPKRVRQLRRRLDAARRVLDGELDT AOR_1_630074 MVGVKRPVDAGDERKGKRTKTKTPTVPTKKAKAAPEKKSSSKSV AKKSSKPEKKDKKASKKKVIEEEEDDDDFDLDDVEDSEIDDLDDLEDEDVEMNDGGDN DDEDEDDSDNEEEPKKVADNAEAAKNKTSSRESHAKQKALLQERKAAKPNADMIQRSK QLWERLRRKSHVPLEERKKLIAELFDIITGRVRDFVFKHDSVRVIQTALKYANIEQRK QIARELKGHYNELAQSRYAKFLIGKLIVHGDTEIRDLIIPEFYGHAKRLIRHPEASWI LDDVYRQVATKEQKAKLLREWYGAEFSIFKEEKGKVPTAELSEILKENPEKRGPIMHF LHELVNQLIQKRTTGFTMLHDAMLQYFLNTKPGSSEANEFIELLKGDEEGDLVKNLAF TPSGSRLMCLSLAYANAKDRKLLMRFYKDTIKLMAGDLYGHMVLLTAYEVIDDTKLTA KMIYPELLNQGGDAEARNEELLYQVTDLTGRIAILFPFAGDRTKWLLPEIDQAVLKEV REIRQETSKKDPSVRRQELIKAASPTLLEFIAARADSLLETSFGCQFLAEVLFDADGD KSEALSAVAVAAKTRSDTKDLPFVGRLLKSLVQGGRFNSVEKVVEKVQPPLNFHGLLY EQIQEEIMSWATGSNVFVVVALTESDEFEKKAELLKTLKKGKKALQQAAAESGKDGKK KSSPTSSGAKLLLEKI AOR_1_632074 MTPFRNFLTKRSAASNSGEADNVSRLSADSHQSSPLNIRKSTDN EPPEYKLSVVDDNGAYLPPSPPEKQSFWRRYPGSNRSSNHRDLVDENEPFSISRESFD SYRRSFDISARSPINHSDAMPSRTSLDSRFSRLSSPYVRGLEKQPTSMEEEQFEDVGL DDDNEAKPKKKGLFSRLGDFTNDSQTSNNSKLGFHIPGRKRGQSNVGSELGSMKSPPT LESELRDA AOR_1_634074 MVRLLALAVLAAATVEVASAVALSTPQSPAEITIWRRDDLAVTT AAATATETPTEDTDGENIPNDDATTGDDDEVSTDGLKWRRKGKCRSDLDCDLGYLHAW VDSAVLQAEPTLRHASLTSSYVAPMKSVARVSAA AOR_1_636074 MRFWVDWALWQKLSLVLAGLLVLVLIYSLCVLFYNRRATRKHAA ADAHRKTIQDAEQHPMLSETNEVPFGARALERGVLVEGMWTPGQESPMESNTPTRHES AGPALTQLPASLTGLVQRPSQTSRQSDIQPVGKHHDVADSDVARSKLRLSSRGSWISK PFEKRMPGAEGKSITPF AOR_1_638074 MTADMDTQNEYDDSGLPGPGAPTPLSALEGVAGLTGRDIKLFVD AGYHTVESIAYTPKRLLEQIKGISEQKATKVLVEAAKLVPMGFTTATEMHARRSELIS ITTGSKQLDTLLGGGIETGSITEIFGEFRTGKSQICHTLAVTCQLPFDMGGGEGKCLY IDTEGTFRPVRLLAVAQRYGLVGEEVLDNVAYARAYNSDHQLQLLNQASQMMCETRFS LLVVDSATALYRTDFNGRGELSTRQTHLAKFMRTLQRLADEFGIAVVITNQVVAQVDG GPSAMFNPDPKKPIGGNIIAHASTTRLSLKKGRGETRVCKIYDSPCLPESDCLFAINE DGIGDPSPKDLENN AOR_1_640074 MAKIYKDTKVDLRPYSSNAVVNIQIPTHASTQSRARFSISSSVG ADEPIAKDEEEFSRRHLSSQGSIYFRKRTVYPRSFLWRVVNDSKVLEIQCVDLTKGGI EHSVYNNTIRLDFQEEILPSCVDFADLEDHEVLSVFVITASKQLYTLSLRPEFFRRTS AIDNNVLDWCKSYVPAPMSFSYPHRLHASSPLELFISLDNGALLRLTRRSGDDGSNWS PLTFDERTWGASIRGLTYAFAVCLNHTLKIWNLATNKLAATTDLLGREVQEPDSLSYT LNPADSSFIRVFNVERALDGAYRYYVITYSPFEDGRFKFWAVKGGLTSPLVIEDLFPD ARLRPLDPDSTGNVFWSIIDFQLKPAEEGKGMELWVLWRNSGVYQLYTLHFNFETLVR DWDTNWVSTAIDTRGQEPPPPMAFSDVVDPTEKWLKFLLQPNKYSPEVLETSLAVYQE ALRPLSSPSVLKKSASLTERLCSTIAATVSLRKFAEDEMDFARYRTDTDAKWRQFWQI AEDIDKRRFEPVSLLYDFYYETPWLLLSDSCAVIRECSSTELLLHNSGAELRAEGHKI ADRWRHRNLDEEIGNMVEQASRLMRVASGFRKRFPAELNAACQNALEAELFTDPSSSV QDRMDAFRDRCDFGEQISNKTYDGLLAAMDGYLNIYNLPNNVFYTIIDTIPLGFPGKD SDLLATHFGVKVTVNGVQEAILYTRQLLIDLLVLVVFVDGEVQQEDTSEFDAVDLFGS LITLLREYEMMAWLSSNTRKCLDRPTNVSDDQSASQFSLKDSPSKTTGSRMATILEDL FASDIKPRQTIGLPQSYTLTLGIHDVLSWVTRQGEVAFPNALVYIQCDLIAKNNIDLA WDFLRFQPSTSWATYVKGRLYVAMSEFDTAALYFRKAAYLLSCGKPLGNLHEMSSTLL DIVSVDSFHNGLPKYFQHILTIFEQARSFSHVADFARLALQALASENHNDQDPEYNIL RTDLLSRLFYSSLQNCQFDQAYSALSRYKDFALQKSALSSLVTSILVASGPGTAGLQQ ILHFPTALIPNIASHVDDILASLARKQTTFSSLLDTGSSTPDYQRILQAYRIARGDYR GAAEIAYRNVQRLRNARDAPSSHLVLSKNRDIDGTQPAEEDDLESKEIRTELLSLINL LGCVEKSEAYILVEKEDSSVPAAPFADRRRSLQADDDGNVFMEEADVNSPTPYGSKRR LSSSATAIVPSGRRDSRSSVSTVGSHAPRRRVIVTLDHLRREYQSELDRVSRIERGDW EFGVLDAIEADNDDTMRL AOR_1_642074 MVVHSGFLACQREDNSFRIPVRSCRDGFDFSLLFEETILGVLPL GLVLIIASYRLYQLFRKQRKVVTSWLLWAKLTTWALLAIIHLVLIALWALPAANRTQA SIAANAVLTVGILFLGVLSYAEHNYSVRPSLLLGIYLSITLLFDIAKTRTLWLRELAE INRIIAILTSVAVGVKALLLLLETVEKRRILKNVYAKYPPEATGGIFNRFLFWWLNPL FKTGFSKLLSVEDLYTLDKQLASKTLHNSLETMWNNGRNKNSLLLVTFRTFKWQLLSA VLPRACLAALNICQPLLLHRSLSFSVEPETNATTNIGYGLIGAYILVYLGMAVTMGQY QHMTYRAITMVRGAVISMVYRKATTLSVEDADPASSLTLMSADIERIVQGWQTIHDIW GNALEIGLAIFLLEQQLGVAAVVAVGVAVVALAGSLISLVFVMSRQAMWLEAIERRIS STTSMLASMKGIKMLGLSDLLMTCIHNLRLDELRISRNFRKLLVWNMAFAWTTRIFAP IFAFGAFVGISHKNGNDAALNTSTTYTSLSLFALLADPLLNLVMALMTFLGSVGSFQR IQEFLEKKGHVDSRDKSRPLQLEPVQESKQLAFVEDSETLTDGSSSAKSEKEPTALSE NMVTIKNGAFGWDTQKEPLLKSLTITIPRQTFTMLVGPSGCGKSTLLKAILGEVPCLD GTIILSSERIAYCDQTPWHMNGSIKQSIVAMSGLDEDWYVSVTRACALVEDFKQLPRG DQTIIGSKGIALSGGQSQRIALARAVYARKDIIVLDDVFSSLDATTEEHIFQCLVGTH GLLRSIGSTIVLSSSSVKRVPFADHIIVLGNEGHVIEQGSFKALDLTGGYISSFALGL PEQNKAAEKTSNSGKSDVQVSSVEQDEDSEVDGPGAGGDISIYLYYVKSIGWLPTLIF IIAITGFVFCISFPSIWMNWWASSNEAEPGKHTGYYLGIYAMLGAVGMLCLIVGCWQM IITMVPRSGENFHRKLLNTVLSAPMLYFSKTDSGAILNRFSQDLQLIDMELPVAAINA FVTFVLCICQMVFIGIASKYAAISFPAVILAVYGIQKVYLRTSRQLRFLDLEAKAPLY SHFADCLGGLVTLRAFGWQQAMEERNHELLDYSQRPFYLLYAIQRWLTLTLDLVVAGI AVLLIVLVVVLRGSMSAGYVGVALLNVILFSQSIKLLVTFWTNLETHIGSILRVKMFS ENVPSENLPTENDSLPPDWPSQGNIVFDSVSAEYRASEPVLRDVSLSIQAGEKVGICG RTGSGKTSLLMSVFRMVELSSGGIQIDGVDISKVPRQEVRSRINGVAQSPLLIRGSVR ENIDPTGCHTDKSIMEALRAVQLFSKVQENGGLGTEVDELFLSHGQKQLFCLARAILR QGNILVLDEATSSVDTVTDEIMQRVIRERFSNHTILTVAHKLETILDYDKIIVLDAGR IVESGSPYALLASDTSHFSKLYASSMMEEAE AOR_1_644074 MLMIQNCRETIRTSTDIPVQPVAATALPDECRIRLHVKVLREDI DIFMEWWNNDMSTEHIISMSNYFQQTLTRVLVADDMIVSKLRGIVGSDWDRIYKFNAV TPETHDRCIHEIIHEQALLQPESEAVCAWDGSLSYRELDLLASQLAYYLQVQGVGPEV RVALCFDKSVSVPRNLNIRNEWLFCSSLFCNDRDHLPLLFVTMF AOR_1_1174074 MLAVLKAGGAFVPLDPTHPAARLQSLVRSVQARIMLCSRNHAED LRVVVEHLIPLDNDTWEERSIPRGDVSLLTEVKGYNAAYVIFTSGSTGEPKGTLMEHK AYVSSAMAHAPRLRVFSNSRLLQFAAHTFDASLVEILTVLLVGACTCVPSEEARLNNI TKVINDMRVNHATLTPSFVDFISISDVPRLETLVLAGEAMSQSHLETWSKINLVNGFG PTETAVTAAVNSNVTRSSDSRDIGLPTGIRCWIVDPDDHNQLVPVGCVGEMLAEGPSL ARGYVNNQQKTAEVFIYDPSWAKGQGGDPGRRFYKTGDLVRYNSEAGSLTYIGRKDTQ VKFHGQRIELGEIEDNLNTDSNIKHSLVFLPKSGFSEGRIVAVLSLPIFDDISDPEPA PLRLLDDSKKNSIISQIRDRLSARLPAYMIPTVWLCVEALPMLVSGKLDRKATANWVG SLENDPNIQLAKLDPMPDDSSTRSGNATEEQLASIWSRVLNVPRNHISLNESFLSLGG DSIAGLTCVGFCAKQGIGITVQDILRSKSIRDLATRAKDIQQPASYEETIEKPFSLSP IQKLHFMVRQEGQGHFNQSVLTRLNQQVDEHDLRRCIEILISRHSMLRSRLTDLGPEG LQQRITEDIAGSYRWRLHDVNCQGQIEHAIADSQSSINAFTGPVIAVDMFIGNGEEFV LSLVAHHLVVDIVSWRIILEDLEELLLNPDEATSQTGSLPFQTWCQLQANKCQEPNIA LDNLPPPDLAYWGMEECPMTYGDVDCETFQVDAESTHTLLMDCHQCLQTEPIDVFLAS LLHSFQKTFSDRPLPVIYNEGHGREVWDSGIDISRTVGWFTILHPITLQHIAEDDPVK TLIGVKDLRRRVTDNGRQDFARRLVGDAKDRGHPSPMEISFNYVGQHRDLQRQDGLFQ LMNQMAGETGRGGGAADFGEKTPRFALFEISAMAVQGQLRFTFSFNRYMKHQQSIRDW ISNFHNLLKHLGPKLQSHAPKPTLSDFPMLSLTYEELETMFSSRLPSAGIESPEQVED IYPCSRMQQGILLSRSRDEGFYSVHDTFEVKGSRGEPDLNRLVFAWQQVVMHHPMLRT IFVDKLTSRELFCQVVLKTIDSQPSIIRCIDENGVLAAFDEQGPMTYVGYRPPHRFTI CQTTTGKLFCRLEINHVSMDGSSISIIARDLQLAYAGKLETHRRPMFKNFLHYLREQD DSTEYWCSYLSDYMPCHFPVLNDGVCSENQLRSIRLNVNFYNELLDACERAGVTLSTA ISTAWGLTLGQFCGSDDVCFSYLASLRDMPVEDIDSIVGPVITLLACRMKIPGDGLLT DILHQVQNDYMEQLSHRNTSLIDIQHALKLSDTTLFNTGVSYRKLPPHNANAEEVQLV EVGSIYDPAEFPVYVNVEVADDDAHIDLNYWTTALSVGQAENVASIFLKALENIAHYK DTKLSTIGDIDFLSEQFCTKLCEWNAKLPARPERCAHEIIRQQALSLPLSAPAICSWD ANLTYSDVEHLSTRLAQYLIGLGVKPEVFVTLFFEKSAWYVIAQVAVLKAGGAFVSLD PSHPESRLQGLIEDVGAHVMLCSVKHTEKASRLCNTVFAVCEATIDGLTVPATIMPAS IPSIHNAAYAIFTSGTTGKPKVSVIEHISLGIAASTFTEIFRMGPRARVLQFSNYIFD VNISETVITLMTGGCICVPSEEERLNDLSGAINRMGVNMCTMTPSSISTLKPEAVPSL RTIITGGEKMTKSHVDRWADRCVINAYGPSEATVASTASVKADGGRRLTEDYNSIGTA FAGRAWIVDPKNYHRLLPIGAIGELVLEGCNVGRGYLNNAEKTEEVFITDARWTEHSG LKEIFKNKERMYRTGDLVRYNADGSLCFISRKDTQIKLNGQRVELEEVEQQCVGHLPA NTQVAVEVVTPEAKTVAKCLAVFFTTDDHDKNESDLLFPMNETTVSIVKKLHSSLKES LPTVMIPKLFFPVRRLPIATTGKLDRKGLRTMVEPLPKEKLMQYTTFNSSSRQIAEDG AEGKLRALWEEALGLAPGSVGAGDSFFGIGGDSLSAMKLVSSADSQGIALTVADIYAN PILADMAKICKSSEAAADTATIEPFCLLPSSMRKEALREVADQCHVSEGSISDIYPCS PVQEGLITLSTKQQGAYIARPIFKLTDEVNLERFRGAWQRTVDELDILRTRIVHTDSM GFLQAVLDKEHISWTTATTMEEIMDDTPELPNHSGGLLTAYAIVQPGDSSSRYFIWTI HHALYDGWSVPLVLKRVEELYKDPSATGSTLPYKLFISHLQDKDLSESDNFWRSQLAD ISCSPFPHSKASTLDAVRVGNRHHSSVEIGQTPASKDLTLPELIRAAWAIVISVHTGS NDVCFGETLMGRNISLRGITEVAGPVLTTVPTRVQVDNELLVTQFLQNIRTLTTAMIP HQHSGLQRIRKLNDNTSFACDFQNLLVIQTEEEVMNGDLWVPENNQTSDEFFTHPLTV ECKISGSKLVLTVHHDEIVLDSWQTERLTSQFTHVIQQLLTVSEKDIRKVGDLDVASP QDKQEILSWNQRQPARVDRCVHDIIREKGAAQPEAAAICAWDGQLSYREMYGYASSFA AYLNTRGVGPEALVPICLDKSVWAIVTILGILIAGGAFVPLDPAHPTSRHKEILEEIE ARVILCSPQYRNRYAGSVKTVVPVSQETIRAYSALTRKTPSPNRATPSNMAYAIFTSG STGRPKGIIIDHRALASSVTAFSPIVHLNENSRAFQFASLTFDAAVMEVLATLMHGGC ICIPSEEERLNDVVGAIRRMDVSWAFLTPSIASIIEPSSVPSLKVLACGGEKLSREVV MKWAHRVKLINGYGPTETTIFAVLNNVTPSTDPACIGYGIPSTLTWVVDPENHNRLSP LGAIGELALEGAALAREYLKSPEKTADAFVNEPTWIRDFPSSLPSPRRIYKTGDLVRY NSDGSIEYISRKDHQVKIHGLRMELGEIEHRLCEDRRVRHAVVILPISGLIQKRLVAV LSLESLNSGNGLNSDGACELISQDQMDAAYSELQEIQKSLESQLPIYMVPQTWAVIKK LPMLVSGKLDRKKITAWVEKIDESTYDRIMQDYDNIKRGNTEHKDEEDNDSSINLLRD IFTQVLNIPSSKIDPSRSFVSLGGDSITGMAVISRARKHGLNLTLHNILQSKSITELA LTSTVIVQAVKHEEKSGELFNLSPIQSLFMQTTDEFQGKARFNQSMTVRLTKRTKPDT VKNAIKAVIDRHSMFRARFSKSSDGNWKQTITKDIDSSYRLRTHSINNAGEMLPEIAE SQQCLDIQNGPIFAADLFEVRGHEQVLFVVANHLCVDMVSWRIVLQDMQEFIETGTLS SDKPLSFQGWCDLQFENSKRENGVINRPFSIEPPNLTYWGMTNSQNLYGHVKMESFTL NEEATAFLLGRCHEALRTETVEILLSAVIHSFSRVFTDRNVPMIYNEGHGREAWDASI DLSRTVGWFTTMCPLHVDEGSDIIDTLKRVKDTRRKIANDSRSYFAQSLLHPTGSDSK SFPVPLEVVFNYLGQLQQLERNDSLFQHYGGAFDAETFEVTGDMGPQTPRFALFEISA IIIKDRLHVSFTYNRNMQHKSRIQNWVSECRKALEEEVLSLKDYSPAPTLSDYPLLPT TYAGLDDLVKKTLPRAGVESWNRVEDIYPCSPVQEGILLSQLRDPHGYMFHGIFEVRS LQGKRIDSALLRKAWAMVVNRHPALRTLFIDSNYKGGTFDQLVIKASGDDVLEFECDE SLAFAKLDQVKLHDINATRRIKLPQHLTICTTASERVLMKIEMNHAIVDGGSVDVLFR DLALAYNNQLPAGSGPRYSDYIKYTRSQVHDKALKHWKQYLLGSHLMSFNRFGELQKF CEHNSVTLANLTLSAWAVVLRSFTGSDDICFGYPSAGRDSPVPGIHDAVGIFINMVCC RVKFISGQTLQDVSKLVQSDYIGNLPYQNCSLAQIQHELGQQGQSLFNTTLSIQNRSA PEDSGDKTISFEMQRAYDPTEYPVTVNVETTKGREGIMLRYWTDTVSDSQAKDLADAI AKVFTCFIESPSRLVSELKLAPSQGPSVTSNSALVDLDVLNSDALRKLIDIRVNEIIT RMLKEGALAIPTVHELHIKASEGSFATKRMPRERDLSDSMLTLTNYSRASTDTRESTD LEKRLWTLWSSALGLSPNIVNRKGSFFKLGGDSITAMKMVGAAREEGLSLSVADVFGN PVFEDMLAIVVAKDTPKLFETHTEITQLSEKVPETPVVITRAVSPDEISVLRPMPIDD SSLQSSICSKIGVFRGGIADVLPVTDFQAMSITASLFKSRWMLNYFFLDGKGPLDIRR LRESFLRVVDAFDILRTVFVCFHGQFFQVVLRKMRPEIVVHETEMSLDEYTESLQQRD REQEPRQGEQYVQFYVVKKKNTDHHRILIRMSHTQFDGVCLPRIMSAIKMGYEGSPIP PTSSFSNYMRMLPGSITPEHYQHWSTLLQGSKMTDIIRRQTPNNFQHIGSFTAQKKAI EISATVIGDVTLATVMQSAWAMTLAKLSAQSDVVFGLTISGRNATIPGIESTVGPCLN MIPVRVKFGDRWTGLDIFRYLQDQQVANMPYESLGFREIIRNCTDWPDSTFFTTSVFH QNVEYEGHMQLDDNMYRMGGVGVVDNFTDITVVSKPTGDGKLDITLAYSQRSAITTPS ATKILDMLCETAHSLITNPQITLPSPSTLRSLPCQVIDDLPRPTEEHFLTSNLNSRSI SELLVHSDILNKTWQHVLPNKNPETPRPPFQLNSSFFGLGGDIFSMGQLVWCLEQEGL QVRLEELLEHPTFLGHLAVLALHNAKQENLVERTRTVESRARVPSVSVKGRKSANWNP LGKAVTLARRFTTKWSSKA AOR_1_648074 MTRDEERSSSHSSLDDDGNSLELRHTNYDERPNATLEKQSTAAS ALSVFEQRAQSVVSRIRSREPGQTARFTHPLTHTKTSTDVIVDFDGPDDPYRPLNWSF RKKAITTLLYGLTTMGATWASSIYSTGTRQVDAEFGVGEEVGTLGTALLLFGFGLGPL VWAPLSEVYGRKPAVLAPYFIAAVFSFGTATAKDLQTVMITRFFTGFFGSAPVTNTGG VLSDIWTAEQRGAAIVGYAMAVVGGPVLGPIVGGAIVQSYLGWRWTEYLTGIMMMFFL AMDVLFLDESYPPVLLVYKAQRLRFESGNWALHARHEEWDVTFKELGNKYLTRPFQLL TTPICFLVALYASFVYGIIYLSLAAFPVEFQEVRGWNQVVGALPFLGYLVGILFGAAV NLLNQKFYVRRFKANNNFPVPEARLPPMMLGSVFFAAGMFVFGWTGQPDIHWIGPVIG AVMMGFGFFTIFQAALNYLIDTFQKVSASAVAANTFLRSVFAGCFPLFASIMFRKLGV PWASSVLGFVSVALIPIPYLFYIFGKRIRAAGKWSRASVYGD AOR_1_650074 MLKVGSWLYGKKAGANASTQSLDSLVELRDLEDAMRAATLILND DVDGAEDGLSEGVSSFHNLGRGVVAFIRATLGFEQEIMRQASERLNTAETSAASDQNK AQHNSHAPNTYHSPIYSPGTEFALCQAMAQLMSAVVGVLNESLTESIKGFYKMRKAYI TLDGILKMEQAYMQSISGGVSPADQGEASKPSPTATVEAKGLSQRLSDLSVSQDSTKS GESTELSTPNPSDMLSHDPDSDIFKNQIDVFVHSGSNFCFGILLLVISMVPPAFSKLL SIIGFYGDKERGLRMLWQASKFNNLIGALAAFAILGYYNGFVRYCDIMPDPVPGDQGD VQGYPQKRLEALLAQMRQRFPKSQLWLLEESRMEGANKNLERSLELLCGEERSPLKQV EALRVFERSLNAMYLHKYELCAEAFLECVELNSWSRSLYYYIAGASHLSLYRSTIVTD PKKAEEHAEKATEYFRTAPTFAGKKRFMARQLPFDVFVARKIAKWEARAKEWSVPLVE AVGVDPIEEMIFFWNGHSRMTQAQLDESMQKLAWSESDENKKWSREGPEEKAILQLLR AAVMRAMRKHDEARQLLKESVLNHDKSLFTGHLKDNWIHPVAHFEMAANLWMERPGYI AVHDAPATEGKITNGEEGTQLERKQVQECKEYLEKAARWESYELDARIGLKVTAAMEA VRKWESTHSTPAN AOR_1_652074 MSNSTQSHDKPEASQQPQQQKPPVLEEDDEFEDFPVEDWPQEET EQASGSANGANGHLWEESWDDDDAAEDFSKQLKEELKKVEASR AOR_1_654074 MSLSNKLAITDVDLKDKRVLIRVDFNVPLDADKKITNNQRIVGA LPTIKYAIENGAKAVVLMSHLGRPDGKANPKYSLKPVATELEKLLSKSVIFAENCVGK ETEEIVNKATGGQIILLENLRFHAEEEGSSKDAEGKKVKADKEKVEEFRKGLTALGDV YINDAFGTAHRAHSSMVGVDLPQKASGFLVKKELEYFAKALESPQRPFLAILGGAKVS DKIQLIDNLLPKVNSLIITGAMAFTFKKTLENVKIGNSLFDEAGSKIVGDIVEKAKKN NVKIVLPVDYVTADKFAADAKTGYATDADGIPDGYMGLDVGEKSVELYKKTIAEAKTI LWNGPPGVFELEPFANATKKTLDAAVAAAQSGSIVIIGGGDTATVAAKYGAEAKLSHV STGGGASLELLEGKVLPGVDALSSK AOR_1_654074 MSLSNKLAITDVDLKDKRVLIRVDFNVPLDADKKITNNQRIVGA LPTIKYAIENGAKAVVLMSHLGRPDGKANPKYSLKPVATELEKLLSKSVIFAENCVGK ETEEIVNKATGGQIILLENLRFHAEEEGSSKDAEGKKVKADKEKVEEFRKGLTALGDV YINDAFGTAHRAHSSMVGVDLPQKASGFLVKKELEYFAKALESPQRPFLAILGGAKVS DKIQLIDNLLPKVNSLIITGAMAFTFKKTLENVKIGNSLFDEAGSKIVGDIVEKAKKN NVKIVLPVDYVTADKFAADAKTGYATDADGIPDGYMGLDVGEKSVELYKKTIAEAKTI LWNGPPGVFELEPFANATKKTLDAAVAAAQSGSIVIIGGGDTATVAAKYGAEAKLSHV STGGGASLELLEGKVLPGVDALSSK AOR_1_656074 MFSASRRKILDGLNRRYIYGRLPLLHSIIFLIEMAVAMRLAAKF NSYYAEKPVLTTMVTNAVLGGVADTVAQLITAFKARPGRPNYDPGDLISIEIHDLDKE KPPALGELGHARHLPPPFDFERLTRFMSYGFFMAPIQFKWFGFLSRAFPLTKKNPTIP ALKRVAVDQFLFAPFGLVCFFTFMTLAEGGGRRALTRKFQDVYLPTLKANFVLWPAVQ VLNFRVVPIQFQIPFVSSIGIAWTAYLSLTNSSEED AOR_1_658074 MPSLEIPGEFLRHSVLDTVVPHASDIDLEAALTSALEGGADDLS SVLSFIPQRSLLFFDEFCNARIVLRLSNCSQASLKYHLEHLEVKLDVFAIDPAETVAE NPTPTRDLIFSGVVKRDEEPLVVVNEFEGETGSGNHVYVIWSIETFLKRPRIRIQHPS LLFIASVSLNPSESRQQESRDDDYLPPLIPASINILQPLSSDNAFPQKDPFLPASRLL RVVPAQYSEDPIYNVQQQSGHPIRVVPAASARIRYSRLNSYSGRPTTVASLDFEVTPF LNCEVVFDKAELHMSDGTIETLSDASGLVPPISCRPRDDVTLIYKLTPEYGPDPNPST TVMVSILDIRLEAIIKLSPNCSPRILMQWRTNVDFSMALNPTFGGPSQALQRTNRPAS LPMTPNQSNTATGGPPSRSSFRERAYSVADMGVTVSFSGPASVVVGKPFAWNVFIVNR SATSRKFALNAIPRRKRADPRSHVARPSSSSLTSRREDQVAEAVTDDNIVHAMQKSVA GQEAELVCLSTDLRVGPLLPGTCFATELKLLPLAVGALHLEAVRLVEVNTNETTDIKD LPDILSFDRNGIPPQDKK AOR_1_660074 MNPMNGPGAPALWQEARNADGRVYYYNVQTKATQWNKPVELMTP VERALANQPWKEYTADGGRKYWYNTETKQSTWEIPDVYKNALAQAQTAQPPPAAGPTF VAGGVSSFSSYPQQRERDDYDRGYGDRRGGYGSGDANGLVAAPMLGATTEPEYSSVEE AENAFMKMLRRHNVQPDWSWEQTIRATVKDPQYRALKDPKDRKAAFEKYAVEVRMQEK DRAKERFAKLRADFNTMLKRHPEIKHYSRWKTIRPIIEGETIFRSTDDEDERRQLFEE YILELKKEHAEKESVKRKAAMDELVNILKSLELEPYTRWSEAHAIIQSNDQVQNDDKF KSLSKSDILTVFENHIKSLERAFNDARQQQKAAKARKERHAREQFTELLKELRSQGKI KAGSKWMNIYPLIKEDPRYLGILGNSGSSPLDLFWDVVEEEERSLRGPRNDVLDVLDD KRFDVTPKTTFEEFNTVVLADRRTANLDQEILQLIFQRIQDKAIRRTEEEKHAADRHQ RRAVDALRSRIKRLEPPVRPTDTWDQVRPRVEKYEEYKAIDSDELRQSAFDKVIRRLK EKEEDTDRDRDRDRGSRRDHYDRSDRDHRSYRGERRGASSRLSRTPEPDAYEADRRKA QADRERSYRKVSGLSPVRERREERDRERDRDRDRYRERDRDRERDRDRDRDWERERST RSLSHYDRERRDREEERERLYRTRGDPRGSRDELDYGADTRSTVSNDRRRRRDSDTES VASRSAKRYRRDSRERERSGGPKREKDRRERTPAVEEAKKEEKAVHSGSEEGEIEED AOR_1_662074 MSDKLTRIAIVNSDKCKPKKCRQECKKSCPVVRTGKLCIEVTPE SKIAFISERLCIGCGICPKKCPFGAIHIINLPTNLETQVTHRYSANSFKLHRLPMPRP GQVLGLVGTNGIGKSTALKILSGKLKPNLGRYDNPPDWEEILKYFRGSELQNYFTKVL EDDLKAVVKPQYVDQIPRAVKGPVQNVGDLIKARAQMDNMEHILDVLELRQVRGRDIG HLSGGELQRFAIGLVCVQKADVYMFDEPSSYLDVKQRLAAARTIRELLRPDDYVIVVE HDLAVLDYLSDFVCVLYGRPAVYGVVTLPSSVREGINIFLDGHIPTENLRFREESLTF RLAEAGDEFLVNRDRAFTYPSMEKTLGNFHLKIDAGNFTDSEIVVMMGENGTGKTTFC KMLAGAEKPDNGVTVPKLNISMKPQKITPKFQGTVRQLFFKRIKAAFLSPQFQTDVYK PLKIDDFIDQEVQNLSGGELQRVAIVLALGIPADIYLIDEPSAYLDSEQRIVAARVIK RFIMHTKKTAFIVEHDFIMSTYLADRVIVFDGKPSIDAHANAPESLVTGCNSFLQSLD VTFRRDPNSYRPRINKYQSQMDQEQKLSGNYFFLEEES AOR_1_664074 MVIPSTSEPSTVMAFANGHPASPASDTVSFGNEPLASDGDSNMF DSVDNHVVSDSSPDPDAADESFNADSPDAEGYEDDSAMEDNAKDSSQSSSENSSSSES GRGTKRKSSSVNESDYIRQNPDLYGLRRSGRARTTRQVAQSLSDSDSDAVAPRSKRRR PVASQQTSKRPSRSATQSSFSEDSETSESEYGGSRSRTSKTKRRRQQASASAPSHAEV RFSTRNAARVSTYNEDDDDSMFEDDPDELMQNYWVNAVEDDRPAVDIVLNHRLKAGVD SSNTDLDRHDFEFYIKWQGKSHYHATWETAESLANCRSTRRLDNYIRKVLYEDIRLRQ DEDVAPEDREKWNLDRERDVDAIEDYKQVERVIATREGDEGTEYLVKWKRLFYDSCTW ENEELVSEIAQCEIDRFLNRSSRPPVSDKSESNPASRKSFEAIKGTPSFLRNGELKEF QVKGVNFMAFNWVKNRNVVLADEMGLGKTVQTVSFINWLRHVRRQQGPFVVVVPLSTM PSWAETFDNWTPDLNYVVYNGNEAARTVLREHELMIDGNPRRPKFNVLLTTYEYVLLD SSFLSQFKWQFMAIDEAHRLKNRESQLYAKLLEFRSPARLLITGTPIQNNLAELSALL DFLNPGLVDIDADMDLNAEAASQKLAELTKAIQPFMLRRTKSKVESDLPPKVEKIIRV ELSDVQLEYYKNILTKNYAALNDGAKGQKQSLLNIMMELKKASNHPFMFPNAEAKILD GSTRREDVLRAMITSSGKMMLLDQLLAKLKRDGHRVLIFSQMVKMLDLLGEYMEFRGY TYQRLDGTIPAASRRLAIEHYNAPGSSDFAFILSTRAGGLGINLMTADTVVLFDSDWN PQADLQAMARAHRIGQTRPVSVYRLVSKDTVEEEVIERARNKLLLEFITIQRGVTDKE ASEIQNKMARNGISITEPNSTEDISRILKRRGQRMFEQTGNQEKLEQLDIDSVLANAE LHQTEQAEDIQADGGEEFLKAFDYVDIKVDDLTWDDIIPKEQLEEIKAEEKKKADERY LAEVIEQNRPRKRNVPGDERDSREERKAKRQARAQVSLDDGDESDSNTQLDPKRPLIE KEYRHLLRAFLRYGDIDDREEDVIREARLLDRDRETVKGALREITEKASSLVREDIEK MEALEHAGKMPTKKEKKAVLFDLHGVKRLNAYTIVERPVEMRILKEATNAVSDFKNFR VPEATKAADYSCPWGAREDGMLCIGIIRHGYGAWAQIRDDPDLALGDKFFLEEHRVER KNERLNAEDKSTKSPGAVHLVRRADYLLSVLKDKVTNGSSVNAKRAVENHHRNNRKGS RPHASASVSASPAPSIPRKGHREMDRSRHRSHTHGARDSVERHHTPNYDSRPRSFHES ERARHRTSDASSEDVRRRKNSENGYSAGKEDVARLFFKPIREDLRKVSAVTKENYPNK AERASELRNLLRKIGEFIDGTLKGQSSVHSLETRLWHFVADNHWPNKEAGGAKLQEMY RKLMAAQKVAAAAPASNGS AOR_1_666074 MTESPGSPLSSIASDDMSDREELKQGFSPSASNMPPSKRRRTGI ASWDRNTPVSTTFQDEIPPASPSSSISSDTSGDIPNSPGTLALIGGSQDDDYSGQGND QVTVCRWEGCDAGDLGNMDDLVQHIHNEHVGSRQKKYSCEWSDCTRKGQTHASGYALR AHMRSHTREKPFYCALPECDRSFTRSDALAKHMRTVHETEALRPSDPVPKHHNAPSAA GTPAGTPGSKLQRIKLKLSQPPKEDPEQQLNEKMNEENKYLDCYLNDWEEHGYHRELG FQPYESKLPPQQLYRLLRRQIHWAEKETVELRDEWERVLPKRKHAFLEKEAIFEDVCD AELRLFSLLMGSDAAAPSSSAAATNGAKKQPETADTEMTLNPESESVAA AOR_1_668074 MPSLVGRDVGHTGYGLMRMTWVPQPPPQEQCFEALNTALAHGSN FWNAGELYGTPEYNSLHLLHSYFAQHPENADKVVLSIKGGLKPGQLVPDGSEANIRRS VDECLRVLDGKKKIDIFECARQDPKTTVEQTVTVLAQLVKEGKIGGIGLSEVDAETIR RAHKVHPIAAVEVEMSLFDLTILQNDVAKVCAELNIPIVAYSPLGRGVLAGAFTTAAD IPDGDFRKTLPKFQDEAMKQNIKLVNEVNDLAARKGVAPVQIALAWVLTLSGKPGMPT IIPIPGGTTSAKVAQNLQAPRLTDAEMAEIDAILKRNEIVGTRY AOR_1_670074 MTQTSQFSSPRLIPVHSSKPSNFDCFKSRSMALLAKASSLACLL VLAFLALASISHSRPVTEISRSGTLHARDPDPRLIPGSNDLVSDILDSIGLQNFQKLN NWKQNQATDESSNTAPTKTQQNNTTPSSNHTAKQTTPSGLADPAGDPSGFVSGLLRLL SDRFKQAWHSSDEHTLT AOR_1_672074 MASCKAGFVSILNNDDNPSFTVRSSPRLCRHHSTSSYPYPSEPR REASNSYYRYGYAHSDSFPVNRQQFDSVSDAAQPTSPGSSDCSYDYMSAGSSYYYQPN RQEPHSYQAPTTGTAMTTAEKRLSANSVSDPPSPPASISGSRDAMATKVNRKNKYPCP FAASHNCSATFTTSGHAARHGKKHTGEKSVHCPICNKAFTRKDNMKQHIRTHRTHSED RPTGVTEKDTDASNRWRVRRDSPLYNHHRSSSQSQMDGNAYDSMRTMR AOR_1_674074 MAYYDESHYHSPRDRYARPSSVYSQDYYSGDGPYSSSRHEAGVV RRRDGSNESLPGDYGYEYGYGLPPQSRRSRVSTVQEGVHRSHSMGGRGSYYDDPDYHH SRHSRRSKRYDYEDPRMRSQRSPSSSRSPPPRRRRKSLSEQAMEALGIGSSASSASKH HEHRRGRSHGHHSRSYSYSPSPTRSRSRHRRDRSEQRIAQAMKAALTAGAVEAYRVRK EPGDWTGAKGKRILTAALTAGGTDGIVDRDPSKHSKRHIIESTLAGLAANHFVNGPRS RSRSKSRGREKSKSKLPDLAAAGALAAAGKEAYSRFRSRSRPRGRSQSRDSEDDSPRR PRRRSKSVSDYINRGMEALGLENKDKDKDDRRRHHDRPSRRDDYSDYDSDSEYGSRHH GSSRRTRHSRDVGRSLNPDSNHSPSSHSRSGATGEEGHTYHQDHYSNSDKDSDLGSST DEEHQHKKMTRKMLLTTGLATVATIHAAHGLHGSMEKHKKRVKMVKEGDMSPEEARKL RVKNNLVDAASVGLATLGIKGAVDEWRHVDHMRKERKDFRKLCDSRRERRRAQSYGAA PRRYTMYPDEIEEYPPSQHGSRGRSMSVAQEV AOR_1_676074 MIVPKPPVKLEDHCSVIYDNTLYTYSANGFASISLERNATWTQL PMGEPVSKAACVTGGLDGNEDQQALYVIGGTPSKGESSGLQRYSFKDKKWKTIDSVSG NMANRTSHKAVYLKSSSALLIYGGHQRDETDASSDTFAINTQEPYNLSAYSAENASPA YDPVLLTWNDKEAALVGGLTTPDQVHLFDPIQGWHSSDVTIPAPLSNDVQCALVNGTD GSKILEAFDMSASPNNVTSITLLNSDGAPAHKGNSSESSSTKRGITLDDYPTYDDSLA PTTTRKDYSLAQGDDGLVVISSGSGSDTLAIFNQTSNSWVNATKLFYGDQTSQQILGT TTTTPTATASGSSETSGAPASDDSSSSDVGTIIGATLGGIVGIAAILIVILFILKHKK DAKKRAAQAQDGGDKDRLSFQDRGVEPLTRSAYPMAKSPAPLAASSVDSLAIFSGHVG EEKTPKPAGAPPSFDHKPSPLSTIQSSRELSSGEFDKAIEAQDSLPGNHPGDRRTSEG WGRYFQDNSAAPLMVPPAAVPARPDSTATTATAWPMKTLAPLNTNFLEAPKPLGCVVS GSPTTEHATSPKNGQSITIPESQSARISSASDITIESDDGYEHPGSRAQQSWLGRPPS STYSRSYYNPSYYNPSTSDVPSLVAPLRDNRMNTRGSSVLIPDTVEPVPPRDTRNNNI NSDMSWLNLNAER AOR_1_678074 MQSTRLELVHLTIDHLPGYFSVKSDMEVVKWSSPGPLTSIQEAE EELLRDLSEDNRETFAILLRDDLDPKTIEEWQGPEAKDGSNALVPGGFVGFIGVYDLH HVAQVFYTIHRSAWGLGIATEALLAFTELFWILHPDHYRLLGRCDTENPASGRVLEKS GFEYYDFICADEFQPWMVPHARDSLRFVLAKPGYTFD AOR_1_1176074 MESSRGPPRVKNKAAAPIQISAEQLLREAVDRQEPALQAPTQRF ADLEELHEYQGRKRKEFEDYVRRNRINMNNWMRYAAWELEQKEFRRARSIFERALDVL PTSVPLWIRYIEAEMRNRNINHARNLLDRAVTILPRVDKLWYKYVYMEETLGNIPGTR QVFERWMSWEPEEGAWSAYIKLEKRYNEFERARNIFQRFTIVHPEPRNWIKWARFEEE YGTSDLVREVYGAGIEALGEDFMDEKLFIAYAKFEAKMKEYERARAIYKYALDRLPRS KSVTLHRAYTTFEKQFGDREGVEDVILSKRRVQYEEQLKENPRNYDIWFDFTRLEETS GDPERVRDTYERAIAQIPPSQEKRHWRRYIYLWIFYAIWEEMEAKDVERARQIYNECL KLIPHKKFTFAKIWLMKAQFEIRQMELQTARKTLGQAIGMCPKDKLFRGYIDLERQLF EFVRCRTLFEKQIEWNPSNSQSWIQFAELERGLDDSDRARAIFELGIEQPTLDMPELV WKSYIDFEEYEGEYDRVRQLYERLLEKTDHVKVWINYARFEINIPEDEEEEEEEEERP VSDEAKRRARAVFNRAHKVFKEKDLKEERVELLNAWRSFEHTHGSPEDIDKIERQMPR RVKKRRKLDDDRYEEYMDYVFPADDQSAANLSKLLQRAHAWKSGQA AOR_1_680074 MSTAEELLRDFESDDEDFQAGEGVEEDVDEEQQHLQKAGSEVTN EFEVALSTGDELTRLHKLLRDHYSVRFPELETLVTSPIDYAKTVAILKNGPLNDIKAL SSSADNMVGEPLKSILDGPSLMVVSVEGTTTRGREMTESELKVVLDTCERILKLDRER RALTESIQSRITQIAPNLAALIGPETAAQFLNQTGGLRELAKIPACNLGAQGNKRTEG LGFATNIGVRSRGFLYNSPLIQEVPDDLKRQAIRIVSAKMVLATRADVSNFSPDGSLG EDLKQQCFTRLEKLTEPPPNSGVKALPAPDDKPARKRGGRRARKAKEAVAMTDMRKAQ NRMAFGKEEAEVGYGTGEGTVGLGMLGQQNDGRIRSTQIDNRTRAKLSKSNKGWGTAT PASGTASSLRAFSSGVGGTASVLQAKGLRSSGIGTSLGGSGTASTIAFTPVQGLELVD PKVQAELSRKRKAEEDRWFKSGTFTQVGGSQSNNNSSQKDNGGFKVPPIPPQKKVDTG EGKMGPPPLPLKR AOR_1_682074 MAPVEIGQPVFGVDSPKPTSQSPSLYDHTLITTDFDALKFHAAA SLQLQFGHAHETAALQSDLLITSPYNDPKHLLDLKTLDTPNQLLAKALTILRPIRDDY ATAPYTESFNWQAVFNFLRDLAQTNGYRWETQHFYVVVFRSRLQADIDKQRLHDLDAY SHQEAVASGGLLKYWFGTKDGERRNLATCLWRSRNDARLGGTGPWHKKARGAARDMYE DITFTTLKLVIEDDVRSWRITDWTEEDE AOR_1_684074 MGLPFWGDREKDAGISVREHNASQESTIQDGSVKYTAAEGINST SVTYQDASGAPVETDSPLGYSVSFWTSLCLNINQMVGTGIFSTPATILKGVGSVGLSM IYWFIGYLLAQSTLAVYLELASYFPSRSGSEVVYLEQAFPKPDYFFPTTFAVKHVVFS FGSSNAIVFAEYIFGIAGSGYTNWQLKGVAVAAYTVATLIVSSSTKWSLRVVVWFGFI KIATLVLISIAGLVVLGGHTKVEDPMINWHDAWKGTSSASAYGATNAMIKLIFSYSGY TNAFSVVNEIKNPIKTLRWSAPFSLLLVTSLYILVNVAYFSAASREEILNSKQIAAGV FFQKIFGTNGASRALNVLICISAFGNLMAVMVSYSRMLRETGRQGVLPWPKFWTSTKP FGTPLGPYLVQWSITVIMILAPPAGDAFNFVVDLSVYPSSIFNFLLVVGLLLIRRRRS KLNLPRPEYKSWAIAIGFALLANLYLLAAPWYPPTGGANGGDVSFWYGTYLVVGIGLL VACGVYYYVWIKLLPKYKGYEFRQTVLEFDDGSVAHNLVKVPVAELARWDVEHDAVGR LRHRTTYQSSTATDEDKSSEQKNVS AOR_1_686074 MRPIPQIIYLIVLLASQLVTADEYIESRALSLCSNSLEFTATYF NVKFTPKNRTIALSFNGRSSISGKVIAELIITVYGYTAMTKVLNPCDMDLEGLCPVRA GEIPVMNTNIKIPDSVISQIPGIAYTVPDLDASVRVFINSSDTGRSITCLEAELSNGK TVYQPGVGWTTAIISGLGLAASAVTSALGHSKAAIHIATNVLSLFGFMQSQAMFGMSS VHMPPIVQLWTQNFQWSMGIVRVGFLETLCTWYQRSTGGRPSTLIVNHSKKSIQILKK RFHGTLLKRAGKSGADTHKSIVIRGIQRVGYKAGIESTNIFLTGLIFFVFFATLAMIC VAIFSVIRKSLVKSGKIKNDEVKWNFIPKGILFRVILIGYPQICILCLWEFTQHDSPA EVILAAVMLLTTTVAIGFATQKVVRLAKQSVKLYGNAAYTLYTDPACLNKWGFLYVQY QAKAYYFIMPALVYVIVKSMFISLSQAAPVVQTIALVIIEALMLISVSILRPWMNKKT DIYNISIAAINFVNAIFLLIFSNVFSQPGILTGVMGVVFFAYNAVFSLVLLVLMLIAS IYAVLSKNPDIRYQPMRDDRESFIRSQSQHQLGTELDALGAAARGEKHSNHDNSIPSL VDPMDRHGHGNEPNGEDRLEANQPSSDILGSEALGYQNNRRKHIPHCRKFYRPGRCKP PTNPDVILPTDVSRACSTSSPWNRGAGYD AOR_1_688074 MPGVLAETTLSVSDGPLTSENAAYLRPSDPNLPVEELRKRYDED GYLFLKQVLPREDILEACKAYFEYLAPTGVLQEGTEPVEGVFNRTKSIDDYPGIGAGH VGGNGRPGGDSAAQFVDKAIEAHYKDWYTKNVVNHPALYDFIAKFTGWGNDTLTFKRT LLRNNIPGSKPIGVHYDQIFLRYGEPTAVTAWVPIGDIKINGGGLIYLENGDSIGQKI EDDFTAKALKAGLTQEEAKSAFNSNMMSTGLLSEFPAEFAKENNRRWLVSAYEAGDVV LHKPHVIHASTINNDEDNVIRLATDLRFCDSSKPYDKRWMNYYRFNDGV AOR_1_690074 MAAPAHQFKVADISLAAFGRREIELAEIEMPGLMAIRRRYAADQ PLAGARIAGCLHMTIQTAVLIETLVALGAEVTWTSCNIFSTQDHAAAAIAAAGVPVFA WKGETDEEYNWCLEQQLSAFKDGKKLNLILDDGGDLTSLVHEKYPEQLKDCYGLSEET TTGVHHLYKMMKENKLLVPAINVNDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKV AVVAGYGDVGKGCAQALHSMGARVLVTEIDPINALQAAVQGFEVTTMEKAAPLGQIFV TTTGCRDILVGKHFEVMRNDAIVCNIGHFDIEIDVAWLKANAKSVQNIKPQVDRYLMA NGRHIILLAEGRLVNLGCATGHSSFVMSCSFSNQVLAQIALYKAEDAEFGKKYVEFGT TGKKPVGVYVLPKVLDEQVALCHLEHVNAELSKLTPVQAEYLGLPAEGPYKADHYRY AOR_1_692074 MKIQTLCSLAAVGLAFAKPIHNLEERADVADVLKCAGDTVQKGL SVALDNVSQSNIKLEGLDGLISCITNAAAEVTDIATITQDPLGWLHSLDCTEQFLGGA EGLAELGHNVQQVENLLSVVAAQTVGGIVKCGSSKSI AOR_1_694074 MKFQTLFSLATVGLAFATPVHNLEARDDYTNCVINLAKEAVSNG CGSLPVCRVLPHFVQCMQNGLEQLDSGFFVITGFPTLLVGCTTDAQKALTENEFRELS DALSPLVEESVAQCSAQ AOR_1_696074 MKFQTLCSLATLGLAFANPIQNRGQDFTDCVTGVVKKGVTQGCA TLPKACGVLDEFTACTTDATAQVTDITDLDQRRSEWLASLNSCGQTLWDGLKNAGVSE VELNTLQVSFLEMTSESLTSCSKSA AOR_1_698074 MNNKMQSPTILLLGTFDTKTPELTYTHQTLLTQTPQPNILVLDV SHHPPPTTTTSVTDLNITHTIPQKDLPGQRAEYIKAASEHATTIVADLYKENKIHGII SIGGSCGTNIATAAMRNALPVGFPKLMVSTMASGDVKPYVEETDVTMMYSVVDIAGRN WILEGILRNAACAIFGMAGGYYKSLLKSQSHREGGNGGSRGKKRVGITMFGVTTPGVD RIRAHLEDVYGFEVYVFHATGAGGKAMERLIREGQLDAVVDLTTSEVVDEVMGGVLSA GPERLVAAARAGIPQVVSVGACDMVNFGPRNTIPERYEGRLIYEHNPTVTLVRPNAEE TVAVAKFIAEKLRSCAVKPELIRLVLPTGGISMIDTPGQPFYDPEVDEVLFLTLEKEL DGTGISILRDPRAINDPEFAVSVADMLGDLVKSL AOR_1_1178074 MQLLLPFLFLCTTISIILGAIAWARTTTLYLPLPISLSATTTIL PILTPILLFLARFLSNQSTTGANTPTFRNRFITSVISYLLTILPSGLATLALTYLFAP DLLVCQLNNQWQSYYHNKDSRAIRAIQDSLHCCGFRSVKDRAWPFKDRTHDDDACVEQ IGYARACLGPWEQEDKGAAWMVLWAAVLILVVKIASSQLNRSPGWMGRANTNRFIRIS GAEDQEEGEEHANANGNVGDDRPTHQLPQPGQSTHDDVWSR AOR_1_1180074 MSQIRLTGAIWSPVDGQTPKSIPESAGRQTYPTSGALEPRGELH PDLSRDDSLSSISDVTRLLSCDDLASVPLNSDAYYTVSTPCSLHVVNIITFHVQFSHE LYRFFMYRLLKHFLRSEMDTLRTFFDWIWPIDTQHRPLQPVQEPMRLETPQICLGHLF SKDIYGDDVGIPLYYFNIVQGRDNAVKVIITTSKAGAAGAAYYQAVCGFSTVAIFAIC QRALRRCREADPIFSFRRALTVSDADRFLDQDPVWVGLIF AOR_1_704074 MPRPTTRQEVLDRLRKTVADGKIIVGAGAGIGLTAKFIEKGGAD LILVYNSGRFRMAGRGSLAGMMPYSDANQVVVEMASEVLPIVENTPVLAGVCGTDPFR DMRTFLTELRRLGFIGVQNFPTVGLIDGKFRQNLEETGMGYDREVEMIRIAHEMDLVT TPYAFTVDEGERMARAGADIIVVHVGLTTSGTIGAQTALSLDDCVTIIQEIRDAVVKI NPEIIVLCHGGPLAGPKDAEYVLKRTKGVHGFYGASSMERLPVEMAIQENAEAFKKLQ VNV AOR_1_706074 MLSPQALFGALVLLSAPSAVVADTCKAPINHPGEPFSFVQPLNT TILTPYGHSPPAYPSPNTTGNGGWETALVKAKQWVNKLTLEEKTWMATGQPGPCVGNV LPIPRLNFSGICLQNGPQCVQQGDYSSVFVSSVSAAASWDRKLLYERAYALAEEHKAK GSHVILGPIGGPLGRSPYDGRTWEGFAADPYLTGVCMEETINGMQDAGVQANAKHFIA NEQETQRNPTYAPDANATTYIQDSVSANIDDRTLHEIYMWPFANAVRARVASAMCSYN RLNGSHSCQNSYLLNHLLKGELGFQGYVMSDWGATHSGVASIESGMDMTMPGGFTLYG ELWTEGSFFGKNLTEAVQNGTVPMSRLDDMIVRIMTPYFWLGQEKNYPSVDASVGPLN VDSAPDTWLYDWKFTGATNRDVRANHSAMIREHGGQSTVLLKNERNALPLRKPRNIVV AGNDAGPLTQGPDLQADFEYGVLAGSSGSGSCRFSYLSTPLDAINARARKDGSLVQSY LNNTLLTTSALTSPLWIPQQPDVCLVFLKSFSAEGEDRTSLELDWNGNAVVEAVATHC NNTIVITNSGGANVMPFADHPNVTAILAQHYAGEETGNAIADVLYGDVNPSAKLPYVI AYNESDYNAPLTTAVQTNGTYDWQSWFDEELEVGYRYFDAHNISVRYEFGFGLSYTTF DLKDLKAKGSAAANLTALPAKRPTEPGGNPALWETVYTLEAEVSNTGDVDGYAVPQLY LQFPTSTPAGTPPSQLRGFDKIWLEAGEKKTVTFDLMRRDVSYWDVVAQDWRIPAGAF TFKAGFSSRDFRANSVATLVKA AOR_1_708074 MSRPLEGKFGIVTGGSRGIGEAIARNLASKGCSLLLNYTSASSQ SRTETLCSELANQHSIKCVSVQADLLHTEEAVTTILNAAKENFTSETTGTLQVDILIN NAGVSKDRFLNDEEKGPMDREYFNWHYTINVLAPLLLTQAVAPFLPTDRSGRIVNISS VSSSIGFTGQTVYGGTKAALEAMTRTWARELADRATVNSVNPGPVIGDMYFATGEEFW KQMQGWLDNTPLSKVVDGEEKMKSLTDEQRYLIKEKMGGRRPAFTSEIAGVVGMLCTQ DGFWCTGSVVCANGGMKMGL AOR_1_710074 MPPLRTFSLRLLRPSRTITATPIRNLRPLSTCLPKHPSPVRITT TKPLSLSPPQLTTQARWGSTQTSSGKSQADLMVEELQELYETAKDEFEIATDSTNGTT IYAASDRESARDALNQLSAVFALYTTEMPSYDESHQPQQTQPDDSGSQMVSTYFDPGD IAPEVRQEVKRRVGQRVRELANAVEALEERAKDD AOR_1_712074 MASHTKKDDYCLECHWESFHIDGKESENQSNAPATSQWDCSGEG HDASLDHCHVDDACCDMDDCSITCPSVCDGLVDCEETACTDTHCNDGCDDTHCENAET LCFDEHCFGNNGNDTAVADHTLESLLGLAGPINLESNDLLSACTVGQSQGDQLPKPTG NITSDMVAPQPSMDSLFPQHSIPVAHCHSHSFPHFHFHDSSKDAHGNMMHQPFPAQNG VNPADVFHMLGMCPDLSACQNFHVHENTNCDHVDKPNTDAGSNSFACFHIPPNVNLND LMKSPVHIHSNPSRGPCRTHHRCRTHAHAHVHPYGHYSPYSRQSRSSVSSQLISSPGD TPPPLEGGTPSVLTSPVTPTESEVHICKWTTTSGGAKTFCGAQFSDPCTLQEHLIAQH MSTINGAKGTGYYCCWEGCHRPDEPFSQKSKLQGHFLTHSNYKNFRCSVCGKFFARQA TLERHERSHRGEKPYKCSECGKAFTDSSELKTHSRTHTGEKPFKCTFPGCNFQTGDSS NMSSHRLTHGERKHKCIYPGCTKSFTRPDQLKRHMKSTHKHDSSTMISPVSEQFTLSF PIV AOR_1_714074 MGNICSRSKNQPEAFSSPGRVLGSANPPPGDTGKSSSGPRAPLP ANASTGRTLGGAGAPGAGADTADARANAAIAAQKRAESTTAGNKGKLGSKLAAQKAQT QAQTLGEASRNERSARDADNAAEARRWE AOR_1_716074 MARHGDTRSPSPVGSTYSSSRRSRRDDDRYERSRRDDGRSYRRS RSPEKRYRDRERDRDSYRRRDRSLDRRADYRDEDTYRPSRRDRSRDRRRSRDRDDDRD YRRRSRDRDFRSRRDDSRDRARRRTDDSADLKHKSRRDDSRERARDSVPRSREASKPS TPAANTGPTDDEKRAERLAKLEAWKQKQAAEKERKQREAAAAGGTRSILDEIDRKSGL SPAVGSPQSSATPIDAAPASYTGKFDPKAIAKNAAPAPAVKSVLGDDIAVPPSAKTSA TFPSTKTEVQANKPSATSSKASSLKVKGNVGSFGLGTKQAADTEKASATKTLGFGEEE STRRKLERLPTPPLDDAKDSNKEEDVTAEDEDDDVDMQDGDTEEENAAAARAAAERRE ERLQNEARDSQSNGDVQMSDASNQKAPDKMEVDAQEEEEVDPLDAFMSELAESAPPKK TAGAKFAKAKPQQPEALFGDENDMDMTAVGDGDADDFLAIANKAKKKKDIPTVDHKKV EYEPFRKKFYTEPSDLAAMSEEEAASLRLELDGIKVRGVEVPRPVSKWSQCGLGVQTL DVIDRLGYSAPTSIQAQAIPAIMSGRDVIGVAKTGSGKTIAFLIPMFRHIKDQRPLEN MEGPVGLIMTPTRELATQIHKDCKPFLKALNLRAVCAYGGAPIKDQIADLKRGAEIIV CTPGRMIDLLAANAGRVTNLRRVTYVVLDEADRMFDMGFEPQVMKILANVRPDKQTVL FSATFPRNMEALARKTLNKPVEIVVGGRSVVAPEITQIVEVRSEDKKFIRLLELLGNL YSTDENEDARALIFVERQEGADTLLRELMRKGYPCMSIHGGKDQIDRDSTIEDFKAGI FPVLIATSVAARGLDVKQLKLVVNYDAPNHLEDYVHRAGRTGRAGNTGTAVTFLTEDQ ERYSVDIAKALKQSGQSVPEPVQKMVDSFLEKVKAGKEKASASGFGGKGLERLDQERD AARMRERKTYKTGDEGEEEEEKEEKNEKAEEQFNKVLSSVQSAAAPSLPGVPKGIDLD GKITVHKTEKDANGSKNPLDKVGSAVADIHARLSRAGVMRSGVPIDNRGPDAGAFHAT LEINDFPQKARWAVTNRTNVAKILEATGTSITTKGSFYATGKEPGPGENPKLYILVEG ETELSVTNAMRELMRLLKEGTIAAADSDARAPVGGRYNVL AOR_1_718074 MPLNLARRGGGILRKLHLSDAFSRPVRVAVAQSHVRRVSLITRG QALRPICRVLPSTSVFSQRLLKTYATTSDSKSEKKKSTKSTKAGKGTKKTTKKAKKSS TAKPKPKPRKQLTEKQKEAKKTRELRDQIKALKATALEAPKRLPERVANLSIIEKLQE TRKTHNNTQEAFKAATELAKTISEEERARFAAVAESNRNSNESTYDQWIKSHTPLQIK EANLARSRLTKLTNKRYPPLRDDRLVKRPSSSYVFFFIERTGQGDFKHMAAKDIATRV AEEWKGLTESEKEKYHKLQLADTERYLREYQEVYGVEAPHVRKSSE AOR_1_720074 MESMRLVRHHGLEVDPERPLYSHYCLVENEQKNKYSVQSRIAGH NERFSKASLALEIELRTPTPQPYDQPPPSYDDAIKDLPPDYSTLSPLAERKDLCGDGT PSIETKDLNSRSKSPLIDFRHPFGIREHKGPGKKKKAKQAAQQSTPPPNDDGDKSPPP DENQNGGDGGSNAGGSGDGNGDNGGDGGGGDGGDDDGWGDAWNTNSKKKDKKKKKEEE ERLAKEEEERKAKEEEEKKAAEEAAANAHSDDLSWANDGSGNGDDSWADFALAGNKKN KKKDQGATLIDTGPPSNSFQDINLGGNTSPPNLSLDPLGGKSTGGGLEFGGWDKSWNT GSKWGLDSLGGMNGEKTQNTNPWSFGSADPLNASVGFGFGFGAPPPPTNGDVGTQEKK DNWGFLDTKKKAEPEPEMADDDDWGWGVSTKKKDKKKKDGAILEELEPVVENPPVVAD PAPEPVPEEKKEEDDWTGWNVPTKEKKKKKGKGAAEPEPVLEPEPEPEPPAPAEPEPE PEPKLPDDPLYNNWDTLSSKDRKKREKSLVKKGLPIPGKDFEWPPKAPAAPVEEPTKE PESELQPETEHEPTPEPEPEPAAEPEPEPALEPEPAAEPESEPAPEAKLPDDPLYNNW DNLSSKDKKKREKSLMKKGLPIPGKDFEWPPPAPAPAPAAEEPAPEPEPVAEPEPEPA AAPEPEPTPELEPVAEPEPQPASEAKLPDDPLYNNWDYLSPKDIKKREKSLIKKGLPV PGKDFPWPPPPPPEPVLEEPAAEPIVEDVPEPVVEPAPEPEQAPEPEPVLEPEPEPEP EPAGEPEPQPVPEAKLPDDPLYNNWDDLSSKDRKKREKSLTKKGLPIPGKDFAWPPPP PPEPVVEEPEPEPEPEPVLEPEPEPEPEPEPEPQVEAEPEPESKALSLPDDPLYNNWD ELSAKDKKKREKSLTKKGLPIPGKDFDWPPAPPPEAVTEEPAPEPEPALEPEPEPEPE PEPQAEPEPEPQLELESEPKAPSLPDDPLYNNWDELSAKDKKKREKSLIKKGLPVPGK DFDWPPPPPPEPVAEESIQEPVPEPEAALELEPEPEPEQQPESEPKAPLLPDDPLYNN WDDLSSKDRKKREKSLMKKGLPIPGKDFDWPPPPPPEPLAEEPVPDPAPEPVPEAEPE PEPVFEPVPEEPEPQAEPEPEQEPESKTPSLPDDPLYNNWDNLSSKDRKKREKSLIKK GLPIPGKDFEWPPQVVPVAEEPVQEPEPEPVREPTPEPEVIPEPVTEEPIDDSWGIWA PQKDKKKSKKSKINDEPPPPAPTPPSLGLSLEPDAFESIPEEPLWDEFSTMPSKKKGL VAEETPSKPARGFWASFGAAAMGMPKATKKKSAEKPIKEPEPQPEQDDLLIDVGDAPR EAGAADAADAPVAEVEPQPAAPPTKTPVVTSKTKSSTKNSVAERIKALEEKKKEKSKG KSTEKSKAKEVPPPVEDPPEPPQEPEPEPEPEPEPVIERRILRDTVPGSFPDAFDDDF DDLPPPAPEPPAEPEPEVQPEPEPQPEPEPEKQPEVEPEPVVLSKKAKKKDKKKSKTA VPEPQPPAPEPSAPELPAEPEPVPEPAPPEEVVPVAEPEPEPVPEPEPVLLPKAEKKK KKAKAVEPQPAPEPEPEPEPVPPPKVEKKKKKAKAVEPQPVPEPEPEPEPVRALDPEP EHEPEPEPEPAPVNVPEPEPEPAFEPAEPPAPEPEKPMKKIRKSRRSVPVPEPPPAPP AEAPVADAPEEAAGGPPTPPPEPVAPERAARKERPRVERARDPYAIDDEDIVMVNPDD ADGEPKAPSRESRHSERRSRKKSRSRQGDLQDDAVMVDADPAQTSPDIVSGPDDMAFV EAPRERRLRRSNTAPKKQETGGLMGLIGTLRQHIRPELPERQKSRSYKDEDAKYMTEP EREDARRQRRDERRRRAMRPDPDREGYATDAGPAMAVPEIQIEDVDARREARRARRAS RQGDVDPYQDNEFQEAEERRARRRERQRAREREMQEMQLREEEERAQRRREEKMARRA AREERRAREEQEAREAEAQAQEAREVEAREARAAERRERRRQEREAGIYENPSYDPRS RKRHSYMDDRAARDFYMQGGDPDRAYRSRRHGDDGEHARRRRSRAPEETRPLPMMPGQ RKDKTSSWVNSQASDPPEPPPVVPTMLDMPPAPGEPMDGAHSISSDEEARRDLRRKAR RRARYPGLTDQEIEEERLRKREARRSERGQKSSSGSADYERDRGMRYDRYDQPPPSSG GKLPNWFKKLTSF AOR_1_724074 MDNSSLDIWVAGALAAVTVDFLVYPMDTLKTRIQSPNYNHLYKD ATGAIKRDVLFRGLYQGVWSVVLATVPSSGAFFTTYESSKHILTLSSIQSQSQSQSSS QNPLPFTHPLPTPLIHALSSSLGEMVSCAILTPAEVLKQNAQVLDMNSPTPTSTKTPR QGATIQVLAKFRHRPWKLWSGYTALVGRNLPFTGLHFPIFEGVRGWLVSWRGRERRNG DRNGGKNGGKNGNGVFERAVLTGIAAGVSGTVASVVTTPIDVVKTRVMLGAGGEELKG TEKTVRKVKGTLAVGREVWKEEGLRGLFRGGALRSVWSAFGMSIYLGIYEGGRMYLEK RRKEDEGGVL AOR_1_722074 MAEKEVPLTAVKVDALVVMKIIKHSSQSFPTTATGSIVGMDVDG TLEITNSFPFPVVEMPADSHFDNAAPNPAAAAPRAKANVAYQAEMIRMLREVNVDANN VGWYTSANMGNFVNMNVIENQFFYQKEMNERTVALVHDVSRSAQGSLSLRAFRLSSKF MTAFKENKFTSEELQKSSLRHQDIFVELPVEIHNSHLITTFIHQLQCPSQSTPTDLPP SLAALEKSSFVKDSVLAPNFDNLSLSIDPFLEKNCDLLLDSIETHHTETNNFQYYQRS LAREQAKITAWQAKRKAENASRAALKQPLLPEDEYQRLFKLPQEPSRLETMLNTRQVE QYSRQIDSFVSATTGKMFAVKGNLLPGETAK AOR_1_726074 MPTETFNYGEEHALQTVTVTTLSETLDKKFWIILIHGGAWRDPK IDAINFSNPAISKLTTSPKYADVLPHITAFASISYRLSPHPDHPQDQSSTNPRDYRGS KHPDHINDVQAAIAFLQRKYGFEERYILVGHSCGAMLAYQSVMGQFKAGISHGPVAVA GLAGIYDLKKFRDTHKEIPAYQEIVEGAFGDDEAAWDAVSPAVVKGADGIEGGWTHGS FAILAHSSDDSLVDETQDEAMDAALIGGWWKLQSEDKLRCVTRMPLEGEHDECWEKGD GLAEVIGYAIEKQLEITYEEEPEEPEESKSPV AOR_1_728074 MEDFNSETDSDYTSYWRDWFISSRGNEYFCEIDEEYLTDRFNLT GLNTEVPYYQYALDLVTDVFDLDADDDLREQIEKSARHLYGLVHARYIVTTRGLTKMV DKYKKGDFGKCPRVMCEGQPLLPMGQHDIPNMSTVRLYCPKCEDLYNPKSSRHASIDG AYFGASFPSMLFQVYPGLVPEKSTSRYEPRIYGFKVHAAAALARWQDQYREDMKSRLR DAGMEVKYVEDEEVEDDEDDDEEDQGFDPKERVVGDAASGRMDMGV AOR_1_730074 MELATLAAMMQQQFDRLKQGVDNLQESLNTRIDETMDRRSAVSQ ESLIQRSNQLEESLILRSNQIHDSMLQRANEELDISLSQRNDVFQDSLTRRIDDTMLR RSNDLQGFLAQRLDDTIAQRTEVLQKNLVQEMFNFHNSVIRPLFDGIHGKLAAHDRDI QREFQNVNKRFNRVDTRIDTLDMDMKREFNNVNERFTHMQDWLDKVETKVEQLEVKID RVEAKIG AOR_1_732074 MSNFEGILWNSKIAFLNQRIFPLQVYNSDASPMPIPVASFPPTT LGFYYLKEEFRLKDLIEYYRLQDEVIQATAEDDDSFADTELEYTEQELEHAISSNAWG VLVVLGGRIGIDCDALHDRVIQFHAQKNASSKRDASDLSGTNKWAAKIHHPLPVRVQP ASESQREADVREPSAFSGLCWEFDSEKFKQSLDPALGVARLSLRLSKTVLEWFLQSPR RTVRARPRRWFR AOR_1_734074 MHTLNMQALVALSPLLFSAATALPQASVTPSPSSSVPASSGPAP TATAGGNPFEGYDLYVNPYYKSEVESLAIPSMTGSLAEKASAAANVPSFHWLDTTDKV PQMGEFLEDIKTKNAAGANPPTAGIFVVYDLPDRDCAALASNGEFLISDGGVEKYKAY IDSIREQVEKYSDTQIILVIEPDSLANLVTNLNVQKCANAQDAYLECTNYALTQLNLP NVAMYLDAGHAGWLGWPANIGPAAELYASVYKNASSPAAVRGLATNVANYNAFSIDSC PSYTQGSTVCDEKTYINNFAPQLKSAGFDAHFIVDTGRNGNQPTGQSQWGDWCNVKNT GFGVRPTTDTGDELVDAFVWVKPGGESDGTSDTSAERYDAHCGYADALTPAPEAGTWF QAYFEQLVENANPSL AOR_1_736074 MPPSSGHLLLPKFWRAARFAYEKACKTIRTKFPEQVQHGSLRFQ PAFARITPNQPINRAAAIRQARRRHYSTRARAFVSYLRTGLQGDRAAYKTSRVASNIS RLTTQAPFASTLRPNLTGGTLGRTAGGYTIGAGRIGGARYFSHGPAAPAQVIQNVSMG VRAFFLSGQKVRFDGIDEVSGNKKYKAVSALQDQAERKMTGIPRTAPGSFVDFQLSPT ITAFGLQKKFDPSGAFASDTINSDGLLDFLSADFARALKDLAAVLNDLKRLSTLGDLP ILLHDKSTLRVRFPGCDAVTVERLCDEVGVQRGKIMQDEDFDARTGADLALLFPFAPS VPASPETVDYLFSKGPYEPQAPEEVDWQAMMSSETNSEASPEFRGNPGSKLSFEDVTL FGENPWQQSSSSSGYSSINISELGDRAYFNEISSTGLPESASEYEGSDGLHRFLAECN RAPPIS AOR_1_738074 MASLQHTKKTYTLNTGDKIPAIGLGTWQSKPNEVREAVKNALLK GYRHIDTALAYGNEAEVGQGIKDSGVPREEIWITTKLDNTWHHRVSEGIESSLKDLGV SYVDLYLVHWPSSTDPNDKSKHLPDWDFIKTWQEMQKLPATGKVRNIGVSNFGIKNLE KLLNDPSTKIVPAVNQIELHPNNPSPKLVAYNTSKGIHSTGYSCLGSTNSPLYKDPTL LQLAEKKGKTPQQCLLQWGIQKGWSVIPKSVSKERIDTNFELDGWNLTDEEVNQLDNL KDRRKVCGDSWLPIKVFFGDDE AOR_1_740074 MALLSKEMQIEASENTQSTTDMLKLLWNLAPHVEAFPEMFINVA DRHYLESPELEFMFARVKDAKKCQEFLNAMMLTMSLDKRSGYIAILDLMLESNFEIEA TNKLVMILQTFLEHKVDIKLSQEMVNKALELQDYDALNVLIKYGSPQELNLQDAVAAI DNSPPY AOR_1_742074 MRSLSSIALLSVVGAASAQAGPWAQCGGKSFSGSSECASGWKCQ ELNEWFSQCVPGAESTTPTVSSTPTPTDAPSVSITASVTTGINKSISVSSASKSTPLP SSSSASPSPRPTGSGSFAKADGLQFSIDGETKYFAGTNAYWLPFQMNDADIDSVFDHL EQAGLKILRVWGFNDVNTAPSPGTVYFQLHDKEKGTSTINTGKDGLQRLDYVVAAAEK HGVKLIIPFVNSWDDYGGYNAYVKAYGGSKTEWFTNEKIQSVYQAYIKAVVSRYRDSP AIFAWELGNEPRCSGCSTDVIHGWATKISAYIKSLDPNHMVALGDEGMGLTIGSDQSY PYGTSEGNDFEKNLAIPDIDFGTLHLYTTDWGIKDNAWGNGWVENHAKACKAAGKPCL FEEYGMKGNHCTDELKWQKTSLSSGTAADLIWQYGQQLSTGESPKDAYSIFYGTDEWK CAVMDHMENVNKN AOR_1_744074 MKFFIPCIAAIFATGVLAAPTPDASLNVPLDKRDDRGQYTVSGL GSRKKAIIDAGGNSLDLAIAMLEIETMNTAHYPYGDGKTYDAANFGLFKQNWGLLREC AHRYGFKGKSEAQWNDGAVMNSDVYADVASRWDCQNYYGYDKWFAGHRNGASGLANPY TEDINTYKSAVHWIQQQIDSNEKYKYDDTRFWVNVRAI AOR_1_1182074 MEGFSRKDREERGLSGGVVGIAFVAAAIGGAIHCVQPEQTLFND LDEEEKGKWLDVLKPQPAQGWNGTTIYAGWKDVKSVYLICEEDQVIPAILQEELAGLA GSRIERCSAGHFPHLSQPSRIVEVIKDAIAAFT AOR_1_748074 MEYLGLLADSVPALPMNYDPQGLNATEMWAFPTVNLSLDIREDP TGKEWILVRSKMRSLRDGRFDMEMQMVDENNNLVATCRHSCVMFARRSGQGRKVRPKA SL AOR_1_750074 MGFNIKQLFRRDRQKKSGKHADPQPDTHKHKDTVASPETNVPSA SAGANSATEDHQNEPPPSSQDLWQAAFARLNPDDQRKLMLLNTLDENNPDPQMRDLVH TVIETTKKRYDEYQRKGLKIKRPNIEDVNVRDSALNIVSATLSFQDIIGAAVCCDPTG YAGKVWGIISVGLTMIQNHQDLQASIFQASGLLTDVLSRCAFIEIHCLTSNTTDPETK DMLRNAIVRVYFAVLQYSSSVVSIQHSGAGRQFRLSVIALADQPLTALKTAIGEEEKH LERWVQFDQQLLWKQKAEQTLAQIDDVLSGIQDLSREMELCKLTIAEGAAFDSYMNQH EVECLPGTRTDLLKQVTDWSLSTQGECIFWLSGMAGTGKSTVSRTVCKLLQEQGLLGA SFFFKRGKSDCGRAEKFFATIVRQIIIQEPRLIPSIRRAIQRDPSISTKSLGEQFNKL LLEPLLAVKEDPAGISVLAIVVDALDECDPENDIGLLLRLLPRISTQSPIRLRVFLTS RPEIPIREGFDNVSENDHRSIGLHGVVESSIRHDILLFMNDRFEEMRQKFSLPQGWPG ESTIRDLVNIATPLFIAAATMCRFIADRRWNPEQRLALVLGSGSTKNAPKSYISKLEH TYLPVFEQILHSRDDDDDEDEKEQLVQEYRVIVGTIIILANPLGLTSLAKLLNMPSND VSRRLDFLHSVLSIPDDEDTPIQLFHQSFRDFLLHPKVRTKTKFWIDEEHIHRETLFR CIEVMTRENGGLSKNICQLSSDATLRSDINDEVIRDHFSAELRYSCHYWSYHLQQGRY QITDHDEIHKFVQKHLLHWLEAMSVLGLAPEIVRGIRTLQSAVQPHSGRIVSKFLHDA HRFILKNSWVANNAPLQLYAAALAFAPANSVVRDIFQSEIPRCFIKLPSVEENWNAEL LSLDIKDVNAVAFSPDSRLIASASMSKQVKLWDSVTGLLLHTLDQVFYTGGLTFSPNG AHVACSSWDDTIQDDTVQLWDIDTGALYKSFPQPTSPVVNLTFSPDNKLLVLATSEDT IDICDLASERVIRTLEGHSDRVNALAISSDSKLIASGSNDNSVRIWKIDTGALLQTLE HSGPIRSVGLSPNNELMASVPRERAIWIWDAATGELQQKVDFDIIESSIFSPERILEA TGFNSARLSDRILSTLFHKFDGSSRETWKLAVSPDSALLVSCSDDGSVRLCDARIAAT KPTIQGHSNEVAAIQLSPNRKLLGSVSSDHKVLLWDATTGSLLHTFEGYSRKSGTFSF SPDSKLVAFRPQSDSTCVQLWDVETAQLYRTLEDHLDSIDRVMFSPNCQQLASIEEGG TITIWNIQTGERMFSLESRGSWVCVAFSRSGAKLATGSYDTVRVWDANTGVLQLELKE HKTIVTAVAFLPDEKLISSGSNDGKLCFWDLSKGELSQTLHSSGAINQIVFSADGRLM ASGTLSQTFRLWDRETGHSIYASDYHASHYRDGIANLRFSSDNTYLEADFGRLRIPCN EKNNSISTRRPNIILGEQWLHIGEERVLWLPVEYRPKTSAYYDGTFAIGSESGLVSFI SVDMSVDANGHS AOR_1_752074 MSFLGTVNPNIPQQQSVVSHNNALRSHGDTDDDTPVYETPSTAA TQYGDREKSEEALTLQEDNEEKMEARVGDLARRLTRQSTRVSAKGALENPFFVEDPES TLNPDSPNFKPRDWLKMLLAIRSRDPEQYPDRTAGVAFKNLNVHGFGSPTDYQKDVLN SILEIGTIVRKLMGIKMQKIQILREFDGLVKSGEMLVVLGRPGSGCSTFLKTISGEMN GIQMSDDSTLNYQGIPAKLMHHAFKGEAIYSAETDVHFPQLSVGDTLKFAALARAPRN RLEGVSRQQYAEHMRDVVMTMLGLSHTINTRVGNDYVRGVSGGERKRVSIAEATLSQA PLQCWDNSTRGLDSANALEFCKNLALMSKYAGTAACVAIYQASQNAYDVFDKVTVLYE GRQIYFGRTTEAKQFFVDMGFECPDRQTTADFLTSLTSPSERKVRPGFENRVPRTPDE FAAAWKRSDARAKLIIEIEEFEKQYPIGGASYQSFIDARKAMQAKHQRVKSPYTISIW EQISLCVVRGFQRLKGDSSLTVTALVGNFIIALIVASVFFNLQDNTASFYSRGALLFY AVLLNAFSSALEILTLYAQRPIVEKQARYAFYHPFAEAVASMLCDTPYKLVNSITFNL PLYFMTNLRRDAGAWWTFWLFSVVTTYTMSMIFRTIAATSRSLSQALVPAAILILGMV IYTGFVIPTRNMLGWSRWMNYINPISYAFESFMVNEFHDRHFECSQIVPSGGIYESMP MQNRICSTVGADTGSTIVQGSVYLEQSFQYVKGHLWRNLGIMIGFLVFFAFTYLASTE YISEQKSKGEVLLFRRGHQPKVALDKTDSESPEPGGAPKTDESAPQASAGIQRQTAIF QWKDVCYDIKIKGQPRRILDHVDGWVKPGTCTALMGVSGAGKTTLLDVLATRVTMGVI TGEMLVDGRPRDQSFQRKTGYVQQQDLHLATSTVREALRFSAILRQPAHVSHQEKLDY VEEVIRLLGMEAYADAVVGVPGEGLNVEQRKRLTIGVELAAKPQLLLFLDEPTSGLDS QTSWSILDLIDTLTQHGQAILCTIHQPSAMLFQRFDRLLFLAKGGRTIYFGEIGQNSS TLSSYFERNGAQPLSPGENPAEWMLDVIGAAPGSHSDIDWPKVWRESPEHAKVKEHLD ELKSTLSVKPAENSDSEAFKEYAAPFYIQLWECLIRVFAQYYRTPSYIWSKTALSILT SIYIGFSFFHAKNSIQGMQNQMFSVFMLMTIFGNLVQQIMPHFVTQRSLYEVRERPSK TYSWQAFMTANILVELPWNTLMAALMFFCWYYPIGLYNNAKPTDAVTERGGLMFLLIW VFLLFTSTFAHMVIAGIELAETGGNIATLLFSLCLIFCGVLATPENMPGFWIFMYRVS PFTYLISAMLSTGLSGTDVQCEAVELLHFNPPANQSCQQYMAAYIQGAQGYLQNPEAT TGCAFCTISKTDTYLAAISSNFDDAWRNFGLMWVYIAFNIGAAVFIYWLARVPKGKRA SGAT AOR_1_1184074 MSRNSQQDDSRRHIPLDPMTKTLAHADKGVAKQEPSRHKVCSAC RHKKQGGDFGATLRCSICRERRASGARNEERYRADMRRRVMINRLETNIERMESRLLD MGLRLSESNTNAAQEFLCSNVPVLKHSDNNTASDPEFGNFCAGGEPNAMSTTSIAGAN PGIVFSYKSVEDWPPCLASFSIPRSLVDAPLAGGFSAISPKGMEWISQKVGNLSLANL SSLLSAKHLDENTTNSPLHGAFPSRVFCSLPTKDEIVSLVQSYIEDFNMLFPIFQRSE LLSLFNQKSLDMRVQTPSQWACINAVLATAYMIRPQEASGTDHHQKSWLFIQNALEMV NELCFGPPDLLGLQALLLLVTFLLGTSAENPCGFLVSAAIRICHELGLGKTEGGSPLC SEGIQHKRTLFWIAYCLDRELSLRFSEPPAQSDEDFSVTLPMEAPTDSKYSMPTSDLS GSFNAFRSTCQLAIIKGQLYKDLYSPAAEDRPLSQVIASVGVLDEKLQEWKRSIPPEY QPEKAVADGHRSKISPVLLLLHYSYFHCVIAIHRRVVARGLSTGTDLLEKDDFTSSPA SLSNPRVLLSTSLCTKAARASINLTKYLSQDNTPLFGSLIYYPVIASMTLSWSIIRNP QDAYRGYNLKLIDRTEDFLSSQAFCKAFEGIRRLVKQCAEYRSIAEAAVKATM AOR_1_756074 MPPKKAVVQEKVLLGRPGNNLKSGIVGLANVGKSTLFQAITKSN LGNPANFPYATIDPEEARVIVPDDRFDWLCEHYKPKSQVPANLTVYDIAGLTRGASTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVDPARDLDIISEELRVKDIEFVE KALEGLTKQTKRGGQSLEMKKLREEEATVAKVLEHLKSGKDVRKADWGPKEVEVINPL QLLTAKPVVYLVNLSEKDYIRQKNKRLPDVLEWVKKNSPGDPIIPFSACFEERLTRFE TEKEAIEECKNLNTKSALPKVITTMRTSLNLASFFTTGADEVRQWTIRKGIKAPSAAG VIHTDFEKTFIQAIVYNYSTLREYGDEAAVKAAGKVMTKGKDYVVEDGDILLIKAGAA RG AOR_1_756074 MKKLREEEATVAKVLEHLKSGKDVRKADWGPKEVEVINPLQLLT AKPVVYLVNLSEKDYIRQKNKRLPDVLEWVKKNSPGDPIIPFSACFEERLTRFETEKE AIEECKNLNTKSALPKVITTMRTSLNLASFFTTGADEVRQWTIRKGIKAPSAAGVIHT DFEKTFIQAIVYNYSTLREYGDEAAVKAAGKVMTKGKDYVVEDGDILLIKAGAARG AOR_1_758074 MFKKDIPPSNRSKVKSSVQRGLRQRFVEAYPGFEPYIDDILPKK AQLDAVKLPEKCTLYTIDSTPLFYQPQDGPPIPHLKLIHQYPTALPTVQIDRGAIRFV LAGAALMAPGLTSPGGRLPEKENALEAGQVVAVLAEGKETACLVGTLKMGTEDMKSKG KGVVMEDGHYLGDGLWRMHLD AOR_1_760074 MYWPNGVPRVYAVNGPAIPFVPSDDIEELDLERSVEQRLSLNDN GPKEPSRAEVAWADEAIDGICVSRSGHMFATMTATSIAVWQTRPTAVVAAVARSASSL STYGPNLALLMHPDSTIIVVQTLNGYLLTYTVATDPHGHVYQQHFDHSAQSRRQQLAR EEDANGVRDASIRFRMAIKIESGIVKALALDNELVVATVKPAAIQCIRWTPDAHGAQT TSELLSRILGVSKRTSITEMVYDRAINLLVWVTNEGQAYAVQRVPEGSRDPESPKKLF NGHCFHSPKNDGEKALRVAVNARFSLLTVNCANGDIFVYTAKDYLGNVPLSHKLQLPA SPHTTGNLSFMSYSPDGYCLFAGYERGWTTWSVFGKPGGNSFSTDRSLAETNAEDWLT GVSYGCWIGGGSDIILTAQNDRRLWILETARSALTGCFSSANLARGLLQTGTEIILYR GHDLPDLMTISGKDSLWHHAQYPPSYLHSQWPIRSSVVSQDGRYVAIAGRRGLAHYSV NSGRWKVFEDSKIENSFAVRGGMCWYGHILIAAIESDGSYELRLYSRELPLNNQSILH IEYLPSPVVFIGPSGEDSILVYTYDNILYHFIINSMHTRITLVPVGQIAFNGIVRAPT RVRAISWVLPDDQMRDGDPSQDVKVASVLLLVDGNLVLLQPSQSPTGDLKYDMRVVSH DVEYYILMRDQLSFNFAPPVDESLPASPSAEMALNMRHHNLSLRDSLWTFCGKDLLAW GDVQDVLRREDVPKAIEIPLDFYPLSVLLNKGIVLGVESEMTQRRDTTFAVLKFAIRT HLFLPYFLQYCLVHGDMPAALSLCQHFSHLSYFPHALEILLHHVLDDEVDNVSRDSKI DDPSQKHDPLLPSVISFLQTSLPARVYLDIVVQCTRKTELRSWRTLFNYLPPPRDLFE QALRLDSLKTAVGYLLVLQAFEDDDGHEAPIEDYVVRLLVLASQKGEWELCAELARFL IALDGSGEMLRRAIDRTGLRNGGLPGAGLNGSGTSMKGLGLAITPSWSSLSAASSISP RQSISRQGSNTSRTPDRMDSVDEVS AOR_1_762074 MLRVTAATAITCTGSCRQTCQTVQLTAPTESKKRLALAIIDFLG SSLKDGTLTADDAESIEIAQSCIADTFKVDPSDEAAVKDAVGGQSLANIYSVYEKLRN KGDSAGAGAQTSSSQKPQAGAPTAESDKLKSEGNAAMARKDYNSAIDLYTKALSIAPS NPIYLSNRAAAYSASGQHEKAAEDAELATAVDPKYSKAWSRLGLARFDLADFHGAKEA YEKGIEAEGNGGSEAMKRGLETSKRKIAESTRGAEPPADAVDDAAGASRGAGGMPDLS SLAGLMGGGRGGGGGMPDLSSLMNNPMFSSMAQNLMSNPDMLNNLMSNPQLRQMADNF GRGGGMPDMSSLMSDPNIADMARNLMGGGGAGGAGGAGRGQ AOR_1_762074 MAPTESKKRLALAIIDFLGSSLKDGTLTADDAESIEIAQSCIAD TFKVDPSDEAAVKDAVGGQSLANIYSVYEKLRNKGDSAGAGAQTSSSQKPQAGAPTAE SDKLKSEGNAAMARKDYNSAIDLYTKALSIAPSNPIYLSNRAAAYSASGQHEKAAEDA ELATAVDPKYSKAWSRLGLARFDLADFHGAKEAYEKGIEAEGNGGSEAMKRGLETSKR KIAESTRGAEPPADAVDDAAGASRGAGGMPDLSSLAGLMGGGRGGGGGMPDLSSLMNN PMFSSMAQNLMSNPDMLNNLMSNPQLRQMADNFGRGGGMPDMSSLMSDPNIADMARNL MGGGGAGGAGGAGRGQ AOR_1_764074 MISATRLSATARAATLRSQVPSLRTAASQRSSIQAYSYSTSPTA RLSLSSNTERANRPVSQILSPYAALPLTRSFHVSSSRWQQQEQKKEKQSEESKEESKE EKDEGKSDEEKKNAPPPPPHGDKTPWQVFRETLQSEFKASKEWNESTKALASSAHQFT ENENIKKARAAYEAAQGAAATKTGAALKTTGQALGKGASWTWNTPVVKGLRKGVNATG SGIEKVTRPVRETEAYKNVKDAIDDGSSSRYGGYIDKEERRRLRKKREELELKAGKRI EPMVEDPNAGTNITLHKDSAWKESWRDFKDSNPMMQKLFAFKETYNESENPLISTARS ISDRVAGFFAENETAQVIKKFREMDPNFQMEEFLREMREYILPEVLDAYVKGDVETLK LWLSDAQFSVYAALAKQYTTAGLKSDGRILDVRGVEVMNARMLDPGDIPVFVVTCRSQ EVHVYKNVKTGELAAGMEDKVQLVTYAIGLTRIPEDVNNPETRGWRLIELQKAARDYI AOR_1_766074 MNTTFASSLLKALPSVGRQCQLRTSSIRLIRPFSSTHGTSASDA KDKTRQIERQILNAKPEAAADENSALSAITKMMQGERTRTNQNVSRDYSRMAESLEAE MIKQPYADRAPPHHLHVFSHKHNTILTLTRPNGNPLLSMSCGHLGFRKGHRSGYDPAY QLTSHVFGQMQERGYLLDINRLEIVFRGFGLGREAFTKVLLGNEVWWYQEQEVRSSLT GTSSNTVWMHPLNPFLRAFFRSTVPGQCIPTENHVLLVPVTESLIGSRDRESSLFYSD LVVSEEFLGSHALRIPVTNGTVGTKDDTNVRDSRGKAKQVTTVNGRTVIIKENSVYSN KGFKSLTQAQLLSDALYYTPNNGSQPWLIYYISRPLIGSFEPGKIISAVVPGTLPKRS ISASSAKSGESVSSPAKKEIKTFAELLSHFPMIARQMQPGLERLFREFGKELGKPLPP PPSRSPVLSEDFDWKRDRDEPAIDETASVRSSSSRFKDGLPFNSEEYFEDDEDLMRRS LETAVTAAIDLFRLVDKQQLSFLGATTDLTGPLVERLIERYVAEQVHESLLFPRLCSF RQPEDMELDTRIRQMESIDVSQVGIVVEGGREGKRELLQRLGRAVEEFRKMLDAKCPH DMLNILLETVKILSYPGNYDKVDPQASEKQNASVTVNADVLVSLLLVVVIRSQTKHLQ ARLLYMQHFIYVDDVDSGEMGYALSTFEAVLTYLVTDSAGLRRSSIRNKRLWNATKSG RIADMRAILEPDGSQESIDDIPYEPEGSRVLFRTDEDNEHHRPPLTLPILGGRNESNG EIAVHDTPADTPPLAHVFPFQAWTSSSPPEEIRRPVKKVSMDVRSLSESSAISFASRT TTMGSMTSTIEGDSSIETLTKTQDPAGHSIPMMAVENRQPEALKYLLTLEEYYPLEDI LDDTNTDGTTLLNAAVQLAHTEMVDIILDYLFNTADEQTIVSYLRKSDIHGRTVAHYL FSTPSVMHRLETLLPWRQRDKHGQTPLFALCRSYDHPDYSSMVKEALTAAQKSQGDGR PLRLDDHIDAKGNTLLHIVSDPDITIKILQECDCDPNATNDKRFTPLMMASKYGRIDQ VRILFMDPRVDVHLKEARGLTAVELAKDDEVRNRIDDLILISNPPSATDDPSGRVTTV VRSFFVEDATVRFVLKSGAPNPPPNSAESTLAPTTTYTVTTCKRTFTDFENLAKWLSI EHPASYMPLLSDFRSPFQIHSKPSRSVLHDMQAKLDRFLKILLSHPTFSTHEMLWEFF LVPELQPEMMADRSRRKAQVLYESIADDYEPVTQEGMRETEQFVTHAQEMVRAVHANT RSLIRRGYALHNSASDIADALGLCSSAISTLKEPTNALPQNHVDAFCRYASHLSTSSS DSSPFLQFLNTVTSIDNTTSAILESLSRPLNVISTLTSTSRSLSRSRSSLVSSSLPRK FNLNFPGLEESRQKSVRDLENKIQESETQIARLSREITWNKDVVVSELAGWTTWREKV GRDAIRAFAKATLVREKERGKRLERCLRSVRQSDDRAA AOR_1_1186074 MSQMAILSWRWDGDLHSSQNIASAVHLAKAMSIKYLFVDQISID QTLDGDALIERVLAFSTMYKTITVIVAYDKADEDFEKTVYRPWIFSEMRLYRYNPGQI IYVRHSNQGSKRNYDIFTVIPPILGDLWSYDFGKHLGLNWAATILETITRVLCEDIEM TSIYDFKFIMPPYARVLSTAYETMSRNDYLLTAVLLCGSHERPSVRLCLDINEMDYKR YRFNGFKVAKWERRHKCYMNHVPHRFTAVLDAEGVILKALGLTDPEISERIYPRKGGT TVLSYAARGGDSTTTHCQNYFCDTLV AOR_1_768074 MPYTRMGSRSSWIEESETTSIVWESIIANERTREARQEFQYQLP DNYKVLKRIPTLTTLDKPTKTIKAPSTRIDELLKRSAEESPKPTTTHNNLVPHWSYEK NSLAAACVFSGITVLGIIFLSVLTIRKIRRSWKRHKREKQDYAAFKNRIEVNKNNRDL NACFITESKSSRESMMYSRDNSPSGGYVVEQTGGSVTRVYREGNNVSSHTFDSICASP EKRSPPREIKRTPGGRADSRTPSGKGRAGLIPRPIVVVPSPLRHVYSQKATPVMQPTS PSTLDSEQSLMPPASAHDTKPVGRTSSRNSLLRLPSIKKSISPLFSP AOR_1_770074 MPLVVPQVNAGDKNEWLNKLAGKTITEGTSDVTSFAKKDLPQSH RIVKPGDMMTMDYKPERLNVHLDEQGTVHDVHFG AOR_1_772074 MTTFTKLSDQDTPSIAIHPSRRISKINPNIYAGFTEHMGRCIYG GIYDPGNPLSDENGFRKDVLEALKTLDIPVVRYPGGNFTATYHWIDGVGPKDQRPARP ELAWLGTETNQFGTDEFLKWCEVLGTEPYFCLNFGTGTLDEALAWVEYCNGTGNTYYA NLRRKNGRQEPYNVKYWALGNETWGPWQVEQMTKEAYSHKAYQWAKALKLLDPSLVLI LCGQDGTASWDYYTLKHCLLPVNSPLSTSAVPLIDMHSIHLYTSSSSHLPNATAPLAA ERAIEITSSLIDLARIENGVPPEQARPTICFDEWNVWDPIRAEGSKGAEECYTLSDAL AVAVWLNVFVRKSKDLGMACIAQTVNVISPLMTTKEGITKQTTWWPLYLFSKYMRGWT ISAHLASATYEGETSPKWIRGVKETPWLDVSAVLGEDGYVNVAVVNIHEEKAIETTID GASGEVTVFTVTGDSVAATNMKGKEEVAVVESTWDGQGPYTFPKHSLTLLRWKA AOR_1_774074 MRLSPAWYQFLVGVFASLGSFLYGYDLGVIAEVIASHSFEDKFA ANDTQTGLVVSMFTAGAFFGSAFAGPSGDILGRRKTISLGCVIFCLGGGLQTGAQTVA YLYSGRFLAGLGVGFLTMIIPLYQAEICHPDIRGRVTSLQQFMLGVGSLCAAWISYGT YIGFAPTNNAQWQVPLGLQVVPAVFLGLLIMFFPESPRWLIDHGEHEKGLQTLAKLHA HGDENDPWVRAEYNQIQESITFEHENEAKSYLELFSSRSSFRRLFLCCALQASVQMTG VSAIQYYSVTIYEQIGIKGDETLRYQAINSVIALVAQFLCMMFIDRFGRRRSLIFGNL GNCLTFIIACILLARFPPEVNNTGAHWGFIIMTWLYNFSFSCTCGPLSWIIPAEVFDT RTRSKGVSIATMTSYAFNTMIGQVTPIAMENVRYRYYFVFIICNFTNAVFFWLLLPET KKLPLEEMNYLFSNSPWIVLGTKKEDYLPHDLEHKVHEQEVKQEVYAQHKE AOR_1_776074 MSSGFVSAGTDQEPVERDDEWLRVQQELEEERRRKAELGKQADG KSLYEVLQQNKMAKQEAFEEKIKLKNQFRSLDEDEVEFLDSIMESTRAQEAAVKKETA EQLEAFRRHREEAEKVALEEGTADVTPAAEGEDWKIPARKRRRDKKDLLFPGKKRKST AEVAGETLSTGEQKVNQKDREPGKPSVSAASSVNPVRPGNAKVAVPEQTEKKPAAKPA PIALGLSGYSSDSE AOR_1_778074 MLSRSSRFATSCTRQHLYRQTRTPLRNARARYSTSTESGSSGTS PAVVGGIAGGAVTFLAGYVWYQFSGAKTIVQTANQTEAYFKEAKKKVAEKTPEPDEAF GWLRDTAKNYASFIPGAKGYVDTAFDDLERIRRNQGKEFDEIVRDAYNDLREVSKKGK LDVDTAVKVRDVLQKHFKRLYELAGDSAEDILDNHPELKEKLGGSFDRLKEMGSAYGP QAQEEVDKTWKQIKDIVSAGISTDTVEKIQKVAKEKEEKLRKLGDEAWKRGLDEAQPY LEKSPKIKEFIEKNTDALKKGNYSKIWDMVKESSSSGNTEDLEKYVKDLAGKAKESGF GNLDKLSNMVPGGSQILSQLQSLQNAAEKKGPEAEKLLKETINDLQGVLSEKVKKAEQ LAEETKSESK AOR_1_780074 MSFYTNTRQEDNEQYDVSFEIGGRQIDGFPTPCSNLRAGGLVYL RGHPCEITDIHTSPASRSVVQIVGFDIHTGQFMDDQYPLRETVFVPRINNHTPSSSGR SQVSRASSRGSGSQMVPRSRQSSNAGQYLNY AOR_1_1188074 MSFPLLKLPTELRLDIYRHLFGISPTTRLFLGLTNSPLHPNEGA NPLHTFEICYFPLSHPRMTHYQTNTGYQVEWAQHQIYSRSRFLAILVTCKKVYSEAMP LFYSESFFAISANINTAVSWLAGIGAQRRRHIRRLSVHFDSIPPLRPRMNWNEIQDSM EAMSGILMDVDRIDVLELLIVDKQHEHYLACMAARIHLKIPWYNVLREPGKPLLLHGI EQLERLPWLGCLRIVGHMGLLLRFPEDRAYLEGFAKGKKPAGLGDKNEHKPVVQVLMP EGMDSDES AOR_1_1190074 MDPPRYKQCSQLQGQRLFLPSKRNVRFSLIRDKPSGTTFLTIAI HHAFCDAFTRYLLERDFMRALDAPDDIQRGPPKPWYRDFAQYLKTHQDDEKAFKYWND YIKGSTTEVVCRGPLGPTREHSILTNSMAITVPKKYQVGLGAVIVTAWSLALAQHSGL FDFVFKMGKLGRSYPYPGLDQMGGPLLATSLFRFRLTDTEESIQRILRSVQQELASHE IYEHGLKTLHSSGLPPVQSFVNVKLGTHTMQPTSIGDMTVHPRPDLESWDVQLPTSIY LEVAQELSGTRMKMCYRSGHIGHQKAQLLFENFHDILDNIDGEDFGVGQLIRKGKPVD NMTCESSCISKVTNPEIS AOR_1_784074 MSTPKLTLYFDLLSPFSYVAFHILRNSPTFSKCEITYTPVLLRD LFTICGNPPPIAVKNKSIWLNKQRLYWSRRLNLPMCESPPAGFPFPTAEVQSILLVLS ERYPEKIAEVVERLYRGLWGDGDSGIVTTDGFMGVLGDVFGEVVAGEILCSSQDSETK LRLTENTQKAVDTGAFGLPWIECVNAQGEKECFWGVDHMERVVEFLGFERADSKWGF AOR_1_786074 MDQQRFLQQLQIVLNPAQGNVKEATGILQREFYNKPDSLVFLIQ VATGHEDANLKQLAAVEARSLVNKHWVSVQASQKPQIREQLLRSTLGEGSSLVRHSIA RVISAVAKVDLNDGEWAELPNFLIQAGNTGNKDERAVAIYILFTILETLGEGFEEKFQ DLFNLFGKTIADPESEEVRTNTLLALGRLAMHLDSEEDVGPVKAFQQLIPSMVAVLKE SIDQTQEDRVMQAFEVFQTILGCDPALLTVHLKDLVVFMNEISANTEVEEDTRTQAIS FLMQCVQYRKLKVQAMRVGEQLTRTALHIVTELGDTSVLDDDITPARSALGLLDMLAQ SLPPSQVVVPLLHSLGQYFNNSNPDYRRAGIMALGMCVEGAPDFISTQMKEIFPMVLQ LLGDPEPKVRQASLHAVARLADDLAEDLSQEHERLMPLLFQNLASAMQEYKGEEDGPT IDIMKAGISAIDAVVDGLDEKDVAPYQGELVPILHKLFKHPDFRIKGLAAGALGSLAS SAGDSFLPFFDESMHLLQEFATVKDSEEELDLRASVTDAMGEMAAAAGAERYQPYVEP LMRATEEALHLGHSRLKESTYIFWGAMSKVYAEHFSAFLDGVVKGLFACIEQDETDLE VSFGEAAKDLVGQEVTVGGRKVKVASADDDDEPVGEDGEIEDVDLEDEDGWDDITATT PLSLEKEIAVEVIGDLVTHTKSAYLPYFEKTIEMVLPLAEHPYEGVRKSTISTLHRSY AMLFAIAEENGQMAKWKPGLPLQVEPAKEVKKFGEILMTCTIRMWTEEDDRATVADIN RNMAENLRYCGPSLISNETTLHNVITMVTDIITKKHPCQLEFSPEDDSLDAGEESSEF DWVVVDTGLDVVSGMAAALGESFAELWKVFEKTIIRYAGSTESLERATAVGVLAECIN GMGAAVTPYTSAFMKLLVHRLGDEDPQTRSNAAYAVGRLVEHSTAPEIVKEFPTILGR LEACLHMDVSRLQDNATGCLSRMILKHRDSVPLKDVLPALIKLLPLKNDYEENDPLYR MICQLYKWEDPTIRELTPQFLPIFQSVLCGDEDQLEDERRAELVELVKWLNQMQPGAA PWAEQL AOR_1_788074 MRGLRFIPVIASLGSVFIPQSLAQGETALHEKGRCAIRGHCGKK SFFGGELPCPDNGLAEEPETAVRKKLVDLCGSKWEEGPVCCKGEQIDALSKNLKLAEG IIASCPACKENFFNIFCTFTCSPDQSLFLNVTETEPSSSGKSLVTELDNIWSEEYQSG FYESCKNVKNGASGGKAMDFIGGGAKDYTHFLKFLGDKKLLGSPFQINFKTEPAGPDP QGMHPLPIKPKACNDSDEAFRCSCVDCPDVCPQLPAVETDKQCHVGLLPCLSFAVILI YSVFLLFVVALSSYFTYKERRHRKPERVRLLQDPTPSDDEDEGDIVHAGGYLEQPKGV YKLNSVLDSVFSQIGGTCARFPAVTIVSSIIAVGLLSLGWLRFAVETDPVRLWVSPTS AAFQEKQYFDANFGPFYRAEQAFLVNDSGPVLTYDTLSWWFDVESRVRRMISLDRGII LDDICFKPTGDVCVVQSVTGYFGGSMYNLDPDTWKERVRHCAESPGDVNCLPEFGQPL KPEMILGGYEESGDVFDARALIATWVVNNHAQGTENEANAIDWEDSFKGILGVVQEEA KERGLRVSFSAEISVEQELNKSSNTDAKIVVISYIIMFIYASLALGSVTVTWKSLLTN PANALVQSKFTLGIVGIAIVLMSVSASVGLFSATGVKATLIIAEVIPFLVLAVGVDNI FLIVHEFERINVSHPDEEIDERLARAAGRIGPSIFLSSLTETVAFALGAFVGMPAVKN FAVYAAGAVFINAVLQITMFISVLALNQRRVESLRADCFPCITVRKAHSGMSEDQVFD DQDGESFLQKIIRKVYATFLLNRKVKAVVVIVFLGLFTAGLALIPEVRLGLDQRIALP SDSYLIQYFDDLNNYFLSGPPVYFVTRNVNVTARSHQQQLCGRFTTCEEFSLPFVLEQ ESKRPEVSYISGSTASWIDDFFYWLNPQQDCCKEHGQLCFEDRNPAWNISLYGMPEGE EFVHYAKKWIEAPTDASCPLGGKAPYSTALVLDSKRIMTNASHFRTTHTPLRTQDDFI NSYKSARRIAQGISAEHGIDVFPYSKTYIFFDQYISIVQLTGILLGSAVAIIFLLTSV ILGSVATGAVVTATVVMTVVDIIGSMAISGVSLNAVSLVNLVICVGIGVEFCAHIARA FMFPSRVILDKVPTKFRGKDARAWTALVNVGGSVFSGITVTKLLGVCVLAFTRSKIFE IYYFRVWLALILFAATHALIFLPVALSYFGGEGYADPGSDGGLEENLASRGYRSLLVD DDYDSDGY AOR_1_790074 MDDDVPKVPEVFCLSEYPDPEHKPLKTTVMMINWFKKWLVPVEE CPGQIYPMIRMVRALLTHYFPEEKGWEVVMGDYGEEVVIYTVKCHDGNDLIDHLMVLI LPDDDHHIIKSIQQFKNICRSRFGITPQDESDPALMWGAIFKGSKALFYQYIFGWDI AOR_1_792074 MHSMSVNLLGSNNIFMDNPANGDTQGDIVTRGIVSEERARVMYE RFMGGSKNFLPLFDPIRDTFDSIRSRSVFVFTVIIYLASRAVTDLRSDTHLQRVLQDE AQRLAEDGFFERPTKLEAVQGMILLAAYSEKTWFSTALILRTALDSGLEKSLDTLLSQ ENVPRSSLTASMADRQSRVIIDNSSTIDHIVSTELPAIMARLQNWWTTWDEIHDNNGF HAGAFQRSSLKLMLNYARIFVLCASLARIQKLQSTDSSYDSESIDRRVLNLWQSLVTT IMDQLAFLITEPAYRCQLEWAPTYPALTIAFVTTFALRIARWRPTLIDQDLLLERVHH ICEFLKQPPYPDIHRTVSLFVNYARALIVSQRPRSHDSADAPNMPEHGDVQEPLSGRP DAPVDTTPPTGLAPLEDTTSYRPGAAFPGDKDTSAVSMPSSDKGAISRPPLSRLAGTM EAPNWTMSNPIADSFGLFEEGQNDLFDFLPVMPSLPQ AOR_1_794074 MSKMSSNRADEHYKLVKLSEQETLVEFKEGSSANPNNWDFNKKV YNVLIALFVVLNSGVSSSLPSNAVPAIMEDFDQPGDGQKVLPTAIFLIGYVVGPLAFS PLSETIGRKPVLTWTFTVFVLATLACALAPNWPSLLVFRAICGTMGAAPQTVIGGVYA DMFSDLRSRGRVMAFYMSAASFGPIVGPIISGCSVQYGWRWTFRIDLIFAGISWIGLM FMSETFAPVILKQRAARLRKESGCNSYLSRQELQNGGFRYSTTQIITRPITMLVFEPI ILFTALYISLAYSLVFFYFQAYPIIFEGTYNFDVQTTSLTYIPIGIGAASSGLISLYY DTIYEKAKKAGKSWTTSPELHRLPISCIAGPCLTISLFWLGWTANRNIHWIAPVLSGL LFGVGYQMIFISLLTYVTDAYKIYSASALAASVIMRSIVGALFPLAADPLYSTLGVGW ATSVLGFASLACIPIPFALLYAGPWIRKRSPFCQRLLEEDSLKERAERSAGDV AOR_1_796074 MRLRIQLPSRSNTQRRSPMEPTKKYDIIIVGAGMAGINTAYRIQ TMLPNYSYAVLEARGAAGGTWDLFQYPGVRLDTGIHTFGFPWQPYHTSKNMLDGSSIF EYINSTARAYKIEDHILFNHRLKTLNWDSQQSQWNLTAACQDQEPRFIAEFVIFATGY YDYDRPLPAEIPGLSDYKGVVVHPQFWPEKLDYAGKKIAVIGSGSTAISLVPKLAETA SAVTMIQRSPSYIVSLPNGSGDSWMNRLLPVMVLHKLRRYVWMAVMLGAYNFCRTFPQ SARSIVLWLTAKQLPDHVPLSPHFEPRYKVWDERLLACPDGDFFQSLHTNKVNIETAN IQTVTESAVSLDNGRTVDADIIVTATGLKMQVGGGTHFELDNAPCNTADKVMWKGMMQ QDIPNSFFVLGHLTDASWTLGADATALFICRMIKHMEKENIRAATPRLHGSAGQPRQL WNLSSNYMLREQGNVPRAGEIPPWQPRTNYILDSLDARYGSFDTCMEFSGEYRDDVGS MTEITEEK AOR_1_1192074 MDRGEPQPEEISLFIIDTRGFPEGTFVQDLEIMKVFETYHDGLK NFGKLRGGEYYFGEYLTQGELDIEGRCVKVSLQRMIDLGLFELHSGLGNRDGWNRWAR RVTELRLDFQTGSPNPTTRSVVRKAITLAQSCFGDRWAAPLAAMLLALQPREQKDAII IAGFSAMFSPVEIAGLSLKDIEIGDLRLPEGEQFGRLINSIHRAFTDTDIDLVLNSFT RLE AOR_1_798074 MHLKKLLTSAAALSGSVFAQSKDGVEDLDGPGDDLYVKDLSGCP GYKATKHWQTRSGFYADLTLAGPACNVFGTDLPDLKLEVEYQTSDRLHVKILDTNNTV YQVPDSVFPRPGFGEWCSPKDSKLKFDFQADPFSFTVSRTDTGEVLFDTTGNKLVFES QYVYLKTHLPQNPHLYGLGEHSDAFMLNTTNYTRTIYTRDAYGTPQGENLYGAHPIYF DHRQTGTHGVFLLNSNGMDIFIDNNSTQFLEYNIIGGVLDFYFIAGPTPRDVAIQYAE ITQTPLMTPYWGLGYHQCKYGYQDVYEVAAVVANYSTNNIPLETIWTDIDYMDRRRIF TIDPERFPADLYKDLVDTIHARDQHYIVMVDPAVYYKESNPALDEGLKYDIFMKENNG SEYQGVVWAGPSHFPDWFHPDSQQYWSEQFLAFFDGTNGPDIDALWIDMNEPANFYNH PYPGNNTTPENFAEVDGDPPAAPAVRDGPDAPIPGFPASLQPNWVQGNATEKRSTAAV GLPNRHLIRPPYMIQNGAGPTLADSTADTDLVQSGGYVQYDTHNLYGAMMSSHSHNAM RARRPDDRALVITRSTFAGSGKDVSHWLGDNVSGWLWYQLSISQILQFASLYQIPVVG PDVCGFGGNVTETLCARWATLGSFYTFFRNHAEIYANSQEFYRWPTVAQAARNGISIR YQLLDYIYTAIYKQNQTGTPALNPLFFNYPNDPNTYPIDLQFFYGDGILVSPVTEENS TSVTFYLPDDIFYEWGTGKPVRGQGEYVSLDNIDYTDITIHYKGGIVYPQRIESANTT TALRQKGFNIVVAPGLDGRAEGSLYLDDGVSVVQDTVSEIDFVYENGKLTMTGSFEYE AGVGIETITVLGVESKPEGDDVEYDAENKKLVKHVDVPLTGEDEITIL AOR_1_1196074 MNELPEVDFEGRNIKGRTTLPARLQVLDIGVQTIFIARNSTTLI DYEPAFLGEVFVSEFLRHVLGSAYNPEEHWTSPLRSRRGYAPFTAPNNSPLSSFYIDA RAGQAMTDFLITMLVKQAENWKFFPPDYHIDVQTTVDDISASFTWNMFNFDMARKWRV QGSSQHQDRIYILIRVSNIYEDPMVRMFVDPWNMIEEEELLIKTPSNLVANIHSDARP GIELIDFQNKKSPQPDSFVSQISLYTALAISGQRSTVKSNVYVWKPLKEPARQIRLLH LLPGTGIEDLRGDLMIAAITDRVKYDAISYTWGSALQPFTLHTTEGNIPITTSLYVAL MRMRKHKEAIWLWVDAVCINQKDDIEKAAQISMMPDIFRSATRVYAWIGEEEDGSSEV IQTIKQIAKQRLEPTMPSANRYQDIPPLGRTFWNNLGRLLERKWFRRI AOR_1_800074 MVLRTFHIFPTRRGEAQLRLQACEQHDDWFIADQPHLFETFHRH LNMLAFDAEDTARMVRFFDALHINDRLLSTAAICRPRPGLAFTVREDYKSLLLSRAES ISRLARNYGSQPPEISRLLGDIEVRSVDEVHVEWTIRSPSQETIEHYADRRLALIVKE KNRTQLYIRHRDADARNVQFEISEQLAQLCGVPLKYTSLLWAALLLNNVEILDNALDR GGTLRATNCE AOR_1_802074 MSPLRLSLFASTLLGLTCAAYIQGHHSPSTTEDNQYALQNKRQA ILEDFSHVFYTEKKVGEAFNKYVVENYTQHNPNILDGRQAAIEALTPLYSTDGMVFEI HQTFVGGDYGLVHVKSVTPGQNDTAVMDMYRFEELKIVEHWDRFGPGYWVYQLLEVTL RE AOR_1_804074 MDSFKYEPLDLDGPAFRLVRLCHGDGPDIHCELFQAWLYPEQSA ISYETLSYTWGSTEIVERVQMNGKILGVTLNLYLALQHLRLQDEDRILWVDGICIDQA NHKERGHQVRHMGDIYKQAERVIFWLGHPTYDTNVVLDSLHQLQKESTGYACRAWELT DPRWADLWSSVQPRLKDKYPDLRTRQRQGLEELLSRPWFRRVWILQEVANARAGLVCC GQKSVSTHIFPLAPLLIDIIPNTQSQAVLDIMPGPSRNGTWWNMNQDLYTLLQKFSAS EARLPRDRVFALLGLSSDARDTDMLRPDYENSEKDVIHDVVYFLFDEHIYHSQRSRFN TLQDLIMNLEALNTLFLQYYMESPYLEDLEKVLERRCFGISEANITAAAHATRIAMST GLGDKAGRDNGVDIGTIKVWDHLKNLEHVLQRRGYNIEVMADLLATIIKNADCWPATV NFFLHQRCNEFKITDPVLIALLNPPENVEEAAMTHVQEQQYQAQTTENMLRSTYVSGA PFGLQFIKLLLQQRGKGIQITDEVLCAAIPNTSSGVQFIQLLLQEQGNETIITDKALC RAASNKYNGVDIMEILLEQKGNEIIITEKVLCAAASNKYNGVDIMEVLLRRKGNEIII TDEVFSAAATFEILGRISQSFSYTQQNQDRRCNGHNSTPTHRISGGPDKQS AOR_1_1198074 MDNLPRSTGICAHCQTPATKRCSGCRGAAEYDKVTPEPTFYCSS ACQTQHWGEHKVKCKQLQARKSLSRAATLLQAILYRIRLHAHIFQFATAHIDGSKVTL DDIQNDKSKAYQPLKAVWMNIKSGDYQRVFDAIIMMNACAEAVMFLYGFIRPILSGRG VTKALIEELTVSVHNVRLSIERSDGFPLIETGSHIIYRVVLKSGETWAMDPSGAQYGF SECLYTWSDFEKYRIGKVHFENSLGYHRGEMSRFNGYGLDVSDMVLLDLTSALDEKIP ALANVYGGMLNLILQGSDATFQKAKNELLDQLSICVNRCLDEIYAPERVAKKSRMARK TDA AOR_1_806074 MKSFLGIALLVGLPFCLAETEPESDPASVEVDVDWYGNDGTWSA VNIWVGSKLKTVSLFPSTVDTKTWIIGGSGCKNSSVADCSKKRGGLFNTSESTTWRRQ GSDPSIEAYSGNDTIYVDYRPVTEQTIGIINNTDTWVGLLGLGISQSGHNDYFSLNVM ETAYINDSFAPSGSYGYTAGAYYRLKGAPASLTIGGVDRARFYNNNAKFHLSRNAAPV VSLNTISISSGTEPDDKIHIDDHIIPLKPGGGTYFTLDSSTSYLWLPSDAFDTFGEAF NLTYDDSLGFYTYGNDTSLRERVLAMNISITLSISDLPDSSQSVNITIPFQAFDHSLT YSYPNLSPNYSDAPLPYLPVKRANTNDEQRIGRVFFQEAYLAVDYERGQFSLYQAKFG PNIIASDTDIVTIDSYLNKAFGDLLDKKSGLSTAAKAGIGVGTGTGAILIIAAAYIFI RKRRAVKSEKGVQLSDTLSNSDFASCSRPGELDGSRERQPELPSDSSCAIYELPGSGI AELDAGPSSLRGRDCANVNDEKRHCSRHSETRSSIAASLASRVTTGSSDEEDEVPPRK GRDSSGPSPPQYTP AOR_1_1200074 MPFYTLAVNYDPTSSSSNLGSLGVHKTRTLIITPDRETSDSLFR IIQDNPSGVNPQAPFKHVQRISPQMWSWTAQDNNSSKDQLAKLIIAINNRTAVITNDQ SGNPLKRLQGRVTLHALPDWDSQTLTPIIPNIETADYISGNLFCIRNRRSPDKYWAKP PGDYHWIRLSSIIRTRFRIRAVGKPDGTVMIPDDHVEISVVRLDDNNNEQEFPLGYQD FSGRLAEVAKEQRIRIWFESFLAGGISLDYRGSENETNNAGAEQNDSGWKPTDDELTY PLYPVFYDESQRGGEMWELC AOR_1_1204074 MPTAAKNSRTECCPNPHASGIEKVGNIDFEYACGKYPRLYDIAA GLPAATADLCATLCAANPSCAAAGWNPSSRLCHLSTDTSGDTTYRVNSLLLTKTNFIS PAHQCEEEVQEAVTKAQETCTREKDALKGELAQCKAASDPACWGNGGANLCTDSCTQQ DLLVNGKKFQKKCDFQIDSFVGQELFQPIRDCIQQCSQQSDCVGVQGNPAGTSCWVYN DQWSIQAPRREPGWTLLLVA AOR_1_810074 MELTVGQVSGIINFGVAIVGITLPLGIAYILSWQLPKENNAITW SVGTRVLQATTWPSLIGADSTASKRVSWLVFCLGWAITVGHVLVSIAGIITPLGLHDR IKPAASTSVPFVYVPDRSPYGLGTPPRPDAPFSRSCGGSGWVVCPGSNGTDAYFLVNK TIHDIIPNNGTYSARLPYNLTQIFSSATSGTNNTVSGPFDIQYRQVFPTAMTQVDNGE PYIVGSYRVMDSLILHDKIEAIEGLIVDSTRGGLGYRNHTVPANLPTGGRWTEDITWL QPLIECVNTNLSIRYTLNYTDYNGNRVESHNVRLKDNGGFFNLPLKGPRYNITDIQAN PDLHTRATQLAFFHNNMLMWALGLASSNISGPRNVTSRTEYPLVINGTTSGDQHNAYR PDSIQIPGSDGLFINSDLNTTNDLWSFQDTTLMCRGLLSQYTLDPLDNATVGIDCGLL QAAPRRLDGADPRVYDAGSEWEQDMYMCASAVEASIKTVEFTLNGTSTLEDLTVSSVK DKVYKSQDAQPLWAIEITGQPNTVAAPLWGLISHEYAAAENLITIKSEKLLLPPSYPY SATVVNARDSLAASYAPMAAQHVALGESFLGQITSRWTLDVPIADYTGKSNFALSRRW QQLSAGGEQGAATNLKLILTDILATATIGSQTPIGLSGSDTGRLGGSLPSGDKMVRIE PFQRKIEYNLAYAVPAFITLALWVVVLVAFSIALIGSRFSFSKLRQQLNLSSVGRLYT NLVYPDVCEVGAKTETWIEQAGGLKFQLDRKGESDPEDPSAESQLIEEQQSHETSSE AOR_1_812074 MSSANLPVIVIVPGAFGTPQGFEKLLPYLAQAGYATHPGSYPSC NPSDPAQESAPQDIAFLRDNVLLPLLNEQGKDIVIIAHSYGGVVAGGAARGLAKGTRT AQGQSTGVIGLIYVVGNITLDGESLFTAVGGAYPPFIKVDKPSQGLALIEPAMDVLYN DCDRALEPELATLMQPHALRAFETPATAPAWAESAFDGRRAYVRTLDDCCNPSSLQDL WLEKSQVEWEVVDLKTGHMPFVSQPRALAEHIIKFIDGFMAK AOR_1_814074 MPTSWKSYYEHELPAIVDYAPNPDSLARTHLKIYVVEGSVEVPA FVQETILGVSELLGRPPFRIAWGHVGVSQITSPMVYTDV AOR_1_1206074 MDRAAEVSKVADDSISPHASHTTSNTATLDKSGNKIVEQSTSAD DVEKQSDTQSLQAGVRRAEILRKGWTKKGLYTAFVGLFIATLAINFGDYSTQVYVPYT TSAFKQHSAMSAARVVMNITRIAAYPIIAKLGDVFGRAEMFILSIAASTLGYVIYAAC EDIAQYMVAGIFEAIGSTGYALTQQVFVADVTNLINRGIWSTLPDSLTTVPTLYLGTI VAQRMLDHSTWRWGWGMWAIITPVCAVPLIATMLVYQRRAPIKVPIAKAMGWKETDTW YQRAYRLLWVELDLPGGVLLLLGLSLLLVPIALTGSNNSNAWHKGNFIAMLVLGVVFL MSFVIWDARFAKKPFVPYRMIKQRTVAAACLLGALDFFHYSVFSVFFTSYLQVAGHFN AGNATRIDNSLRVAFQVSGIFAAFFMKYTKRSQIWVLVGVPLCILGMGILLYLVDMGD GKTGNEAAFVTAKSLIGIGRGFYQTAAQVSVQAVVSRQEVSVVTAVFFASMSIGGAIG TSVAGAIWRSNLPRKLSEYLPDEAKGQAKSIFGSIVVAQKYPVGGSVRMAIDRSYRES QRLLAIAAISALAPMVVIMFFLKNVHLDERQTAKEEGEREMGEQKKGDAE AOR_1_1208074 MRREWKFTPSEGGSGRNMAAWEVLTGTEATYLVEVSWPLSWRET NVSAVANVIFAVDGNAMFLTATDVARRQASLNPNKPGTIVVGIGYPLKASVYSPQRSS DLTPPCDHYTPPEGPDGNPRPEPYGGADRFLSFINNIVRPFVASSIFPNVKFARTALF GHSYGGLFVLNTLFTQPTSFDTYIAASPSIWWNERFILTKVPQFLQRSGTSTQPTLRL SYGSREQFPVRRRHEPLEKYERRVGSAAKRRMADNCNDLYLQLVASQHLDAVERREYL DEDHGSVISPALSGGILYFLDLEDEAS AOR_1_818074 METNGMQRAFVTGPTEPPICPKTFAQFIDEQAATYGQRPSIVSP WQGISLSYHELAERSKHVARALLGMGLAHGDCVGIMAGSSCQHIELLMGGARIGCAVV SLHTTYTPEELKRTVRRTSCRLVFISSRIGRRDLSTHVNVMKNCMSSGALPELNAVLT IGQNDYKGYSQGLQTYEALFSEYKEGVTNPDIDATFLLKLAEKAVTTDDVIRMDFTSG TTGTPKAAMLTAINLLGGGFIVGERLSLTPKDIICSPAPLFHSFTLIAGVFASLTHGS SVILPSDHFDPHTVVAAIQKQAPTVLLGVPTMFLAELEIMAKEPADMSLRAAVVGGSV VTSALRKNICTTMKTKEVYSVYGMTETGATFIGSLDGLEESTGMVGYVMPHICAKVLN RSGQIARPMEKGELYTSGFTLQKGYFGEEEKTLETMTQDQDGKIWMRTGDEAVIDESG CCRITGRIKDIIIRGGENISPLEVESRLASHPSIGEASVLGLPDAKYGEVVGCFLKSA PNVERLADEEVQGWVRETLAWHKTPAYIFWIGDPGVADDFPRTGTGKHQKHIMKKIGE RLIAVRRNGVCEPRLMCESFRSVTTHSSVGNNSLINCV AOR_1_820074 MMAPPVVSVVGTGLQYPPHKLGPEFVPKLVSNCYTDNVALLKII EINERTKIENRYSVLPSNHPLWFQDHILTNTECDDLFKNASSPGFDCTLSRRLGLSKH VRRTLLSGVTCAGSVAALRTAYDLLRGATQEGKPARALVIAAETMTVYVRGWLETIVK ESIPNIGPTLFGDGACALVLSNGIGVKEGEREPIWNIHGAQSTLLDRPGCVGIRWMPS GVMPIISKDVPRMIKSALPSSFGNLITNSPSLRLEESNFNPSTYDWALHPGGAAILTG AEHALGLASHDHLRMSYKCYRSAGNTSSATVLSILHRLARAYREGLSGRSKVIGAAFG ADITVEMIVLTKPSCELVH AOR_1_822074 MSITDIFSVDIALRTGQNNTHESYLYSHLTGDKIHGVVTVRSHC DIRVDGIEVSFIGEERTILQTGKAYRQFQDLKYLIDDSSFPPGKLFKERHRYQFEFTF EVPDHLSPDVCNHKITSPTIRQAHLRPPPSFGDPSVSGLGGKLKDDYAPPTCKILYTI QAALFRNIPVIDKRDILLVHKIKLRVKPAVDEWPPLDLLSSVNDYCLEADHAVLDSRT KEEYGQLTVTLEPPKSFRLPLRDPHSLISSTVNLFLHYKVTGEQSDLPQLQSFRGKLV ATTFYTASYYEDVPSKQKDFFGRPKNYSETHFPPFSYSIASLDWVPAEENCYVATLLV PITLPRLNFIPSFHTCLTSRVYALDLRLVVPGASPFYLRAPVHIYAQRDPSALPSYIA TVGFDS AOR_1_824074 MAQNELKQMGASVLQEVEGQPSYQNKDDVYLARMGKRPVLQRNF GLMSMVGFSCTILITWEAVTTLFLQSFQNGGPAGSVYGYLFVWAGVTATFVVVSELVS MAPTSGGQYHWCSMMAPKSVMKVSSYITGWLTVIGWQATYATGLYLNGNFIEALVILT NPDYTPAPWRKTLYSWATAVFAATMNIIGGKLLPRFEGTILILHILGFFAILIPLTYM AEHKPASEVFTYFINEGHWPTQGLSFFIGIIGPVFAFAGGDAAVHMVEEMTNATVAVP WSLMLTVLINGTLGFSMLIALYFCLGDIETSLKSPTGVPFLSIFYQATESTAGTAAAG SVIQAMCCCTTVGMLASASRQFWSFSRDRGIPGWRVWSKVTPRTAIPTYTVLLTSTIG CLLNLINIGSDVAFNSLVSMSTSGLYLSYMIAASLLLYRRCTGEISQPKRNSEQTMVN TAGAKLVWGPFHIPGIWGIGINIFSLVYMFIATFFSFWPPINEVNSESMNYSVVGTGG TVFLSLGYYLVRARGVYEGPVIEI AOR_1_1210074 MGRFTYTWKPAVNVLTWFLMVTAILSVFARLGTKYWIFRRWTTD DYLSIASMVTCVAQSLAVSLATANGYGDRYDTLSETNIEHIMKSQYAATIFFILSMCF SKLALVHFIRSVTPAASDRRIASGLEALITLWAFTGVITSAFQCRPPQTWNYLSGQCF NIKAWWDYIGLTNILTDGAIIAYAILIITRIQARLKKKVTLSTVFGLRIFVILAIIGQ LVYANRTIDSSDQISDTWPLAICTQLTQCLSVVTACSPQFKPFMDSLRSTGLRVDAIT RHDTSHIGYNHSSPPVKSQSHSQDQSDAEVHELTSIGRNKSYRHTTTIITAKRDSDAG SDSSEAHIIREVRTWAVTASPRNSFRENSY AOR_1_1212074 MATPTNGSFLSAVTTIANTYLSRGQTDESSRLFSALANLREAAN DDAIATAHTFGLLVVTFLENGQWKAAADIGTLVVDARVALLGSENPSTLTAMSNLAAA YWGQGRWSEAAELGRRVTEIRTRVLGEEHPQTLNSMSNLASTYAKQGRFMEAEAIGLR LLEVQGRTRGDGDPSTLSSIGNLATTYTGLGRYDDAERWERRVVERSEMLFGESHTST LTWKSNLGVTFREQGKLEEAVRLQSTVLDTCSACLGSKHPLTLSSMANLATTYRDMQR LKEAEELEVKVVDGSKAVLGEHHPETLVAVGNLALTYRCQGRLGDAARLGARAMASMK TSLGEEHPYTLTAMANLALTYQLEGRSPQAERMTFQVLQLMQRSLGEAHPHTLTTMAN LGAIYQSQGRWDDAEKVAEQTVRGREMVLGKEHPDTLASMEDLMRVYRVLAADRTMQR AAMR AOR_1_828074 MATNSTTSQKDKPFSQLPTDCLVSILDQLSSEDSLTVSTICKDL RASATPFAYRDIALDWSARPLRRLLQLLQLIFNNPDIASYIQHLSLVSSEPGHWEDTQ PEIDWETESQNFRDVIDQSMNIVRRAGFPDVDDWHLPLNGGNIYCYAAIFLSQLPNLK SLRLDYSLVWWDGYPGIMLKQALFSPNGVLSTFQHLEVVDYGGNVPIAESEDIYSDES PDSYPPYNPDQFMAWFCLPSLRHLNIWLRDIEGLRETVPDLDLSKLETLILARTTISE DDVAFLLSRTPNLRNLHLGMAYAWGRELVLQDAPTLANALKSVSKTLHQLSLGVELYP SNLGDRYWDGEEDHFHDSFRDILHSFPNLVSAEIPLSVLMGWYMQDAPKLGPLLPKSI RHLCLREDLRCFYDFEWEQDEVNDLIRKFISNWRAYTPGLKSITWRLWDQNYSTGWEE FQEDLRKACADAGLTLEIVVDDLGTGLWSRECSSFEGAVALQ AOR_1_1214074 MLPKICYFLFYLFLTLVAATPVALEERAPKQAPSDLGIKRQVID NVNKPPKASKSQFIEYSSILTFKDGSKITNDHIVGLAKAAWEEMYNEHMKKDKNSVDV PSVMTAMKVGNEVYLASSMKGGHSRYIYVEREDGMKPDSGKKTPGTDKKPGSDKKNPG DKKNPGDKKNPGDKKNPGSDKKTPADPPAEFYGEFTSVLKDNAKDVMEALIDVSKQSQ GHKNGKTAAVEQAATSSANGQGSKNTKGGNPPKDPTILQHRTSASCGEIMVSLEYRLA HKQDTLRRKTPKPKIVAWAGNKKTGKGGKIMDPCGKNSGKQDNDACGENWGCAAFTGP AGMDFDVIRSTNPIDPSTKGFPEFTHRNVDFPTPAKIPSQ AOR_1_830074 MVGVPKSNACRTCLRRRVKCDLTQPFCNQCTKRNLDCPGYEKRW KFMHQTKGSIQKDQQRNTRSQLQPHTEAALVQARQSIDERVEPNLAAAALDLQQKEVF CTFLLTSFPAQFASCGKRVEVNWIDYARRPLLTAPQALVWAYRALATVFIGRKYHDME KVTCSRHMYSRALNYLAGVIQHPKFATTEEALASGILLTMYEMVDGITGASWLTHTRG LATMIQMRGQDVHRSGFGLTLLKSCRAFLVADSLIRGEHCFLGEPQWRAFLSELADIE SQSPKRSELGLIVDRAFIEIASCPGWLVETQKMIKIDNPNEKSRVLRELEFSHFRLNK LQKELQRALAHQRQAPVLTWQRFVGPIPWDFVDPFAQSSLYGMRLGMSLLSQLHTVLR SDLLRRYYLTKPWDNPETPGLPSPNPWSALNGNVQEWQVRFDPEYLRDSYQIHPSGNE DWMDRIAMSMGMLGIRA AOR_1_1216074 MEQTISNFEDDTSYRVERGSPDIEGFCRHYALRRHKYEEKANLG SLQCRADWVKYIGPIERWGSWNPYEGHFGSVVLPLCKPDRLAIISYIFEYAFLYDNVV ESSAKATLNTHADNIGLDETEYRTIRSVSGTKQIQSKMMLELLSIDPTCAEVVLDSWK TMIATTARHDKAKPFSNLEDYVNYRIIDTGAPFVDTLMRFGMGILLTEEEIETVTPIV KPCYAALGLANDYFSFDVEWKEFQERQSDEGAMTNAVWLFMQWNNVDVATAKKLVWEV TNRYEEEYQRRVEEFIAGEGRNAAKLHTYLRALAYQIPGNIAWSLRCPRYHPELCDEA GRLLEDEMCSETATNVLGHPVEHERGSNTTGSEKSPVWTADDNSSKRSSVSSIDAIEE EAESPKPEQLGTEIRSLPSKGVREAFVDAMNVWLVLPNDVVNRVKSIAETLHNASLLL DDIEDSSPLRRGQPAAHTIFGQGQTINSANYLLIQAMHQVRQLDNQRCMDVFVEEMRN LFIGQSFDLYWTRQGECPSQDEYLEMISQKTGGLFRLLTRLMTEKASTQHNSTITLDS LVSLLGQYFQIRDDYKNLTEDYAIQKGFCEDLDEGKYSFPLVHALTTQPRNFQLRGIL RESRNTGGMSLPLKQCVLEQLKQAGSMEYTHLILGKLMKDIMCEIGSLEQKTGCSNWV LRLLMTRLRV AOR_1_832074 MGLSKEEIERFTTFNRLCTEHGLSERPKGLDTGDVQDGINDEVT LLRFFKASHLNPHRALQQLEEATRFREEQHVLHLYMTIHVDDFEDTRRFYPHWTGRRD KRGLPILMVDMAHYDQAAMAQWKKTRDMSCCTDPTVTSTAGPNMAQRATVFHDSLTRF VLPLCSAMDDRPNPLTPVSNAVYIVDASVVSVKQAWNLKDFAQEVSWILMTCYPETIE RIFVCNVPSYFSTIWSIFKKWVDPVTAAKVVVLKQSDVYTTLERYIDKENIPTKFGGG FAFQNGMLPDLDHGIRQHLQWTTPSECIPSGPVKWMQADGGKRIAIATGSVDRNVPRN VEIAALY AOR_1_834074 MDATIAIENTSRNDEVKMQVLQSVDGLNDHGKTQAKTTHDTPVP ESVMHDLQRSPATGQDHPVFEFSAELQCPLNETSAANPDNHLAFTAKSTLDNSIVTSL GGEEAARDLLKSVLSSVLGMYSEIINDEIRQARDDSRPKDAPVHDSETQVINMLTRSY IKEPESIPEPQTQTETETETEPEHEPSQTAESSAADTPKETEYEIVAPEDMEVSLCKV DDVYDKKLKQRTFLPYDPARGAIEEDDNLSSDKYVCIDIKSPLVFDVLHKALRDERAS LDVEPSLPWPNDGIFRWRNLIRDFAEKKGELCVKHVNVLLQLVEEKYASVISDIEHMF PKGTTTFKILREAFFPKDIIVDGNADIPRAYRVLAADYRADQYGNKWLQIKAVYIDYD GNRFGTRKVKFTIGDFPGIRYFSDLDVFPLKYHSNHPHVIDNLVARGRRFVDLQGQHF KAHRDVSQNKLRRVMVDTAAMKRLGSCNIEVRDIESELIDGQLTEEHLMLCTDTIPAF SFEDKRSITVNIDDLEDIVFNQQLFHQLVLPAPTKEIVRVMVKSHVNGVDFDDFTKRK GKGLIMLLHGPPGVGKTMTAEAVAEYSQRPLYTVTSGELGADSKDLERHLNCALDIAK AFRAVLLLDEADVFMEERSTKNISHNALVAVFLRLLEYYQGILILTTNRVKNIDDAFH SRIHMTLKYPNLGVEARGKIWQNFGEHIGGLELSEHEYHQLAQRELNGRQIKNVFGLC KALAADKGQEISIDLIMMVLDVMESQTPRLGTI AOR_1_836074 MAGPNIVRGRNNMQLAQSVVGADFKDLKYEVSVSSANNSHNPDA ISLESPQKQPFRRPSEEVTQGAQLGIRKAEAAALAWSKKTAYLTYALVWLGFFMLALQ SAVSTNVIHNTFAHFEEAPAVSTSSIVASVVSGVVRLPAAKLLNIWGRPEGLSLFLAV YLLGLIILAACNNPSSFAVGYVLYWVGYDAIFLILDVFIADTSGLRNRAFAFGFASTP FICTAFTGPIAAQSFVDHSTWRWAYGTFAIAQVFVFLPLIAVFKFYQRKAEKMFIFVR EPSGRTWTQSIIFWLNEFDLVGTLLLTAAFLLVLLPFSLQSYGRAEYSSPTFIVMLVV GVLLFVVFAAWERYGTATPFIQYALFKDRSVLGACIVAASLFFSYYAWELYFYNFCMV VYGLSVSMTGYVGQIYNVGSCFWSAVFGVVVYITKQFKYSCLCFGLPLVMLGAGLMIH FRSAGGNIGYIVMCQIFIAFGGGTLVIGQDMAVMAASDREGVPMMLSMIGLFSSLGGA IGNAASAAIFSNTFPSALRDALPAESKSQYMDIYLGGYLKQLEYPVGSEIRDAVNQAY GAYMKYGCIAAVAVMAVGLPAIAMWRNYRVDKKQNKGAMM AOR_1_838074 MHEATLPVRARTQSVSTDVPWFLKLDHENEVVYETTDRPLLKSG SLTGLVEQLTRHDRLDLTFNETFLITYPTFVSAANLFDALLQRFHVDPPGQLTQSEMQ LWTQHKQKAIRLRVVNILKTWLERFWMEPREEVTTEFLRKMHAQIKNSAVVMETPTAP QLLSAIDQRIQGQEITKRLATPPNSNIPKPITPKNMKKLKILDLDPTELARQLTIIEF NHHARIRPNECLSQKWKKRRSNSTEPSTGVNAMILHSNRLANYVGELVLAQDELKKRV SMIKLFVQAADVCRSMNNYATLMSIVSGLGQSPVFRLRQTWGLVNPRIRNLLDELRDL MSSEKNWAKYREVLRQASPPCVPFLGIYLTDLTFIDDGIPDLTQSGMINFAKRTKVAE VLQDIQQYQNMPYNLQSVPEIQDFLIRNLRATKDVSDMYDRSLQLEPRMANEEIVVRR GAHTATGSNMSSVIIASMAMR AOR_1_840074 MPPLRSTYTGVTIDAEETLLCKCGYPMKRYTVRDAKSPYRGEQY LACRRHSKDEEHCKSWIWFDEASQVERLVPRLAVPQTPKKQTDIREFGQLTPPKSSGL KRKRVNVDPGSLDELPGDEIEDSDLSDDTLDSPSQRRQRLLRKDNSTPVARSLFVWQE DMDTARRQPRRRFETPPHRAADSSTPRPELVSSGLFTPGPGGRGQRKGWLAMEAPITP TKQNDIFASPACVVDDDISDSDYGWDEELVAAMLDKSDQITPST AOR_1_842074 MPSNGDSAHPQLPFDSAFLLNLDIFRVSTDDATRDEKIALAYHR ARAIARAYAFKVEDILYLSDKFWKFHQDMIHTQDSAAFSLLAIQFNLCVGTLAPFLRH REDLECLIEPLLNFDISGQFLLTEVGHGLDAQAIETVATLLPDGAFDLHTPSPDAAKF MPATSPIPGFPRVGIVIARLIVEEEDRGVRPFFVWLNDGHEMSTGIYARVLPGRAGSK PLDHCITSFKHVRLPHSALLGSLEAPTDPRKSFRSAIHRVYIGTLSLSTVLISALKRS VFVAGKYSFRRYIWGPSNHPRPIISFRTQQRPILHTLAQIAVFDPYAKASIQQYMDPK IPYIVRQGIAAAFKAVLTRATQESLHQLIEARGNSIAEGDTLALSIRLASELILGKYT MPPPRYPFSLLAVFETGLFQHARDIVNSLGGAYRKAEFNTRILPRCQTLVEAVGHRLA YEAALDAGVASELLDLYEAGVVLHHSGWFVENLALDTETQFNMEMQAMNSILPRIGNL LNATGAEPYCTAPIVSDEAWMEFTRSLEVHHGNARMDICEYDADLAESVSIFGFLQDY E AOR_1_844074 MPCLTALSTAPLVAPAEDEDPELLTAPTHTEMGDMIARHVAAHF QALMLLTIRLASIQVEDKDTLEEVRSDLCDTDGIEGSPTERLSVETSDVSILQSGDGD VCTDSEPPREGATTP AOR_1_846074 MSQGPGQNDNPRPRNIRERAKRIFSGPQKVAHRIYVLGYRGYER TFDHLHEAFPTTFRAPVGELLKDARPHLTLFRLRDGAPAVEITSPAESTRIINFFMRN LGLRHVFYGVEDQGPWQLGVQPFPILIREAGEMPYRGIDLPKGNDFVGYVTSRMPFTV NIVQRQAARSLINALGTDRARQFLRMTLWESNQIVSAEFKRGETQYRLQCQTNYVLWY GYKRNWDLNLIIIHQGHEEEFHPTRALAAMTLVYHRRQTADRDREIWGLYTNSLQYYY FHMSTEGQYSAEYYANGEVRMFQGIRMLLQIYAQGYQLARGLKEPSTKPKEPTKLEIA RTPGTVHEPVALVFRDEYHADLG AOR_1_848074 MFSRIALLPAFLPVALACLGYEGGVPTPTAHYSNSAVIEIAAGE VFDAGWAKYDRGSGACGGQTEGDWKDDVFYLHSGATLKNVIIGADQSEGVHCDGACTL EFVWFEDVCEDAISIKNDKEGEETWIIGGGAYHADDKVVQHNGCGTVNIINFYAEDYG KVYRSCGNCSSQCKRNVYVEGTTARDGGEVVGINQSFGDTATLVNVCTDADHPCVLYD GCEGDCEPSKVGYCSG AOR_1_850074 MKFSIAAVAGLLSAVSGASLPAAFTLVAEGGLTVLTDGEYLYYG GNGTDASKEIAIFHATPDTGAVSYTAKDQTPTGWQNLYVVEKDTAPVGLTRPHSAAVP EGASTIDFSVNEEGLFAHGGNAYFAVDGYGENPVKTVYWYGRHSSTYRAANLYVKECK GC AOR_1_852074 MTTWKIEPCFVGDAAALARNNMAAFWEDPNWVILWPKDMTQEFI IEQSAKRQPRNLLRDREKVRHQKAVDPITGAVVGYARWILPPGHCIAEDGSPKWVEAQ VPDVSEDEKKQYQELAESAWWSPREDMSLDDKNHVVMDRIRAEKPYIKLDYLAVHPES KGKGIGTALVASGIKYAEKVGVPIFTMAFKAGRGIYARLGFQEVDKVIQDDSMYGGPG EYAAYFMIYYVQRKV AOR_1_854074 MIKSIASIALLFSTAIAVPTPTELLPRACTTLAPAVINILDAAN PNTPYSGQQFTLERSGSPLVDNKISVLTFNNIPAGATGCRLEIELPPLSDGQIAPSDT QADVWSADPVDGSSFPTYNHPPHKREMVATYIFPKGPTTKSTHTVLASNTCSTTMSWL VQLSEWQSSAGSVNFQNSVGNGADIGFMLVYNC AOR_1_856074 MATMVESEVSTSVTATEQQQTLPKDDIYGKYYPTDPKNLSLEGN FGPMDPERIGYLQPTSKDTPLEIMRERFNRDGYLLVKGLLPKEPVLKCRREYFEYMSP SGLLKPGTDTVEGIFSEKDSRKYLPPGNLRRLFGLKDDEESERYLELMISAHEAQFYL DFCEIEELRAFIRRFAGWEHITMLQRTLLRAFVPDSELTPVHFDQMYLRAGPPTSLTA WVPIGDISLEGGGLMYLEGSTDIGQKTEKEFSRNAGNLTDEERVSAFNKNMNDGGFLS RDTVEYGQKADRKWLIGEYEVGDVIFHNPWMVHASCKNKDPQRRIRLATDLRFVDSTK PYDKRWMKVFRPLDGL AOR_1_858074 MTKSKGERLYRACIRCRQRKTKCDLQYTNENNYYPCSKCFSEGH RCVIATSRRGGDYSRFRVRKSQKHREEELRECDRSEHTIESRAVDSVNTETVAADHRL GGIQNPLEALQILAQTAATERSAERRKTLMYQVDINQMSTREESNAQDSSVTHPARKS STLLTSELIQNGIVDTQLLDYVQNFHPYLPIVPGHLSSLDNITQSWANDTFLLTAVLT IATKDRGGLEGLHARISQYMEKLLLRVVLGAASVRHVGSVEGLLLLAEWVPHISTEEW ARDAAASNGPPQQVQVTEEDSVSWNLIGLAVRQAYLLHLERYSFRGESKDEDKLDYHL TYLADRQISIQMGQAFWCRGPGLSTRFTIEDYPYLRPQKANGVDYASFVQAQVELTTI FGNIHDILYASKTRTVQLMLMGDYTKYLDDSSKALAMWKEAWANVDLPFHLSGLLCLQ FEYLRLYINAFAFQAVLYRTPKSPVGSDNGKTSYFPYSVMASADGRHIYIAIDAAKSV LKYLMERLNPTKHLRYIPVRFYLYEIHASVFLFKALTVGALSSEEQQTCTTLVRQFIS MLKSAATSPSHITSRYSKLLTSLWFQGQTTPETANDSVQSSGSAQNPLPSPIDLDEFA STSVIDSTGPFQDDFQTQQALSFLESTEGLECPDTFLSNLPFLRGGFPGLENHGVGQL LMDL AOR_1_860074 MTFLQRIIRNDTVKSDPPEIYNFRVVLISLSACGASMLFGFDMG VIGGVLTMNSFKEQYGLKGKEDTVLANLESNIVSVIQAGSFLGALVSTYVANAIGRRL SLILSALILFVGVAMQAGASGIIGVLYAGRFIGGVSIGIASSVCPIYIAENAPRGIRG LLTGFYQLTLVFGLTLAFWINYGCERHLTGKEQFIIPLSLQAFPAVILLVGMLFANES PRYLAMKRPERAPRVLATLRGLPEDHSYVIEELNNLRRQLEEESQHSESSMWCLLKES FSKKSYRRRSILCITLMMWSNMTGTNAMTYYSPTIFASVGLASSSVGLFATGIYGIIK FIACGIFIVFVSDTLGRRRSLLWTGIVQGIMLFYVGFYVRFDTISENAPITPQGYIAL IAIYLFAAVYQFGWGPVEIPPARLRALNMGMATASQWFFNFVVAKSTPTMFATLGKNG YGTYFVYGSFCFVMVIYTWYFVPETKGLSLEFMDELFERDTVRGKFMPARDVHYLAGK EQE AOR_1_862074 MDKLPPEIVLQILRTLKDEENNIAPLLRLNSQWFNCGISVLWGG GGMGQGMDSELVEVYESRRQIYASVIRSLNLIVYQRAYRTAFRYLTFPKLMCLTIIFE PPKDEEDQSPESLVLEDKFDLQQYLHSGVRYLWVIGDVNADLLAFPDTYCFHLRTLFI TNVHPHHRLKILSGVLQNNGTVINGALTWKDPARRMAADNFNILATHNYLRHLTTDGL LNKYLLETLSNSSPFIALRDLDIRVTDDALSSLLTIAGSIRDLKTLHLYLSERSDTSV LDSTVFPSIFKLTGLHSLELSIVGSTEVISNEDLLSLGSLTQLRELRLLSNDSSSFQA PHFTDSDFEKLVANLPHLVVIKLKLTGSILTAVCLGILGKHCPLLGILYLAGHFDMMV LNPEKVPFLPRLTHLAVGAFIDTESDISMPTKHVRQLEQLFPKLRSLKHVEFLDPRDL PPEMTFSHWVIYYWDEGHMW AOR_1_864074 MEFHLHDEALPASTAPTEYGDQSGEEFEAYSGKPTLGVPDNNVR EATSAETLAVHGSPHITPPPGRDAEWSMTDQVIRNKERSEAAGYKKRELGVTWQNLTV EVLAAEAAVKENQFTQYNIIQLIQDWRRKPPLKAILQDSHGCVKPGEMLLVLGRPGSG CTTLLKMLANRREGYHSVHGDVSFGNMNSEEAAHYRGQIVMNTEEELFYPRLTVGQTM DFATKLKVPAHLPAETKSVHDYVAETKQFLLESMKIAHTADTKVGNEFVRGVSGGERK RVSIIECMATNGSIFTWDNSTRGLDASTALEWAKALRAMTNVMGITTIVTLYQAGNGI YNLFDKVLVLDEGKQIYYGPAASAKPFMEDLGFVYSDGANVGDYLTGVTVPTERKIRP GFENRFPKNAEAILAEYQRSTLYQTMTREYDYPSSDAARQRTEEFKESVAWEKAKHLP NSSTLTVGFWDQLIACTIRQYQILWGEKSTFLIKQVLSVAMALIAGSCFYNSPDTTAG LFTKGGAVFFALLYNCIVAMSEVTESFKGRPVLIKHKSFAMYHPSAFCLAQITADLPV LLVQCTLFAVVIYWMTGLKHTAAAFFTFWAILFTTTLCITALFRCIGAGFSTFEAASK ISGTAVKGIVMYAGYMIPKGHIKNWFLELYYTNPFAYAFQAALSNEFHGQTIPCVGNN LVPSGPGYENVSSANKACTGVGGALPGADYVTGDQYLLSLHYKHSQMWRNYGVLWGWW GFFAVLTVICTCFWKGGAAAGASLLIPREKLKAHRAHLDAEAQKEKDPAREKGSGDAL TSADEGNLTHNTSIFTWKNLTYTVNTPTGERVLLDNIHGWVKPGMLGALMGSSGAGKT TLLDVLAQRKTEGTIKGSILVDGRELPVSFQRMAGYCEQLDVHEPYATVREALEFSAL LRQSRDTPREEKLKYVDTIIDLLELHDLADTLIGTVGNGLSVEQRKRVTIGVELVSKP SILIFLDEPTSGLDGQSAYNTVRFLRKLADVGQAVLVTIHQPSAQLFAQFDTLLLLAR GGKTVYFGDIGDNGAAIKQYFGKYGASCPIEANPAEFMIDVVTGGIEEVKDKDWHQIW LESPEHEHMMVELDQLISDAAAKPPGTHDDGYEFSMPLWDQVKIVTHRMNVALFRNTN YVNNKFSLHIISALLNGFSFWHTGPSVSALNLKMFTIFNFVFVAPGVINQLQPLFIQR RDIYDAREKKSKMYSWVAFVTGLIVSEFPYLCVCAVLYFACWYYCVRLPHDSNRSGAT FFIMLIYEFIYTGIGQFVAAYAPNPTFAALVNPLIISTLTLMCGIFVPYSQLTVFWRY WMYYLNPFNYVTSGMLVFGMWGAKVTCNEDEFAIFDPVNGTCGDYLADYMAGSGSRIN LTNPDATSGCRVCEYRSGSDFLTTLNINHYYYGWRDAGICVIFAISGYALVFALMKLR TKASKKAE AOR_1_866074 MNKRQGSSSSVQESASDNRPRKRVCKACDRCRSKKAKCDGESPC GRCALDKTDCNYGERKSGREKVYPNGYAEMLEEQQKWLVYALQKLYRHTTQGEGWPGG PLRCEDNGHPLTHDILCQLGALGRSERHVLEDKVDNTQSTEHRTSPESIDTTSETTQT MRFPSITSEDIAGQDSLAPPIATYLPQQSPCFKTESSMPQTPAGLFAPRISMEDGANL IPWQTSQHWPNDPFDFNSMDFISGFDYMTTSFDEAIAPSVPDIYGL AOR_1_868074 MSCVVASNASSQGNICPTKSQMLYGYTLTAAQNIGLFHLAVGQI SDTIFSTTTDEHVRWKSWSRIESIKNLIIGLLLYDSSLSGIFSMSPVISTSTLHVALP CDFALYRAQSPHDWTTLIQKGSSITTPTVKLSHNDFYLPTLPHQVHISCLYGIMSAIL VRLTANYHRLIIGSDLGQEDWHQHIPWRIYNLDKRASSITNVVIHFIRLYDTILANSN PNCIVIWHNLCLLLTADIRLHERAAGREGPEAMQTARQAIALWAKTPAARRACLHAAQ IFHRLSNWKPMDGMGFQPARCLLNSALVLAFYTLVSPGATEARHADSFDLATADIDWK IVGEEGMADSTPEGQQPRTDDPAVNFIRFGGPVVLCGKTYFGGASYARRLLLDFASLL DEVGRHWMAKYPRLLYMIHDTMVDVDVGGEMREGTA AOR_1_870074 MCMLATENQAVFKQPIPQILTQNTNNPSLESSLDTTDLLYLNDA SLREWTTTVLSCQPISQLTENEKSLAKTVAPESFALTTRETVFYPQGGGQPSDTGVIT LADKSNGSTFKVLLVRKTLDGTVLHLGEFTDQPCFTDGQLVSQAIDWAKRDYHSRLHT AGHIIGLAMRLLAPVLGERKKVKANHAPGQACMEFEGLLYTEHKSVIEDKVNELVGMK LPVTTEWWDEDRIQNADLNMVEGLQLGKNGQARIAMIGDIDANPCGGTHVEHTGLTGF ITIRKIARQKGVSKLSYEVPVSM AOR_1_872074 MKISTTFLYTFLAITTLGVASPTGNNAVAAENVSPAYVETESFF EKRKGCSGDRKDSDVCGGKRLAEQNSFHNCKGKSKGKCCAKNSDGTGGIDVNKGGGET CGYCFSGKCSG AOR_1_874074 MRQLPTSKGLANAPTGPRKMSERRSTKSSYRSLREKGDASANLP ITQAILDDSWSTLTNILNPSTTALHETVGAESTAIGTGHIASDFPFGLSEQEQLIVHR MYNSSTGTSTEPPNSDCFSTAVAVNLANHELTGLHDLSLETTGDASASDDDLCRAIQS HEEIIQRDERFQRVDIEESQWQHDFQSGGGFYADIFRSDNLDPNEMNTLIQQLQFPDN RQGMVRRLFVEHTSNILSIRDGDTMDPWQTFIWPMARDFPALYHALAAMTCAHISKSQ QQFKLLGMKHFNLSIQALVLGMDNGSMPLEAALATRLALAFAESWDCHTPATGTTHLN DAKALIQQALQDNFTSGTVNSELECLKFLVNTWLYRNVIARLTCTETTDTTVAEPTAT YTCIIPHIGEKDIDPLMGCGTALFPSIGRLVELVRRVRGRPENRNSPAIISKAIEIKM AIEDWVSPVDSDSPSSNISDLIQTAEAYRGAALLLLRQAVPELPASCSMSKLAQKTLV FLATTPPSSRTIHAQIFPLMVAGCETFDEDDREWVRQRWEVMSRRLMTAVPDRCRDIT MEVWRRRDEFETRHGLRDLIKAHRPFPIISRRAMDTSTLYDSLRVPKSNIDSTMSHEG PGNSSSAEMRSGPRSSDFPDSAAFQKGTDPVTRAGFINYTIKGELHWLRVMEDWNWEK KMLDVDISSAFFSGPLLPELLRRVMEYVSDDFTTLRSAVLVNKSWAAEAISVLWQKPP VAALASCSDDHRQFYARQVRELDFGGQQDGEQHSRFRTLEFPRLKCLTIDLYSPRDGE KLWLGQYIQPSLEEFRFYGAEPAEDLLDLMETRCPRLQSILIDYAFEGISTERLIKFF GCFRSLRSICLPSCMDDFVDDQMLTYLARRHGLEDLELGRTITYEMIEKAFEGAEAPF RSIRRLTVQMKSKAVEPLTAAVKSATSLLLTVEDNELSPLPPIRSLINLTELEIVFCQ EAIWPATDLLTLRGLRNLRRLCIYSIEGPPAFPTLTDQEFIQLFERMGQLQDLIFQVQ CNLSTVAITSLGTHCRQLESCEIFGSYDLHGWSTIERPLFPQLRRLDLGATVTREQES QSSSFAIDAQLLANLIVEHAPKLEELYLQDHDEFSKKVVTAFKTQTGNEYNS AOR_1_876074 MPSAVAVATRAPTPAANKYVVVGPTNKRYTPATKKLPESLVLSA RNVEKQEFDPARHLNIIPPKKILRMADIGLEGVGISDTAVSEPFSLWTEDAIKQMRAE IFSEAMLENCQVSSSFASNMVRGYNAKLAPFIHRAFYSPELLGAVSAIAGIDLVPAFD YEVGHCNISFNEKKPSQAELEKMGEDGDSEAFAWHRDSFPFVCVTMLSDCRDMIGGET VIRTGDGSTIKTRGPTMGTAVVMQGRYIEHMALKSFGGGERISIITPFRPKSPFARDD TVLTTVRSISRQDALFHDYAEYRMRNLKARVDRQLELIQKDRHHGGRFDVEGARAWLS EQQEYIESMLTEIFDYEN AOR_1_878074 MPPRDRPLIDGSAKPFFLWCMHCQRRCARKYKRNTDRPFEIDCH FNGKGSILCHQCSGDSAACESVAAGMLVNGWDYSQILRWATTFWGNKWSEKVRLSVVN ALKDLNSAFSITERVHRRAHALTSEDNEVMATYRTFVEQRRRLLVQLPVPDEYEDEDE WDSYESSRLLRLLPGDPGYVSWMVALQAFRGAIEDAITICAGLRGLNEVAGRELVDRV MCWFPAACEDI AOR_1_882074 MTTPTFQIHYFSSATTYTGKQTEPLPAPLPLSQLFNLLESKYPG IQEKVLSSCGVSMGEEYVDVNDCEGVVIEAGNEVAIIPPSPPQDLLRSSTSIEDIRLK SEFEENKDIREYLRKWQESHPNPLDPVRGPGTSNTLDASAPWVGNMLNDYREAHDAGS DALRETDEDVSDFENIAEEGEGMHDFLEPGDLVALSSAEGILNLAVYVRSVCKQQQFY TDRGKWRIAFAKDLDFVIKGFAPRELVAPLLPHFPDALAQLSLEMQSAIEGGVPRPTG APILRMINDFNEQVHQFYQANAYRLDNIHEIVADEEEKLEFTLKELACKALDITSDQV DDKILFAVHRAIRRNSFLIENDRSSIFTDHYFVQPRRVANILDTVVTWVHEHQEYLIR AVTGNEVPNLKDHPIQQFIQKAQRLIRLSRKVRSPTILACVGPTAQRYQPGQDGKPLV YREVLTEKFSYTDQMIIEFLQLWCIPPRRMTSGILRSAGSHIMRATGMYSALDTNAGT AALFLQEMGVVAPWENLRLLDQNLALPGHGISRQSDRKWEDVQRACEELNSEGVTDKM ESMRTDFGDLPVYCVDDPGAQEIDDGVSLERIPGLDDTFWIRVHVANPSAFINFDALI MEYAASRVQTVYAPERTYPMLPNTLTQEHFSLAPGRPTLTFSAKMNLQGEILDTNIVN GIARNVIYITHDKLRSLFEPEPQGVQEPLTVGGEYSNEHTRDNIQEELSPEDEGTFHT LRKLMLAFREHRRRNGAMEWPSSMETPVSVTVGNAPLKPYNMQLTEGRYILGDPIIQL RPRTVDPHEVPDLTKRNLISTLMNLACYVSGKWLAERGIPAVFDGTFYHPEYPKLTNS NMSDYGGKTWLQLAAPKGICSSRPTHHVPLGLDTYVKSTSPLRRYTDLMAHYQIEAAL RFEHEHGRRFDATTDESILPFSHMDVDNFISQSRWKRSRIRDIDSASKQFWACMLLFR AFYFGECRLPETFTCLVHKPYNSTALVGSQFAQGYSGVVTSLGVRCQIVTPPEMSDID ILSVVEAKITSVDLSRMLVIMEATRMVKKFERVGEWR AOR_1_880074 MRPGQTWRTAIVTSLAAFCSLATAADICSKLNQQGITIENRLTI PFLNVLQDYWSTACGDLRPTCIASPKSALEMSQIVKELHDIDTLFAVKSGGHMPNNGF ASIQDGLLITTQNLNNVIYNAEDQTAIIGPGLSWEDAQKGLDGTGRTLVGGRLGGVGV GGYMLGGGLSFLSSQYGWAANNVINFEVVLANGTIVNANEKENTDLFAALKGGGNNFG IVTAYTLQTHPMDHKVWGGNYVFSADKTPQVLEAIRDFTENYPDDKAAIIVTAEHAAL INTWIMFLFYDGPEPPQGVFDGFKAIGPLDTTKTWDSYYDLLKNNDFFILKGQRYTIA TETTPVPNKTVGAEVMQTYYDHWFNITNTVLGVPNMIGSIAFQPMPRTIAQKAQARGG DLINFPTDQDYLVIELDFSYGLSASDEKIDAANKNLFNGFDRIISNYIDEGVLPDVYR PLFMNDANYAQDYWARLGSTEQAREVRKKYDPELFFQKRTSGGFRLG AOR_1_884074 MPKYGALGATFMIARIIQACCLIAIIGLTANFIAEIVNSDLTPP SIFIGTITVTCIAVIYCIITWILFFDNILPFLASAIADFLLLIAVIVVAVIIGKPLSY LQCDKIAEVADNISSAYAFATKLSSYLSNLDGKLQYGTLIGASKGVCLEAKSIWGLSI ALCIMFFFSMICCICLWKQKKASGTEKLEG AOR_1_888074 MAPTNDNIAAATHALHADEALNVVTDVAPPLHLSTTFRYPDDPE DLVPAADLSGYDQDKTKHIYSRLSSPNLNRFEVLLSSLLHGEAISYSSGLSALHAALV LLNPRRIAVGNGYHGCHGVIKLFGRLTGLQKLDLDCPAEQLESGDAILLETPVNPEGT AFNIEEYAKKAHSRGAYLIVDSTFAPPGLQDPFQWGADLVMHSGTKYFGGHSDLLCGV LATQRSDWARRLFEDRMFLGSVMGNMESWLGTRSLRTLEVRVQRQSQNATNLVTWLHN ALQAQNPAPDSDEAVTQAALQQVYHASLQKEDESWLLKQMPNGFGPVFSISMKNEDYA RKLPSKLAFFQHATSLGGVETLIEWRTMSDATVDRRLLRISVGLENWEDLRRDLVSAF RALVQ AOR_1_886074 MSTDDPFAFLGETKATPQRKPTTKPRWREKLFSKEKQSKGAVDG SGRGGGATDQQIESFLAPVRSNTVSYGSRGAHSTAGASRGLPTPRLDVSQRWQSSSSQ DVSEASPIAKPASATDSYPSMSFPRAPPKNPARKGLRVKFTERAPELIGEGGDESETP TVVISKNRKCQNSQGSGQPGDALDSRQPTLPQLHLDTSLGDGVASRHQRTNTQDNINP AVTKPLFLQSPQDSDFLMTLNMGQAGSRLSFRASPESSTFAQRVRDRMQAEEGRALQH RYQDPPSPINDNKEGQGPVRVAAAAAAVPDSPTSEYETPPISEDEAEPEANPFRNPAS PPSRGLVSPPVETTLPSGLTPASASISASPPKPLPPSRDPGLGSPGSPGRPSSRDNRD APRNPQVPKVSLRSIANQFGEAAFTDLKMFVAQHESLIRHGAERKKSLMEYSLTEWVR AAVWWFMRGKKTLEVYARSRPSSSGSSPQQSSSTETAKQAVVDLGKALWICENIVPKH NELSHYGAMSVDALLAVANTTGDKRLADLLSLHQTTLNHLRSLAMSIKRNNIITSIDA TGGAGIQADTSMWLKYPAFAPDVSAILSGTATRSMLVDQSGQGPNLAQIMPLGDTSRY FSYGSMFVKVMVSSSEDGTHHDEPLPCVLSIIRDRADWYVFASITSQNELVNVMIQSD KKKGPTWNDVHWRVRSNFMQVKLPRGFQLDVMFQEDDFKNLWNIVQYTQQTEASLQPK SDETTIYETTLKVFQYMDPSKSKAFPAEPVERCRVRLFERSMTVTEGTGSREVHQGYR IAVLTSPKVKTLSNVSHIIGHNAPIVFGLLRGEDGAPALMLKAKQDGRSRSMLMTFHD VEERSALHSLLLGMTTKEGEIKTPDIPIRAYSIEQPADRFNGQPETTHLQFPAGSVSV IDQEHAFVDHQYGPTILSEHLRAFVATEWGSVTDRINLGPGELKLGLDINNRTGLSLY RPGQQDLTVSIAENLTAPEMPDRLADFMQIAMVKPMVRRFDFATVKDLHKFEAAVTGF NVLYDGIATSFTISRRRMVVPITKKWESTRARIQVVQHDKVIQLIAFLNDFHHGKCMN FVLKGTDVYENFTRSGKFCIKLCDAKFALPKTGDDPTSSFICLDMPDFPSENDDISIG FDSEADRANLQAALPGSSREPSRMSSLRR AOR_1_890074 MCVDQLARWHDRRTPGVSIHERPSFQSQDEWDSLRSSRRHWSRS DGGGIAWILMVMLVMLVSPTYAALVNFDNCLPAPTIASNPQKLQFVPLDVSVKFDLTN SLHNLNITVYGNVSGTADQSSSYPSPDDPQWTNRNATVGKIVDLDTSNNKYSTLITTV DVVSFSPYSGPTRFCDSIIQGDCPLGPVFYANASDLGSLRSFSVQHDMLSSYRFATLS STLLIKSGDAAATDLGCISVDVTQDLGSALKSALAYVPLVILILVGVATVTAAIYSPW GTTDPFHWTSNYGRDEDVLRLVTPGFGDCLQYMQFAVLTGALSLNYPGYYQPVVSQVA WSTLMFNQSFLSPGNERNPVKDGVYTVNGTYGLDALQQYVGMESARDIWPGMIIWLLV VVVAITLIIQLAFALRWLHRELANIPEEDLRSKNMPFTVGNVIRIVCNYLFLPLISLS FFQLVIARQSPAYCVALAVVVILIMIAFAIWVIRLIASTRPKSYLFDDLPTVLLYGPL YNTFCDDAAAFTVVSLFLSFARGVAIGALQPSGIAQIVLLAICEVVAILTLVAFRPFP SPTSMNLYHACFSIVRFLTILLSVVFVPSLGVSQAARGWIGYVILFLHAIVLVFGFFL NALQTLVEVIARLAGAGGYEGGVTRGGLVKVFGMRQLSRRMPRRSVGTRQSMGSEAAM LAHTDERLSSQFDGSRPRSLSGSSAMLLNRAAASEGRTSAFYESGSAHGGTHSRANSS GLFTPTTPGSNPTFQGAGYQTTGSNSPKSGPLFAMQAHDPYYRPPRPRNKRVDMSGGE KGRTGARQRAGSDADDDIIEGPMSGRGTPVPAYIPAPKDDLDLDDPRQSRKDYAVREV DFYYRVRGPPLSQSGTRKLKTGPADPTGPVSSATGFFRNLFRGKTKESGKGFEVVRSA RAPPPGLLPEGDDVHEPYRDEPDDQATAGHSRRVSGSDRSYPDTDSDDNNRTREALIS LPQVESGAAIELPSRIGSQHSSPSGAPQASRPSRRDSRSLALEAVSEEAHYPAPQLHP SSSGTGRLPFSAASSPSRDRNFSIASTTASTSSSRQHGNGTERPSSMGYVAQHRTRDY IHEASPDEPSFTGSAAELVDEPHHPEGSH AOR_1_892074 MSSSSSSSVPASAPGGASTAYSALGRPAGFRPHATSDTAMTSEA GPAFDRRSGRRRSTVTGPDRKRRLVNAEGDVWSRQSVSGSATESGGRMTAQMDSRSIS SAASMRPESSAPGASYSTPIDLSSSPPDQRPQRSNDRRTSWSRAGNDYLEYIRPRWQP DSEVTGCPICRTPFSFWYRKHHCRKCGRVVCASCSPHRITIPRQFIVHPPDTNRSRAS TLIPPRVAPLIDLEGDDSAQSPTALNPALGGGEEVRLCNPCVPDPNPEPPRGYTTIRA PGEPQSGADYIRGGLSASTNHSRHRPYHSLSSPTRHPPYASIPDNFSTRSARRTVGSS DYHFYGGFGGSLGSRFQERPMEYGSLSAAGLAPSPIASGRPLHLASGSAMLSAGPSFA TSSNQEIRLPISDPRRRVDERDLCPICDHVLPPLDVNGNEDAREAHIRQCIESHGSRA RSSSHSSSPVAQSSTPVRMLAFTATEKDCLGHDGSAQECTICMEEYEVGQPLVRLECL CKFHKGCIVEWFERKKECPVHKVS AOR_1_894074 MEIDPRLRPGSDNSPPEGVGTNHAYLPSPATRQADPAPLSSHPT NDYPDPPSQISPNEQLHSATSTSSDPSYYGTSVTQPQSTHTLYQASPGSIIGSTHRFE SIDPNDPYSELKRPRACESCRQLKVRCEPDMSNPNASCKRCAKAGRSCVVTVPTRRRQ KKTDSRVAELERKIDALTASLQASQGSGPALHPAYPGPPREEHTGKRWLGPTHTASTG SIPVCSPTGLAGSKRRHSGEIKDPRDSGLVGPSFYRAPSPATEQILDNTSRQWHARPS SGSETTAPKPNAANEPVDVIDRGLVSAAVASEAFTRYVKHMAPHIPMVVFPPGTTMAD IRKTKPVLLHAIIATAVGPIQPGLQISLIEDFYRVIADRVVVKGEKSLDLIQGLLVTC NWYIPPDHFEELKFYQLTHMAVTLAMDIGMYRRPMPKSRPWTLVKDLILKKSPSQDPD SAEARRAWLGCYFLAVQVAASLRRTLLVRWTPYMDECIEILEKSSDALPSDKVMIHWA KLVHIIEDIHQQFCPDDTGSIVAFSEPKVQYTLKVFEKQLEQLRRERGPSDFPVFTQA EYIVNLYLHEGAMHVDYSEDQKSLGDDHSSPTSAAHMNALSTCLTSIHQAIDTICSVD IKDLISLPVFALARTSFTVVALIKLYSIVSSPETHIGQVIDVASLKTEYYLDRVIEHY TRAGEQAGGRTPAKFSVVLSMLRGWFLKRKDHGLALRDAFGGGLRPMNCGHDKAACQS AAEKYPRNTGTTPLHLLSEVAMGEPQNRPSSGQGPCPSSTADYTPSASGPVSQTPSSD LVSQAQPPLGPSPAPTAGGTTDSDPWAQYPAPTRQFYPPLTSTYQDIPASGYPDPSVN GNMAMPWPVQGFFVPELGMQVGFEPENLYALENMLGDGFFNLPLPTEGSGYY AOR_1_896074 MRGCLTCRQRHLKCDKTGAVCLRCQRSGRQCIPAPLKPEEVTFR HGQNPSLRPKGPPRYGESDLAFPDDQIWVNTPPDVAFEDETDRTAADYHVVPAGASSL YLRKASESRSSTSVSTLPPSTSSLLSPALSPGGPELSRSPLDLPHGTINNLLPADIMG DHQKLGNLNEAFLLRHFRKTIGAWMDVCDHERHFSVDAVERAPSSSLLLYACLATAAR HLSQTNNSVPPNAADQYHEQCIAILLPVVENTDFKINIEILLASTVILRCFEQLSSCA LSQDLQRHLLAGSVYISSHVDCVFSGGLAEASFWAFVMQDVQFALATRSPLRLTIGPF EERLRLAWEYRSAQTDRDWAHRALWLLAETINYCYEPSSPVHVSSVVWDVLKRKICDW EIQRPDSFRPLHFSPANTSLGRPFPVVWFTSASHATAAQQICMAKALIHEYELRIQHF ATSPNQDSKMIEDDIVRNLSIVLGIALSADDDPPVRIMACHALSACGSWIRDPLAQTC LLDLLRRTEAENGWPWTSLAQALSQTWRTTAE AOR_1_898074 MSLPRVSYLESWEHNPTSIRRQGQSSVPHSEDGAAYEELPEESL SSSFPSTFSFPVTRQRLNFNPYAGPGWNEASVEDAGDERTSLMRVPSRTPRPGVIEGE DSVTQNGLVKQQEVSLPETTGAFEVGRAKRILQVGIAVIYCFLAAGVVFGFAALKPVL IREGVYHSMCSQEELNERQDVCYAQELRLNLMFTIAAVATNVSALPIGTILDTYGPRV CGIIGSGFLSLGAILFGLSSSIGFDGYIPGYLFLSLGGPFIFISSFHLSNTFPTRSGS ILSMLTGAFDASSALFLIFRLVNENTNGRFTTGKFFLAYLIVPVFILVAQLTIMPATS YKTAGELVQQAEAEISAEANDRVDDDISDRNEGERQRNDRRVQRQDIVNKIQDLLTDT GPEHTSRTEEPVLRANGGEPDTSSATKPQNTTGGVWGAMHGCSALRQVRSPWFVLITL FTVLQMLRINYFVASMRQQYEYLFGSPEQARKINELFDFFLPLGGLVSVPFIGAILDN ASTPFVLLVLVSTATVIGVLGCIPLSIEAGYTNIAIFAIYRPFYYTAVSDYAAKVFGF QTFGKVYGLIICLSGLGNFAQAGLDALTFKVFHRNPIPVNAILTLVTCLVGIGLVGFV WWKAGAISTTKPELGTLENGDVQVPNDHDGIASRDWEREPLLYRPPSNVARHAESPSY GISGPS AOR_1_900074 MPPSQGTAGRKKDAIHFVNARPSSERERLKIQRLVRAHVGKWIS HQTKDRSIESEANSSRDAPRENASRTVDLDGDEPMLNIYPPSLSSRSSASSCSPESAS SHNSLTVIQTVPTSAWDAQPTMSIVPTSQLYLDSMVNQYDNEYDYGHDSQDSSPESTS PPGPIEHIETIGANFIDPFMTYPWRHSPEIANACQAYCTSVLWPTLTPGASRSDVSAL NWFPLMMSEPTLMTAITFGSLSHQRVQWLNRWIPDGAFREREQQLLKVCEMETIELIN QEMKKPGRAISNAVILSVMCMAHNATDISEERQFRHIPFTAPMRRLQWIDVYGSLRPN LVHVQGLISMINLRGGLEAIDLPGLAPVVSLSDIVTSSAYHTPPVFPFFPLRAERKKV PLRDMLGYTMADVDRHYGRLRQIGLTTEILEVFHAMDLYMSIVDAYLKGNQIRTDYSL LADQRNLVQYTLLSLPAASQLPGFSGYHQRHEIIYEACRLAGCIYGSGVVFPLPPQST PLAKLSGLLKGVLEVPDCLTVWDQPQARVTLLWVLALGGIAAEGTADRDWYVATLMQT ARDSHITCWADLRAIVVLLPWYDAACDDAGNNLWLEIERLSARPSNSS AOR_1_902074 MLPPFDYFTYRSVRDYKRRERAARFASLPAAYHAPFTTFDKAVI NKPIEELVQEVQSSSLSAADVLQTYGKVAVKAQEKTNCVTELLLPEAESWLQSEVNLK GPLAGVPVSLKDSVQVKGFDISLGYTRLAKKPYTEDGPMAKLLKDAGAVPYVKTALPV TLLSFESANALWGHCRNPHVPEYSPGGSTGGEGALLALGGRIGIGSDVAGSVRVPAAW SGIYSLRCSTGRWPKVGVNTSMAGQEGVPSVFSPMARTLNDLTYFTKAIVSMKPWKYD YTVHPISWRDDEESEAKSKSLRIGLMANDGVVPPTPAIERALSTTVAALTAAGHTVSE ITTPATADPFTGLHLASQLLNSDGCVTFNSHRYNFEPSDPGADQLTRICNLPRPLRYL YYLYVRYIRRDFKWASLIRTFSPKSSAETWKLVAKRESFRATWHAWWDAEPQQYDFIL CPVNATPALPHKAMRDAVSSCGYTFLWNLLDYTAGVLPVSHVDAKRDALTAPYKKILK GLGANNAIAQGAWKHYDAAKMAGLPTAVQVVGRRWQEEKVLGYMEAVEKALEQYRDPA TGESGKYTLIELD AOR_1_904074 MALVRDPAFWRRFSRAIHLDEEAKASKTENKSGVIYSDDWILKQ RKKRRRWICCGFLIFAAFAIVVAGVVVVFWWFHSHNWLRKS AOR_1_906074 MSSVHNEGADFWGVLINPDKSPTPLLEQLCLGIAQVMTSFDEFA TTDLTPDRLAAFYRKVGGNYDVLFLETRPSALSFIYQRLGCFHSIQPTNDPYKPPSIP ALQPNGFVRWQTIQLLLDPDEHSRWLQNAVDLWDIKTPNGRTFPKMIPRGAFPAEPDP EMVQWHEEVSRRFELDYWKKNILRSSPPNFAPYHSYFSQKDVPTHKEDEPPRSQRRTT PHRQEPTTASERRNPHKHRQRRNDDKPSSTTRRVQSTYFPRQSENFDTGYTSRPSSPP LRAKESTKSRTRERPQAYGRSTRDQQHHSLPGQIDTATIAIYHHRECPIRVVTRTKHT PADLERNYLPILTNTPHTPIHLTPIQEDYTTPMGREECATPKHITMNRSSTEHQALDS AIASLATRRPLFHLAAKCPYSPACILVTPALAIPTSSIPIQTSSQ AOR_1_908074 MQLQTLAGFLLLCSPSLAAPTATGPPSTSSLGPLRALNYNNLGP ENNGTAAVLVYDELSNTEAQARCAAIGESLYPLGSASQSERTEIDYQLAYLVFSGDIH SDSRFWVATGDSSKECQAYSQGQKRLVSASCSSKLPVICTSSVPPSTDKDRTAIDSSK IIVKAENYTLTGYRDARSFRFLGVPFADAPVKELRFAPPKPFSGPKKRDATKVGDSCV QAQSAFGTLGNGGISEDCLYLNVFTPILPAGSSDNSTRKPVAVYFYGGAFVSGSASMI DYDGGNFASRNDVVVVTVNYRVGALGWLTTGNLTTGNYGTRDQILALKWVNRHIAAFG GDPSQVTIFGQSAGGQSVVALLSSTAARGLFSGAIAQSAPVDLPWFTRDVYADAIVPE LSKAVGCNQTTSEKELLSCLRSVPATKFISNTTEFQQAQAAWVKTLASDYLHVSQLLA AIEPLMPIVDPRGGVIDDQFDKLLASERLPNRVPTMFTTVTDEASLYVAQSVPALGAS QTALNTLFTAAFPAKLAESLISSNAFPINMSDPDSTRNVAADALTHSEWSCAQSYLLR KGGDRVFPQLYEVELTRGHIQTNVSVPEVCSPNNNYNASCHASDVLPVWGTLNSKTRN VDPYYDQDDILHSQLLNDVFGSFFRTRNPNPDQAFLQVRGPAYASTYDIFVTNGYRVP EYRPEQRNVSLLGMPPSWIDNPGLSRKCAVFEDYGFTFQNANFTA AOR_1_910074 MKDPRGAVRIVEVGPRDGLQNIKDHVPTSVKIELIRRLRGTGLR TIELTSIVSPRAVPQLSDCRDVLRTEVIKSLREEPNIRLPVLVPNMKGLDIALEYDVK EVAVFISATEGFSKANINCTVQEGLERARNIAEKATSCGLTVRGYVSCIFSDPFDGPT APSAVLRCVRELLEMGCYEVSLGDTLGVGCPDKVRSLLTYLEEHDVSLELLAGHFHDT YGQAVANTWEAYNCGLRVFDSSISGLGGCPYAPGAKGNVATEDLVYMFHNAGIDTGLD MLKLVETGLWISTRLSRENASRAGIALANTHGLVCPPRHTEHAPTKAATWTPVNTKGR LLTYRSGGNFKIVLNRPKRGNTLTQKMVADLIAIFANCNKDPSLLNIIITATGGYFCM GVEIGKSMPFIAQGASRNPQAKHLAALLELMKRSPKTTVACPQGSDIDIHRSDI AOR_1_912074 MTIRQHPSPFTLEFDSLVQRQLEKWKVPGITISVVHGSSTFAKA YGIAEFPDKNMTVGSLFTTCSTTKAFTAAAVSMVIDDTKKTLSPLRWDTPIASLIRDD FVLADDHATMNTTLEDALSHRSGLPGHLFAMIGAYPNETLREAVRKLRHLPLAYPPRT TFDYCNHMFMVVSHVLEQITGESLGEFLRKRIWSPLNMKDTYFSVQDVNRCPVTSPKL VQGYTWVPEKGCYVAEPHMNYAPTTGTGAMVSNVLDYAKWLRAMIYKKAPISPEGHTS LIHPRTVVSKDDKDTAYPPAPYHLYALGWFVDTYRGQQLYWHSGSWAGFGIMVGFIAE KQFGFAIMGNTQRARNAQLELYLYLIDTLLGVSGSERVEFIERMTKRMADVAEKRSES INETKKRLFPSLLAKPLPHILPLHAYTGIYSHPGYGIITLRVKDGHLQADLSDRVEAM TISLEHTAGEFFVARMCTATMRESLRAEFYVDSAGTARKLGMELEPALGGQKIWFGRC G AOR_1_914074 MAAILSLPSLPAKHEDFISWINKNQATPIAQLIKPYNEHEAVVR KLFAQEPSHPALRDNHLNIVPLYDTSGKTIVPSRPRDPSSESPDLQEKYVMPLKAESR RAYGDPAVVSSLEDFRNNFNIFSEGSLSDMDWNNVVVAGSAVVTCLMPVPEQYRGSKR ALRQFYHDKYAPASDVDLFLYGLTEEQAIEKIKQIEDKIKNAILYETTTIRTKNTITI VSQYPTRHVQIVLRIYKSISEILTGFDVDCSCAAFDGKQVYASPRALAAYITQTNTID LTRRSPSYENRLSKYSHRGFEIFCPQLERCRVDPTIYERSFSRTVGLARLLVLERLPK SSDRDAYLEQRREERGRPARQQQMRSLKSLNGNIKSDWEDEIPEWMEGDEYSDYHTMT IPYGPKFHAKRIERLVYTKDLLLNAEWNKPKDRDVNLHRHPAFFGNVEDVIHDCCGYC PEPVTPEEKEVAEKESKNYIAGDISFIKDDPGRQEIGSFNPITETDWTEMAYVGNTEQ LCQAIVDHDLAAVKEWLSQDERDPNSRDYTGRTPLHLACMASTPEIVQCLVDHGARLI SRLADGRTALHIAAARGSVEMIRILLHKSEENEAEEDKRQELRKAKAAQDSQHGADEA GSEPEDIETVGMEADATSYTSGSFVKVQKEGDDATSAEGLSEDENVQEPDIYDINAVS WDSHTSPLHLAILNGHVDVVEELVASFGADVLLPIKLLNSQGNSSREKAKAMTKKLLQ LGASPAQADLKYNTPLHYIAASTYDDLIDVFLDQNKPVTMKAINHLAFVGYSWNPDAY SAFMTAINTKNPIGALKLLEAGAEPSIDFGKFVKSGQVLDSIRTNSSERNREIFRQNF TQPIVLAIQKELPEVALELVTRGVDPNTLSPDGYKVEDEDYVRDSLHGKSLLDCVRDK IKELQQYQGEVVDLNAPRPLEPDSFYLKDFEANTYQLWTAERELNKAKRSYEKSLKVY EQGISDSENRKGVESKLQTIRDLVEQFEKLETVLSEKGAKTFKELFPDLQQPDDEDES SSPNRDCDDPFELEFTFNISDLTDEKKDGYIKLFEAAWNGDLDTIRSLTLAMWGEGNE QPPLQIAITDSNRLSPFSIASLRGHLDVAKAILEIVQAQYKKEEHSGHERFEMCSDCS SEDSEDSGNPRIYSEVVDDKFTIDDIGEVATQVECHITPLEVLNWYCPKSEWFLSPDA MAKCRESPFGKPSNLLEYAIWMDDVKLLVFLLEMGEELTSKDTDSEFAAFGVPNKTVH LAIAEGRLRCLEELIRRTGADLPLDALVRESGVQVHEKPGYYQGLSIHGKKRADWAAA GRGQLQHHTNKSSPLLVSAVLGSLKSTEWYMSTAPSRHYVEFSKTHEQDHRLKLLAKS SSGIEKSLMSWLNAKSNLVLHCAILSTPTLESRRLVEYLVREVPECLETRSAGRYTPL ALAYSLNRADYADILIKAGASQTVRDSRGNNLIHLLLCGIDGDTSGKPGNIKRLLGLL DPRLAPSLLVERSSDEPGSVTPFARWMHHAYFNGSDADRLIDIARILLEFAESTGQKH LELLDGTGNTPVHYAVKHELVQILELMMDRRPDLLYRENATGNTPLELEEDAWTLKAT SEPPSFRTRDRSWNGRWITDANMVDVDPESFLPDLRPPEKSLRDVCHERASGRPEKRK LVTLNEANEVAKRLAAQKSSRWGQRQSQGFTETDQVARWYGRSDREDELTDVMEI AOR_1_916074 MSFAKPMEHSLDLQDDKIPDPKHQERVPAIVTIENFRVLGLDPE DEDFYLNYPPERRKMTRRKVDIRLVPMLAVLYLISHLDRANIGNAKIEGMMEDLNLDG IQWNIILSIFFVPYVLLEVPSNMLLKTFSRPSVYLGILIVSWGIIMTLTGVVKNFAGL MVVRVLLGVFEAGFFPGAVYLCTQWYMPKDLSTRLAYFYCASALSGAFSGLLAAAIAK MDGVGGYAGWRWIFIIEGLFTVMLGVACFWFLIDSPSLSGRWLEPDEIRFLELQKFIK EGGQFKDEEHEQQQRSRWKDLLSVMTNWRMYILAYILLCQSACSYGNKFTLPSITEAM GFSNTNAQLMTVPPYVAGAISAVCFSSLSDRFYWRMPFVVIPLALLTVGYGIIISFDG KLEENVGPSFFAVILAMIGLYPVHPATTSWTSNNLTPSNRRAIGVAFNICVGNIGGII GSYMYMDSEKPKYYTGFGLSIAFGGSALILALILEASFWWENKKRSKMSESEVREQYT EEQLMNMGDKSPLFKYTL AOR_1_918074 MAHKHGKHTPRLHRFGKWMPSTLDSHHEWLGGLIAHVDSKADQE LHPVMKEFQHLIETNTRIYLLIEAMFTEVPRNRVYAKDPTGCPQIRDYKHMIQVLNHL LTTAPSWSDFTHRVGLVGLPINAVLDWPMGTPSGYAAFLDPEINAMIKKVLNAWGEYL QSPESAHVLDDSQYGWFGETGKNNLVTVANVGKTNHSFEDMFVCDPSQKYHGYKSWDD FFTRVFRPGIRPVASPDDDNVIANACESQPYKVARDVKGRDIFWIKSQPYSVFDMLAH DSLAKQFIGGTVYQAFLSALSYHRWHAPVSGKIVKAYVVDGTYYSEPLFEGLGDPHPH DIDSVGEVTAQEYITAVATRALIFIESDNPAIGLMAFLGVGMCEVSTCQITVSEGQHV KKGDEIGMFHFGGSTHCLLFRKGVNVNEFPSTETDQNVPVKAQVAIVTP AOR_1_920074 MTQLQPLSVADSGSISLSRATDSRANGGASVDNDMVNLNNGDIN PHNGNGMGSSIRIHFPGGIEGWKDSVNQIIERCDVSGLATDPTRYQLASTGFGDASTV YRQRFMAVPSEVYSALQELCVERRVSVRSVILFAMHQMLKGFGNGSKTITASLHVEEN PQDSRPLWAVSPIFVSHESRDEYSVAQAIESIESMCSSKKLSTHTIDKASSLVKLGLF DLLVVFADADDAHLPSFDFPLAVMVEEGEAGLQLTLRFSDCLFDEETICNFTDALNIL LAKAATGGATLISDIELLSFEQQQQLDEWNNTDGEYPASKRLHHLIEEEAERHEDKVA VVCEERKITYGELNTQANRLARYLHSTGIQAEQIVALFLDKSEMLIVTILGVWKSGAA YVPIDPTYPDERVRFVLDDTEAQVIIASSRHAERLERQIIGDRKLCIIHLEPLLTFLA QDTSKFPAHNLDDLPLTSRQLAYVTYTSGTTGFPKGIFKQHTNVVNSITDLSARYGVT GQHHEAILLFSAYVFEPFVRQMLMALVNGHLLAIINDADKYDADKILPFIRAHNITYL NGTASVLQEYDFSYCPSLKRMILVGENLTEARYLALRKRFKNRILNEYGFTESAFVTA LKIFDSDSTRKDTSLGRPVRNVKCYILNPALKRVPIGATGELHIGGLGISKGYLNRPD LTPTRFIPNPFQTKREKKLGVNGLMYKTGDLARWLPTGEVEYLGRADFQIKLRGIRIE PGEIEATLAQYPGVRTSLVVSKKLLKNTVETTNEHLVGYYVCDNASVSETHLLSFLEK KLPRYMIPTRLVQLSQIPVNVNGKADLRALPAVDISKSSEVRSDLRGDMEFALGEIWV DVLEARHGSISRNDNFFRLGGHSITCIQLIARIRERLSVSISVEDVFATRTLERMAYL LQSKQQEASDGRYESESAAQSGPLERDAATEEVYMANSLQQGFVYHYLKNMAQSDAYI MQSALRYNTTLSPDLFQRAWKHTQQVYPALRLRFKWGKEVLQVIDQDQVLDWRFLCFA NVPTGAVEDQKLVELQRQDREEPYKLDTGRLFRIYLIQHSENRFTCLFSCHHAILDGW SLPLLFEMVHDTYLQLLHGNNIAPPADDPYARTQRYLQTHREDHLDYWAGVVEQIAER CDMNALLNERSRYKVQLADYDHIQDQRQLTVTLPGDAWLGELRQTCSAQGVTLHSILQ FVWHAVLHAYGGGTHTITGTTISGRNLPISGIERSVGLYINTLPLVIDHSAYKDKTIM EAIEDVQAKVNAMNSRGNAELGHLRKTDLKHGLFDSLFVLENYPNLDKSRVLQHQSQL QYSIQGGTEKLDYPLAVIAREVEATGGVTLSICYASELFEEVAISELLHMIHDTLVRV AQGLNDPVRSLEYLSSAQLEHLAAWNATEAKFPDTTLHEMFEVEANRKPEKTAVIYEE RALTYRELNERANRMAHQLRSDLSPKPNDVIALIMDKSEHMFVSILAVWKSGGAYVPI DPGYPDDRIQYILEDTQAIAVIADSGYVTRIKEMAAPGTLLYPSDLTSTPDPKYSASN PSPLSQSTDLAYIIYTSGTTGRPKGVALEHHGVVNLQVSLSKIFGLRSTDDEVILSFS NYVFDHFVEQMTDAILNGQTLLVLNDEMRGDKERLYQYIEKNRVTYLSGTPSVVSMYE FSRFKDHLRRVDCVGEAFSEPVFDKIRETFHGLVINGYGPTEVSITTHKRLYPFPERR TDKSIGQQVHNSTSYVLNEDMKRVPIGAVGELYLGGQGVARGYHNRPDVTAERFIPNP FQTEEEMKTGRNSRLYKTGDLVRWIPGSNGEIEYLGRNDFQVKIRGLRIELGEIEAIL SSYPDIKQSVVIAKDRKEGGQKFLVGYYVADATLSSAAIRRFMQSRLPGYMVPSRLIP ISKLPVSPSGKLDTKALPFVEEESEIDVVAPRNEVERTLCHIWAELLEIHPEEIGIYN DFFSLGGDSLKSTKLSFMVHETFSRAVSVSTLFRHRTIEALAQLIINNSVDVNEITPL EYNEGQMIPVSGAQERLLFIHEFENGSNAYNIDISYKLPGSVDALNLEQALRGILSRH EALRTLLVKDDTTGSYIQKILSPDGAQRMFSVKADVIKAMEQLDQERASLSQHIFRLG DELPWQARILRHESGSLYLIVTFHHTSFDAWSLSVFERELQALYVALHKTKSAASLPT LRVQYKDYAQYHRRQLSRSHRSLSDFWLQKLSGLESLQLITDRPRPGHFNYDGDDLSI ELGQKETQNLREVAKECKSSLYVVLLSAYYVMLASYANQTDIAVGIPISHRTHPQFQS IIGFFVNLVVLRVDVSHSAIGDLIRRVMKELVQAQLHQDMPFQEVTKLLQVVNDASRH PLVQTVFNFEARPDEEHDSGSQDEGAIQFTQYRPVQPLDSVAKFDLNATVTELESGMR VNFNYATSLFNRSTIEGFLRTYEYLLHQLSELSAEGIKEDTQLLLVPPREIGDAHLPL AQSPLATLAEERNAASLSQAFEYEASLAPGKIAIAQGDRVLSFADLNKRANQLSRYML SISSLGAEGRVALMLDKSIETIVCILAIWKTGAAYVPLDPTYPTQRVRLILEETQAKT VLVNSHHVSKCEYMGAKVVSIDSPAVKTEVSQQLSSDLPAVAGLDNLAYIIFTSGTSG KPKGVLVEQKGVLLLRDALRERYFGNDCTKQHSVLFLSNYVFDFSVEQLVLSVLSGHK LIVPPADFVVDEEFYRMANTHGLSYLSGTPSLLQQIDLAQLHHLQSVTAAGELLHATQ YEKMRSSFGGTIYNAYGITETTVYNIITEFTVNSNFENAIRDVLPGTRAYLLNKALQP VPFDAVGELYLAGDCVTRGYLNEPLLTDQRFLPNPFRNQEDFAAGRFSLLYKTGDLFR CRFSHQQQPQLEYLGRDDLQVKMRGYRIELSEVQNILASSPGVQECAVVAKYDKDDSY SRIAQSIVGYYTTDNEAVSEANILTSMKARLPTYMVPSHLCRLEGALPVTINGKLDIK RLPEIGNASVVLSYSPPRNILEARVCKLWASVLGIERCGIDDDLFKLGGDSIMSLHLV AQIHNQVGRKVTVRDIFEYRTIRALHDHVFMKDIDTSVVDQFRSEQGLVVGEAPLLPI QNWFLSKPLQHPSYWNHTFYIRTPELDVTLLRAAIIELQQYHDAFRMRLRRKDNKIVQ FFVKDASPVQLRVLNMKDVDGPTAINETLSEWQSGFDLEKGPICAIGYLHGYEDRSAR IWFSIHHIAVDTVSWQILVRDLQMLYQNGSLGCKGSSIRQWSEAVQNYQPSKSEFGHW NDLIARTTSSISALPASTGCRVRLTRNLSTEKTALLLQGSKGGQEVSVYDSLLAAVGL ALQAICSRGPSMVTIEGHGREEDVDHTLDVSRTMGWFTSMYPFEIPHLRTENLVEGVA AINERLRQVPARGIGYGALYGYTRHPLPQITVNYLGHLAPKKSKLDEWALAVGDNGLE YGLMTSPEDKDKSSSAVDVTAVHIDATITIDMDSAWSLEDSEKFISNIEEGLNKIIDS VTSQETSSPADPQLTEETYTPYFEYLEPSRQGPTLFLLPPGEGGAESYFNNIVKHLPG TNMVAFNNYYLHSKRLRTFEELAEMYLDHVRNIQPHGPYHFIGWSFGGILAMEMSRRL ATSGEKIGLLGIIDTYFNVHGATRSIGLGDAKILDPIHHIYHPDPTNFKGLPSATDHI VLFKAMKTNDQYESENQRHLYEYYDGTPLNDMDKLLPSDTAIQLVPLTDDTHFSWARN PQQVHRMCAAIKDYLSGY AOR_1_922074 MASTLKANVPKIDVSPLFGDNMEEKMKAARAIDAASRDTGFFYA INHGIDVNRLSQKTKEFHMSITDEEKWDLAIRAYNKEHQDQIRAGYYLSIPGKKAVES FCYLNPNFKSDHPRIQSKTPTHEVNVWPDETKHPGFREFAEQYYWDVFGLSTALLRGY ALALGKEEDFFSRHFKKDDALSSVVLIRYPFLDPYPPAAIKTAADGTKLSFEWHEDVS LITVLYQSNVQNLQVETPQGYLDIEANDTGYLINCGSYMAHITNNYYPAPIHRVKWVN EERQSLPFFVNLGFDETIQPWDPRSPDGKTDKEPVSYGQYLQNGLVSLINKNGQT AOR_1_924074 MLQVTCQGNPFEIGYQHGSTAKAVIAKSINFAVGLIRGKTKKTE DELKQILMELGSVIEKRWPRYHEEIRGIAKGAERDVSEIIMLNTRTEFAYGLVEARDG CTTVYCKLSNGALQGQNWDFFSATKENLIQLTIRQPGLPTIKMITEAGIIGKVGFNSA GVAVNYNALHLQGLRPTGLPSHLALRMALESTSPSQAYDRIVEQGGMAASAFIMVGNG QEAYGLEFSPISLRKQVLDAKGRLVHTNHCLLNHGENAKELDPLPDSWSRHQRMENLL DRFDGTKEAFSRLWEDEDNYPFSICRAYEEGKSRGATLFNIMYDHARREATVRFGRPK NPDETFVLQFDDEDKRSALNAKL AOR_1_926074 MSSLGSTDLAGLTTDDKNLYLFYQRSGYIVEAFSEEGGPPTQTS VQVAADAQSGGSPLTAYYVKEDMNYDKHSTIHMIYLNKEGHLIEKVRRVSSDKWEDAP KPPGHAAENSRITSGVSQKGFERESSHGTQVVFFVSREEHGKSPITELRRDNKGNFHL EHVLSDEPLSLPGTHLACIVTRENVDLYHQDHDKNIKWWRYEAERKRWENKGKVLEGS RVMVRTPLASVQHEGKNHIIYADDQMRLRDCVDGKTIDVVRRVYGDAGVNAMVYRNKI ILFYKMVEPEGAIGTASFMEGKWKEEGVFIKP AOR_1_928074 MKPENNVTHVIDPDGNVTIILQNANKRFVRFIEGSSLKRKRKTP LVTQEFRILVSASHLTSVSPVFKSALEGTWKEGLTLRSAGSVNITVDGWDLEALLILL RICHCKHHQVLRTLGLELLAKITLLVDYYQCFDVLRFFADTWISCLAQSFPTQYSRDV LLWVWISWAWKMPALFKKATGLIISQATGSITSLGLPIPQRIIDDINVKRLEAIRHTF SSLDSLQTSLIEGKRGCSLGCRSIMLGTLILQRHSKGLLTPIGTAPRLVGLKAGDLVT KVCNFSLPEWHSGGDRNGRAFNCHRGIMTCLNSELELSLRGLDLQRQTA AOR_1_930074 MSVGLNILCHKLQAALDNRREEGRLIDPPSAATLAKMKDFGSND SLSLSSSGVLSKAFLRELRKHPNFTVGSTSSRILDGTKQYLENIERDLAQFHGAESAM FFSSGYDANVAVWSVIPQPGDFVVYDELVHASIHDGMRRGRATTVPFKHSDCESLRDC LEGIKAGHPAVSEGKQVVFISLESFYSLDGDMAPVLEIVDVVRETLPRGNYVLAIDEA HSNGIVGPNGSGFICHYKLEDVFGIRLQTCGKGLGSAGAVVLANETIKFALLNYGRSV IFSTAPSFLTVSAVKAGYDLLASEEGEKRRFRLQKNLQFFYQTLTTSVEWQHAKEKGI FHLPTEKTWRSEPFLAPIAAIVVQPGKAKELAHHIHQAKYWVNTANFPLVPKGKDRIR IVIHADNTESQIEGIVRLLREWAQGQIRLDERKRGPARM AOR_1_932074 MEQIVYAHAQQTPGATAVIDGASTLTYGELVAESKALAQTLREK IKISMEEPVGILLDPGTLQVVAQLAVLLVGGTCVPIEPSFPEHRITSMLRDVHAKHLI MKMPGTRTLHEFNHIYFSDIEKCSISVVPDLEFGPQVNRSHILFTSGSTGKPKPVQVQ ASSILHLATKTPVTPLSPEDRVAEFNSPGFDLSLFEIWVTLIAGATIVVTPRHVVTDP NALPAFLKEQNVTSIIITAALFETIVFTSPGAFESLHHVLTAGDVANPRAMRSVLETG PPQHLWNTYGPTECTTLATMFDVTLQETHRERISIGQPVGDMEVILLDEDQEPILDCG KPGEICIGGPQQTPGYLNRPSETEKSFIHLRKQDLRIPGDDDLIRLYRTGDIGAWQSE SRCLDFLGRSDTQIKFRGFRVELGEIECTLQSHEEVQAAVVARQPPLNADGTEALVAF IIPKATDSVHSEGLRDVARERLPQYMIPSAMIFMEKFPLTANGKVDRKALIDDRLKML EEQKPLQNGTEEKQGKMTVLSDLCKNILNMPQVHEDDDLFDLGATSLQAATLLALIQD RLGCMVTMEDLYSHSTLSSLSRLIELRESGTSCNAPDNTRLWLEDISRVDDIELIPHW ESEDEGKVFITGVTGFVGAHFLHRLLCKPSVKQVACLARSKQDVSAATRIRQALERYD LWADCAEHEQKLIVLDGDLFDSTLALGKERFNWLANWASVIFHLGAKVNFCESYREHH RSNVIGTCNALRLAAAGRRKAFHYFSTIDVWGPTGLILGSKELYEDETLMPHSQAVRY DLGYSGSQWTAESMVRRMRDRGLPTVIYRPGFIIGDSVTGHSNPDDFMSRLIVGCIQL GTFPRLDQRLEYVTLDYVISAAMHIASSNENLGRSYSLLSPDQSKSITVIDTCRVIND AGYPLKIIDYNDWVEQVFAEQQPDGPLAPLLPMFRERVLGRLTRWEVSQYTPYYRSDN TVQVLKDRPDIQYQPLDAPLLKKYISFWNRKGFYKV AOR_1_934074 MIPSKRLIPSFNTTPTKMRLQSNRSMIDYTPLPTMDDSTPKLDE PDPPPSPRPPSYDGAATSIYRANADVLNQALQDIGMGRYQWQLFAVIGFGWASDNLWP IVTSLILVPISYEFNVAQPPLLTLAQNIGLLIGALFWGFSCDFFGRRWAFNLTIGITA VFGLAAAGSPTFAAVGVFAALWSVGVGGNLPVDSAIFLEFLPGSHQYLLTVLSVNWAL AQLLANLVAWPLLGNLTCASAETCTRDNNMGWRYFLITMGGLALLMCIVRCLFFTLYE SPKYLMGKGRNREAVTVVHEVARRNGKTSDLSLESLDELITLEDEQHAPRHGLSTSDQ MRMLMEPLSLSHVRALFNTPLRAWSTGLMILIWTLIGLGFPLYNAFLPYLQQTRGVQF GDGSTYTTYRNSLIIAAVGVPGSLVGGAMVELPRLGRKGTLTFAAIATGTFLLASTTA STSEALLGWNCAYSFTSSLLYAVLYAYTPEMFETKDRGTGNALVSAANRVGGILAPII AIFATVQTTSPVYVSGVLFLVAGFLVLLIPYETRGKSSL AOR_1_936074 MHSLSLISLALLSPLLVNAQLSGHVGPLTSSSSKASTKTCNVQD YGAKADKETDIGSAIEKAWDDCAEGGVVYIPSGDYAMFSRLKLSGGKASAIQLDGIIY RTGSDGGNLFMIEHSSDFEFFSSTSQGAIQGLGYEFHKDGSLNGPRLLRFYDVTDFSV HDVALVDSPAFHLSLDTCKNAEIYNMAIRGGDSGGLDGVDIWSENVWVHDVEVTNKDE CVTVKSPAKNILVENIYCNWSGGCAMGSLGADTNISDVVYRNVYTWKSNQMYMIKSNG GSGSVSNLVLENFIGHGNAYSLDIDGEWSSMSTVSGDGVQLNNITVRNWKGTEEDGAA RGPIKVVCAEKAPCTDITIDDFALWTESGDEQTYSCENGFGSGFCLQDGDGTSSYSTV ITETAAPTGYEASSMSNDLSTAFGTDASIPIPTIPTSFFPGATPYSALAGAASGNAAK ATSSATASRFRHRRGSH AOR_1_938074 MECPNGSSRQPQLTKHDVGWRRVVRNFTPSWFSVTMGTGIVSIL LNTLPYNGEWLYWISVVIFAFNVLLFAIGCVITALRYSLYPEIFTVMITHPVQSMFLG AFPMGFATIVNMFCFVCVPAWGEWAKNFAWGMWIFDAVLSVITALSLPFLLMAHGGET QLSSMTAVWLLPIVSCVVAGSSGGIVAGVLSNPQHALWTVIVSYVLWGIGLPLAMMVM VIYLQRLTLHKIPPKAVIVSVFLPLGPLSQGGFGILKLGKAARTIFPQTHTLQASSGD VFYTVGFLVALILWAFALVWLFFALASIVRCKSFPFNIGWWGFTFPLGVFATCTCEMG TELPSEFFRILGTIVSLCVVVLWVVVSVGTLKGVVSGQLFVAPCLANLKIKEEDKDAT RAA AOR_1_940074 MMGYGGHSFHQQRQAQQNAHPQQQQHLQSPSMGAAAAAAAATHQ HHNSGIAGNNSLLRGQQQADLTAHSPDLRKMTPSSSAPLVGIPTAGNFASFPGQFPPQ GSSDLLSRGAESVGQLKDPYLSLQNLQRNMNPMATFRGHTAAAMNAQAAMSPHAHAMG LSSPQQSIDRMQHAQYQHRQTTHAHPAQTPTTASPMLAAAQPQQQHQYQQQQPQYQSA QQQAQYQATQQAQYQPQQQAQYQQAQQSPYQQAQAQPQYQAQAQQSPYQQQVQQTFQQ QQAQQAQVQQQAQQSYQQHARYQSQQAQRSPYQSQAQQHPFQQAYQQRQYQPQQQAQF AQQAQQHQQRPPQSTTPSTMGGSAELSVQEPEAEETKTKRRPKQAKATVSPAISAKQV NGQPPAVYATDAQTQAHAQAQAQAQAQAQAQAQAQAQAKAQAQAQAQAQAQAQAQAQA QAQAQAQAQAQAQAQQQHQLQHQLQQPQAQQTPGQPQAPSQVKPASAENTGTPKKRGR PRKSLVPGEEGKTPKPRKTPSKAAAAAAAAQGNSATPTSAAKAPAPAPAMAMAPGVHG QVQAPPPAAPGTVLSADGTIVPQKRRRGRPRKSEIDPNAPPKPKPPRKPASSKPSGTG RPRGRPRKADVAARQAAAEAEAQAKAQGQQPPTPSQGQAQPQAQPQQAHVQHQHQHQH QHQHQHQHQPQHQPQPQAQSKAQPKAQPHLQAQPQMQVQPQIPAQNHMPTTTSSRWPI STTHSTIRIHSSIHTIPFHMRISTSTLKFSKFSTHITCNMRTSIRMYKRIQWP AOR_1_942074 MSTAYITLYIYVKRVRDQKLLGVLAKKVQRYEKLLEGIEPEVEG ALARRIRRCLQGSEASSADDDSDSGDETSSIGSLDEIDLIDEDLNRDEKSIAMGFFGK NSEISWMQRLEDEVEGRSQGLDDSGMALDQATSTQEQRHDVPTTTVSYHLDDLQLPLM DCVDAYALPPKELADQFFTAFMESVHPAFMVIRKSIFSAQYRQFFSQPSNPPRRWLAI LNMVFALGCRYQHLLDHAGGGDLDDVLYLNRARKLALSGNMLFEHADLQQIQVEFLVA LYLLSMGQVNRSFKFSSMALRSALSLGINLRLVDGRTHYTSKEARSRLWWSIYLLEHQ LTSVTGRVSCVSENLSSTPLPVPFEEDDFCKPEVLCLFQDASMRESHLKLTLLQTEEE ARSSAEWLLTCEPTPSVFFHCLVDLTSITQAVMNKVYSIQALREPSGRIHPRIRKYGK TLETWLSKLPQAFRFTESHSERFHAPAADDPFQRERICLALNFYSAKITLCRPCVTHA NLKGSTSSQPAKTTHSDLRNEMAVSCLRSACSLTSILPEEPDIHWLVRVSPWWTILHY LMQANTALLLGLSCWSIPELSKDTKPISPATPPINTQTMLKACKKVIRWLHTMSYTNT AARRAFLFCDSFIRRIAPRLGLDLTGLPDGASLPSHTDSIWMMADGPEEIC AOR_1_944074 MSEQSAHRQIAPGPSLKSELPPGDSPPGENYRTTPMDPSKKRVS MACLACKKSKRKCSGVPPCDNCRAFNRQCIFDETLDQRRRVAAKRTADELSYHRDMLN DLLRVMRADDQSFGLRLLDIIRRDATAEEMRGFIDEALAQMEGTDSADEVTVRKLEDV RRVINAEGAGPSFRPKVMDIHYLCDEVPFRVPAKPWTTVTEDADLVSHLISLYFTWDF PFHAFLDRDVFLRDMARGDLNSECCSPFLVNALLANACHFSDYSEAYVDPGDIVTKGA DFLAEAERLRDEEPAKLSLAFLQGTLLLYERYSISGNNDLGYKMLHQAIWTGESLGLI GPKVFKFSSGQLTDDMDVSIKRTAWGLFHIDTVVHTDFLRPSLIDKVNLDRPDRARMD EMALWVPYPSHRAPRPSLLSQYFDESCNLCEIARDISQQLMRYHTLTINLFSWRPEDD VSSVDSEAPKTPESPPGLSPPRSKFDALENILSSARAISALTRLYRREYGMSRAHHFA LYAINLALFTMLDHANFDILDQDFLSLTSAFISLASRSQLGRNLFHLFRQSVLTKGQG KRASSSSTVNDELKALFTEDISSPSRWDGYAKGLEKLNEDERYHGIPGEGDHTLFDML DCYESLSLGKDEVAPERYRPEAR AOR_1_946074 MAAKALPTHLRAPATETSNAGSFGKHHGKSQSHMAFENASTSVA ASQMRNALNALSETVPDPQERKRFEAEMDNFFALFRRFLNDKAKGNEVNWDRIAPPQP SQVVNYESLGSEASVEFLNKLAVVKLNGGLGTSMGCVGPKSVIEVREGMSFLDLSVRQ IEHLNRTFNVNVPFVLMNSFNTDQDTQSIIKKYQGHNVDILTFNQSRYPRIIKDSLLP APKSFDAPLQDWYPPGHGDVFESLYNSGTLDKLLERGVEYIFLSNADNLGAVVDLRIL QHMVDTESEYIMELTDKTKADVKGGTIIDYEGKARLLEIAQVPKEHVNEFKSIKKFKY FNTNNIWMSLRAIKRVVEENELEMEIIANEKSIPADKKGDADQAIYQLETAVGAAIRH FKNGHGVNVPRRRFLPVKTCSDLLLVKSDLYRLEHGQLVMDPNRFGGVPVIKLGSDFK KVSDFQKHIPSIPRIVELDHLTITGAVNLGRNVTLKGTVIIVATEGSTIDVPPGSVLE NCVVQGSLRILEH AOR_1_948074 MASQELEKEKIELKEEGAIEAAELAPQDPQAAAAAEKVLVDETR KAGLPAYQFDPNATPEEKAAAAAARLPPGLKHDTKPKGMAIITDKDDGTPDQYDLPPP KSATALLNEEKTETAQGENGMTEDLRWARDRTGWAPRFHEEPTEEEKAEGTLLDHQDF LEGRLDDKFFGDWYHNAAIIVFACLSSWIIAILGGGLGWIFILMAACSTYYRTSIRRV RRNFRDDINREMAKQRLETDTESLEWINSFLVKFWPIYAPVLCDTIINSVDQVLSTST PAMLDSLRLKTFILGSKPPRLEHVKTYPKTEVDTVIMDWKFSFTPNDTMDLTARQLKN KINPKVVLEVRLGKGVVSKGLDVIVEDMACSGLMRVKVKLQVPFPHIERVDVCFLERP EIDYVCKPLGGDTLGFDINFIPGLETFIKEQIHNNLGPMMYSPNVFPVEIAKMLAGNP VDQAIGVVAVTLHGAHQLKNPDAFAGTPDPYASVSLNGRTELGRTKTVHDTDSPRWNE TIYVIITSFSDTLTIQPYDWNEFRKDKELGTATFPLDRLEEQPEHESVYLEVMASGRS RGSIHADIRFFPVLEGRTLENGEVEPAPELNTGIARFTVEQAKDLDGTKSLVGQLNPY GVLILNGKEIHITKKLKRTNNPIFQNASKEFLVTDRKNARLGLVIKDDRDLTRDPILG TYQIKFNDMLKMMEKGQDWFHLHGAKTGRAKLTLQWKPVALGGISGSAGYIDPIGVMR FHFKSATDLRNLETMGKSDPYARVLLSGYTKARTVTFRNNLNPEWDEVVYVPIHSTRE KLTLEVMDEESVGSDRSLGSLEINAADYVRENENGEYLTDDEKQHISSDLRIGHGRVK GKLNYTVAFYPSMPVVNPDDEVEEDDLTEGANGDEEGGDQPRKSVDSRRKISHTKSPS TDSKAATTNGTNGTAESKTSLDSSRPATIKESETMSVKSMKEVPKTFISLEDIAQYES GFLVFKFHEVHLSRSNVQVEVLMDDYMFPAYTSPKIRSKSAKVEDIGDAFVRELEFSK ITLRIVDKEDPKASSDEHTVAKLTGDTLSTLQRILYNPTELTLRSDSGEVSKVTVSAR YIPVHMKLDPSESINNMGTLRVDVLDAAELPSADRNGFSDPYCKFRLNDEMIHKTKVQ KKTLHPAWNEYFETPIKSRIAADFRVDVYDWDFGDKADYLGGTHIDLRTLDPFQSQEV SLPLDGKSGAIRLKMLFKPTYVMRSRQGSSTFSGTFATPGKIVGAPVKGVGFVGGNVI KGATLGASFLKRGITSRFHKDDSSSINEVAEDQEDASQLEAPSVLVEGNTPPSSAQSN SLQHSRTRSVASHYSDRLGLAGKGDTGTATITVVSATDYPPSANVRVIVKALGPKPKE VLKSKAHKASGGTVHFDSSHETCRVHNTTADAQYQIRVVDHATFGSDDVLGEALFFVD DQGSVAGQEKVVKVGSGAVAIRSSFSGSESTLRPGTAHSNGGDAASDVMDSPDSKKPG RRSFLSKRSVSGA AOR_1_950074 MADALKAEGNKAFSAKDYPTAIDKFTQAIAIEPENHILYSNRSA VYSAQSEYEKALEDANKATEIKPDWSKGWQRKGAAYRGLGDLLAAHDAYEEALKIEPG NEQAKSGMNAVKRAIDAEAQADGVTGDPLGGLGGIFNDPQLFQKLASNPKTSGLLADS EFMAKLQRIKQNPNSVGEEIKDPRFLQVMSVLLGIDMSFGAPPEGAASSSAAEPQEDV PMPDVRPTASAAKKEPEPQPEPEPEDEEAIAKKKAQEAGDAEKKIGNEFYKKKQFDEA VQHYEKAWELNKDITYLNNIGAAKFEKGDLQGAIEICQKAIEEGREVRADFKTMAKSY TRIGTAYEKLGDLTQAIEYYNKSLTEHRTPDALTKLRNAEKTKAKTEKEAYIDPAEAE KARELGQKKFQEADWPGAVDAFTEMTKRAPQDPRGFSNRAAALIKLMAFPQAVQDCDE AISRDPKFIRAYMRKAQALMAMKEYNKALDACTEAQENDDGTHAREIDQQQQKCLEAQ FSSRAGETEQQTMERIQNDPDIMAILQDPVMQSILQQAKSDPAALQEHMKNAQVRLKI QKLMAAGVIRLGR AOR_1_952074 MASAGASGASVTELASKLYEHCLNNYPPDQLFYQQDLLGLGIVP KSDLALLLRCTQSLVDQKLFRLLQGKNDRLAWKIISREDAEKLQNLSPDESLVYNVIH STGRNGIWVRAIGTRTGLHKSILDRCLKSLEGKNYIKSVHNVKFPSRKMYMLAGLAPS EDVTGGAWFTDGVLDENFINTVAGYIEYTVSRKSWVEVPSADAHRTKRIKTSDGSVSV KQEAGQKTFIPFPAGYRGYPTVSMLTDAVNESGITPVRLGEESITQLLDMLCYDGKLV ALNSGEMYKSVKNPEAVKQSQARKPAGEDKGIDDRLVTNGMTEAPCGTCPVFKLCAPG GAVSPESCEYFDPWLEKALGF AOR_1_954074 MAHGAEDCASVLEQFVHDVANLPAEINHLMEEIQAKDKIIQECR TTINSRDSSLQKFIKMNGSLTPNPKEEQYGKVILQNLDKSQQLQDEKIQLSEKACVLL DRQIKKLDIKIRDLQNDGVLSNDPPLPSLFNNSDQYRDPPKIFFPDSASDSNSYNSPL HPTSGNANVIIGATQRLNQSLARSAGVAGLGSPTAARSSAPATPAGGTAHFHQRQRES SAGAVENKRRRLNPSLGTLPAASSNLRQSSLGPGTPKGGTPASSRAGSAGPRSSSTTK KALTKKVAPHQQLKKIKASSGLNGKSTKRSSSASGRIKLTTKKSPSAPGGDEDEDDSM LSSADVSDSENNSDARRGDDDMEEEEEDEGNEDTKVYCTCRSAHGFVLSVQPS AOR_1_956074 MDQEGSPPIPEGICSLLDTDLYKLTMQCAVLKYFPDTHVTYGFT NRTPHMKLTRGAHKWLLKQMDRLANIRITEEEIKFLKTRCPYFNDAYLDFLTTFKLKP SEQIEIKFTPVNDTGSDSDTGDVEYLVKGLWVDTILYEIPLLALTSEAYFMFSDKDWD YSCQEEKAYRKGCTLLENGCIFSEFGSRRRRDYHTHDLVMVGLMKAAEEGKRQGWKGR FTGSSNVHFAMKYGVDPVGTVAHEWYMTIAAITDDYENANELALRYWLGCFGKGVLGI ALTDTFGTPAFLDAFRKPIPAFTSAGAGAVSTSASGPATTNESTVQSEAETKAPITAP LRDGGARTSHETYAQAYTGVRQDSGDPVYFVKMVRDFYDREGITDKKTMVFSDSLNIE HCLEYKVIAEEAGFQPVFGVGTFFTNDFTNKSNDEKSKPLNIVIKISTANGHPAVKLS DNMGKNTGDKQKVQEVKKKLGYVEHEWEEGDESNRWSKR AOR_1_962074 MAPRQRVVSAHQEKSFLGAAYDEVTHPENATIVRSVLVFGAGVA FLYSSLSELLLPPSDNGQTDNIPVAKRNSLGYDARTPWLQDSKPPPTWRTLGNRAVRP SMPLENMVAAVQDLIDPDFDPLIAILDEEPRFLKPLPSHISPEDLEFLRFRGALSIPE SGLRNELLRCYIKWVHSFMPVLNLQDFLRCVAENDPNGNISLLLFQAVMFVATAFVDL KHLQDAGYATRKIARSAFYTRLRLLYSLDCEEDRIAILQTLLLMTYWTDHVNHPQRDI WDWIGVCNTQAHSIGLNRDPTTSPTMDLKTKRLRIRLWWSLYSRDRLISLGMRRPTQV NEGTSNVPMLRLDDFEYESFHPSVINMFHCRQLEDPSHQKRLATMFMEKTKLCQCIGR VLFAQYSPSQRLFGITNRTTITLAPRQASESELARCSQRLDSWVNSLPKDAQFIPASK TNFNDGEDVLLLHGAMVRMLYHATISALYRPWAYGSNKGQSKSRIELTNTARSKMHDA AIGITHIIQGLNQLNLTRFLPQSGVTVIIPATVLHLANSMSDNPTVREASIRNFHRCV QVLQGLKELYPAADMEVANIEAAVRVQSDSASTLLKIMQSNSINLSQPQPAEPYRRGS DVATVQTLSPTEDRTPEHWISPIETDTVNESHNPGLEPRKPSSADQRSKRNSTVISTT VNTTSTSPSKAKQDYLTPTNDFDDHFNSAFNSHSPLPDPSFNPSSFLDIDSNNTEFPL FQSSSHPDIDIDWAEDFLRGADFKIDFSSSALEDHSRDFFSFSDKQERSNAPRKEEIT GDLDKDLGLNSGDEMF AOR_1_958074 MTSIDELFKKPTSAASAKRKLDATTDPNELYKAAKLDVNGDVKS KGKEPMVEDENDDDGEAGPELPPDFDAEDIPDDEEGRFFGGGMERQTAQAMQYIDQQE EGDVAPEKFDTTWLRRFALNFEKKISKNAELRGKYENDPQKFMASEADLDSEIKGLSI LSEHPELYAEFSKLGCVSSLISLLSHENADIAIDAIQIISELTDEDVEAEQEQWDTLV NAMLDADLIELLAQNLSRLDEESDVDRAGVYYVLSVLENLASQSSHAERLGDDSNLLP WLLARIQKKERPVSQNQQYSAEILAILLQSSSKTRSKFISLEGVDILLQLLSQYRKRD PAKDSDEEEFVENLFDCLICLVDEEFGKEKFLEGEGIELAQIMLKEGKFSKPRALRVL DHALGGLGGRPACERFVEAACLRTVFGMFMKKQENQTIEHLLGIFASLLRLLPGGSAA RIRTLAKFMEKDYEKIEKLVKLRRDYASRVLPVEQAIEKERKNFTEEEREVMAVEWLS RRFDAGLFSLQLIDVILAWLVAEDDGAKKKVVSLLADRDEGLSLIQGTLKEQIEGLSD DDPGQKDHKEMLETLLQFL AOR_1_960074 MEEGITSEDCWTVISSFFDTKGLVSQQLDSFDEFISSTMQELVE EQGQVTLDQTLPPDEDEVDPVVVRRYELKFGTVMLSRPSVTEGDGATTIMLPQEARLR NLTYASPLYLGITKRIMEGRERSVADRDDEEIEEDEDRKAQETVFIGKVPIMLKSKYC ILKDLSEQALYNWNECPYDSGGYFIINGSEKVLIAQERSAGNTVQVFKKAPPSPTPYV AEIRSAVEKGSRLLSQLSLKLFAKGDSAKGGFGPTIRSTLPYVKTDIPIVVVFRALGV VSDEDILNHICYDRNDTPMLEMLKPCIEEGFVIQDREVALDFIAKRGSSQSSMNHERR VRYAREIMQKELLPHISQSEGSETRKAFFLGYMVHRLLQCALGRRDVDDRDHFGKKRL DLAGPLLANLFRVLFTRVTRDLQRYVQRCVETNREIYLNIGIKASTLTGGLKYALATG NWGEQKKAASAKAGVSQVLSRYTYASTLSHLRRTNTPIGRDGKIAKPRQLHNTHWGLV CPAETPEGQACGLVKNLALMCYVTVGTPSEPIIDFMIQRNMEVLEEFEPQVTPNATKV FVNGVWVGIHRDPAHLVNTMQSLRRRNMISHEVSLIRDIREREFKIFTDAGRVCRPLF VIDNDPKSENCGGLVLNKEHIRKLEQDKELPPDLDPEDRRERYFGWDGLVRSGVVEYV DAEEEETIMISMTPEDLEISKQLQAGYALPEEELDPNKRVRSILSQKAHTWTHCEIHP SMILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGVFLTNFDQRMETMANILYYPQKPL ATTRSMEFLRFRELPAGQNAIVAIACYSGYNQEDSVVMNQSSIDRGLFRSLFYRTYTD SEKMVGLTVVERFEKPMRQDTIGMRKGTYDKLDEDGIIAPGVRVSGEDIIIGKTAPLA PDAEELGQRTKAHTKLDVSTPLRSTESGIVDQVLVSTSNDDLKFVKVRMRTTKIPQIG DKFASRHGQKGTIGITYRQEDMPFSREGVAPDLVINPHAIPSRMTIAHLIECQLSKVS ALRGFEGDATPFTDVTVDSVSRLLREHGYQSRGFEVMYNGHTGRKLVAQVFLGPTYYQ RLRHMVDDKIHARARGPTQILTRQPVEGRARDGGLRFGEMERDCMIAHGASAFLKERL FDVSDPFRVHICDDCGLMTPVAKLKKGLFECRLCNNKHRISQVHIPYAAKLLFQELAS MNIAARMFTNRSGVSVR AOR_1_964074 MSAVQLKFTLRTSSNVKTVHLLGSWDNYSRQIPLSRDEGKPGSW VGKFRFQTSMLKLGGRYWYYYIMDGYHVSHDPAVEYTIEPTTGRKLNILDVPGGSKKS SSSAQKPRRTSDEVVKGRAPSPSKIHHPKPSKPYASRQIRETDFAPTMEDLSMRFAGS RLSDEYSLSNSPPSSVGSSLSSRSSRSSGSTSPSSLSSMSDPASVCRCERYGITRKGD RVKLDCGGSRCGYVTESSEASCSESDSDEEYRRARRGVRRQGIVVRR AOR_1_966074 MASNPLTALYKEKNGSKITTDIYIPQTQSNKNVNVKYPVLINIH GGAFMLGNSRMVSIPQIEDCLSRGWIVVVPNHRLCPGVNILEGPVEDCRDLLTWVYDG RLEGFLRDQGVGMVSVDTEKVMAFGTSSGGFLALSLGYDVPKPPRAILDFYGAVHFTH PFWTKPLPHVAEKLSPGLSPEFMNRVYEEDPVPTDSSISLEGQTESGRAKGPDFSRPR DAFAFMQIANGRVLSACFPGRDVREIDPVCCIRERFPPTCVVHGVEDRMVPIYLSREL VRVLEENGTECEMVEVPGEDHTFAMGMEVGSRTWELQRRGFDFLEMVINRE AOR_1_968074 MLPNLGILILPLLALPTSGDVADDKIAEYKKWWWPNCTEYSVVE KDGTESFFKATCPDGTGNNVTTELDLKPCCDDLLKEKDETYIEKCILRNGPPEQKELG CFVYKDNPDNSKWTRLTWWDKPHVTVEDGVLTCYDHKGERV AOR_1_970074 MSFKVPFDSSPPSTPGKSRSLFSLSETPAGAPPSASNSFTPQGA PPSTVFGSSQMSSRSQFDSPGSLFTKSGNINPNDSIFGSSIASFDYPAPRGRKAAPAK SFAPSQSLFSVTNDSRFGDSTNFGPSDSLTSSQMEEGVEGEEAVPEEEEVDGDMDVGD TTRDGNRLSFLDSQFGKPVFPQSTSQDQRKPIYTNPSNAKRPKLDEKWANQSPLRKTK LSPKKDSPIPSIVRNFTSRSRIASVEEPSQVIINTEDEICRLYDEVRKAEYQDVDFQV TLSEVCSQLTEDWELYAEYEGVSRISSTQVGPGDHAPGVIKASFLGSLLLQLHHPPLS SDRSGSFPNPLGFAAPQSLVLAGSRTSAPIPIPKLLLDWLNRNYSQTAELRSLKDVDP NPTASSNFWEILKAAVLRGHIPEAADILRVADFNDARSALEDGLPQTGYRGAQLQNIQ KCVNRALQILDSCPGTQHGDWDVRGTEWGMYRRRVLAAVTDLEEFAEGGEQQDSEAPV VGNRFQAINFGLKPSGAAGEFSFAQSARMAESRVPWTIYQNLRSLYRIILGDTSAIMN VAQDWVEATISLTVWWDGEDDDESSAPSNGLGASTSIHFLRPRVSKPQPSNSNPEDAY LRRLDLAFNSATNAESDDGGFRVNSLSSIEVGLASVFEGNVDGVLELLQTWSLCVASA VAEVASAGGWLETTTGAKPLTGLSENDLMVLSYGQDGKSQKGSVSKDDILSSYASGLF ERGSMDNGVRQGWELALEVLSRLNDHEKMQKSVSELLDKLPLDTAEQMDRAVLLCSEL GLEKEGKRVSERFGDLTVSKTEEYGLALICYARAHNRRKVKSVVDLLISYSLVQSRAY PAPANLDEQLHSLIREPKACLSAVAGVDEEAAGILQFYFSGYATLRRYYETRDEAIGL KEGQRPRFKPLARRRAAAQALVAVISSAADSIYGGLYDPDRDSAVQVDGLLALLGEAL PFVEQSTPTLSVSQQSAILSAIEDLETVTPRVYAQCEECFRSTLIEYHSSKRAGADSA SNDAFVLPPSPRALLKKSVSSLTTSSTFSFIGSDMIESARNRSGSGSAGSSGVLVPRS GDDARSAYHERGWDWRAGLPEDAKGEDILRMLRLGLAKGLSFGALGSV AOR_1_972074 MNPSKSISDQESFDGSLLNSSSNSRLFHVYHTLWRYDYTVTSDD KTPLFFVDTSSFTPKKPDLTFHAGTDKKAPVVGVSKFLHFSRHMKVGLGDPQSIDQVE WEDLISQNIRSNKYRWQMTVRGAYGAERRSFMWKRTHSVAVEGSSASKWSSRNFKLVD EQTGQIVAIFTSTAFKSVKKSGKLQIDSTNYGEEFDLMVLITGLSLYEKQRRRDNSNG GGGGGGGG AOR_1_974074 MSSTTPDTFKGWVANNATSPLTFTTFHPKPFTETDIEVAISHCG ICGTDIHTLRSGWGPADYPCVVGHEIIGTVTRIGSEVPTLASSPASREIRIGDRVGIG AQSMSCLKADCSECADGQENYCPRLTGTYNSRYADKSKAYGGFAMRWRGPAHFAFKIP GSLPSAEAAPLLCGGVTVFAPLRKYGAGPGKSVGIVGIGGLGHLGILFARALGCDRVV GISRTSSKRKDAIEGLGADAFIATDEEKKWGRMHSRSLDLIICTVDAPDMPLSQYLRL LKVDGTFVQVGAPEKPLPQLTAFSLIQKGVKVTGSNIGSPEDIRLMLQLAAEKRVLPW IQKRPMEDVNAALADMDAGKARYRYVLVNETEKQAKL AOR_1_976074 MEPHNLQAASTYINNVLLARGLLKSGRPIDFAQPENEEGGTGAA MARVINLVNDLVLRRDREAEHRENLATTIRTLRAEDAQKTLEIEKLKAKTSELTRSVA LAEAQERAQKANVASADATVRQLKDQVQRMKTTIQQVRAQCANDIRKRDLEMQRLKSH LAERQRGKREGLGVTTININPAVDRSSKSKLLSGGDNVNDPGYSLKQETNDFLTELCQ NLSDENDSLIMLARNTVQTLKDLQGLPQSEDNEEYSNGASVGIQKSSQGPVTTLPASC EELSNQMDRVLDHLRTLLTNPSFVPLEEVEVRDEEIGRLRESWEKMESRWKQAVTMMD GWHRRIADGGGSVQAEELRMGMRLDLSVDSAQDLAPADEEETQMQSPIYEDQEAEEEE DSVNKASQEGAELPPASVDTQLPVREEKSQKSTDRALKERSENVRPARLPRKVSFTPG FHGSPCEPSGGDDTLPIKAHQSETVTRRPSRRKPETKTSRQVPSQTSRLHEPKKSGLT KEHGPSSQTRMSVSQKLAAAESEARAAEQARKEGESRKRGRAVKGSKGSQDRRRSTLT NDELGELMGMTSR AOR_1_978074 MGSQALARGEAAALQRHGQQLYQTGSFKAAIDAFTEALNSKDAD MLGILDNRAATYTKLGQYDRALKDARHMIKNDKQDERGYLRCAKCLLLEGKLEKALEL YAYALKTLPSNHPRREPDLPYSIANLEELCLNSDPDVFFPYPPSFNPIDFSRLLRLDL SGIYISDEFTLPPSLEYLRICGGAATEEFPFSNQRPVEFHKLKTLMFRDVPWVSNNTM LIFLVEAKAPLEVLHVDSCFRLRGTAFWHSLCQHANDLTELNVSHVIGINDNFSNQIV EKMHKLKVIYMSYTEITGISIKTFADARVSEGNVMRIERLHIKGCELVSPDAIAYGRA HGIEILT AOR_1_980074 MTQTKVPPTSSTTVKRFPHIHDDPATLPKSLDPFTITTSTGFLP FIMSPTKLPDAFKPLESLLERLPVEKLDGTPGLLATYELGPAVQELPDLTAEVDKLVT ADGSPDLYAVTAVFRDYSFLASSYLLEPCWENWSKNPDKGYGLGRDVLPRAVACPMYR CAQLLDIPPFMSYAAAYSLFNYTLADPKKGLVYDNLRLVRAFEHGLNPKSSEAGFILT HIDMVKDSNGLISGALKVVDTIEQGGSRAEVNDGFREILSSMEKIEACMEDMWANSKP SEYLSFRVFIFGITNQSMFPNGVIYDGVLDNKPLNFRGESGANDSMIPLLDHLCQIPM PSTPLTKILHEFRAYRPLPHREFLAYMNSKAAEVGVRDFVVKDTETVILFLKTLNHVR SFRWRHWLFAREYIIRRTPHPTATGGSPIVTWLPNQLSAVMDMMISIYDTYLGPQKEP EYSANGLTGYDASFQKQVEPMMEMVRDQKDKLAKEVEKWCQERGNGF AOR_1_982074 MSRASKLTLAATGLGAAGIIYFVHWAQEQDKASMHKGVERDMEK QRIRQERQAEFEIQRRLEEEYRKLQTVSPNIEDQSGGETKQGRGT AOR_1_984074 MSAQNSAGIQTLLDAEREAQKIVQQAREYRTKRIRDAKSEAQKE IEEYRNQKEQEFKKFEAEHSSGYKKAEEDANKEAEVKLQEIKDAGNSKGAKVVEDLIS ALTDVKPEASEKILSQA AOR_1_986074 MRCAVATLRADTRYQLPEGTGSDPRLEGLGKVIEDEYAVIREVY DTPKHAIVLAHGLLGFDELRLAGPYFPGVQYWRGIKEALSVKGIEVITATVPPSGSIE ARAEELAKDIAAGAQGKAVNIIAHSMGGLDSRYMISHLQPKDFKVLSLTTIATPHRGS AVADYILKQIGDERLAQVYYALEQIKFETGAFSQLTRDYMEKTFNPTTPDVEDVRYFS YGASMQPSFWSVFRLSHRYLEQVEGYNDGLVSVASSKWGGKDGYKGTLMGVNHLDLIN WTNRMKWLAGKITGNRRRFNAIAFYLAIADMLAKEGL AOR_1_988074 MSATLYIDRPHTHFTNLDFLTGKVVVKLTSETQISGIQVKLEGE SRTRLSGPRHPHHEQSDKKRTEIEVHKILYKVLNLFPTPAVFNENTPNTVWTFAAGTY EYPFQFKFPFNNACSFQNSMLTNLNISGLKVEVARDTHRHVKKTLPPSLSSFPQMADI KYYVKATVVRPQFYKENIRTITNINFLPIEPPRTGNPGEETYARRQHGFAKSPSTSKM KSLFQKGSSSSLRDSSGDSPRVSADIRLPNPSILTCNEPIPLRILVSKTSESFETIFL QTLQIELIAYTKILAHDLKRNETNTSVIFSRSNMAIPLGRGGDPVGTEWTIDASMWSH IPLPSSVAPTFETCNISRTYELEVRVGLSHGTVGNMKPQLIVLPLRMPVKVYSGISPP QALLDAMAENGHIQPTVSPTKPSRPSQETSAPPPMPPRPTRPPAPLNPEDGYDDAPPS YEDAMAETLSPVDGPRREYNPPATSSTGRPIEFGTDPSTPVHSGKDPEPQTPYSNREA NSSSESFDMLPSTPPEPQTGSPPTSPVARQQSVLKIHKLAALQEDSPPQYQPVADQPL RSPGSQAQPSSSRPDVRALKLGVPNRKPVPRSPNSGGA AOR_1_990074 MRLHLIIQRHGLPVTRILWTTASPSLYGQSTSSALPAFASAITS SRAPNALYANGGYTIAQLLEDVNEVVPLETEPALFDSEFSGQWGLEDYVVEVGGSECL HFMEVEGLLRDGDEVLIRALQISDLRARRLTGRHQISTDGKHLIDGVPFGKPFLKRPV SSRPAITIPPRKRRRTTFATQDNVVGYHEEDTEWALPRIRSFKELSALRGVDEPETGI MDGNVEYNDDEDEDEYEDYHESHEDTGNGTVIRHHVDNTEDAEASESDADASDIDARD LEDELKDLKEDLDISAMPETESTDDEQHETERRGYALRSRLSTSHAAPTKSSVAQVIQ ASSEAHSFRRDSKAVRFSQQKEGSPMLPSLESAIAKAPPASDSNSDSSDSASSSSLSD SSNTDSGDGSSSSDESSSESSDDSSSDSDISTSESEDEEISTAPLVANPPGYGSVRTK KSNQRNKMRRRLSKLKELGALPAEADFAALRDWEDKNKGQRFVPEISGSNKGPEMEEF EERRQKLLRDIDSGGIDITDGTFSPLKPVEESNQSQSKELAKQHEATVEEAGEVVPEP KRRTLDVASSRRLLFGSLGVRTPRTKEDEEATRKKLAGKANNFVPQKKVSDELTDAPE SDLDEDWENKLIVRATECVYDDIQLTAPPFPFEQRWDYEAGDLIRQRKGLGKKRKRRQ QLQVYDEEEGDYGGGEDSFVDDDLHHNYDDSEQLYSEANDHEAAQIAEEKSDDLPSVP SDPSSLRDLVEDDLKCGCVLAFKQLDVSKATNWQPTVTDFRVAEIHDVYDDGTLNLRL AKRDRRQVKEIELEEDGPQYSGFEMPGYEDEGEDDGFREMSFADLIDPKLLRPAELEK TKQTSKSVH AOR_1_992074 MHVPLSRGARNSFSSPVFYSLSSPRHILPASYSPTTPRCRCGCR NSTTCSCSCCAHPTSPRRFTSARSLSSSFPCSKSTPLPSRHLLLPAEKASIHSRPFSS TQSRKLFHTTAKMAQEYKLKDISSLADIKEMDKVESEVEGIEDGKVLVVNYEGQFHAM SPKCTHYGAPLKLGVVAPEGRITCPWHGACFNISTGDVEDAPAPKALHKFEVFEKDGA VYIKGEESTIKSGQRDPVARCSASAPEKLVIVGGGSGTFGVVEAIRELKYKGDITIIS REPNLPIDRTKLSKALISDPSKIEWRPREWYESVSVETVTDEVTSVDFNKRTVATKSG KTYPYTKLVLATGGVPRTLPVEGFNDLGNIFLLRFVTDVQSILKAVGEKNKKIVVVGS SFIGMEVGNALAKDNDVTIVGQEKAPMERVLGEEVGRIIQGNLEKAGVKFKLQAGVAK ATPSSSDSSKVGAVHLNDGTVLGADLVILGVGVRPATDFLKNNQAITLENDGSIRTDE HFAVPGLDNHVFAIGDIATYPYHGPGTDPKGTLTRIEHWNVAQNAGRGVARAIVHSLA NSASSLQSLKPKAFIPIFWSAVGAQLRYCGNTPNGWDSLVLKGEPENAKFAAYYCKGD TVVAVATMGMDPIMVKCAELMRRKNMPSKSQIESGVDVLKVGLPEGVKI AOR_1_994074 MAVDAMEIDSERVPAAVPSPEKERKRKHKNKDVSSSSPSKKKRK HESSQLTDETADHDIEKKKKSKKSKDKTKSKQADTEGAAIPDSPYVLTTATLYLPLSP ISISPTHAKASLLAEHLSPLLLTYYAPLQGVILAYSDASISSTPPSPYTSSDPADPNP KPLTLAKTAGEYGVLYVYLTATFLVFRPQRGQILEGWVNVQSEGFLGAVVLNLFSVGI ERKRLPSNWKWVPPGEEGSVSGDQQKTATTSEDDESEPSASFDQEKEHFNPVSLANPV SDTLNEEANAEDDESAAEGYFQSVSGHRVRGTVRFRVVDVDVIPGSERDRSFLSIEGT MLSPEEEARVLEDERNGILTTSATPRRGRSQEPRVSMSGALAAPSVAAIPEPETPSKT KEPKAEKEKKSKSSKSKKKEKKEK AOR_1_996074 MASLLSFAGWAFLPNYATSIVQNIYYGITIRAGEPRPQPPSPRY ARHRRRIFILVVTSYLLYTLYETFHRVQAAGDFYKALGVSPLADDRTIKSRFRRLAAQ HHPDKINQGDGIPSDDYFVYLKLAQDTLLDPARRFAYDRFGPDVLVWSNVKTVQDFLF TGLQRSIPQYVGGFVTIIILNFTWWSNWGRYWRFFTFAALITLELALTTQPRALFFPA SYIPVELQKLLGISTKTPSFYLLPFQILTLAQRASVMLHIFISQVTPPEVSKGSSSAA GERIHPQTMQRLAQLAQLSRATDGESTRLLQLGFAPFKGDRESVATLRKGMKEGLVLS SVRASSGVQQAVGEVIERKRQEKKAD AOR_1_998074 MPAATATNGRSSRSGPSSKGVVVLKLSPDLLSRFASPPPPEVKD RKKSIIKDDESRADSPVKEKEPSSPASSSVEAPIPPSDNASDAASTPAAGTSAADTPR RKGVPGPKPGAKRGVNQISETTPKPRGKPGPKKKPRLDDGGSEPVKIAPSHRLGPKAN TGAINAGLRALDRSGAPCRKWERKSLQLKSFTGIQWQLPTWRTPRPQKTDDNGESKES VLETGDSDSKANQSASGVPSEKSNSGDGDLTPVPPNIAEASSPAIAMAA AOR_1_1000074 MTDAPFPPSGGARGAPVTTTYSGPSISTIPRRSSYASVLSGTAL SPPSNSGPFSQLLTSNSTSYPPPFHPDGRHLRPSADVDADMQMNSSWRMSSGDTLPPY SRKYASFTRSDPFPPNPGSFSDAASSSFTPSYLRNSRYISRLDAARRAKLASQRDTVY SSSTQASLPRIAPSHRGMTYDIIEREPPGDDEHVMPLPSRWNDGDKYSGLELTSGGLE VRYTGPVNKHDHEAAAVRADNPMPPQCGIYYFEITILSKPKEGMIGIGFSSNKASVER LPGWEQESWAYHGDDGKSFFGESQGQGRQYGPTFGVNDTVGCGVNFSTGCAFFTKNGV FLGNAFRELRNLKVYPSVGMKKQPPVHLAANFGQHPFMFDIDGMVKKEKFAIHSEIRA TSTANLQPPLDESALLQELVAQFLAHDGYVETARAFAEEVAAESAALQNGRAEPLKKY EVEEDVEAINRQKIRAAILDGDIDKALKYTNAYYANVLQNFPHIHFKLRCRKFLEMMR RCNEPSWAASRRDKPSNGLSDGSAVFDEEMELDEHMHHGGGWNADGMDTEEPENAAKF NELLTEAVQYGQQLRLDYPNDERGGNKKMLDDIFSLVAYPDPMQSVHGHYLDPAGRIA VAEELNSAILVSLGKSSSAALERLYQQTEVLVNEISEEGGAGAFINVRNDFLL AOR_1_1002074 MASRPHSPVPASQVPCIPTHSSSTPTALRCCCGRNDCAFLQHNN VALEGLEKDLATAARLGQALLHRHESYMAEAEEDRHRFLASIENLEREKREVQVENAR IIEENRGLLEQLEALNKAVADADSHAKSLEVRLENSEAELRKVTVSAARAADLDAQLV QMENEQTRLQESLESAEEESRSAVQRWKKAESTLRDLNDQIDRIEKEAREERERHAEA VQRMERKRTVERELDGAARRLKGAAATHELGRNHGGTVVSRFVRDILQDNANLQLGIV ELRELLESSNQEVQCLRDQIISHQLVPMTEGDGQVPQLAPTLSQELESKEPRRAPQEF HIHHHYHTPSIKKERPALFRRSKNRHTWGHPNTVHSPSGTKIARKPTHRSQSSHSSAS TMMSQPPVQIPSASQRWSSQSPGAESMASSPQSGYRSSSIFDRVERGFDSSRATSPDS TAFSPLRVSRRRSSFYDASFRSPETDVSVDPLDDGVFNKGLGDAYQPVIPEEREDSVH YATSERAFSPAPDDVFASPYRPRRRQSHESLFSVAGMDIHTPSRRPSRMEDVSRPFSA RVPRRIFSSNDRFSNPVLSTSTVTANREPSKIDQSSQTLLASMAASRQSETESATSGH SDPTGTPTRKISLTRRVGGWMREHLGNAPTAAIGDANPHQEQPIPSASQASSDTTPPS TASRKPNPKADTVPGLRYRYPGVNQKGPIMGFRPNSRAPFAIHAEAIDESLLRETLAE AOR_1_1004074 MSPDNDIHSLKKLAAQYAQQLDLTHLSIPNASTIVHPDIQCAIY EHMFNEAAVWPLPPVGYRTRVLKTIIARIEDGITDPEEDELNSDLIESWTNLISLPKP SQIQQAQQLTYIKYTAPTTSQDPQTVITSESRGLIYSSGTTGFRTWEASLHLGTYLST PTGAAHVTGKRVIELGAGTGFVSMYAAKYLQPQFVLATDREGTLIENMKDSKARNGLG GQFGVGAWEWGTPLGYPTEDDTEGIAREDLFFDVALGADLTYDTDLLPLLFATLHDLF DNYRVQEFILSATLRNQKTFQAFLDACDNHRFKAVCLSFESPVTGSQTGFFHETGIPI RTYRVTR AOR_1_1006074 MADTPVTLRTRKFIRNPLLARKQMVVDVLHPNRANVSKDELRGK LADLYKANKDQVSVFGFRTQYGGGKSTGFALVYDSQEALKKFEPHYRLVRIGAAEKIE KPSRQQRKQRKNRSKKFRGTAKTKGPKKSKN AOR_1_1222074 MARSFYTPEDEVGFDPQTQQDVLSLSHSTQASSQQVVMDRIIGP NTPIPEGEKSRNATRRRIPVACNRCRKRKIKCSGDIGDGQGCSNCRSSGNTHCQFLRV NSSMLQTKATGWPYSFMNGTLPSAHQRQGAYISSVATRQELLSANPSNFRITSLSRSP AFDIGSTEPQQSYSRPSFGQDHMANNDEEPSTVYGSQPAAYGVPTTLPQGALGDYYGV PWSKTGHSGLNVTRGGLLPDHEAEGTFHHPDYAYMMSAPGTQVTDTTFVPSMVALSSE GQGADRTLPTPSGRNQLQLGLSVLPTSSDAISGLPQQDCRVGHPWTPKAIITANNARS MKQYTPEPFNTGSRNRAKPPPSNAQEMVLGYLPMTSASASSPLMPSCGTFTRFNPANP GEELQRNEEAPMRRPIFTESARFSLMEDSSNIYGYCSSERRKGPKPGTSGMLMNGLPY TRPPEQLQSTPSSLAFSLPVAEGLSGPGATTEAHRTQALSNPGGFYSEAC AOR_1_1010074 MSVLLETSLGDIVIDLLVDECPKACENFLKLCKVKYYNFSPVHS VQKNFTFQTGDPLGPDSSESDGGSSIWGLLEGPPKRTFSLEPPPKLKHDERGTVSMAT VPSPHDPDQRIAASQFIVTLGENLDYLDGKAVIFGKVVEGFDVLEKVNEAFIDDRGRP LKDIRIRHTVILDDPFDDPPGLVAPAESPLPSKAQLATVRIADDEELDDNMDEESMEK LRREREARAQALTLEMVGDLPFAEVKPPENVLFVCKLNPVTQDEDLHLIFSRFGTILS CEVIRDKRTGDSLQYAFIEFENQKDCEQAYFKMQGVLIDDHRIHVDFSQSVSKLSESW RNATISKRSGQRGGFGGVASLEKKRQYRASDNAREKENDYTLVFDKGDKAPRRRSYSR SPQRSSNRDRRASRSPRRDSYRDPYRRRPGDRSHSRSPARGEYRDKDRGRYNHRERRR DDERYRERRRR AOR_1_1012074 MAAAIKETVSNLLHGHSDNTPRQPSPEEFHQLQQKYTDAGQGHV FKFVNQLNQVEKAQLFHQLSNFDPNRINELADKVLNPAKTQDGPVTLEPLPEVATASI MDSDPKDIQRWYDEGLQAVSENKVAVVLMAGGQGTRLGSSAPKGCFDIGLPSQKSLFQ IQAERIAKLQLLAQGTSGKEAIIPWYVMTSGPTRKPTEEFFEQHKYFGLDKKNVVIFE QGVLPCISNEGKILLETKSKAAVAPDGNGGIYQALITSGVREDMRKRGIEHIHTYCVD NCLVKVADPVFIGFAASKKVDIATKVVRKRNATESVGLILQKNGKPDVVEYSEIDKET AEAKDPKQPDVLKFRAANIVNHYYSFRFFESIETWSHKLPHHVARKKIPCVNTETGES FKPEKPNGIKLEQFVFDVFPLTPLEKFASIEVRREDEFSPLKNARGTGEDDPDTSKRD IMNQGQRWIEKAGGVVVTEGEAVGVEVSPLISYGGEGLEFLKGREIKAPAVIEKEE AOR_1_1014074 MLLSRRACYKCGNIGHYAEVCSSSERLCYNCKQPGHESSSCPRP RTTETKQCYNCQGLGHVQADCPTLRLNGANGRCYNCSQPGHLARNCPAPASGAGRGVG APRGGYNGGFRGGYGGYPRAATCYKCGGPNHFARDCQAQAMKCYACGKLGHISRDCTA PNGGPLSSAGKVCYKCAQAGHISRDCPNNEAATQQPAESTTAATPAAPATGAAAAAAA ETSTEAAPVAPAAPTTAVA AOR_1_1016074 MPGSDSSDEFNDDVFIVDVDSIQAHGVGAADITKLKTNGFYTVA SVHGATRKTLLKIRGFSEVKVEKIKDAINKCLPSASGFITAMELSHQRKRVVRISTGS KQFDAILGGGFQSMSISEVFGEFRCGKTQLSHTMSVVAQLPKEMGGADGKVAYIDTEG TFRPERIAQIAERFGVDADSARENIAYARALNSEHQLELLNTLSKEFTGGEYRLLIID SIMNCFRVDYCGRGELADRQQKLNQFLMKLAHMAEEFNVCVLMTNQVQSDPGASALFA GADGRKPVGGHVLAHASTTRVLLRKGRGDERVAKIQDSPDCPEQEATYVITNGGINDP DKV AOR_1_1018074 MGKDLEIAGYTVLPLRLPPAESKRAKPATHYLYLRPHEPRIPDA DTPRSLFVVNVPIDTTELHLRHLFGSQLAAGRVERVHFEAVPTKKKGGMTTAHSMVAN VSKSKKRKRVTVDELQNQLDDVALPSTWDRELQKSGAHAVVVFVDKPSMVASVKAAKK AGKRKDGEIVWGEGLEDRLPQLGLQRYLNHEEVRYPPRAELLRTVNDFMTMFEAVAEA RRKEQALRAQEPDEDGFITVTSGPKLTSVAHEDEARELIEKQKQKSQGLEDFYRFQSR EKRKERQNELLKKFDEDKKKLEEMKKRKGKIRTILIVNRRHAKKFSSAFDDQAQQESE RGYESFKEVAKDIEGLVDILWVTGTPSLQIPYLISLAVYINTYLPEYPFSPKATFRLL KKLDSVFASLLTGEDADSGAPLPGFESRRNVVSMTEKVRIKSIAETCRVAVVEAREQV DRPDDEDDLSDDDDDMDDVFSTDDYTAPGRWEMETARVYEKTIQLLGDELGKAGEFCD TNLAPGDACQAEPSE AOR_1_1018074 MGKDLEIAGYTVLPLRLPPAESKRAKPATHYLYLRPHEPRIPDA DTPRSLFVVNVPIDTTELHLRHLFGSQLAAGRVERVHFEAVPTKKKGGMTTAHSMVAN VSKSKKRKRVTVDELQNQLDDVALPSTWDRELQKSGAHAVVVFVDKPSMVASVKAAKK AGKRKDGEIVWGEGLEDRLPQLGLQRYLNHEEVRYPPRAELLRTVNDFMTMFEAVAEA RRKEQALRAQEPDEDGFITVTSGPKLTSVAHEDEARELIEKQKQKSQGLEDFYRFQSR EKRKERQNELLKKFDEDKKKLEEMKKRKGKIRPE AOR_1_1020074 MSFQNFESFQNQHPAADAAAAAPGAPATADTMAGQADPTTAQFQ GPAPGEPTAAPVQPAQEGKTTLWMGELEPWIDENFIRNLWFQMGEQVNVKMIRDKFSG RSNAGYCFVDFSSPAAAAKALSLNGTPMPNTNRLFKLNWATGGGLADRSRDDRGPEYS IFVGDLGPEVNEYVLVSLFQSRFPSCKSAKIMTDPISGMSRGYGFVRFSDENDQQRAL SEMQGVYCGNRPMRISTATPKNKGPGVVPGGMGMPGPAGMYPPMGAPPMGFYGAPQPM NQFTDPNNTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVQRHAAE MAINQMQGYPIGNSRVRLSWGRSQNNSGPAGSPYRPAPPPPPMYPSMGMPPAHQYGGF APMKVGDPEATA AOR_1_1022074 MRYENWDVLLFPENSKVPIQEFKTQCFVIKDRGSPYLHSPALVN PTSYCLPQGNMGLLPVLTTFIPSASPNTPFRVSVHSWERPRPSRLMESLLQPDDALLF EVRIFIDGLFVSGSVFGQRTNWPHVIDLSSNIDKSGNQDNLRFPPFHQEILEQQHWDA GELYGRIRVVISEGFARPHRNPPFERVKEVVAFAFQHAPLQVLEYSSIAWPNASMWSR EPRLFKYNSRGELSDLKEPEDSHAHSPTRHSIRQLATTSSQISNPAAHSAWTYRNYQG PIPQMQGSLRESRWLQQEQLMPDPFIDPYVLDPSARHRGARPSSEDISMPDYVSSSTS SRAISHMTGISYEHSKHPSIISPIDEESYNQYFEVLSPPKPLSCGTKAPTNTPSATLP MGRKLSAAAEARSASYINNGNRGSLPKDKSHLGTRDVSEASAKSNLSTEPVTDTAATS KLPVGLNVQVKGRKEGMVSDKKENEITVETPRRESDKAHHAGSKTAVRTSGNLETPTG SRRRRSTGSARRESLSFPKGEPILLSPAQELSEVDDLLRRAGLEELLGPGSHRAGSVA EVAEID AOR_1_1024074 MNQMNVAGMNPGAGGPVGGVPMINNGSTAPRNDGNVNNIPELMI NNLNTYIYDYLLKRGHHECARAFVKDESIKLSTEPPIKSSPGHRRDGEMNGVDGDTMM TDGKDGEKMKIPDDLPRPNLPSEGQQSSFLLDWFSLFWDFFWAQRKKGNSNDVRQYLQ HTQNMLRFREQQHNQLLRQQPMMPAQMQQLNLRRNGSVAPNLQKTVLQNNTSGLSQQQ LAQLHKTQQVQMIQQMQREHSDIDMNGHRPQSPSSAENAPSPSKRPRLESGHMNGQQL APNGRGQGQGMPGQPNPQALLMQNGLNARPMNPAQFQAFQQSGPAAQQKSIQGMPNGG LINPGVMPNQTDLVPLPDGQGMYPMNGDYYPPNGQMAQVRAGLQTPGGQHGNHALQDY QMQLMLLEQQNKRRLMMARQEQDSMARPDGQPPMPGQQQALPPGTSPQGSRAGTSPNP NDQMKRGTPKMPQTGLPGSPSGGDAMAQGRGSPASMNFNGGQMPPEMGGAFFMKGMQD GMAGPNGMRPPSSNPAFSGPQMGQPIPAGAGNRVPSGGWPPQQGGQPMAPQQSPATQT TGTPQERNAMPPPQAPPAAGANAGRTQPPSPQTAPAPPTPQQTNKPAPKKKETKDSRK RPSKKANTAAAAANTAATPSSEAEHPPTPTPSTPITPQHPNSFNKAGTNATTSAPQQP TSAPAPQPLVQPPPPPPPDQSQQPFNDLSIPDASAFNLDFSALENPDILENFDFDTFL NTDADTAGFGFDPNISYPTDGVETGAGDGL AOR_1_1026074 MSATKASRIGEELWKTRVDKVNAELVTLTYGTIVAQLCQDYDSN YQEVNKQLDKMGYNIGMRLIEDFLAKSGVGRCSNFRETADMIAKVGFKIFLNISPTVT NWTSDNNQFSLVFDENPLADFVELPDDGRAQDELWFSNILCGVLRGALEMVQMQVEAH FVSDVLRGDDTTEMRVSLVRYIEDEMPPEEE AOR_1_1028074 MSGEKRPAQEAFGSSSQLVVKRKKSDAGLDNGTAVVKSASQNGS LVQAVPRTSGLEAPIMELTGHSGEIFTVRFDPTAQHIASGSMDRSILLWNTYGQCENY GVLSGHRGAVLDLQWSRDSRTLFSASADMTLASWDLETGQRIRRHVGHEEIVNCLDIS KRGQELLVSASDDGCVGIWDPRQKDAIEYLETELPITSVALSEAGNEIYSGGIDNAIH VWDLRKKSITYSMTGHMDTITSLEISPDSQTLLSNSHDSTVRTWDIRPFAPTNRLMKT YDGAPVGLEKNLVRASWDPKGERIAAGSGDRSVVVWDFKSGKLLYKLPGHKGTVNDVR FSPNGEPIIVSGSSDRTLMLGELGK AOR_1_1030074 MLEAPQAPRRGAPGVWHIFTAYFIASIRRKLTAIITDFVDRNQS YIRSEQGTQVNVYAQRAVGSSNRRLRSFTTRLKEKNVRRRLVTLTISAIFLILALSLY FAFTVSKSHLGHELHILLIFMILILVIVFCHSLIRFLMVVFRGSRSAVAMNRIPSSAG PTGYAQPERPIHVILAGDEEILTENNGASREKVTPLPPAYGLWRGSVRINPNLLYWHR VDINTSSLPQHANEAQGLGSKVPLPRPPSYTSDNGIDYVIEAQPRSLTQGPSAGWPGH P AOR_1_1032074 MRSGCWYLQMPFLRAEWVMNWIMLLSLWLTMAWGMRTDQLNELR KDTEHMFYHGFDNYITHAFPEDELRPLSCRPLVRDRDTLANAGLNDVLGNYSLTLIDS LSSLAILSSSPDDGARAWAHFQDGVRDFVKLYGDGSDGPAGQGERSRGFDIDSKVQVF ETVIRGLGGLLSAHLFSVGDLPITIYSPPEAEVAFAKAWDKTSFPLNTQGIKWENGFV YNGQFLRLAVDLANRLLPAFYTETGLPYPRVNLRYGVRRHPFYANSPLNAAFSCDNTK DHENCKSRRIPLVETTETCSAGAGSLVLEFTVLSRLTGDGRYEELGKRAFWAVWARRS DIGLVGAGIDAESGKWVHPYTGIGAGIDSFFEYAFKSYILLSSGERFPRDLNSSWHAF DNDFPPLSEYENSAEAFLQAWQESHASVKRHLYRGEGYQHPHLIQGDVFTGATRAFWI DSLSAFYPGLLSLAGEIDEAVGIHLLTTAVWTRFSGLPERWNVVTGDIEGGLAWYSGR PEFAESTFYLYQATKDPWYLHVGEMVLRDLKRRCWTKCGWAGLQDVRSGELNDRMESF FLGETSKYLYLLFDPSHPLNNIDQPFVFSTEGHPLIIPKSTTTAQHPRKTQLSVSKQI NSAVCPIAPLPPLFGLSSTAARPDIFHAANLARLHLMPSRGPPEGPILDYAKGRPSVT MADLSSPTNYTFFPWTLPAELVPFNATSSPMTVRPTLDISFPSLPGMFLGSGSLERVQ DGILIKAIGGLRLSMVQDVSPQNEAGTKNAEFRIQVINNVPLGKDEKVYISREITFDV LDPTDPNFTRVRDSAMIDIVIDVIPENLRRRNHSGDPQGSTAEHSPKHIIGESSADDK LGAVDPSASSVKSALSSFVNHVSWLLWDESQPQSAWPAAEKKPFLRLTLPAAMASGLG SAPMPDVEDSSTFSVTGDVPKSRLPWSTIYFADELCDHRILRDIAQTHQVLVIKRGGC SFSQKLRSIAAYPPSRHALRLVIVVSYEEEPIDENLEPQVNPFSSLAAVRAEPYLVRP YLDETQMTAGGVPRRHLISLVMVGGGAETYELLRQATGVGIKRRYTVRSQGIPVSNLY II AOR_1_1224074 MHIQDTTIVKPPTLNQQDIKLDPTAHTKITITTDPSPSDSGITT PQQTKPRPLYFAYGSNLSFTQMRLRCTCDPDLSSKPVAIARLDHWRWLICQAGYANVV PPAELRVGREADEGEDVPVSGDEDTVYGILYEMDIEDERLLDGYEGIDRSALPSRATH KVPAHIRPTEQGHGDYNKWYVPATVTVWLDEEQRKRREGGVIETLVYVDEERVRVGPP RDEYIPRMNRAIREAESLGFPKKWADKVMRKSIPLN AOR_1_1034074 MSQPTPNSHQQPDAAAAQVAGQSPSSSTPTAELASLKISLRAAL RQFPDFPSPGILFEDILPIFANPTLHEALLRSLELHILQNHGDQKPDVIVGLEARGFL IGPSLALRLGASFVPVRKQGKLPGPCATQAYEKEYGQDFFQMQADSIKPGQKVIVVDD IIATGGSAWAAGELIKKMGGELMSFLFILELEFLKGREKLPAPVYTLLSGQEEKH AOR_1_1036074 MSPAPVAASQQQDSQLQTLAKAFEALLLTTQQYICKERILQQRL EYAYDEYMKLAGRLPGGLDTHAKIVSEKIRGHSSESENQESVSFSPPDVVRALAESGN VGDQTLKPIADGVVCYKSVLNSQSVPDLNPCLVATRAGAPGSLEKDFTTKGTQGNLHC PFAKSKIMPSQNGMANGIENPFKIQNDILVRLLRPEEIADYVERHKHEIPRSHAICVQ RYQKDSHSMRHLDAKYGSLINMIRGLSVKHQAFLPNRGNSGAPTSSSSAERVEKWAEE VGMNPELQPSTKEAETVDDDGREGRFDRPLREVRVGESPSRPWGIPVPMPLPPSASPP PAAALPEKSEKPPEEKTIGFSSIPPRDATQQAPTTAPKSGRCPFGHGAAPAVNVVTET QTIRDHGKMNGTIENAQQDSGEQPPVHPPPTNSSASIVFNGPVFFGFSPEQTSSFLQQ LGSLVNKH AOR_1_1038074 MSGMTPISAAGACPPAGPYSQAVRANGQIFVSGQIPADASGNLV TGNIGDLTQACCNNIKAIIDAAGSSVDKIVKVNVFLTDMANFAEMNATYEKFFTHKPA RSCVAVAQLPKGVPVEIECIALA AOR_1_1040074 MSTASDQSRGINRRINSIQNDSRHTRTLSGSRRRPVNPTAAYTY ALRVAYLSYLLQPRSRRVQNVPAPHQRPKRSSTSFHDLMSDFSLVRDSKSTRFPHGFI TELEKRLTGVLTKKEKRKEYQDPLVVRTFAAFLNTLKEQSFKKRMEKDRRAEDLVLIF YSNATKELSKGKDPDDDHWKFMVDRHVALFVRLISHILKDNDWAKERPELANRLSILE NKLLSQDQDLVQSNGPSTVEAVVPLSYEVRDMPLVQHVARIFDISTAQVQSDIDKHRE IWTAQAALRDLKAYQTHLSLNTRKTMSKEDFQNEDAYESWRKSEGPDLSQMMLAIVQA NPELAKSSPGGALLQFNANAGDNNDAAPGDLSRTNSDRPVSYVIDQPVDLSLLSMGDG NSESDESDTYTYIPPDPRSMYRYILAQTLSHDLKDREVEATQFASEPPSMKLLSKQSA EFLNEVCLRWRIPHFSRLVLFLEVVRSKFVDNEIDLDTLDSAFTYIKETPSSEKKRSS FVATVLFDRHRWTVHDLLSMQQLLSSLHEALLRELYDVMMDCYEGKPRPIGPVMYVLE NHIQLDPSYTEDLEDIDRFRCYVQNGLAQKATEKYQDLLGQYVPMDQDTWEFDHIIQL CESITKLAQKIRKRYRNNPEIMGVNPYQILLSNVLPIFAEDAHEMVARIVEQGKLRGE EIPIEDGFDLYKQLTAIRQLFTEALPSAPFPFRVEDLLEEFVWRWIRMTEEKIAEWVE QAVRQDVFAVRADDSTDVMIPEEHRHSVSVIDIFRSFNQVVEQMIQLGWDDDLQYAKF MTALSKSISNGLAKYCESLEQMFAREMDRLSPDQEAALNQTAQEKLMQIAKEAWASKE KIEPFQFFPESLVKLNNVEYALTQLDKLEREINVDGCADVIAKHAPPQMQKMRRSTTY VFTIKVVEAEDLKACDMNGGSDPYVVLTDEYQKRIAKTRIIYNNLNPRWDDSVDITTQ GPLNIIATIWDWDAVGDHDYVGRTSIKLDPLHFSDFLPKEYWLDLDTQGRLLLRVSME GERDDIQFYFGKAFRTLKRTERDMTRKITEKPLFVASNPKILAVAWSQYIQPTATGPS NVDVENALTPLFDYFNDNFAIMNKTLTSEAMKMVMARLWKEVLSTIECLLVPPLSDKP SHQKPLTMQEVDIVSRWLVLLLNFFHAVDEETGEANGVSIDILKSPKYHEIQSLNFFY FEPTENLIRTSERMASATISRQQANRNRASAPAHLGSGGSGGFLGVPGARRAKSIMLS RNLGTMKKMKEEKWREAQAEPNDDMILRILRMRPEAAGYLRDRSRQKERLAAAAAADA IVKQSLMAGGGRMAGTLGRR AOR_1_1042074 MLSQRFLTRRLPQVAVRYNAPRAFFSQGRTLAAAELDDPLQNGN YQNPPRVKRAFRDPHGDWWDKQERRNFGEPVHEENEILGVFSPEQYTHVTSRKGFFHL GVFVATFLGFCGLVSFYYPDKPSVPRTYPEGLEKELGGPNAVKARKSGEDSW AOR_1_1044074 MQNGSPNGISQQDERVTPEIEPVPSELSASHTFVDPVNRDPILA EVKEDEATTDTTEGPPSKKRKLAGSATSRRSSSRPASPPWKKAGVDGPTSFIQDGRRK SSRVNALPLELQPPSDKRHTRAAQKQSVGRNVLGGGKAVASSPSAFMTPSRPDSNGKP ASGTAAVNGSPRGLSIRGIAAGQQRVSQSPVSKETNARTRSRGSGTSTAFLRTSSVAL NSSSALATSGTSDSRKGVKDIDLDDGDGGLRIPRLRIKVKRPSINIQHPSHVLNPRKY GSFKQWLESEEGRVRDDAVITPSEALEEARRRCRIATAIEPGGLLSPDVCSAYLPEQQ DEPLPQYSHQDYLVAHALYFKKLLDQEHKRHRHTARLFAQWCADAWKKRNKDPEDILR EQQEEMRGKRKQLAKDLQKMFDLARADVDRMRLARWEEERKAEDQQALDRAIKQSTML FERRRMEILGETGSDALESSDEEGGETDDTSDDGSDNESNMSSTESGSEDDNAIDDDE GLTADELRSKYANLSSLKDDSDHRSQASDDTASSRHTDISQVGRLADNVGDANLPLAE LPPEKVQLEDVDPVLMDDSDEASTDMDDDMGESEEDEDSNGSNSDEESDDGPGLLGFF SAKDSPYNNNDPQADDENSDDDVVGDGTNAKIVSEEEDLEDTDEVSLVPNGPAQLDST PPDAANDYTMVDNVSTGTKGTDVEVVDASILGNPGVPNIQDGTIPIEPPGDMERVQRE TYQSGEPSSEASPGTLATKPSEPESVSSYDAPGEKPAQPSESPAPGLKTPIPHLLRGT LREYQHFGLDWLAGLYTNHINGILADEMGLGKTIQTIALLAHLAVEHEVWGPHLVVVP TSVILNWEMEFKKWCPGFKIMTYYGNQEERRQKRRGWMDDTSWNVLITSYQLVLQDQQ VLKRRNWHYMILDEAHNIKNFRSQRWQALLTFRTRARLLLTGTPLQNNLTELWSLLFF LMPSDGDETGIEGFADLRNFSEWFRRPVEQILEHGRETMDDEAKQVVTKLHTVLRPYI LRRLKADVEKQMPAKYEHVIYCRLSKRQRFLYDGFMSRAQTKETLASGNYLSIINCLM QLRKVCNHPDLFETRPISTSFAMSRSVATEFETKELLIRRRLLFEHPLDRLDLDFLNL VPISREDISRRLADDSTRLMAYGPFNVLREQQYHRTNWEMNFDGSTIQSTLDVLENDC RKRRMAELERCLYFESKRHGRRPVYGSTLIEFLTADSKQRPTCNGPLRKRSLADWLSS RSSVLASMILSIKERSHVMDGYVQRFACVTPAVVAAGITEAALTPIETRHLTKRERFP SYDPFHEAQMRLSIAFPDKRLLQYDCGKLQRLDKLLRDLKAGGHRALIFTQMTKMLDI LEQFLNIHGHRYLRLDGTTKVEQRQILTDRFNNDSRILAFILSSRSGGLGINLTGADT VIFYDLDWNPAMDKQCQDRCHRIGQTRDVHIYRFVSEYTIESNILRKANQKRMLDDVV IQEGEFTTDYFAKLDVREVIGNEEMPENQDEASAAMDRVLENRVSSSSRVFEQAEDKE DIDAAKNAQKELEHADDGDFEDRSASQNTPAQTPAQTQVGTPLAAGDELGNHGPGVDE FVDAEPQTAHIDDYLLRFMEWNLKDEPLVLPPDKGKKKSKKGKEHRLRKRRR AOR_1_1046074 MKNTIPSDVWERKKALIAKLYKDEEWPLKQVIKQIRSDDFNPSE TQLRSRLKKWRVTKPSRQTRKKSQDNQQDVNGDSSSHEAGSPKDEIPISPKTQLYSTQ KEATVLSSSEPDFYMGDGVYVHHDFPTTGSFNHQDASNTWAHDRDSSVLVISNSNSFE PSSHTSPLADGVLLDSTSPMASSFQNSHYAVTTGSCMTTPTTAATAPISWVVPQWYPI HLEAGAHPPSMPYYTAPPLSPPIDPAMQMVSPHPPHRLYSPPSPGCSFSHEQAFDPHD PRTPSLRTMPVPYSPGTAGGHLRANQRGGQQEKKMSLPTKLSNHSSVGPVTHAPIFPS GHPVMCAPTFPY AOR_1_1048074 MRAIQVKEYVKGPLDLQVTTLPTPSPSPSDYLIQIRSAGTNFFD LLQIQGKYQHQPPLPWIGGAEFAGTVLAIPTSKSASQARFKVGDRVFGATQGAYATHI LAPEHTLLPVPNGWSFEDAAGLFVTAPTSYGGLVHRANVQPGDWVLVHAAAGGVGLAA VQIAKGKGATVIATAGTERKRQIAREFGADHVIDYRNTGWPEEVKKLCAQHRTGNGKA GVDIVYDPVGMIDASLKCVAWNARLLVIGFAAGKIEKVALNRVLLKNVSIVGLHWGQY ARFEKDTVGTVWNGIFDLVAQGKFKGTAFKDESFVGLESVPRALQALGGRETWGKVVV KVIDEARSKL AOR_1_1050074 MSKHLSRFSHHPRAASGPLECPYEGRDIITSCQFNKGSAFSEEE RNVFKLHGLLPPNIQTLEEQVQRAFQQYKSRPDALAKNTFMASMKAQNEVLYYKLIQT HLKEMFSVIYTPTEGDAIQNYSRLFRRPEGCFLNIKDQDRIEECLCNFGRGIDVDYIV VSDGEEILGIGDQGVGSILISVAKLVLATLCAGIHPSRQLPVVLDCGTDNKDLLNDEL YLGLRQPRVRGDEYDRFVEKFVTTARKMFPKAYIHFEDFGLHNASRLLNQYRPHIPCF NDDIQGTGCVTLAALMAAFHVSNIGLADVRVVVFGSGSAGTGIAKQVADTIATDTGRT KQEASAQIWCLDKPGILLKSLGDQLTAAQAPFARDDNEWPKEKGTDLLSVVKEVKPHV LIGTSTKPKAFTENIIREMAKHVEHPIVFPLSNPTRLHEASPEDINHWTEGRALMATG SPFPPVERNGVEYEVAECNNSTCFPGIGLGAVLSRTQILSDKMLVAAARALASQSPAL QDPNRPLLPDVENVREISVHIARAIIETAIEEGYAQEKDIPSHEEELEEWIRVQMWEP IYRPLVKAGEK AOR_1_1052074 MKIASISAILLLPNAAIGIPRSDLAEDVVFVPKSHEPVYLEKRR GGGGGQGGGGSGGGSGGRGGSGGSSSRTGSSSNSGGSSRSGSGPQPAYGRGSYYAGGA RSPYTSGALSPLGIAPIILPATALAFFGGTWLYGAYAYPYNYHYQYVDQTSHHNASMP VVCLCEKYAECACDDNSERSYYESIFNGTQPANSSVAKIVEVNGTETIYINGTLPNGT TVADSSAPSDARTGIQTSGYWPMVALVASTIWGL AOR_1_1054074 MQFSKALLIVTAVLAPFALAEDAPTKTLTIQVVPVTTSTPAPTS TASPSSSAFSIHTPSSSARASSTPLLSKTPSATPSVTPSSYTVPQATGGAPAIRLSNA IAAGVVAAAGFIVL AOR_1_1056074 MTGEYTCGRCLQVLRRRVAAHGHRSSSCEALVHEATVASHPKIS KAMSNGPLRLPLRATSATSTYSPETRALLQPNNLFHPFSRSPSPSIRQRAAFIKQNAF CPHPSHQQTRAPVSPHDPESRKNQQSTSPPAHSHFECPDCGVPIYCSEGHWMDDFEAH LEICETIRQINEDDHDLHSGRFFPEFSYPGLQDDNFVINMTNWDTFLYTREFDAINHD RSMRQVTRMLTYPLTIGSVLHELSPYGVRKGGRLTVEGLKSVSALRYTLHPPKTGEGV DIQGLRLKAPPVRIFILGARAESSLPREVWLQLSYIFPRSLIHLIFIGPESMANRDTE FPLPERTPENPFGGIVEDRLGGQMKITTYVDYFHTMYKAQYFQPFDPYLDCIMLFHPG LGHPASSHEWEETLPQLLETKVPIISTGYTQWDMERDMNWVHEKCAGEFDILLEPGEN IFRSLRWDLNDLDPHDVSCGNWGLWAFRGKRYEATFKG AOR_1_1058074 MTEKGEGHKRSRSALALAILHRDKSKDDHEGWSGRDSDSPESVA SALVNNTPHFSALAAAQSSTHQSTRQKVKTPLMSDENSLEQVRSNQSGDHTEKLPALT TADSISMSMSLDQSVRTFRLFEILRGGDTTAISRAIKETQDPQGANSLSGTTMLHLAI QCAEPQVVEYILSSGYELDINARDRDGNTPLHLAAQLGRGSLVRELLNSPSLNDSVVN YRGQTALDVARTPEIFQQLQLARSLFIDSKSQEIQSLISQGDYAQLEKVLEEPRVEGI LDINSLDLVTDTATAQSGGTLLHEGARRKDTKLIQLLLMHGADPFRRDKKGKLPQDVT KDDRTRAIVKKSPAAVIAQRGIQEKAILGTNSGQSVSGRASVGEAPFAGKDSREMRGY LKKWTNYTSGYKLRWFVLEDGVLSYYKHQDDAGSACRGAINMKIAKLNMDSQDKTRFE IHGKSSVKYHLKANHVVEAKRWFWTLNNAIQWAKDEAKEEERRQSRHAEVLRQAKMEQ IEGRTADSSSDSPCLAATKSSGKGHTTPSLGVPGSSVTRLSAHASRTTLESIPAEEEG SFQGSYDQGALQNEVNRVASHVTTAPEGEGDDDDYGDYGSSREVPMADKDALNITAQS ANLQLDILASVASSLQTEKSRNPGLSLADSAVDEALAAYEAAVSSLQGLVQNLLKISR DRDAYWQYRLNREAHLRKMWEESMARVAQEHEELQSKMGESEEKRRRTKRALKEALEI SSATTSCAVSKVPSRMVSTGEEGDGADERMGAHALGAENLSQADEQEAGRPLRRKKST LSQISDLCDSASDGEDEFFDAIDSGEIEVENLAHTEASTEKEELMGERTELRAIKRLE IAPSFKGYEEPIRTKLKMDYDNRPKISLWGILKSMIGKDMTKMTLPVSFNEPTSLLQR VAEDLEYADLLDVAADRSDSMERMVYVAAYAASEYASTIGRVAKPFNPLLGETFEYVR PDKGYRFFVEQVSHHPPIGAAWAESPKWDYYGESALKSKFYGKSFDINLLGTWFLKLR PASGGEELYTWKKVTSSVIGIITGNPTVDNYGLMEIKNWTTGEACYLDFKPRGWKASS AYQVAGRIVDKGGSPKWSIGGRWNDKIFARHTPGYEVDVSSQDPESSKTFLVWQCHSR PSGIPFNLTPFVITLNALPEDLKQYLPPTDTRLRPDQRAMEEGEYEIAADEKHRVEEK QRAKRRERETKGEEYQPKFFTRKKCPITGEEYWAHNGKYWAAREARDWSICEDIF AOR_1_1060074 MPCIPQAAAALSRRIVSIATRHNTAISRSFHFSPSKTAIAHPVT AHGPPPKAPSAALEYNELMRPQDGNELHTRTAKPTPLNKRFWKSVDVRIKPEGDYQAL LDTRPVRTPTKDVLYIPPTKPHLAHLIALEWDVMTSAQQALKNHMIPLTSLAARAADI VREDANGETTTRDQIVKTAMRYLETDTLLCWVPEKNDYSVEEVDEHGRRPESLREAQM RVAKNVISFLSTMVWPGIEIRPILDSESILPVSQTQATNDIIKQWIFGLQAHDLAALE RGILASKSLLVAVRLVSEWSENLRHVQRQNQKKFGIEEAAEASSLEVKWQTDMWGEVE DTHDVDKEDLKRQLGSVIVLVSGVTK AOR_1_1062074 MEFPYMRAFIALFIYRYLRLIVNLASFWTFKPILPPENPRLTAQ DVTVILPTLEGCGDELVETIRTILDNHPYELLLVTIESNRKKAERMLSLMPASKPRIR LFTVTHPNKRRQMTRAIPEVRTPITIFADDDVSWPSTVLPWILAPFEKDERYGGVVTC QRLRRAAAPTFSQRVWGFLGALYLERRNFDCAATTHVDGGLPCMSGRTVAYRTDILQD EGFTYAFTNEEWWFGRYQLNADDDNFITRWMVSHGWETFMQYHPEAEVLTTLEDNPKF LKQCARWSRSNWRSNLTSMFHEKHIWYRQPWSAYAVHLTTLSPPALLGDLLLVLLCHK ATTSWEDDSRALAMQALGAWMFVSKFIKLLGHYIRYPVDFLLLPVSILFGYFHGGIKM YAVMTLNVTTWGSRDGADDYDAERMKKRTDSDRPKSPYYPKFITQ AOR_1_1064074 MANWKVSPTDGQEAATNYGSISPPYLNWQEAVPDTASFPPPPAL GFLSSRNGNASRDDAERAHEFCDKFPLHLPVKPSATVYTCVQEHDLRPVLPKEFHGTF ALVSQGYWKGSTRARNGDTLVSTNLPLYFPTVDSPFITEKSKTIYFEVKLLGFREGPG PVSTDSSGFSIGFTAHPYPYWRSPGWERGSIGVFSDDGCRFVNDSWGGREFTSAFAVG ETVGLGMTFRRTDNSSTDLKSNIKKCKVDIFFTRNGHPVGGWDLHEEIDEDAGRIEGL EGDFDLYGAIGLFGGVDFEVCFDQAGWLWKM AOR_1_1066074 MDQSTRPRRPSLTQHLQRILHIDGHGNRHHSSSQVSPDAGLTAS PQQQQFIQQRAHKPQGYLDVNENLSHTHTRNHTSYSYTSLGSRLTPLNGEHPERISNS SAHEACLQKSQASCAPEETPTKSDICASPTWTSNSPLRNERRATLRLEAERVELEKKL MKIEQMEATKDSSPMRREPRRLTKKQPFGSSSRASSVSADEPRASRRISSFFSSSRHS SRSRSSSLNEDDKGAPRPQSLGPGGTPKSDPSTQSATRTLSTTLPERLGTAISKELAV QSNPLLADHTPCLRSQKLSHSEVAMAVGQGTESNKSFDKIEASTSHVQGFSKLRQEPT EQIAPIPMSISHVQQVSDPSELDRSSFAAALNLRKRISSKVQSHGRLSQPTHSQSNTT QEENHCELDANDPSKKTSSVIPLSANRPGVRKSMVAFQSGSSRSIPSRTLRGKTQGRH KGFTSSPLAGFPTRNDTASQPLDAVPTEQASASFDGLCHTEPSAISKITASSNTNALH PMTSLQLSNEDGREDRHFPSSPLDFTSIAKESPRTRLLNISGLSDHSPKPHSSPAIPH TKPKGLPPLKQGVQHAFGPVKTHEYGSRGHVLENHSQSRSARSRSQEWSLAAQKRLEG ESISICPCDSNSSDRGKHSPPKGGSTNALDYRIGHSTVSLSASLSQDSESEEYNTADE AAPSASKSQSEESIPVKQLNIASVPASLGASANKISPSKLHHTSQAVHPNTSAHWTEQ VGENPKHFRRGQLVAKLFVICCHCESWHDLPSEMYAKLAFPAIPAPANHGSASLVGRS GLNDRALSEAQSEARL AOR_1_1068074 MVRKRGFSNTSSTSSLPEGNQELESMYDYLAKVILLGPSGAGKS CVLHRFVKNEWRVLSSQTIGVEFSSRIVKLGVGPRRTRIKLQLWDTAGTERFRSVSRS YYRGAAGAILVYDVSSYTSFASLPTFLMDARALASPNLTVLLAGNKMDITSDMSLHSD TTEDATRPPPTPSSTSSKQSAFAFGSGGGSVRSTSHLATGTRMTATHAPHGREVYFEE SSRWAAKSNIPVVVEVSALTGEGVEELFNRLARIILTKIELGEIDPDDPQSGIQYGDG GLYGHGTSDGSSIRSRMTLDDNAVQLHNRKPTNASRWKSGMREWEDVFRLSGSHNKNG KGCC AOR_1_1070074 MGAPPKTAQNLSFVLEGIHKVKFEDRPIPQLRDAHDVLVDVRFT GICGSDVHYWEHGSIGQFVVKDPMVLGHESSGVISKVGSAVTTLKVGDHVAMEPGIPC RRCEPCKEGKYNLCEKMAFAATPPYDGTLAKYYVLPEDFCYKLPENINLQEAAVMEPL SVAVHIVKQANVAPGQSVVVFGAGPVGLLCCAVARAFGSPKVIAVDIQKGRLEFAKKY AATAIFEPSKVSALENAERIVNENDLGRGADIVIDASGAEPSVHTGIHVLRPGGTYVQ GGMGRNEITFPIMAACTKELNVRGSFRYGSGDYKLAVNLVASGKVSVKELITGVVSFE DAEQAFHEVKAGKGIKTLIAGVDV AOR_1_1072074 MIRSNALPLRGTLRALQPRINNYRLTAAQQQRHYRVSARNCANG RPQSFKNQLYESTQQRLKRERAEQERYAQYQTQSQGGRYTALTFALVFFSTGAYFLGT LKPPSLPTSSTTNILELEPPRHNISQSNLQAAWADFVDIIGKENVSTENGDLEIHSGS DWSSYSRKETEKPFLILYPSTTEEVSQIMRVCHRRVIPVTPYSGGTSLEGHFASTRGG VCIDFRRMNRILAIHKEDLDVVVQPALGWEELNEQISQDGLFFPPDPGPGAMIGGMVG TGCSGTNAYRYGTMREWVLSLTVVLADGTIIKTRQRPRKSSAGYDLTRLFIGSEGTLG LITEATLKLTVKPKSQSVAVASFPTVQDAAKCVAHVVEDGIPVAGVEILDDVQMKCIN DSEATSRRWKESPTLFFKFTGTPTGVNEQVSTVRKIVSSSAGQSFEFARNDEEMRELW SARKQALWSVMSMRRGPEDQVWTTDVAVPMSKLPSIIEATKQDMTQSRLLAGICGHVG DGNFHAIILFNDNERKTAEAVVHRMVKRAVEMEGTVTGEHGVGLIKRDYLEHELGEST VDAMRRLKLAFDPLCLLNCDKIVRVEQPMPEEVKAW AOR_1_1226074 MSDTVQKLCLLLSLILAFSAQAHAFGAGNIASISRIEGQNWRHG DIEDALLTLFLARVAGGRKFNKLDVQRVYFGNWLRDYSLAVDVGTLKYVGAEAIRILI WVLGFLSFGYGAKEFEVTTDRLGCYEPTEHIDNPLVDEERELLVDSMTATEGVGIATS AGLARRVFCRSIQLGRQYAKSWNDEDLHEAFRLLGTGLHCLEDYAAHSNYTELSLIEM GESEISPHVGRNTIVELHGAQNDVYPVVTGTFGGVDFFHSVLGELSDKVTQSEVQSLE GVITDSQSGSPSESFVQDLLSMIPAGLVDDSEAQANKMEEFKTQSENAKQYSQDVSLR ELEEWTCYLEGLHQKIYPVLEWHDEIIKSINSAIEKIPVLPELVEQIQDHITVFVFSV LAPYVLPIIKQVKVELQTGSSEVIQSSREQQHIVFENDESSNPTHSMLSKDHFSNVLN EPAGRVASEVIKWTVPQLMECWDSEDIDITRTLDRIIVGVFHHPALRENGEDGAADVR QIMFHTVEEWWHGKTEEEQEHLREQLTRQGVFEGRNHKEGVHDTGHGCGKPLVLRKGG HGNPLESNGTGPSSLEEAAGEAAGDGTLRGLVSGLELYDDEASLEEQRPPWNVQHENY DKGELCGDRWNYEHESVECLEYQKRNEYDHFVPPLGRNGQTSGYNSEETYRSRLDEYS DGNGGYCYGYDGRPYADVERQGCENDKPYNSVPQCNGTGEGYGGARGDGYVSREEYGA DHYSSKSDDYMKRLYGY AOR_1_320064 MPSHCPDESARARVKQDRDRLYVSTIHRSSFRILTAVAIKKALL KLGYSDVYHGYTAATENPRDCEMWLDAMAAKWDGVGKPFGRTEWDQLLGHCQAVTDIP AAVFAKELIEAYPEAKVILTNRNAEEWHRSVQTALLKNVFHPWSSVVDTLAILTRSPN RFTRKMFIRAFTDYFQGDFQLHGVSVYESHYKMVRKMVPRENLLEYQIQDGWDHYANS WERISPVRCLFPMVMTPWKPPIEFGHW AOR_1_64 MAVATVAAAVAGAALSTVPVVIGAFTQHFSDFLNGKSSSYDLEH DARHLALYFIYLGIISFVGLSLSTFGFTRLAESCTYRLRKAYLSALLRQDVSYFESLG AGEVTTRITDDTNLILDTLSHKASILLAGLFGFVAALIIALSRNWRLALVLMAMPIGM IATMGTLGAYMRRMHVIADGAQGRLVKRYEEMLMPALGADLRSKATMAMMIALTMTII LWGYGLAFWQGNRFLQKGDCTLSDIVTVLLTSTMAGVLLGQSAPFAASVIQAKAVSTR IYATLDHVSPLDPSADSGMILPSFAGDIEFQDVRFAYPSRQSEPVMDGFNLLIKAGTS MAIVGSSGAGKSTLLALIQRWYDPLQGNVMLGGHNVRQLNLRWMRSKVGLVEQEPLLF DTSIHDNIVYGLGAEADQLDHHTIVSKVYEAAKLANIHDFILSLPGGYSTRVGQAGGL LSGGQRQRIAIARAVISDPPVLLLDEATAALDTKSEKAVHEALQRVSKNRTTVIIAHR LSTIQSADRIALMEHGKVIEQGTHDELIFRGLKYANFIRAQEFTRASLPLRSRQDDER NEQEFAQKPEDTASVTVSIDCSAKSSRWALVKFVWHLNTPERRFILIGILASAFAGAG YPIQAILFGNAVVSIVSPDSATDGHDPKFWALMYVALGKCQFIFYTIQGICFALTSSR LAYRTRTKAFTSLINQDMSFFNQVENSSGTLTAFLATEASRLTGVSGTTFGAILNSVM TLVAAIAVACSFGWKLGLVAASTIPILLTCGFLRFWIISWTESHAARATDAAGAACEA VSAVTTVTSLGIQDTIVDRYCEKLQAEQPQTLRFNIVSSIMYAASQSLVFWVTSLLFW YGSVKLVASGEYSVQQFFICFTAIVWSSQAAGMVFSYAPDIAGAGSAAAQLVGLLFTP TTIDVGLQHGEVPGQTSTGVMLSSVEFEYPSPHGPCTVLQNINLTAKHGQLTAIVGTS GSGKSTILDLIERFYDPTRGTILVGGKDVREYKLANLRRTMSYVGQGGWIVGGTIRDC LLSDEENVSEDEVIGACKSANIYDFIISLPNGLDTPVGGKGSRVSGGQKQRIAIARAL LRKPRILLLDEATSALDTISEKHVQSALSGGGGERTTIAVAHRLASIAHANCIYVMDQ GKIVDCGSHEELLARQGLYWQLFTLQETDTG AOR_1_2064 MTGSGRTSDALSILSLCIHVIQCGSAIVVLGITAWAVQHTKTTT VIYSLVIAILTPVVYGIALIISCLTRRRKGNFLPVLAFDIAFSYLWLTAFIFLARDFN HIGCRVLLWNGETVCSRKYAAEAFSFIAFILTLVSFLVEFAFMYAAKGDTHAGEIREV GDNDDLGALSRNLRHVGVMP AOR_1_4064 MSFSKVAIVLLASLSLVAGHGYVSSIEVDGTTYGGYLVDTYYYE SDPPQLIAWSTNATDDGYVAPTAYDSSDIICHRGSAPGALSAPVAPGGTVKMTWNTWP DDHHGPVITYLAKCSGSCSDVDKTSLEFFKIDAGGLIDDTDVPGTWATDQLIDDSFSR SITIPTDIEAGYYVLRHEIIALHDAENPDGAQNYPQCINLQVTGSGTATPSGTLGTAL YKDTDPGIYVDIWQSISSYTIPGPALYTAGSTATATAAAVTTITPTTPAAITSPPAEV AVEMSVAAEPSIPSQTTQIAFSTTTTATEDVASTASSASSVSSTTSGVLSGSCSEEGA WYCNGGTAFQRCVNGQWDASQNMADGTACTAGISDNLTISAAKVRRDTRLLRHRRAHG HSK AOR_1_322064 MASNSIPNTPRVKLNDNVSIPILGYGTGTAWYKQAGDDSVNREL VEAIKTAIRLGYRHLDGAEVYGTEAELGVAIKESGIPREELFVTTKVITNIADIPSAI DQSLRKLQLNYVDLYLIHSPFFAKSDGELQQAWAAMEKVQQAGKAKAIGVSNYHQSHL EATLKTAVIAPVINQIEHHPYLQQEELLRFQREKDIKIASYGPLTPILRAPGGPVDPK VSELAAKYKVTEGEVLLRWSIDRGDVAVTTSSKESRLQEFLQVLTFQLTPEETGFDTT FKPSFPLTGLNGRQLSDDWKDEPRSYLGIAAAGYPNYYMFLAPNSPIGNGPVLVGTEA QADYICKHITRIQQQGIKSIEVTKEAVDDFIEHKDQYMKDMVWNEDCRSWYKGNTADG KIVALWPGSTLHYIDTLRQVRYEDFKVQYFGYHFSYLGNGMSKLEMAPDADLAYYIRQ RDDAPIIGAKFVYKKAGPELTAINMTAAKASEETTTDVSRLKFTNRSVPG AOR_1_8064 MLSPMLEQLKAQPLYQDLNWRLALIGGVSSLGALGFGFDNGWWG GALGLSQFQQKYGEYDDSLGHFVIPSQKTSVGTGTGSAGIILGCIIAPVVASKLGRRN SFLVLSLLMLIGITLEASAVTSFWQLVVGRIVVYSGIGLASNCVPLYLSECSPPRIRG AFLGLYSFFNSLGVFLASLVVYLSRSRTDKWQYLVVILCQLLVPIGYIAFYAFIPESP RYLIYRGRFDEAEQVLRSLSNYPDTIPYEVELLKAQAEEQQELHKATSIWDCFRGSNR RRTIIAIGVQVLQQAQGVSFIQNFIVTFMEQLKFPDPLRTNLMVTGCSFAVHIITFFS FDKIGRRYSLCIGAILLGGTMFGTGIAIATGDTSSGSSPAATASIALLILWYCMYGFT WGPGCWVVAGEVGTGQLRERTLFLASMGSFLTSVPINFVNPYVQARLGGSVTFIYGGF SVVALVWVFLMVPETRGRSLEELDDMFQAEVPTRRFKKYECSGIGAHITGAQNLQSKE VKVIQEGWVENKGDTGAQ AOR_1_10064 MSRHTKTVVQSAILKSAANLTAQLFRYSTNPTAPPLDWNAVFEF AIFGLIQAQVNCHWQEFLEDSFPSYSTFAKPADQTTAPKKIIQWRNIIYKILLDQTIG LFLMNTIFLVCTNFKQSGNASVLVAEVNRKIWPLIVNAWKVWPACSLCNFLWVPVESR VLVASCVGFGWNIFLAFFTMVK AOR_1_12064 MAWLYNVFGLLLLTGLCVQPSLQSQCAGSTTDKPRVLVLSDIDN EPDDAQSLVRLMVYSNELRLEGLVATTSIWLNDTTRPDLMHDIVNAYELSVPYLRHHA SGWPKASDIHSLIASGLPVYGMDGIGEGKDSSGSTLLINAVDKSEEPLWVLVWGGASV LAQALWHVNATRSPADIDRFVAKLRVYSISDQDNTGTWMRRNWPSLFYIASVHHFNRY AVAGWGGISGDNYYHFPNNANKEVISSAWVQQNIQSVGPLGAKYPDADFILEGDTPSL LHIIPNGLSDPEHPEWGSWGGRYGPVTFGEGHFADSVDTIVDDSGRTMMGSHVTIWRW REAFQQDFAARMKWTTASRFSDANHAPVVTIDGDRTRRVIHILVEPGQEVVLDATDSC DPDGDNLTFKWWQYLEPSSNNNNPRRDVAELSLSSTDSPRITVTIPPSDVIRREGRNT HPESDKHLHLIVQVSDGVLVSYRRIIFTVPGLEAVGDKQTNHDEL AOR_1_14064 MASSSSIYILGIGSIGCFVAHSLRSLPDIPPITLLLHRQSLRRE FVSTGQKIGLQVGEDGDVDEQSGFNVEVLGVDSTPTSPIRYLIVTVKASMTVDAIRPV KERLGRDSVICLFQNGLGQVEELNQQLFPDPATRPTYMFGIVRHGVYLKSAFQAVLAG RIGCVSVGFVDADGLATSQPRNRFLVDTLLQSATLNCEELDWTSLFRDQLLKLAANCV LNPLTALLDVRNGLIADMVQVKPLITRLLEEISTVFGRLPEIHHLSNHDPSWFSPASL EAVVMDTIRKTAGNSSSMREDIRKGRPTEIEFINGWIIKRGRELGVECVANLSLIELI LARSSISDQQATT AOR_1_16064 MDWKLVPRQGVLYSAPFGLAAEYASPIEVMILGFGTVSSPILWC ALTGNLYILTMYVWIVLRLCQAIDAHSGYEVPWSLHHLLPFWAGADHHDLYHEKSIGT SYHPLDTEYTPDALQRQKGKQVEAKKTQ AOR_1_18064 MAPRYIPKPGTAPSVPRDARETYNTLKRGGVVIIPTDVGYALLT STQTGIQRIFSAKDRREGHNIGIIGTYKQHRQIHVLSEAKFEMTRVLTEDMAMIVGII AKYDTKSLHPRLAALDPATLSQVTKGDTVSIAVPEGPFLRELGRLCDEGPEGMLMFGT SANLTGQGQRFRIEDIEPRVIDAVDLVVDYGLQKWQVYRRGGVNFDAENMKVLRKGAG YEVFRDRMLRWFPNLLKDAGVSLEEDPDFQISEPGMPAT AOR_1_20064 MSITICPNKVSPSVLAAREELNRALEAKYSETRQWYEYPSPADY RRAELDGTAGFAKPIFDSEAIDFFLPSSHGDHSIPLRQFIPKGKGSKGVFLHFHGSGF CISSARLNDGYLRHLADNLSLTVVTVDYRKAPEDPFPAPLDDAIDAALFALSPDGEHK LQGPLTIIGGESAGAYLSVWVTLELRRRGIDVMSRIKGLVASYGIYDLTYLPSVRNYC RRLVLSNEDTPRFIDTALPKDVFPLDVRKQPHLSPLYADLKGLPPALFLVGSEDPLLD DSVFLATKWGMAENETSLKIIPAAFHGFTLFSIGEMADEGISEIVNFVSALLDVKD AOR_1_22064 MELANNRTYLGTPLFFAPTSGSGNSLSNVRPTLEKGSWADVPVM YSTNKNEGSIFAHMVFLELEQVDDRGSIYLPDVRTSQVYRETQPQGVWRYRYSPEFPN LTPYDDVGAYHGAELPQVLGTYNATTATENPIVLSAFMQKTWTDFAKDPENGPGWPSL NEDSKLADFRNDENPQSITLIEAKDADKNCGIWFRESETYDLAW AOR_1_24064 MVKILCLAALGLAALSQATKLHVNKGYITVDDAAVRSSIDVSPP VTIYARFDGSSNKKRVKPGCKLEAKWPSNYGDIYFGEDNCLYDSKGQNINGQCCKPSG NLPEVRNPYYG AOR_1_26064 MSGLLWEENVDLQAYNTFNIKSTAQYLVRIRSPSELAELVALPQ FQSNRQLILGGGSNILFGNDRFNGVIVKNEIQGIEVVSEDHRHTCLRVGGGVGWTSLV NYCIDQDLGGLENLSMIPGTVGAAPIQNIGAYGVELGDVLLSVEVCDLGTGDMKTMTK EDCALGYRDSIFKHTSMVLMVCFITIKVTKAQFHRVTINYASMQHALQEKGITAPTIR SVSEIVCLMRRRKLPDPTVLGNAGSFFKNVICDQSVLNTLQQMHADIPWISKLDGRCI IPTAWLIEKYGWKGRQIGRAGVYFGHALVLVNLGGAQGSEILSLSEAISQDIRINMGL LLKPEVNIVK AOR_1_28064 MVAMTDRHLTLFLITFLISPAHVLGVREDSLLTTATYDLLSNVT AESHEAGTLPAVAPLVRRSPQGDSIQCGTGFGYCISEAAVRPALSVVNMVSACDQVTH VAPTSLAHRARYAVLEGAILKAAIAVTTVATVVLEATVERTGGR AOR_1_30064 MSDDAKYPYPWMRERRREQNARAKRRSRQRQKAQQAAALEVVKQ NETQLNLLPSALPDPLGCVSNHPAIVSDLENVAKILDAEQLGIAAILKYGIISMGGAL DDRLLDIANQTCFCCWLEVVIPNIKMPFNIGLALYSGVRRLSQMKGPPRWSIEAVGID TAGRLLQIEPECRDLRSIRLTCFSSTSAFLENAKQLGLSLGDFIDDNSESPFCSRDVR RYQPISYQTLAADLQPTPEQLMIPHHPYLDIVPFPSFRAKALAAISSGTPEFSEDELC FDLAHDSMRCWGSTATSLHGRGNGAPWDARSWEVSPWFLRKWGFLVGNEDDTIYQNSL WWWSQR AOR_1_32064 MGLFSSAEPPLTEANLSNQSGMTFVVTGATSGYGLHLATILYQH GGKVYLAARNASRAQSVIDDITRRHPESKGQLVYLHLDLGDLSTIKKSAEEFLTKESK LHVLWNNAGVMIPPQGSTTAQGYELQLGTNVIGPFLFTKLLYPALAKAAADSPPNSVR VVWLSSSAVRMAPTPAIDFSNMDYHKDEGAWTKYGRSKAANVLLAVEFARRSKKDGVA SITLDPGTSMTDLQRTMPCWQVAAVKLVGQRPEVGAYTELFAGLQPDIDPVNSGNWIV PPGRVVAGRKDLYDPELSEKFWQWNEEQVRSYL AOR_1_34064 MHSRESSGGGWEGGPRKYAYNREGAREREMHLYLPYWGFSDSEK HAQQSIGTHPNVSRDNVLTVFAQLAALRMGAQRALISLFDKTMQHVVAESTPGLSLRG TEGCEQTEALWLGVRRLPRQKITMCYHAVRSFVEDEQDIFVAADLAEDERFKHHPSVT GYPHNRFYVSVPIRSPDAYVIGTVAVLDDRPRDGVSDEQVRFLKELSATVMDHLLSQR AMREEYREEKMVRALGLFVRGKSDLAEWFSSRNTSDKKYGSKMAHVNRRLEQLQVSGS SPDEDEKQECVNGDGEAPRGKAREQKKHRHKSPVRKFENHQEAESGDEDEADNKKSKR QRPRLSPTTSQLQETLTPSSVRSVVNRAASLIYQALDVEGTMFIDASVYARRQTVGST ETTHDTPGAYNVENKTDEDQIPSASYPESGFSGSSDDEKEARSLVLGHFTSSTSDQAE NLKDSHYVSLSGAFVSHLIDRYPKGKIFHIEEDGSIALSYEGLANDMDYSESGGRGAQ TTDAHKKDVQQETMDLKQLMKVLPDARCIAIYPVWDFQRSRWFTINLVWTDDPGRVLS EPKDLTYMAAFSNTVMAEVSRLDLEAADRAKGDFISSISHELRSPLHGLLGTVELLQD MVNSYAQRSLIETIYSCGRTLLDTLNHLLDYAKINTLTRPRPSDVAGKQGETDVSKPQ SAVPGFLQDEDLGVLVQEVVEGLLAGAEYQRRGPNDNNEVALKNDTNNPESRLMTIVD IEWQDSWQFSVYAGAWRRVVMNLFGNALKYTRTGYIRLLMKKDTLIIDGKDPRPAVHI TISDSGRGMSKDFLQNHLYSAFLQEDTTSPGLGVGLHLVHQIVRSLNGQIKFTSEVDR GTSVDVVLPVTPPERSTSIQSSDYPRLRDKLNGMTVSLFTRSSQKGDLGFDSQKFEDV LSSLGHMVSGWFGLRVLNQKELDHERPDFAIITEHEYYKYYQLGSNEPAPDSSEIKPS LPLIVLSARTSSWKALGESADESVIFLTQPVSPKTLSTAFEHCLGLSGPSQDSTPQRH HSPAMPVREKKTDVDGDASDDKQGPSDDEREAQAKSLSGNILLVEDNQVNLKIIEMCV KSAGFKYQTAVNGQDALEKFKNDRYDAVVMDISMPVMDGLTATREMRHFERKKKLPPA TIIILTAVLSASMQHETMMSGANMFLTKPTPLKQLKETLRKLSEGKDVSDDMRS AOR_1_36064 MMLSKILLSAVCAMTASALPHHYPRGSPVSVAPTTTSIAASSSS SASASASASASASASASASASASGSGNVQIVNNLGSTVYLWSTSDTSSDTQTISSGGS YSEAWKTESTGGISIKMATTDSETSVLQFEYTADGDTVYWDLSSINLDSDSEFITAGF SATPSDSSCSSASCSAGDTDCAASYQQPDDTDTNSCSASAGITVTLG AOR_1_38064 MADIIRLFMAQIRQHLTASDLAKLARVSHELNDLATPYLYQTVH FHSPGRIKPDDQLLSQLDILGNLHFNKLVYTRRVVVSGSWYEAYAAIDSELGEHQILS PAARMFSNIIRNCIQRMPNLEEFMYYPSFPLSHWGCSMLGHASFTDTGLADDRIAATE AAVLTNSYGYGLHPEAVLSPNLAPPHNSQAKGVALKHATQLERLSIWADDRAELSISS LVEDWHKPAPFRLRSLDIRGFSDIGIPAKSMWAAIPPTELRELTLELGPSLLVQDLTE FWDASVEADLRPLRLRVNLGIKGIQEFISSFSGLEAFHLVPSDTLRPMEPIRVLVDAL RRHHSSTLKVLGLSPFLDGSKYVLDVPDIKYLVGALPDIEELRVSQASLNQEFMQIAL LLPRLRVAQIDLVHEDPATESIFLDFIVYLLRKGYARNLTYVAFDDTTVREIRRNPIR LSDGVSRVGYVGGTVLLNEEVFDWVRTSF AOR_1_40064 MDHPRQSAQPAASTNRVPTVRSNPVIKRSVSSATAGIHNQTGSG PTDQPQEPNKQALHYSLQMKNALTELLNDVRAKGSDQGSRCLQNILMENEREVRENRR KSLNTRGAK AOR_1_42064 MPNAKPMVLPPSTISNLPSESFEDPSRGEVSWRTLFTQPKTPTN DLSAGIAVCPGYSGYLCSHHHAQAEIYYILQGRGVVTIDGVQHKVEKGCAVFIPGGME HSVTNNAEEELKWLYVFPETKFSDVVYHFTGNGRPKL AOR_1_44064 MAPSRVDDDIQSRPNTQILPNVKPNGEGHLLHRSLIEHPVMVEK AKGLTLHLSDGRTVLDACAGAAVALIGHGREEVHQAIMKQMQNVSYIHTQSYTTSSAE DLADFLLSGNPYGLEKAFFVGSGSEAVESALKLARQYHYENNEPDRLHFVSRQQSYHG NSIAAMSISSNLARKVPFTGFMYPHTSHVTPAYAYRHQMAGETEAEFTARLLRELEEE FLRIGPEKIIAFVAEPIVGATAGCVTAPAGYFTGVRAMCDRYGILLILDEIMCGVGRS GTFFAFEQENVVPDIMTIAKGLGGGYAPIAGVLVHKKVIDVLRKGSNAFNHGHTYQAH PVSCAAALAVQQIVRREKLVERCAALGQILEQQLRTELAHCPSVGDIRGRGLFWAVEF VQDSTTKETFDPTFKFGLRVQQAAFDRGLAVYPGAGTVDGMRGDHILLAPPFTVTEAE LRQICEVLREAIKSQEKEYMTC AOR_1_46064 MEETGEGPSAPHTKAEAPFPITAESEPSRPHDDVVDGWRGWIVV GAAACSLFVYLGIIYSWGVLQIQLLESTSSSLTTLTFVGSLATSFMVSISIPVGLIIR RWGYQRTALVGAVLMGLGEFLASWVTEYVGALFVTHGIIFGVGGGLTILTCSTAPMQW FERHRGLAVGIVFGGGSLGSAIMSIATNMMVKQLPLEWIFRVLAFLLWGVCTPAACLI RQPSHAKNSVPRPQWYRFREKEFLILFVGTGLACFPLFVPPYFIPIFARSVTHSQNIA VIMLAIWNVASTVGRVLAGFLSDSVLGPINSLILSLTLAGLSALVIWPFSSTTAVLSI FIVLNGFGCGAFFSLVPSTIGAMFGGKNTLGILPIIWAGWFVGFFFGSPIASGIYSLS GKADNVESYRPAAYYAGAMSIVGLLFTIVLRSMYSTHLLVKV AOR_1_48064 MSEVSEIRDSRLYSYQTETCSLEGFPQASGEVDISQIDDHLASL PTSVILKSLNVFTNKFPELGILHLPTLIQSFQSECSKETKVLLGVTLLVTKTQLSLLN PSWANSLLPSKHYESYIRQSLSGLILQPPDIQVVQALLIMALYEWGCRDFHKAWVYCG IAIRIMQSIQSRRIAPYPLDTDVSLGAVKDTVAPGIENRTIWACFIMDRMISSGTYNP PMLPMSEMEKLKVSRPLSTVEFAFGTNVSLYSGHTGQNLTWAERLPSGLLDITQCYEI LVSGFDIWAQVMTFIFNDGRRAPGMCAPENCPWVPGSPWSRTKAQLERWRAGQHNRLH YPSNSVAIHMTLGYGESFTYINLLYYLSTLMLHREYFPFMPTAESGPRGPVDHPLLEA EAPVGWWESSALELFSAAEHIARLLHEASECGAHLMTPFVGFCAFSASYMNLYIYRFP RMNLGRSPQAEQLMNFCLAYLEEFRHVWKLGEAWVSTLKHASLLYERASTDRGRYLGK SRQDFDHLHQSIHEFRVVDRSNQHIQEIEGAGGPSPGVTYSQPAQRIVPSSESDNLSA PLTHLLTEVSTYSHEQGAWSQWWPTLEDIDLALVSG AOR_1_50064 MISEYVGEIEELPPDPRIWSGKRYRKYLYHTAQAAIWTSTVYYP IRLLMILLEAQQSWPMWLMLAVEAIFGRLSYQDQRLTVAAGGEPERGPRKRLRLRGSH NLPRVDVLIPCCGEPVSVILDTVRAACTMDYPESQLRVLVLDDGASTQLRDAVSELHS KWPYLFYHTRGRQSGRVFAKAGNLNYALFTVQKDTPPEFCAILDADSIPKPDFLRATL PHLLLSPQTALVTTRQYFDNLPAGDPLSQSRLHFYTCQNAELDRCGRAIDAGSGAVFR RNAIIDVGGYPTFSFSEDWQLSLILRGMGYRTVQVQEPLQFGLVPTSLEGHIAQRNRW HIGHSQQLFTLRPLTSSSIPRHLQWSIACGGLAITLGLVGHVIGYGAVPWLLMSRSLI PASSSFLIKTQVILGLLHVSTMWAYGWLQTAHAGIRGSPFSQLENSWLAGAHLSAVIR FHFISSAPKGSFVTGSKENSWNRITKSSFYKMLYQDLWQNGILQSICLLLATIAAMLL STWTTLTTTDSELLTTRLLTTIAWPPLLHICYLTVTNHWVPVAYLLSPPVYPARASQM AVLGSKE AOR_1_52064 MSLIFNLQREDRLASLEEKVNLILSGSRPVKAARQDQKREELDD IPEAPYSKNSNPSSGEPAFERFSPGSGSFSFGFGNNEDSNLHPSSAAVSKALELYFHY CHRQPIWCFDYEDLEEKGSLSDELIYSVLALTARFSREPGQSQRYGNTARTLIMLRVA NGTVDLETIESLCLLSYSSFIDGDVHLGRFHLGLAFQLCRSAMMDVESGYPLESPLTE RKKRLFWSLQSLEQTYGQQNGFLSLPAENLRPFCAPNGGDRGSSKEFEPKPPQLPRDD IGCSSPNDIGIWSLAVHFGWVWSRVRTYVSHCAQNRLKEPWRHDSMYTMILSDLTEVE NKLSQCHRYDSVKFYERKADELRMNRGYWTPWLKAQFTYHCILTVLNHPFLYIVASQS NPNLSIPNAFWRRSSELVVLHATWLVRMIDMVSERKMRLIDPFFGHAAAIAATVHLYY CCAADPRLKQKSKIDFDKCRTFLKSFVPFSAACKALIHLSIPLMWDLLQINCMPEPRE MSGGLLHPTLIPAISRDDTGESSCTLEVIVAMSPEVTVNTADGGQAAHMQPSVTNMSS VQSSPDSLAFADKLVAPADSLMMNTPWLWTGQFPDMDNMDYQEPESGMGNVDGFSAWW DIGNL AOR_1_54064 MSSIWKNYFGQDEVASSGATPPNDKTPIQALPANWYTSPEMWEL ERRAIFSRKWMLITHKLRLPSTGDYLVYSIAGYPFILVRDKDGNFNAFHNVCRHRAFP VATEEKGKARIFACKYHGWSYGLNGKLAKAPGYQDLEGFDKNKNGLFQIHVHVDNNGF IWVNLDAKEQPEIAWEDDLSKVDLQERFNGINWDEYNFDHTWEMEGDFNWKILADHYN ECYHPDTSDNGATTDSSIVDYTNSTPEQIARGLRITSTYYFPNASMTIFPHFFFLQRI VPTSATKCMTRYEVYRNKDSSDEDFELISQTYKRIMSEDKDLCTDAQKNLSNHIEKGS LDFQTVVRELVLEHYKKEQEAGREIWPTRQTLPTSATTSEEDMTFCNKLDAQAKGSDC STTAGGCCGGTGCQPNETLVF AOR_1_326064 MSHPEDTIHRLHPSDPGLMPEKPEKAPSQHSNLSSEFVPDIQRG TILGNDVHAGLQRRLGNRQIQLVAIGGSIGTAIFVTIGDALRKSGPGGLLLAFLIYNA MLAMVNNSMAEMSTYMPVSGGFIYLAGKWVDDALGFMVGWNFFLYEAIMIPFEITAIN LVLSFWRNDIPPAAVCVACIVIYACLNALAVKGYGEAEFWLSGGKVILIFILFAFTFV TMVGGNPQHDTFGFRHWRHPGPFAEYLRTDDLGRFEGFLAALWAASYTCVGPEYISMV AAEAKYPRIYIKNAFKTAYWRFGVFFVGMYSGESEGAGTAAASPYVIAMNNLGVGVLP HIVSALLVTTIFSAGNTYTYCATRSLYGLSIDGKAPKFLSKCTKGGVPIRCVAVVMAF PFLSFLTLSSSSSQVLTWLMNLLTAAAIIDYIVMCVTYICFYRACKAQGFDRSKLPYK GWFQPWCAIIGVLWMTMVVTCYGYTSFTPWDVTTFFTHYTMLLFDIIAFAGWKLFKQT RILRPDELDLVLEAPDITAYEEAAKINNPPIGFWAELIQPFRPRWKSLKGS AOR_1_58064 MGNVIILWEIYLAHFHDQPYCVFPKDWLLSRDISLVPEVAFPLV ALTSRISRRSPGLTGSEVPPTKYYANKAWDILSHQYKSGKLGLSFLQGTFLMAQVDFA NGQSHRGYSSVALGLRTIQSAGLNKDKYTSSLTDSEAEERRRITWSFFMLDRSYNASR DYSLCLADKHFTILFPAPDTPASLSGEESLARGTLHDGPEKQGEKLDHGILACLLRLY SIWGKTTDYVFEPFDKDALPPWRSGSSVAGLESDWMQFETHFADTHRYRNVDFRRRAR EEPHCRSYLCTWLCVQFLFHSVQCLLHHPFVNMVKLRHIDGNIPATFLQKSYESSLIH SRWIARFIREMDEVDIRLYDPFIGYLCAIAATIQLENTSSKNPHIAQLGNSDFRTLVD YMTELSTHWENMGVLVNRVNKLAARQKNYKSLYYSQDTFSGELTRMPTPSTIPRMSEA DEALMWNILDFSSSFTPAEIGQLGDLVPSPYQRMETTSPNFPVATGQTTEQGLESLSG RIPGPIGADPISEWPFSDRPGNGGFDAALPDLPDWMMFGDYVAEQL AOR_1_60064 MAAKNERIIIIGAGIFGLGTALTLKEKGYRFVTVLDRAMPPVPD GSSNDISRVIRFDYGDEVYARMGKEAFDLWKTPAYKEAFHQSGCLWVTQKETPGQPVQ PAAEEYSRKTREILTKMGEPWHSVPTVEDCKREFPEFTGPLGNPGFYAFFNNSGGWAD AGLASARVAARCIAAGVSFITGPDGQVTDFEKRADGTIEAVRTSSGNRIRGDKFIVAT GAWTASLVPSWNSMVAAAQIVGYMRLTPEEMIRLRNMPVYFNLSTGFFCFPPHDGTNI LKVAVHSYGYTHSQNGISAPPAAPPSARANFIPEEAVERLNLGMKDLFPDLEPKGWER VALCWYNDTPTGDFILDYHPQHKNMFIATGGSGHAFKFLPVIGKYVVGCFEKNLSQDL LQKWKFPTEYKERFQGDVFKGDGSRGGPERRELTPQERERFAGALTAASTRQSKI AOR_1_62064 MTDTEVVAEWYNQNAALEHNRLNACRLEFSVSLRVIDQCLGQLR QRRDQPLKILDLGGGTGRYAVELARKGHSVTLADISRSELELAKSFAAESGVTLDAIV EADARTIQGPMYHLLEESERTDVLCACASMLRAHGILAVAFVTQYAHLRDIAQRDPSR LATEFDDFYREYLASGRYTRNPSMASYHTNAEGVRGLIRKVDGLLRAHDSVGLALSRI VACEGFLGGGLAGKLGDVSPEVYEHWVDVVMQCAEDEALLGNADHLLAIAERR AOR_1_64064 MRSRRTSGASVCERPLLGARSEELEDSDSKDFSNKRHLGLFSTA LLLTNRMIGAAIFSVPSSIFLSVGSVGAALSLWVVGILLTFCGFYIYLELGCLMPRTG GEKVYFDTAYPRPYRLASTLYAFYVVFGFPGMASIVVADNTLLAFNIVPSEIVQRLAA VGIMALVAACLSISREWSVRIVNSLSLLKLATFLLILATAFAIVVGVLPNNVDTGSNF RQPFAGSSTTVYDYTVSLFKVLESFLGWNSAGMVLGEVKNPQRTLKVAGLLGVGSVGI LYLLINVSYFIVATPDDISRAGVQLVARLLGNLFGSAASRVTAAMVALSTFGSMISTA FAVTRVIRELALEGIIPAAGILSKMSKSGNPASATSVFMFGPSVVAVLLLPFGDAYAF LLDVNQYFLVMVYGAIVVALFIIRRHVPSAQYPFRVWTWVPYLFLACQVFLLLSPLVS PSGAGDTNLPFWLAPAVSFLVIGLGVMYWRLKSFVAPVGEEPIWTRRDNLQSYRSVAA S AOR_1_66064 MKFSVAAFASLLSVVSAAAVPSNATLPAAFTLVADGGRTALTDG QYVYVGGDATDGKEILILRSAANGMVSFTSKDGVPTAFQNLYIVEKDVTPVSLTVPHS GAIPENGNMNGFGVNAQGYFTNNGRPWFSVDVGDAPSKQVYWYGGHNAEYYGLNLWVK ECKGC AOR_1_68064 MTEQTQQPDYHLIGTHTRYSSWTSRVETVLEYFNIPYSAQIIHL SEVKKISHTGLVPLLECRSLGPNIRLNDSLAICEFLAESNPELNLWPRDRQLRALARS AAAEMHSGFSTLRNNYGTNFIARYTGNVPVSEQAKKEVERLLVIWDNARRTTKARLAE LNERDEGFLFGSFSIADAFFWPVLWRFRTYNLPLDTASPDALAWMEKMWNNPTMQRLA HHYYRQAEIPETRIAHYDDIFHDRDDVQYGTFLEGWTFSVAEKRFN AOR_1_70064 MTEKILSISDLEAAASKVLPTSVREFYNSGATGQVTVRENSSAF QKYRLLPRVLRDVSRVNTEIPLWGRNIAFPLCVSPAGIQAMAHPDGELATSRACAKMN VNMGVSSFSNHSVEDVVAAGMAIGPVHHVMQLYSMKDRKTEEGIIRRAEAAGCKAIFL TADSPVLGVRYNEWRNGFQPSPGLGYPMLNRSPEDIAQQSHDDGFNSFNSDSHSWAKE ISWLRSVTNMEIWIKGVLTPEDVELAVEYKCDGVVISNHGGRQLDETPATIDALPPCA QAARGRIRIHVDGGIRSGVDIFKALALGAECCWVGRPALWGLAYNGEQGVELMLRILY EDFKRCMQLVGCTSISEIGPANLGVVRGDGPLARL AOR_1_72064 MGPRRTSRPAQEGSAVTDQKRLRNRLSQQAYRKRQTTYIQELER RLENASKTENERIIQLEKENTFLRKRLSTFIGKLESAHASLRALSQQMNAALGAEANS METDTATVAELSQPPEPPTQKTSSELDIHLDSASPTAPCQWSPLLLPSYSDVVEQTSD VDVSLNNNLGHDAGSCEQQFESAALSIPHTETFPSSFDGTNAQENTVGFNILSNDVSI PNVSIRGVPGVWSYNYQMGPSTYRTAMSSIFSVGKALHISNSAFSDHIHILHRCIKSK WRLLDCSDSQSANLPKIHLSVSLMLSLFNSLYRPLAMSWYTPTKFYYHITSLTMWQLN PTRELYMQLPMRYRPSALQLSESYPPIIDWCPFPSIRDRLIMLHAANSNIDQIICDIA TAYVIETDISTIIQFDRPTLGYIRVWDLIQAMDERVDSLYSDPHESFANNHLVAQQAE MSSTEQETDFHDVTLPAPSMEALFQTKKYARLAFKELRMDDGVARFKLDPLLFEKYPE LYEPGDTIIATGLAVRSAHLTSIPTPRAVEQSTLSIYNHFADWSFNMISSSSSMHS AOR_1_74064 MTAFNEPYPIYILGLGSIGSFISHTLRCLSEPPRVNLLFHRESL CQEFEDKDRKIRLQVGEGGVQEESSGFDVERIDGDWSASSNDKIYSLIVAVKASGTLS ALEPIKHRLGPHSTICLFQNGLGQVETLNKQLFTDPLTRPTYVLGIMRHGVYLRSPFE AVLSGTDGSTAVGVVDWENQNEATPQTRFLLDTLLRSPALQCTELAWTDLLKAQLLKL AANCVINPLTAILDVRNGEIMENEMLIPTWHRLLEEILAVFNRLPELQHLPTEQRQFT FCSLKSALVNTVWKTANNSSSMREDIRKGRGTEIKFINGWVVRRGAELGIDCPTNASL TELILAKSN AOR_1_76064 MSSPRAVHTTRAPAPPAFLSQAIRFGSIIFCSGQIGCDPETGRL IEGTIQERTKQILSNISSILLAGGSGLDNIVKCNIYLTDMGDFGPMNEVYTTFFSDPM PARTCVCVKELPLGTDVEIECIAAVKGDQKEDLVMSALL AOR_1_78064 MYRTCAACGRDLPQSSYTANQFSKGPGVSRCASCVHGHHADTVS AGQTNSGRYNNSNKCSVPNSALKNSFAQGAFRWVAKGSYTSGSRRGQACVVKWFKTGA VFSDDYFTLDIKAVDKALEIVNRFNQLNIINKVVKINVPEIWHFTDDSSDEWAGQRHL CEPFIQNYQKFNSNTGWNDDSKAWGEVMQALSHFSYHISGGNYVLCDLQGGIYQHELV LSDPVILSRTREYGVTDLGPDGISSFFSLHAYNNFYRPNWTQPANPVQRFRPVPGTTM IRRTHVLSYVGVLGIFYTD AOR_1_80064 MASPSDPLLHEHTGPRSLRQRPIYLPEEQGQRIIAQWRRAARDF LSSRRGHYLVLLLVSVDVACTFADFLIELHVCELTKHGSHVAIGWGVTQKVLAIVGLV FSCLFMLELMVTVFSFGKGYFSSKFHVFDALVIIVAFGVDVALHGIEEELGSLIVVLR LWRVFKIIEELQSANEDTLEEYEHEIERLRQENTYLRQRLNVSLSNADPMD AOR_1_82064 MEHAKDEYRLSYLRMDDPPEHQAQRQPLSASDQAVLAQGSGSLG SSWIWEILSCVIAVASLAGIIVVLYMYDGKSMPDWPYGITLNAVISLLTTLMKAAMAF PITEALSQLKWSWFSRGNNLSDLALLDAASRGPFGAALVLLRFIPRYLVTVGCLVLVV AAAIAPFVQQVIAINIRPVHSSNSSSIQICNTSMYTDYDEGPGPGQNVVPLSTLASIY TGIFQDQSAGFCSRCANITDQLTLNKTTLGLSTIETYDYKLPNGFSFSTSQTGMYLMN STNGLPLLQIDTKNLPLIINFTAISASGYGVPPQVSATECALYFCIDTYEATVKDGKF DERITSSGTSTNLTTSFSLENFSLTPDTCYVNGTRRDDKSECTYPVNAFSRLSMVNSL TPLLNGTGQLYMSNRPYWSTDTAKALYGVQGNFTDISTVFTSLATSLTTHARNQVCKA SVKGMTWNVESFVSVRWVWMILPIVLVGLTIIFLLVTMIKTRNQYIWKSSPLALLFSD LAVDGQHSFERNLSLSGMEDVSKKMKVWLEITQAGVKLKGIPR AOR_1_84064 MAAISEEYPPEFSDERGLALISDIVDWQINHGSLLKRIDTETQF PDDAPQCYPVGVTVFPTLFPREQFDRACGLQIIYNELYCAMAEDEHWIFETIRDMIPI DPLAAALWGIHEEVKKVGYAQKVSVGIFRSDYMLDAPGMEFPLRPGETFDGSLKQVEL NTFSCSGATHANKAADMHRYLARTNAYDTGDDRQINISLDTLPRNNNIPSLSACLAAA HDAYGPKKSSVATDTAVLFVVQPNNFNIADERPLEYALWDRDPPVPAYRVDYPNDFLQ YTTLTESRELLFHPPWLGSKCVEISVAYMRAGYEVHEYDTTGKEARLRIELSTAIKCP SLLAHICTFKRVQCALTSPGALEHFISPAKAALVRSTFVSMYPLDGSEAGFRARRLAT DPELSRDYILKPSLEGGGHNIFGEDIPDFLASVPESKWGAYILMERIRSPSVSNVLLS SAGLDSGGVVSELGVFGTCLWWKGTEGRHCEMLLNSVGGWSFKTKHEDVNEMSVVKGY GCFDTPLLF AOR_1_86064 MYHYFNVLCALLIGVAQGTPLLGSPTHHARNQQIQWSPCEANGT LSTECATFPVPLDYTNEASNATLNLELIRIRAPNSPSKGSVFFNFGGLGDNGKLSLAT YGPMLQAATGGYHDLVVLTPRGTGNTILFSCYATDEERAAAKTLYPELAGNASDVALG YNNVSSGVFADTCYATQNNTGQFMTSAFAARDYMRVLDALGGDGLFRYWGLSYGTVLG ATIAAMFPDRIGRVILDGVANPHEFYQNRDLQMLVDTDKVLTGFCEECVATPDKCPLA QGRSAAEIEEAIYELIDHVKFNPLLLPVAGTPVLVDYTTFKTQIFSVLYSPTGWDDFS TFLDNIMTGKLEEAGEYFAKISSGPPSLDAEAQFGIKCSDSYRTDNSEEEVLELIKQR HELSRIGGDVSDFVLTRCVEWKIKPKERYTGDFQATTKNPLLIIGNTADPVTPLAAAR NVSAGFANSVVLEHGSYGHASLGQASLCTAKATRAYFEDGTLPEPGTKCEIDTTPFSG DNGWEKVLKELAADSQ AOR_1_88064 MADYEDTIEVDWGLPTPDLTDDTSSEASTSLRSTVLEYEYRHGR RYHSTHAGNYHFPNDEVEQERLDMVHHIYYRLLHNRLFLAPIDLAGKRILDIGTGTGV WAMHLGDEYPTAEAIVGNDISPIQPQWVPPNVKFYIDDVERDWVEGQKYDLIHCRYMA GSIKDWPRLIRQCFRHLKPGGYLELQESINTLYSEDDSLPPDCDTVKMMDALKEGCLR IGQTMDPAPHMHDWVVDAGFTIIDERKFKLPLGNWPKDKRLKECGSFNRVNFVEGVDA FTASILPDILGWRKEEVTVLNAAVRREVMANTMHALFDFLVIVAQKPM AOR_1_90064 MLSFLAALSLPLALVNAYANPGTCNGNCWAHDPGLWKHDDGRYF LFSTGNGIHISSAPSLQGPWTEVGYALPDGSSINHDGNKNLWAPDVHKGDDGKYYMYY SVSTLGSQNSVIGVASSTTMEPGSWTDHGSTGLSSDGSQGYNTIDANWIKIGDQQVLN FGSYWQGLYQIDLAGPLKIGTAAPVNIAYNATGQHAIEASFLYQQNGFYYLFFSSGKA NGYDTSFPAQGEEYRINVCRSSTGRGDFVDKNGVSCLQSGGTTVLASHDNVYGPGGQG VLEDNGAVLYYHYAPRNGDLSVSSYQFGWNRLNWVDGWPTV AOR_1_92064 MRSSVLLLSTLAGSALALPGVFPRGFNTTSPSHSATHSHTLLPT GGGGGEKPTETGKGGESQTTTAPGGSGSVPGTTVTSTITDTITKTTFKPCSTPVHTEG GTTYYSSWVTASTYETTTCYTTTTVLTSTPTAAPTAPAETTVAPAPSGGHGSETCPPA STVTVYVTVGNGGSGATNTVAPGGQGGHHCERCETITYTNTQGYTTTIVIPPIAEPTG TETEKTTTTEVPSTTETNKPTGTGSHPTHTKPTGTGNGPAPTETKTWHGGAHSGVRN AOR_1_94064 MTKSISDSFKDRRTIYALTNESTISDDRLEELLTDVVLHTPSPF NSQTSRLVVLLKDEHQKLWDIAYEVASSTVPLEVFDKVYKPRIAMFRAGYGTVLFYED PAPIRPLEEKWPMLKDKLPQWSEHANAMHQYALWTLLEAEGLGCSLQHYNPMFDDRIA EQWKVPADWSLKAQLVFGKPIGGPREKTSEPVNQRLFVHGK AOR_1_96064 MSDLPFSCALVTGGGGGLGKAIAVYLLSKGKKVIIAGRTESTLR ESAKEIGATDYFTLDTGVVSQIPSFITTVTAKYPDLDCLINNAGVQRPLEVLKDDPTD FLAKADQEIDINIRGPMHLTLGLLEHFKTKPNGATIMNVSSILGFVPFSVINTVYNGT KAWLHFWSMTLRTQLARGGYERIKVIEIAPPSVGTDLHRDREDPDDNKKHKNPNALSV EEFMEFFTSALERGDSMIAPGMSQDVVDKWYAEFGSMYDTLTREKK AOR_1_98064 MPQSFATFRNRRSDELARLADEHLQQDLRQEDRDTLKSAASKVS FWTGIGSAVGIGMGLYVAFRLRSSRKAFFDVFRAQERPTQVVFADGRTESIPDITPLL KPTTLGDFATYFFASAGGLFLGGELGFLGGAASGSRTITADPEQKKRIETAFRRFRAD VLRKEADALDRGVSVEDKMF AOR_1_100064 MTFPPASAGPNAVRDYISDILLFKHDTTADFAKEVASRWQLGRP NDLRHASTGTFERVFGKDMGHFLYRTVQEDIREQWYNSTAGVFNSWLFIFSLVFSAFF LVRATRTNSSSTSAASLRYAGAAFGPPMVFCGIQDPYSQWQFPRLFLGGIVSFLTVLA FLVASIDRRMEKQKADTEDKKQGEVKQKE AOR_1_102064 MPDFEYQKPVILSRRTLDTKEAEWKRLVKTIYRDPNGVQRTWES AEMQTRPADSDFDGVSIVATLNKPTGPELVLLKQYRPALDKVVIEIPGGLIDPGETAE QCAVRELKEETGFVGEVERISRTLFNSPGFCNNNFKLAYVNVDLSLPENQNPSPELEE EEFIEVFTLPMKSLFLDIKRLEKEGFAIETRVVALAEGLELARKWNL AOR_1_104064 MSSNTITVTLHQLAKMIDHSLLHPTMTDEDIVAGLQIARASNVA TACVKPYLIPLAKKELAGSDVLVCPVIGFPHGNSTTEIKVIEATAAAKAGGNEIDMVV NVGKVLGGDWDYVKEEIRQINEAVVANGAILKVIFENDYLQSQHITRLCEICTELKVA FVKTSTGYGFVKQKDGSYNYRGATVKDLKLMREKSGKDVQIKAAGGVRTLDDLLHVMS LGVTRIGATATVAILEEAKKRGIGNELVEVSFKPMAEDSTGAY AOR_1_106064 MALVDGAFHLHNAPAPGSAATNAIPGAGLGDLVSDASGEETPTR NPNNGRASPATSRLPPMIRSLAYSSQSAVAELVSLLPDYQAAALLVDTYFDRVHWFML IFHQDDFRRRWPKLYRLPISRTSNSSQNLGFISTFLMVIAIGLQYIGEHRRQLLATYG IDPDKLKERIFSVVRTRLLDIVSVGSLEVVEMCVLLGTYYLYHGAPRLAWPVCGCGLR IAQALGLHRKRSSSPQQSSSKSTAARKQNEAKKRGWWAIYEIETFCSMAYGYPLSIKD SDCDVEPLDPTFNSPVGQSPSSFEEPLTGEATLLSYKYFMSKLSVITKDALSELYNVR LDSPEGSRLHHSTLDPLHVINKVRTIDTKLRHWLAEVPSRLRWDNMAAADVSYSSPQA VDRDIGASGPVFENHIYQLQALTLKLAYENAKILVHRPLLSYKVVSQSSNSEPRDYTE STPNTMSPFRSSLQTCRAAAMSMAEIASNPIVDLISETYAAAFVSIHTFTAGVTLGIL SSIDPLGPQSRDTKIGMHRLMGIQEKLKDRSVLAAQGLEILQRLARLVMEKELSVMLD VSKPIELSEPRETEDNNSASGWIEHPQPIEKIDSPSDSPVSAADIQPSGDTACPVPLQ QSEIPTADSTYPFNMANENALQYMEDPALSEAIYDFDQALSMYAPRLSVDPEESYNAS LIRPPTDEGFPMLEQTWIWGLENNPPL AOR_1_108064 MSCPQRWQNLITLTLRKSIRLSKTHFQGLMPFFESQSYRNYQSF RPLQGRSKQMSSISQPRVFSNHASRELDSSGNVEEETLPHYIAERYYPVRIGEIFQSR YQVLTKLGYGSASTIWFCRDLWEHRYLVLKVHVRSKRKLPEIAVVEHLRVNKDDHPGQ RFVRLISDSFEATGPHGTHTCLLYPPAGLDMSDCMQCLPGETLTVPLVRAMVRNILLA LDYLHQANIIHTDIHPNNILAGVEDVSVLTILERDELSSPSPRKQDGDRIIYLSRPMF LTDGEPLLSDLGEARLGQSHKGTIMPSLYRAPEVILGLDWNNKVDIWGFGQTIWTIFQ GSHVFRSENMGELDKMQRFAEMTSCLGSPPQEFRNRSPECADYWDENGNWRGSVSIHG QSLELREKQLDGEEKEQFLRLMRKMLAWPPEERPSAEELLYDEWIRGNDY AOR_1_110064 MIFSDWVMQLSAAALFLALPKSVASTSDLSVPPWAGSPVAPKNT GKTCTVIPLGDKQDDVPQILAAFKECNHGGRIVFPEGHTYWIAQKLNPVITDVTVDWK GTWLFSDDIEKWRNNTYWIEFQNHWTAFALSGQRIHINGHGTGGIDGSGNSWYNVEKT FTQPGRPMAFTPWNVTDLHVEHFSIIDSPLWALFIINGTNSVFDDIVVNSTAVNAPWG TNWVQNTDGFDTMDSRNISLTNFIYQGGDDAIAIKPRSYGIYMQNVTIHGGNGPAIGS LGQYREDSSVKDIIMRDVHILSYNEDMKNAAYVKTWVGELVPQDPSKNGWYESGGKPR GGGWGNVTNIRFENFHVEGSSAGASINQNSGDNGSYAGTSKMLVSDVTFVNFTGYISN SKSGSVSCSKRYPCYNIAFEDFSLAHGSNGTATNATGSCSYIKPGGVIGLNGCGN AOR_1_112064 MSDSFDTSKYSDEVQKVSKDTPLEEILYLLKRDGGVFVKNLIPE EDVDKAFNEVKDRLDNDVEWDGTFFPAQTQRAPSLIARSPTYTKTQLMNPLFRKVSMR IGPGGKAQPLHRDDYIAHRYHTEIDKWDDARDMDRESAVGLFVAGCRITKENGGTQFI PRSHLWGTDRTTPPRVDQCIFADMEKGDGFIMLASAFHGGGSNVTEDEYRLAFATFIT RGFLRQEENQFLAVPQDVARTYDRDIQEYMGYYMSDPACGYYEQMDPIYHLRPELLKD ARPTDF AOR_1_328064 MSTNGQSSRQLPSLSSNLIGKTAIVTGSSRGIGAGIALELGRRG AAVIITYTSEKSLPSAVSVSRAIEGTGSGGKGVLVQADITSATDRQTLIDAAVEASPN KTIDILVHNAGNGDDCYLKDISEEFFETLVNVNLKAPVFLTQAAVPHMPRGGRIVLIT SAAARMGVAETTVYAANKAGLEAFARVWATELGQSHGITVNCQALQPMIESTPAEARM GEVEDIVPLVSFLCSEESRWVTGSVLSGTGGLLF AOR_1_330064 MKSRTNPACGTCRKKCRKCDRARPVCNRCRVKGLHCEGYPPRFL FFQDQLNSKGHEQNNPMLSSGQETRSNFTTTINGQSGNTYNQKKRTRRSNLSQRKPDN ASNATTASNNVIGPTRTLSLLHEILTLSETENLLSYCEALIIETDDLHNPFRGYILPL VYQDIGILHAVLGLTMCHIVSSSRKETENRSVARTIEHQLSALQSLSSLLMKEEIYGL TDDEQDVLLAVVALLVLYNICETGVSSHGVHLTGAGYTCGKLARQPKVITSPRTTFLL TALGWLDVLRAFSGAEKLAYSDNVRRCSLEADHFNLETLYMDGTMSGDSFQDLLDGAE QFFRVWDPQSIAFPSGDPEWIQPAEAYRHVCIIRVLRFPDTWAIPCEDERIQSSVRSI LDASAEISTDSAFFKRLLFPLFIAATETSIPHQKTIYRVLYA AOR_1_118064 MPIRRPHRKSRHGCKACKRRRVKCDEVRPTCSNCKQRQEDCDYG TDTPYIWATEENTHRRRARSRVKTSSNSQDTALPSADTSFDLLDRFGTNGPTASPSPG LEMNQLRLIVQWQTDTYRFFSRNEETKHIWGVLLVEEALKTPFLMHGILAVSALHISL SEVEPQKSFWLGLATAHKSEALPQFLENLHSVNAANAKAMLGLSGLVVAFAFGSALTG VSDADQPCLDTLHNVFVLCRGVQQIINVASTLRHSNFAPLFDPTPPQVTYPDRAKESL DRLEQLNAACGTDGEHDTAAYARAIRELRGLSVHTFAQPTSMMLAAGWAIRATPEYLQ YVQRHEPFALVIHAHYCTFLHIARDNCFLQVWGSCVLREIYHLLDSTWRLHITWPISE VFGENVAP AOR_1_120064 MSFASALILVVAIWCIYVGWPWYRNWKQAKALHVPIVISPISTS GAALQSLRYILDRDILPTWITRLAFVRLIQRNSRFQEKFAVHAEYGKLFILVTPATCE LYVADVDAAKQVLSRWRDFPKPSSLLEKMNVFGKNLATVEGADWQRHRKLTARAFNEK LHEAVWAESTRNATKVMTKWNNTKPVYSTRSDMMALSLAVLFKACLNIDGDDKDDTRI LAGDVAACQWHLDVVLKGISNPMALGRGFEGIKKLKRSHKALGELLTEFVEARTIRPK LSAHADLLSSILAPTDHRGLSSDEVTGNLFLFMFAGHETTANALIYIIHLMAIFPAWQ DWALEEIDQLSHNRADGEEVPSYSQILPQTQRLRAILYETLRLYGPVPTLVRQTDPQA QTLVLPEQEVIIPKDTPVNVNTIALHTDPKQWGPDPLAWRPDRWILQPCDSSLQKVSN ELLKYLFAWGDGPRLCPGQRFSQIEVFAVLICFFKSHRVELVPSRDQTMDQARSHALS LIQNSKVGLTLQMPDAESVGLRWVGR AOR_1_122064 MNLSIENNPKIKFRLHIIIGSLLLLTFILVIARVADKGTPSSRT NTWGIAVCLKSAVFMIYQVVTAHAARFKRWASSKANMILNIIDTIFWFALFIISIMGT SGSHSTSSRALGVIIVILALVLCGLAGFLSFICIRDRRYYKRHGSLPGGDKPKSPC AOR_1_124064 MRVQLSPEQVPRRMRIRELLPDLDLGAYPPGPLNSITDVPGVHV HTQEIFGAQGAINTGVTCIVPRPNWSTNACYAGVFRFNGSGELTGAHLIEETGLLCSP IVLTGTFNIGAAHQGIYQYAVKHLGTNKDGQLEWLMLPVVGETFDGYLHDCTSFAVAP AHIVHGLESVVAGEPVREGNVGGGVGMVCHGLKGGTGSSSRQVLGTYTVAALVQANYG QLRDLRIAGVPVGKILTEDAASDPSRQGMYEEVAQAKAEKDGSIIVVLATDAPLHPAQ LQRVAKRATVGLARVGGQGHNLSGDIFLAFSTGNEIPVNQHKRPASVARTIDVLDDSA LNTLFEATADAVEEAIYNALCMAESLQGFQGHTIEALPLARLKEIMRQYQRV AOR_1_126064 MSYFLKQFRPRLLCGYGTRAFSSRGAILYQSPKVANPRSSNPES QAINTSRGKESRHDDTPDTRSVQSPISNQFGPTSEKHEGEEQSTTTEQVHNDPSKSPE EKRKNVEQAGRRPMGPEDEK AOR_1_128064 MGYQYYHYDPSSGAAVSFAAVFGLTTVIHIWQMIRTRTWYLTPF VIGGIFEAIGYLCRFISATETPNWTMKPYVGQSLLLLLAPALFAASVYMILGRIIRML NAGSISLIRPSWLTKIFVTGDVLSFLVQSGGGGMLAKANSQDSVKLGENMIIGGLFIQ IIFFGFFIVVSIVFHRRMLSTPMHHMVVTEVPWNQYMKILYTVSILIMIRSIYRVAEY VQGSSGYLQSKEAFIYVFDAALMFACCIILNWWHPSKIVSCRRKVENSGDHEMLNNTH YDNTQYGRY AOR_1_130064 MEFIFYYYTPSGAAGGIFVALFALSTLLHLYQLLRTRTWFMIPF AIGGILETIGYVGRVLSTNEAPNYTKGPYIMQSALILIAPAFLAASIYMTLGRIITML QAEQYSIIPLRWLTKIFVAGDVLSFLMQASGAGLMVSADDPSTGEHVIIGGLFVQIIF FGFFVITAIVFELRMAKKHIGASAEAGRIWRRHMVALYVTSVLILVRSVVRVVEYLDG YDGFLMKHEVFIYVFDALLMFVAMAVLNYIHPSQINCLLDRGDQYFENFVVTRKYGPS ATHEMEVDSRI AOR_1_332064 MGSIYANAYLCISATWAASSTKGLCAPQKGQAQPSSEMCKWDLL TFRDEALSQRGWTFQERLFSRRNLLFARDRVYFGCGTSFISEDGIELIDVLKADHTNQ PPHRLITDQSIYDGPAPPENERAHWNELVALYSQCKLTYPADKLPAISGIAQEYGKVL GGTYVAGLWDGSLVQGLSWQSSEECTAVSEYRAPSWSWASVDGPLCKSSVTTEPIASV MGLHIEVDGKNPYGRVKSGWIKIEVPLVPLVLSGDNSLLMFGCIGLKTSDEEENCLTG RVDTINSQFDDPAETIRAMKLFGLVITDFSLTPEEPFYFSLLVTPTGDDLGVLKRVGW TLGRESDYGPLDLRALRPIVTLV AOR_1_132064 MAPDTTSIPGYTIFRKEDYDSAAAAAVTPQGIPHPLDQLSIAEI PVAAALFREYASPKQLKFNCITLREPKKQEYAAFKQGNGPRPDRRAFAIVIDRQSGRI AEAVANLTKSKVEEWKDVNDAMPTLTLEDLDILEGLARKDPRVIQACKEIGITDMSKV YIDAWAIGIDERWGFERRLQQGLAYYRHSEFDNQYAHPLDFSIVADTEREEILSVDVR YVNGERTAMPLTEHNYLPQFIGDKYNHDRLKPIDITQPQGVSFQMKGNELSWAGYKMH IGFNYREGIVISDVRAHDPYQDRERTLFNRISVVEMVVPYGCPEKPHHKKHAFDVGEY GSGFMSNSLKLGCDCKGAIHYLDGVMALASGEAAVVKNAICIHEEDNGLLYKHTDFRD GTVISARDRKLIISQIITAANYDYGFYHIFSLDGTYKLEVKLTGMLNTYPLHSTEQAA PYGTEVAPQITAHNHQHIFSLRIDPEVDGQNNSVVQNDAVASDYPVGSPQNFYGNAFY SKKTPLRTSLEGAADYCYETNRTWDIINPNSINPSAKKPVGFKIINTSCPPLLAKPGG VVHTRAAFARKPLWVLPYKDYELFPAGNYVCQSTGQENHPHNETILDWAKRNESIENT DIVCYLQFGLTHFPRTEDFPIMPAEPVSIMLRASNFFIKNPGLWVPPSSVCVDTMSRN AFSTSCCAGSKPGDSSRL AOR_1_134064 MPSAVNQNGPQPVKSGKTSDPDFNVCGPLFAAAQKGELDKIKEI LDKDPKKIDEQCEKHDKYTPVIVAAVSGKLEAVQLLCKRGANVNLRDSNSYTIIKLTL DKGYKDIANWLVDAYPEMIITDPRLPKGEEWLKAQFAKMSNNIEDPASKPPQTVLDNL ISGNLKPDTDRTVSEVYWEHILLRYIGDIPKDIERNYSKKLKMAFCGTFDRTLQGHAG IKESARLLNSVLPTTQYNITGTHVAPKGQWVTERWEYHDYENNLQVLDGVDTFLINED KGKIEVMLINYNVYELKWIDDPERPVKKAHNWTPV AOR_1_334064 MAPQDSLESNSFSGDKKAVFTVSDEEKASPSTTEPVYDGDQYLG QAPTEEELNTLRKVAGPVSGSGYWLCTVEFAERASYYGCTWVFQNFIQYPLPPGGNGA GASAPGSEKPAGALGQGLQVSSALTLLFKFLAYCIPIFGGWLADTRLGRYKTICIGVV ICGVSHVIMVVGAIPSILQAGHGMAPFIVSLMILALGAGIFKPNISPTVMEQVTFKHP YIMTLKSGERVIVHPETTIQRLTLTFYALINVGAFFGLATSYAAKRVGYWLAFLLPGI IYFLMPIILALVYKKTIKTPPQGNILGDTLRVIKLAIQQNGFRKFGSEAYFDPVKPSE LARKGIASYKGKPISWNDGFVDDVRRTLVACQIFLFYPLYYLNNGGIGSITNSQAGSM TTKGAPNDLVSNFNPLTIIIASPILNYGLYPLLRKHRIEFGPIKRITLGFILAALSCV VGALLQWRVYETSPCGYYATECDIGSGVSPLSVWAQIPMYVLQALSELFAVVSGYELS FSRSPKSMRALVVALFLFMSAVSSAISQAVVPALADPHLIWPFVGTAVPGVILAGVFY WMYRDLDKETFLREDSDSQQEILSEKDKS AOR_1_138064 MPHSLNIAIVGAGPVGCLLARLLLNCPRVRVVIYEADASPDSRG QGGTLDLHEGTGIAALKKAGLYDEFLHHARFDGEAMLLCDKNLTGYLKFAKGVSDNSR GSPEIDRMVLRQLLLDSLPQDTIRWGHKLLSVSDGNVLHFPQGIEQGFDLVVGADGAW SRIRRFLTPVRPSFCGVEGYAFTIPDAAQTAPKVSELVNRGSLYAYSDGKALMGQQLG DGSIQVSVYTTESQDDDAVFSADDECRRHQIARQFRDWAPELLELLAPTSEYEMTRRI YTLPVGFQWPAHPAITLVGDAAHLMPPFAGEGVNLGFEDAMKLSDAIRGFIDGRYSTL NETLRMYEKDAFQRARRGQELSVGVMQDMFFTPGAPRASIERWMIRHVRYRIHPWAFR ILYPVIAVNLYIFYFLYKLFR AOR_1_140064 MPSESSVDVKDRGGQDDHKDGPTVEAHTTDSESGKGADEMRKPG EIDELPPVEAFKWNVDGDQSPFPEVAACVSNTDDPTMLCNTVRAWILMTTFVMLFSGV NQFFGLRYPSLTIGYVVAQLLVFPIGRAWEKLPRWRVPLGKLSFDINPGKFTVKEHAF IVICVNISASTPFGLAGLARRWIVYPAALIWPTSLSSTVLFRALHEPEERSPANGWTI TRYRFFIYFTIFGFVLFWFPDYIWTSLSTFAFITWIVPHNQVVNTLFGMNSGLGLLPI SLDWTEINYAGFPLTTPFYITCNAFATIVIFYFFLSPILYYTNVWNSAYLPLLSSNTF DNTGQSYNITKVVDANLNFVESKYQAYSPMYISLGYALTYGLGFAAVTAVIVHTYLYN GREIWAKFKNSRAGGEDIHRRLMHAYNDVPDWWYGILTVIVLGLGVLTVRYWDTELPV WGFLVVCFGMGVVLILPEGILQGTTNQRVFLNIITELIAGYAYPGSAIANTMVKCYGY NSIKHAMDFAQDLKMGQYMKIPPRALFAGQIYASIIATMTQTGVLRWMMGHISRLCDP KNPNRFTCNGSKVMYNASIIWGTIGPQRMFQQGQVYNGLMYFFLIGPVVTVIVYFLYR RYPSSWLKYVNVPIFFNAAGNIPPATTTQYSLWFIVGFIFNFWIRRRAFHWWKRYNYL LQAAMDTGTALATILIFFALSYTGTKLSWWGNNVGSNTYDSQSVPYLKVSDGGHFGPG PGEFK AOR_1_142064 MSHLQYFSYKGFGEHMREVLSYSQAVRIGDRIEISGQGGWDPST RKVHTDLGEEINQAFANVELALKDAGGRGWSQVYRVRIFIVQTNDEVIALLVQNLQKW MPDHKPVLTCVGVNQLALEGMRIEIEAFAHDG AOR_1_144064 MSMYTIEKPLEGTFVYTLFGVQQPDDVQSPATENLIRTFSHLIT GANCHLDQVTQDGIVSPGIGKTRIWIATWKSIADFEAWWESDSVIKFWSSLPPDAGMW REFVKVPYGRSQYKATQNRQDGQGVHFAHKPTEKNGYWGWIRDSIRELSKENRMDSPL LVPPIPERKASLKEKTLGRVTFNGFPDNLCFNLERQDLSEMTGAERGVWFDQFDQAAC KWMDDLAHAAPEAGILTSRMCYDERLGTYKEGDSEFHKYNRKVELFYFMDLRSMERAG RSNKGHVALRNNILKTYGPGGIMSECGKVALWVETNILKAPEIDAEYVGCVPGTGFMA YQNHEAFRCHKEASPCQHAKA AOR_1_146064 MTRRVLSATLFILQCASALPALNSSIETYHFPEGLDRAHSYGIQ VKQSTGGVHTVESYSAIVSEANTTSGKGIEHNTSFALFDFEGSVDLIVTYNNGPVKSA VIRPYSYGIKPTVKGNTVSFSLDRPRNVVLQVNDDIFDTLQLFTNAIETDIPSQRDPN VVYFGPGIDNGPSSKNGTLVIPSGKAVYLAPGAVVTSRLAFQNATSGSIQGRGVINPR SSGGILIEWSSNILVKDILILGAKGFSVTTGTSKNITMSGIRSMSSTGNGDGIDFFCS EDVLIDGVFLRNSDDNIALYQHRWNYYGNSKNITVQNSSLWADWAHPINIGTHGNTDK PETMDGVTIRNIDILDHREPQMWYQGCIAINPGDSNLIQNVHIEDVRVEDFRLGQLLN FRVMYNSKYNTSPGRGIRNVYVKDLVYNGSHANPSLFLGYDKDRNISNVTFVNLQVNG KLIDDNMAKPSWYYTADFVPMFANEYVEGLNFTHEQ AOR_1_148064 MRSKGNGRSAQDQPPQQQQGQDTSKPEDDIPACQSCRKKKARCS REQPCSQCERFGVACVYDDRRLKPGLRAGAVDQLYRRIDTLENMFLGQSILWKQVWEA LHPNSAFPSAPDENLHNEESTGRQLAGARDAMKKSMLQLAETKDTEGPSIESEHNGDG DCRSPKRRKVDLMSTPQQPSRNATDFDILNSDLVHPLVEFYFTNIHHWIPILHVRRFR EQIQFYKGRQKATYILHAIVALCSRFSDDSRLGSDAEKAELAEKCRQKVILSSMESFS VENLQALVIIAFDTIGRGRGPSSWSIVGGMARTVEQLQLSVEEEHLSSHSQSGETLIR RMAFLKPSTSWREAEERRRVFWTVFLMDRFCSVSTGWNISLTSADVKRRLPCEGALWE QETEVRPPYFGISDARAASPHRPLLTESRMAADPKEQDCIGGFAYCIEATESLALVTN FFLHHALDIRDADKAQLWLMRFKELDLRMVQWKLFLPPKWRDASVLNADGIMDPNLTL AHTTHNTAVILLHQGIAYPPLHWQSCPVKLPSTSSAETCLEAASEISTIGQQFLLCSS ILTNPQFSFCLFIAGRMLLTHSKYYGTPIPNSLDSLIASLFEISRRWAGPQNVHDNLK DNLASGFAKRLVSAKDSFPTLSKPSLDIRQTAYSENTDHRAPPKRVETISTPSVLERS SVVPNNTYAEQEPPLPIPDNHSDTSVGLAFPPLPLSFQQTLQSFTDVDPFGISLTDRD QFNSQPSHVSIWNNATSPLYASAPIALDCASPEHPPTTLSPGQRISRYGAVEVDRAVV MGENHAHLNHNS AOR_1_150064 MPVHENTTISVKRGLETWENGTNSPKKPKTDGLSPEATPSPLVK ALYSESATAKLWNVASKALNNAIPPTLCPEYTGADGVTYVYRTLDFWTSGFFPGSLYL LLERQTLYPGFYDIPWRVNKKSPLPHKLQLQHLCQWWTANLHANAAKRDTHDLGFMIA PWAMKAWSLNRDPQAYNSLVLAAHSLASRFDERVQSLRSWDVCHTKRYSFTDPEKDFL VIIDNMLNLDLLFWVAKETGNAKFHDIAVAHARTTAKHHIRSDNSTVHVVNYDTDTGL PKSKFTHQGYSDESCWARGQAWGILGFMQTFEWTGEMEFLTTARSLADYFIRRLPDDG VPYWDFDAPVDSSCPRDTSAGMVAGCGMLLIYKALRGVDEDAAEFYLKSAVRILAGTM NGFMTPGDLRFEVGESDTVVPTYPDDLPEHERRQSGALRVTDSRLTQNGNGTSKNTPE TIIDGATINNYEFATRRWANHGLVYADYYFMLMGNMLLELGLVSGTRCAIHGEHLL AOR_1_336064 MADIQADRKGISSEHEHIDPPEKPQHLAGVDAETAAYATGPPVE IDKATDRRLFWKVNKRILVCMVGTYFCQALDKGTLGFSSIMGIQEDAGLDSDKYNWLG TILYIGVLVGEYPTNFLAQKLPVAKYLAANVFLWGVVIACSAAATNFPGLMVVRFLLG CFESCVQPIFIQMTSMWYTRKEQPILTSLWNCMMGVQMMVGGIMAWGTSHYIGHAIKS WQLLFLVLGVATCVWAVFLAWYLPDSPMKAKCFTEDDKRLLVERVRANETGIQNKTFK KYQLVEALTDPIIWLYVLMQVSSTLVLGGLGVFSNIIIKSFGFTTLQTQLLNIAQGAV TSAVMVGGASLSSWTDQTILVMHLWTIPPIIGTAIIFTMAPTESTRVGLLIAFYCTQF IWAEGNLLFSVISRNVAGQTKKSTVLAMTFIAWAAGNATAPQIFQSSDAPRYTKGFTA HFCLYGIMNGTLLVTRWLLIRRNKGKTDATSMAVAENGSPEDIGHSGAFLDMTDEENP DFRYVY AOR_1_154064 MPSSKSYPAPLVIAPLKSDEHTHTIILLHGRGSNGERFGQVFLE STDIARCLPTVKFVFPTAKKSHSTVLKRIPINQWYDNYSLEGPNTRTELQIDGLEEST CFLRGLVDEEARLLNGEYRRVIIGGLSQGCATSVFCLLGGFPEDDKIRQLGGFIGMSG WLPFERDISRLLESGEDESETEDDPFSRDDEDGEDIPGNIQAINHVRDVLNLSALQNS SLSYLNTPVFLGHGSAEPKVSVELGRRIASILSDGFGMDVTWKAYKEFGHWYKVPDEI DDIVCFIKEKIGVQ AOR_1_156064 MSGVKQAAIAAASAAAGAGAAFLYTSTVQPRQPRPIKPEPTLEI IPPESPGITPIPDIRTKSLPVQAPPPGLMITSSISPKVKPEDIRNYGHPGPVADELKA LSLYGAYDRRTRNPLWVAEHITRESVAQINATRKNKFREDLSIPKIFRAKVSDYVKCG YDRGHQVPAQDAIWSQKAIDDTFKMSNMCPQVGKGFNSGYWRLFEDFCRNLTSTYPSV RIVTGPLYLPRQGDDGKWRVSYEVIGSKEVPGAEEISEREDHNFAPNVAVPTHFFKII YGEKEPIDEDGNECSTGEVALGAFVLPNAVIENNKKLADFEVDVAHIERASGLEFVKK LDPKRQTRLCEEVECGFLVKQMNDKMKSKL AOR_1_158064 MSHEILLENEKFAGYRWTYFSSLSEGPLRSRAERFLGSTNWDTL VQLATTKRNGLTCRLLPEIGLGYNHMIRILEFSDQYWTLQLVQKESNIPVPHVHMIES DSNSPVGAPCMLMDCLRGNVGMDLSIAVPSAHKLRAFASMAEIQIEMFNIRLPKIGKI IGINDDGSYRQGPIPDLGGPLEIAAEFFMAWSAKVQFGLSHDQLKDAAGSFADELSIP GLAFKALMNDMAEELSKSNEGPFPLCHGDFGHNNMIFDDNYRLLGVIDWEGA AOR_1_160064 MSDFDALLAEYTAKENPKVHGVICKCVDKNGIPSGYNSLSADAV PLDESPVLKLASATKLITSIALLQCIEKGLITLDSPLTEILPEFADIQILTNVSGSDF TFKPSKTAITARHLLAHTSGLGYPFTNRLLGLRAQARKTLKPSLRVTEKYRYPLVFEP GTGWLYGCSLDWAGVVVSRLHDGISLEEYMIDNIWKKVGLSAPFPRFNISTHREYNAR IMHGAVQTSDGRLEPCDSWAFDNPEDQEGGSGLSSTAKDYLAVLADLISESPKLLKPE TITEMFTPQLVPGSASVEMLLELRAAWGTVAGPVADDAVNHGLGGVLFTGPVAEIGQP ANVLAWGGATNIVWWVSRELGVAGFFATQQAPFGNPTVTKLVNAWKKDFWMGFNRADH V AOR_1_162064 MWAQSQNRITTGYAALIALSIGSAYATVFDIPGVLPAIPAVAPF EAIDMAQYFKRNPGDFVHPGIWHTHEDLERMRDNVLRNKEPWASAYQHFSVDQYSVAN YTMEGPAAVISRGKISNYTSFAHDARAAWQNALMWYITRDQSHWDRSTSILDAWGSNL TDIVGIDRSLLIGLDGDLFVNAAEIMRWEGNWTESGSKWQGGSGFSNQLYWLFSRQSA AIGQANYGMASIKALMSFAVYLDDVQLYNYAVHAFIHDRCAGLLAMYHPETGQSVEAG RDQGHTMSGIGWTAYGARVGQSQGSDLYSLGDGLLLRAAEYAAKYNLNHTVFYDPQWY RCEAVLVNGPWTNISEANRGVTNKNPMWDILFYEYVVTRGNDGPWTTAAKEAQGFAGG VSSNDHPSWGDLIWAR AOR_1_164064 MASSDVKDIEHGLDRRDNESEKPPFEDNLKEEPPQLAVDAFGAE ETAEVKYKTLDWWQCGILMIAETVSVGVLSLPATLASIGLIPAIILIVGLGIVTTYSG YTIAQFRHKYPYVHSMADAGFILMGPIGRHIIEVGQLLFFLFACGSHLLTFTVMMNTL TDHGTCSIVFGVVGLVLSLIFSLPRTMKNVSWLAVTSFLSIFSAVVITMIGVGIERPG YDQFQLTRKTSFVNGFTAVTNIVFAYCGHPAFFGFIAEMKNPHDFPKSLCMLQGFEII LYTVASAVIYRYAGQDVASPALGSAGPVVRKVAYGVAIPTIVIAGVVLGHVAIKNVYV RMLRGTELMHKRNWKSIGVWIGLAVVFWVIAWVIAEAIPVFSNLLSLVSALFVSWFTF GLPGVFWLYIYKGQYFASPMKIFLTLCNVCLFIFGVMICALGLWVSGVAIHNDKSHGS FTCANNAI AOR_1_166064 MYRPTTKNEWWFCGTLLLQALLVIILEIFILIQWQSWVNPNIIQ ITPSYVVPVGMGIVVFACIYEVILSIDAIHHNNNISLLAVCLTNVCIVVYAVMQYIKM REVTDSLQGTADGMGNPLVDWSRDIWPSMRPAEFAIPAVLTISSLVIIPAAYRLHKDY AWAIYKRIHGSPELRLRYLAYEIYLVLIKFDFFFLTGFIIQYDLIDVHFAEPEYSLTM ALIPASLLVMVAGIYCVKSGLRVAMMVVIVCFLGSIAYLLSRIVVLCGNSQRGDYESH NCEALGGALARISIDARVLGRSVVTDDRLLDSSGACPELSPVDYPRSDPRTYAMYRAS YSLDHAYRMNTLATTIRLRLNDTDIHCNAANITPYIGATASGVLKGVPLAIMILTGVI NGAVMICRSRGRRVFRYELANASRDPAESYFPGLGDCLHYIQFIFLTGCLTLSYPGFF RASVGELAWSSLILRNWPVTHSFIYPGVEDNIYAVNATYGFEEMAQYLGATTMSDLWT NAIVNLALVLLGVIVASQLVWLIKSAWQLFPTRGSTHIIDLPTEFLTHIQHMGWSVAR VLLNYFLHPIVALSLYQPLLATWYPVYRTFLAVSFVAALAVSLAFIVWYLVKDDRQNN FFYKGAYPRNSSHDWLSDTLYMVPFLRGLAIGALQTSGLGQILVLISCELFILSCLLW NWRVQRAWRHACFATVRLVIVGMSCAFLPRAGVHEGNKALVGYFIISLHAAVLFTGFI IDCLYEMVRFVLSKLGFVDPRAADMDHHSDKAPVFGIGQLSRRSTRRMSFARLPALNP AEISVPYTQRPSTPRRPSFLGELPIRNEHPSFFRAPRSETTSTRSAWSVVSPSSPSQS GSESRGSSMESVELEALDLESKSSEGVDYSYREADQFYGRPPTSVPVVQNVVQSQGES SNLGKEKKRGAWRWKRKPKEKGFEVIRPNRTVT AOR_1_168064 MDETKYVAHERQVDVTVQGPIDIENPLSGIPRDQLLRDVEDYAQ EYDLHDILPLLKKGALVAQRPNQYDDIPELSPEDRQYLRQETTNRWKHPWALYYTIIL NSIAAAIQGWDQTGSNGANLTFAHQFGIPQDPPDCTSPAECSRNQWIVGAINSVPYMT IAIFAGWISDPLNHWLGRKWVIFIAAVFSLIAPIACALTQSWGQLMACRVLLGIGMGL KEVTVPVLSAENAPTNVRGGLVMSWQIWTAFGIFLGTCANLAVVNTGAIAWRLQLGSA FIPAVPLLLGVYWCPESPRWLLTKNNARKAYNSLLRLRNSPLQAARDLYKIHSQIKME RKLIETSTEFSKSDNMIMCGINIIAFYSSSIFAKAGASNIEALLASFGFGFVNFLFAW PAVWTIDTFGRRWLLLATFPNMCWTLLAAGFCFWIPEEQKAAHLGGIALFIYLFNIFY SPGEGPVPFTYSAEVFPVSHREIGMAWAVATNNFWAAVLSLTFPYILREFKPQGAFGF YAGLNIVALVLIFLFLPETKQRSLEELDRVFSESTRAHAKYQLTEALPWWFKRHVLRQ KNAVCRDLYDENFAVNVVPRNFKSGNVVQLESVNV AOR_1_170064 MDQGTTDKASQRCLANGGQAWTDPKISKHETGWRYVVRNFTPAW FSANMGTGIASILLNTLPYNGRWLYWISVVLFAFNVLLFIVFLLITALRYLMYPDIFP VMVTHPAQSMFLGTFPMGLATIINMFCFVCVPAWGVWASYFAWGLWITDAVFSVLTCF VLPFIMMTRKSDIALSAMGAAWLLPVVSCVVCAASGAIVADVLPDPQYALGTIVVSYV LWEVGVPLALMIIVIFLMRLLLHKLPPREVLVSMFLPLGPLGQGSYGIQKLGQVSQNI FPKTDILRPGTGDVFEMLGFFIGLLLWAFGLLWLFFAVASIIRTRKFPFNLGWWAFTF PLGVYATSTCQLGREMPSRFFRVLGTIFSVCVVLLWILVTCLTAKGVYNRSLFKAPCL ADLREKQRRLREEQRDVEEK AOR_1_172064 MEPTVHSIFEKQTSTWQYIVACPETREAVIIDPVLDYSQEQLTI NTTSADSLLDVINSHNYKVVRLLETHAHADHLTAASYLQSRLQAQGADVPVCIGRRIR QVQDTFAKRYGVPADELDNAFDHLLEDNETFPIGNLTAKVLHLPGHTPDHVGYLIGSN VFTGDSIFNPDVGSARCDFPNGDAEALYQSMQKLLELPGEFKLYTGHDYPPSTSSVSR VPMPFATVNEQRERNKHVAGGREEFVTWRKTRDSGLSEPRLLHQALQINIRGGKLPRS PDGSRRIFLQVPVTLPESWA AOR_1_174064 MASTAGRLSAAISKTSVLRPKGPTTQVKFGEQKVDVPKGGYYDR YRMNPNLDEVARDPAVGPDIDFFRKIPKKLVDSRVGQIYAPNFYYRTRSVQLILLAPL DRLQSKLPSPLEPITAFPGYGLVALTFYSYLVCDNDPYNEVSIAIVVRQPGNNSYSTT QLLSSVWNRTFYGHVLALPVDTEIARVRGVYGYQFPKWLANISMEMDDHNIKAELTAT DGTPDLTLDVPLPALTTIPSESSITTNNAINKIDGKWYQVTVQTNPLLAAQSILPGNV TLNRSEGPLSKLLNELGVSTILRMDTIKDAQMVLNMPTPLKAFDNVKL AOR_1_176064 MVKRILNVVTNVGHYDDPSHPTGLWLSELTHAWHVFEEHGFEQT IVSPAGGPCPLEPRSLKFPNYDKTAKAWHADPARMALLENTASPDQINSADLDAIYFT GGHAVMYDFPDSEGLQRITREIYERGGIVSSVCHGYCGLLNTKRSDGSYLVAGQEMTG FSWCEEVLARVDKSVPYNAEEELKKRGAHYKKATLPFVSYTVVDGNLVTGQNPGSATE TAKKVVAALNRS AOR_1_178064 MLDGHPHRALVQDRVCAATGASKDTVDGSRRVTSLQGITERLDR LEEILLDIRQSQGRQDTPGSSHPVPPKPKARTPTALEHRPSKPWELLLGDGQRVHYVN NSNLKDLLYDEEHMRPPSADHPTVSPAEQGVSGNGSDRLAISPLDPGLHHLHPEPRDA LQLWAIYVKNVDPVAKILHIPTAQSAIIATILDPKNAGKSMSALTFAIYFAALTSMNE QDAADLSLNRVQLLEHFKTGLSNILVGTELLNQPDMPALQALAIFLTSLRVHETGRGV WVLNGIAIRLAQSIGLHRDGTSLNLTPFESELRLRVWWHLCMLDARSPEDHGFELTDS LLNHGPRLPLNVNDDQLYLTMEELPTESEGWTEMSFSLEGIKGTRLLHSILGTRSKDT LDDIAAKRRTIEDYKNWLDNILSSSSHSLDHLSNLAYRHYITACKKMEFLLLIREEIY QEKQARVRSGPDYSTRPSFKAARDVLESSYILLQDSGPFRQYTWLFKTYTQWYALAYV LRCLCTSRRTLENDRVWDLVNDILQRATNFDPSSNSCATIGNSSIWRCLCSLRAQALS ARLAQHSPTGSDPLPPHLGSNEVNTSNDNFQSQDRNITQHGQNPSATDKNLPINNPVY FDDPIEQSFECFADTQHGLFPTSSLFDVPCVPGWNAVINGILDDDYF AOR_1_180064 MHDIAHNPHYGPQPELGIRAGDEFLTTSLHPTDSETQQVDSRPI PEGGYGWVCVVCTFLMNAHTWGINSAYGVFLSYYLSSDVFPGTTALEYAFVGGLSISC ATLVSPLATYLDRRISTQLVLNIGTVVETVSLITTSFVRKNWQLFLSQGVCFGIGMGF CFCGSVGIVSHWFTKRRSLVNGITAAGSGTGGLIYSLAVGHMIPTLGFPWAMRILGII SFVINLVCANLLRVPSSTRSNMRHTPIFSRALLRRLDYLTLLLWAFLSALGYIALLFS LSSYAVAVGFTHDQASLASALLNLGQAIGRPSVGLLSDYLGRINVASGASALAGILCL VVWVFAESLGVLYFFAIAVGLFAGTLFAAAAPLAAEVVGIEDLSAALGILWFVICPPT TVAEAIAVQLRDSEANAKPYLRVQLFTGMMYLGAGGCLVMLRVVLYRSKYRGGNEKGA R AOR_1_182064 MAATCPNYCGIEDYGIIGDMHTCALVSKNGGLDFMCWPAFDSPS VFCRVLDNNKGGHFTIRPAGDIKPMSKQRYRACTNVLETRWIHEDGVITLSDYFPVLS KKPSHPGDSASAWCSCDTAADGAEGAECRSGVVRKVECVRGQMGMVVEVFPAFNYALE QHTGQWVTRGDPGNRLNEYLFESATQSLQLSVLTSPDPSGEGSGKTPKISFEIQDRPG MKGPGVFMKMTMLEGQSATFVLHDREKTLPDASSLESYLHMIEHDTAEFWAAWINQCT FRGRYREQVERSLLILKLLTYKPTGAIVASPTFSLPESIGGPRNWDYRYSWVRDAAFV VYVFLKNGYPKEAESYINFIFDRVFPPANSEHQTDRPFLPIMVTIHGEHDIPESELAH LEGYMGSKPVRIGNGAASHTQLDIFGELMDSVYLYHKHGRPISYDQWLAVRRMISHVM DVLHETDRSIWEVRGQPQNFVYSKIMLWVALDRALRLAEKRSNLPCPDRIEWLRARDD LYDEIMTKGYNFKDNYFCMSYESPDILDAAVLIAPLVFFIAPNDPRFLSTLKRVMLPQ AKGGLSMANMVSRYDHTKVDDGVGGREGAFVMVTFWLVEAMMRASKAMSYNVDDPFYR QLRKLAITHFDNMLSFANHLGMFSEEVAISGEQIGNTPQAFSHLACISAAMNLGGGD AOR_1_184064 MTDIHQSEIQISNLPTKSVVITPQRATITREIHTTIHPGQNAIT ILGLDPNVDLDSVQIDGSGPATITDMQTDVVPRREKFNDVYPDLTVDEASDIDQDMTT DEEGLDIDDSELQAILKEEQSLSTSLAKAQDDKSASLFVLQFLVGYGKSIDSTTSDPT KLNDFLQMYLQQRKTEGDRARDTEVKIADGEKALEGIKRKRERLESAHTKAKQAALKE VRRAREKRVRERQERRAQQKRDIKEKKMFWTDLVGQVKVYLDGHVSATPGSSRRSSVT ENVTCGGQPIEVTMNLTYIVPGPNWVPRYNLKINTPASTAALVYNADFQNKSSETWKD AKVTLSTSHAAFSGLDESIPILQPWHVTTCSISDPKSISWQDVLRSPAEKNPNAMATN KDPYQAAIDAQKRARAHIMASARSAQESGTSPNAQQMQQQAMQQQAMQQQAMQQQAMQ QQAMQQQAMAQQAPAARRGQGAARSASFTPRQDSRHSWVPSARRALGWGTNADEEENE EADDSDNDTQSIASPSLERQDSVPQNYGLTTTYELSGLRTLVPSTSHRRHLIAQSTLQ SLTLTHVIVPKIRAAAFLRARVQNTSSVNILRGKTGISVDGTFLGTSTLPSCVPNDFF NISLGVDPNVLVTYAKPTVRKLSTGYISKEKAAVFRRSCWVKNTKSTAVDMIVSDQIP LKDNEQMRIQVLEPKGLEKEGSEVDMALERDRGKGKAVMMRNGEVKWFVKLEPGKDVR MVLEYNAKVPDGNAVVTAT AOR_1_186064 MEHDMDEQIPSYVYSPIAPDDFRVLKILEVHPQIKFSLEAFSIY EAPGYEALSYAWGTSPEMEESLCNGARFRISRTLGQALRGIHAHSEEKAHQVAGMGEL YSCADQVLIWLGDAADQSDLACALLPELTEKIWSLKDSEGGWRPLSTDDIVAQGLPHP DDPLWCAALLLYSRAWFQRLWIVQEVVLARACVFLCGLQQIEWHVVVNFAIATSKSFF VSNIAGLHIKAMGEDRVSRSTNGIRLIRNSRRLKDSLEDDEKEITGLQATMDIMQSQG ASVKVDYVYAVRDMLPDALRDQMVVDYSDEIKRNYGIIHARFFRQCLERLSDWPSLRF PPNAGQKNIPSWCPPWGSGWNYSYLPIIGCHAGRPAAISPWSSSGRLCLEPSDDSEGI LCIAGISADTVQEIVPFSPVFHGSTNVLDVRRILRAIKACSAHISDGADRHERLLGVL IGQCGWLKSPQFSGRPDGDVLDGFVSFLEHLAANKEHEGDADPVPVNLDTAEYFLDQH RFWRAYLNLIMIRWPGRSFALTTNGRMAIVPCHTKPGDTVCVFLGGTLPQVLSRHEDG VHWKYVGPSVVDGIMEGEVFDTKEEWIHNKEIFFLK AOR_1_188064 MKKPPARLVYENDQEARYVAYFLERLALNSPAQTNIPAWRALMI QGVLGDVAVRECAIAISVLMLDTNSKHHSTSCLLASQCGNARYKLALKKYGKALSSVR ALLSHGDRQSVETALLCGIICIWFEVLIKDHLSALSHLDRCLNIVQMSRVLGRGEIDS DIKEAYVKLDLQAAIHVGARAPVLLVEETKPIPYIFNTFGEAEQKFNAEYGNVMFLSR RAAAPYRYKQPDGIPLEILAEAQLLLERLEQWNLAFHYSYSCQKTQGDPRMTPQVCLL LIQYHMAVITASTCLYAEEMIYDRFLPNFNHMMQLAKKLVSWWHSRPAGSMLGVPVDM GVVQPLYMIATKCRATSLRQNAIDMLASMPNDKGVWEGPVVASVARRAKDIEELGLNV KIDSVPEFRRIHAICFDVAQGTRQVDVEFRTRPNGIDGEWDSRKECLSY AOR_1_190064 MSTTSQPPTPSIITAPCTLQTCPLDWALIRYIPSLPGNAFYLAL FVPMFLAQVFCGIRYRTWSYLAAMSGGILLEIIGYGGRLMLHSNPFNFSAFLQYLICL TIGPAFITAAIYICFARVIVVYGASMSRIRPKTYARIFITCDLICLVLQAAGGAITAT AGQEQDGLRHTGINIMIAGLASQVVALGSFMILCGDYVWRLKLHVRVVPQPVDGDWKW KGFLIGLAIATLTIFIRSIFRVAELNGGFSSDLANDEVAFMILEGAMMVIACACMSVF HPGYSLVGDWKELVSPSAKSRECDDLVLTAISNSGKP AOR_1_338064 MAAIVERRSRPQVTVNLDDPKDGIVNRYTTGDEINFNVTVTVRE DVMIGALQITFEACDHETSNWVLKDAHVRLPPTLGDSVFLDRQQTMPDDFAPNACRVS YIVKVSITKAASDDDSQFSSRVLAAVGKEVHIIPTVDEEPPLSAQEESVEYRPWAETL IRRGLFEITTG AOR_1_192064 MSLISEYFPAAIANTFAVLSSWWKIPRSQAGDVSWHMLVFGIDW VGAVAASAALGLLSYALSLITGDINKAHEASTIICFSLSGDLLIFFVLWQSFQERRNK PTLIWNSLWKNLAFTCICVNEFMIWGAFNAFEQVINLFFQNVQDLSRVETAIRFIPTP ITGQLTALTTRFVLHRCRADAIINITTIISCVSPLIMALVNPTWVYWRCAYVAICLKS ITADSLFTVSIILIAGVFPAETQGLAAGVFNTVSQIGTSFGLAIVALISNQVTDQQSQ IEDKGSPEALIVGYRAAFWTLFGMNVASLVVILFELRKVGNIGKKKTQ AOR_1_194064 MSQPAQGYTHNGPVDCSLPFDTNNLKGKTAIVTGGANGLGEGYV RALVAEGVNVCIADLNEEKGKKLEAELKGTKYIQCNTTIWEDQARLFREAVSFSPTGK IHYVVANAGIHRPDEVFLYSGDDQEPQKPDLSTIDVNIQGTLYTAKLASHYFIKQNGQ TPSPKQEDTCLVLIGSGAAFLDCPRAPQYCASKWAMRGIMHALRRTAFYYGSRVNIIS PWYVKTNILSEDAFAHVSSLGVVFATVEDAGQCLLRILSDTSINGHSIFVSGRKWAAQ GYLDLDLEDYAGSALIQEIQEDQMKSAPASLGLFV AOR_1_196064 MRTYSLFLLFVPLLFLLAHVQGHSIRSTPSQKASQLESIVIHTP SHQIEPEFDITFRIRGQDHELRLKLERNPYLLAHRPQIQYLDTEGVVKRTETFIEDDQ SVFRGGVWIQVTGRNWEKVGWARIYIVRGGDDPLFEGTFSAFSQYYDVRILGDTAENT GVSAKNRYMVAYHASKTDLRRDIENSAEPPRCAADQVMPRSFNPRVIGAEDVSEGLLP DTISPLERRQSTLTTDDLVDSIGNPAGCPTSRRVALVGIATDCSYTSSFDSTESLRRS LINMVNAASEVFESSFNISLALHNLTISDANCPSTASDSAPWNVGCSEGDMNSRLQEF SSWRSSLSDTENAYWTLMTGCPSGSEVGISWIGQLCSSQSSTNVVAQTSNQWQVFAHE SGHTFGAVHDCDSSTCSSSTQCCPLSSSTCDADAQYIMNPYSMSSQTEFSPCTVGNVC SLLGSRNMRTSCLLSDTSNVPTLTAGECGNGIVEAGEDCDCGDNCDDNSCCDGSTCRF RDNAVCDDSTGPCCTNCQFASSGTVCRESTGTCDIQETCTGNSSACPTDRYAPDGQTC GNSSGLFCASGQCTNRDMQCQQLLNTNSTGVSSCNNDSCTLSCSVDWYGSGVCMGMNR QVQDGTPCSDGLCRGGRCRSESENNGSWVDRHRSLIIGLSDGIGGALVLAVLLGIIIC CCCRGKKTPKKAIPPAMPVTGQVPRVPPPYSPASPTRSIPAPNYRYA AOR_1_198064 MNNLTESEEATRSSIIRLEHMPQQAWVRTAGDDWTGIIDRKERR RLQNRHSQRAYRLRKKGKVVETQEDTPSTSSSTAASGIVLRSPSEESQVEAGEELKCA HAPPHALQFRQWFEAIARDSYLRGSPQTEHLITLSRLNVHRAIDQNICAIGMTNDWTK SDDSISIFNLVQPGFLEDNIPPSLRPTLIQRSVPHHPWLDFFPFPQMRDNLIAAGDML DDDDLCHDLMAFWDTRNTGATLLVWGEPSDPRNWEVTEEFARKWGWLLRGCSELLISS NLWRLKRGERPLLWRHVLQPQSSASQGYM AOR_1_340064 MAFCDYLTAWIFDDYGRMPGPWNSKCVGNYTTYKSLFQYDESGF GVLYMTDRSSPDYPHVKAIAYNNVNATDQTILRGELLLILRLMFTQLRKRRFLKHMVA PVLLFFIVGPQHARIIEAIFDGSNLVLRTTKIFDLRYKNVQGLKDFAGYYLGPPMGDT VKA AOR_1_342064 MTTTFDTVGQSHGPTSAVADEITSNRAMRKRGEPEPQEEHDAIR NQLVADAARLRQLEKFYSSTNIYDFDGVNPELAMHLLSLYWDRQLDVGAVVYRPVFMK DMACSGPHFSKLLLNAIYFTASKYSPRLEVRDDRSDPLSVGRMFRRRITQLLSDHVTR SEITTIQALLLIASSLFSWCDEKSLAWLYSGMAINMITDLGIQMDNRIYRKGHGLSPE QAEVRRRVFWGAYVLDKCQSLYQGRPIRLREADSAIPLSFLDEYEEYDLFEPASYGTS IESSPALSQLVSILKRYCSLSSIMSRILDTLYTERSTSRDPVVLFECSTSLNHKLQSW YEELTSGLTAAMTGSSTATASPHILALTALYHTLKILLHRPFVSDGHLRSASPSVAGI AFEACATAANAIHDTLGIYGKSYSMQLAPYSISYATYVSATIHARIAAHSPAGSHAHD CLQNCLSILTEHQRLYLGPKRALGVILNLIKVMNIDIGDSTVTASSPDEAIAQVTSQE DGVRDPGHLASHHAGLFHTQNLPSDLGHDLQYDLPTYDIDAIIQSFDVSQPVNLRRFQ SEPAQNDHYRHTPTTDYLDFSLPLDPLFGLDNIDVVV AOR_1_200064 MQSILQYRRFGNLAEEQLATDPEKGLGSRKPSLKCKKHFPANDS GSKASVAGDEVSMVSSQDTSPNSRRPRETYEDEKVSINPSADPLNRSVTTEAGGEDTS NVSKTVPVGFEPENDPMNPRDWSFGKRLMVTIVVSFMGVIVGWSSSIDSGIIPQYAEE FGVSEVVASLPTGLFLVGFGTGAVMSGPFSETVGRNPIYIITLIVFMLLLVGAGLAQN LAGQLVSRTLAGIFAATPLACAGGTIADVWTPEEQVFTFPIYAIISFSGPVLGPVVGG WIGQSNLSWRWTEWVTLIGAGIILVTVILFQPETYAPILLKWKAIHLRRVTGDDRYRA EIELRQTSLPARLLLAMYRPVVMLFQEPTIFLFSLYLTVAYIIIFTFFTGYEFIYEGI YGLTQGETALCFLGLAIGLLLCIPLIPLNAKLRSRDITRTKETDPAGKAPPESRLYWA TIGAPALPISMFWMAWTARVGIPFWSTLAASVLTAFGMLCIFITCYQYLIDTYGTYAA SALSSLTLMRYLVSGAMIEVSIPFYKNMGVPYTLTILGCISAVLVPIPDSEEE AOR_1_202064 MLFPTLVVTAAFAGGTLALPTGDDAPFLKVVSSTEAIVGNSIWN ATVGASVVKPIYYQGKEIVGDAKGQYYSYVDQTESFNFSNATIVDSDDDFIDVQFTTS EGEQHWVIYRNQHGAYQYFINKALPSPLGEWRSVSRLDNATFTNGHTTYRQGALPELS EIESGEKVQDETWELSDGSYITKYDWSDFINSAKAWGVYGDGIGCWYIHPSKEYINGD HLKQELMLHRESSSGDTVLLNMIHGLHFLTGEEHEFAEGRVWGPWLWYLNNGSVEDAN AKYDEEVQSWPYSFPNADIEAGHHQRASSVSGVITLSDGRAASGASVMLGDNESSQLP AEQGVNYYYRTTADSDGNFSFENVRAATYALYAWAGNNSDIGDVSTNLTANGIEISES TSAIDLGEYTWDTQNRTKIWQIGTLDRLACSFKNGCSGYHHGLSDESPADIEYTINSS ETSDWSYVQTAEGTWQVKFELSDNPASDAVAILSTSLAGYSSGVNIEITAQGGNVTVG ELSDLTNDPSVYRSSTFCGLHRYEEFEVPAGTLVKGSNTIEFTVTKTSQWHGFMWNSI LLEWS AOR_1_204064 MRVLDIAYLGLAALGLARACETDEDCSLNGVCIDGPDGPKNSDH PGILSRICKCDPGWFGEDCGRLDLAPATRYTGYNYTNVTDPSYYGTYGNSSWGGEILQ DPDDPTLFHLFASQFSYGCGLSGWRPHSFIMRAESRNGPQGPYHFAETVAPAFRHNPY VFFSPADNKYLLYTIGVDAPKPEKCQSISNTRWPNNISVASSDSIRGPWTPFKMILNS KDPQSTNPAPWPLWFPENPTSQIIMGVEGNAIFIADTWDGEYKLMYTQKWNTTNYSPT WTEDPFFWRDKRGNWHTLTHWMIDIVEHDGQKWPRVGAHMYARNLTGPWYFKLYEAFN STVAFTDGSVETLKRRERPKIFFSDDGEMTPLYLINGVQSMNESSRSYTLIQPIGTKW KQYEKDLGFYSDLRYRYLSNDGHPGPIGDDFDKERT AOR_1_206064 MAPQVWFITGASSGLGLSLSLYALSAGHHVIGTVRNASKSADAV QTIQNKGGKIVELDVTKADAIPEAVKKAESFYGKIDVLVNNAGYSLLGAVEDLNDKES ALQMETNFFGPLRVIRAVLPGMRGNRSGTIVNISSVAGQDALPSCGLYSASKFALEGL SESLSRELAPFNISVLVVEPGAFRTNFLSAVQRNESGLSEPYKGGPVDTMLGNFESAQ GKQKGDPEKAIARIFEVVTGEGAAGGLKGQILRLPLGPDCVQRMQAKLDKANADLNAA REFALNTNYD AOR_1_208064 MTDATRTTTRTEYAITATPIQIRFKAAESTIVPIPTASLKLPRG YLYKREKVGIGIEVSAAVIGFAIAPLENYPIPETPDVPPPAYPGPSDGLGTAAPVPGS STDNWPMGGRK AOR_1_346064 MKSVKVASISRTDLEGLEETIPTITDVKHLSSYNWIDSVAPTIA IPGCPPLWSPPKGTRKVPKDSGSIYIAQNAARHPESPLEPLFRALYTTHQSFDIGAVD LVTDRNNIRKLLSFINPSLSRNGLEPFTIEIEATSRTVMFCRAETETVRFVGPDEFVG FGHEFEKAYTRDKVSGSTGHHRIITYSFGGLRLIVRYETDGYVDSLSGSSAVGPDENS LSSMMESLSLPPSKCVPCGASGRSKLLLRNEGQTVPADSILEIKTRVAHRPISMQEVL PQLWVSQTPNLEWEESHGEDLRGLSSLFKKLIGLVKGNGGTAILRYDTRNDRLELWTA AKRKMLPDDLYFRLDHHDTEGVTCEKAPVGSGPSKTLLKIGNTLYNVDLSMIPYLASF VSFERNRQPQGSEFTHGDIPLFDTALQGLESGYRFCFRSLPVDLAQYHTLCETYDFLG VDVLGGQTIDNIFADLRACKTDYELDYKRYRAIKGDKTLARDAAFRLLFLILRGEFRD EARDSAKAYNAVLFIVSHSGTFKYRTRSVLRAAYEERFVVSPKQRARLDEWNKKLEGD GALYDDKTTEDSSEPYYSDES AOR_1_348064 MSLGTRLARPRFAVVAHRTPTEDFLAPEDEKDFISSIMEENKME LHGFRINRIAWLKSRDKPIGKHGSLAIWFDTREAAEWTMDNGLLASAISPGHAEEKQD VGTVQARMSSGIARLGAGMEALINDSQTQNLDILLIQEPPLTAYSTHVNHSAWHLYQS TCQEDTPKKRSLLYVNRRISTASHRQIKCNHPDVTAVKMWTMERQMLIFSIYVPTTNH SQPMEEVSIQAMLEEIETCIQQAIETTDKPTTIIMAGDFNRHHPMWSKNRIYHVAIEH AEELVTFFHKHGLQPCLPRGTPTYWSMSYPGSNSTIDLTVTDTPESLIKCHLYHDHYG SDHRAVYSEWSLDPSRNAEREPRRAYDRADWKQIGESVQAQIAQTPPIHTEAELEGAV AKLITCTTFAVDQHTPMAKPSPYSKRWFSPELKEQQREVNRARRKWQESCAEKGRQDP MSLILFGDMRTKRRAWTRAIEKAKATHWKDFLDSAGEGHLWKAASYMRPRESYGNIPP LKQDKGETADNTEKARLFMRTFFPRMAPPEDTMEMEQREEIPWTPITEQEVYRALRAA KPMKAPGEDGIPMLVWRQLWQWLRTEILRIFTASVNLGYYPEKWKRARIVVLRKPGKP DYTLPGAYRPISLLNTLGKVLEAVMAKRLSYYAEEYGLLPNTQFGGRPGRNPEQALLV LRNAIDRAWLASKVITLVAFDLKGAFNGVSSNILDRQLKAKGIPTKLRAWVASFMEGR SASISFDDFESARSLLENAGLAQGSPLSPILFIFFNSNLVNQRVDYHGGASAFIDDYF RWRAGKSAEENIRKLQEEDIPRIEQWAKLTGSCFAAEKTELIHFTRKKREQTKGRLVI QGATIEPSATAKLLGVVCDQELRWKEHVQQAVNRATKVNIALAGLRHLRPGQMRQVYQ ACVTPIMDYASTVWHNPLKDKRHLRVLDTVQRSALIRILSAFRTVATATVEVETYTLP THLRLKQRAQRVIVNLCTLPRDHPIQDVISRARRRRDNVGSQPRFPLAESMKTMRLEQ LDGLETIDPKPMAPWKPPGFLEIDIEPDREKAKDKATALQASSNMVVFSDASGQNNQL GAATVILDHNKDVVESRQLSIGSMANWSVYAAELIGIFYAISLVLKIVSSRPRTPTTS QQEPATILCDSMSALQAIRNPGNKSGQRIIHANLQAAAELKARGIPLRLQWIPGHCDD PGNDAADKLARMAVGLDKMHPFPRLVSQERASIRKQILKEWEHEWKTCKKGSHLRRID PKLPAIRTRRLYDSLPRNQAYLLTQLRTGHCWLAPYGKLHGHREDDKCECGAKETVTH VLLDCSKLRIPRQKLRRELGEAFGDIPAMLGGKGETSHVKAVLDFAEASQRFRSRGPR GPQRQNSRQTATTGP AOR_1_350064 MSAVSDIKIVCAENIAISYLLRNVPAPPSRNRTHCQTVSGRRST LSFNTERKLASTLAFIAHSKDDVEHIPALCLEEDTDSGSLNVIFAVNKASYNDGEDTI RCIKQGFERIFAILATVSSECQVFARNQILTAVVSMCSSRILSRLRMACTSRTSTKRP LKGTLQEALLAVEIIRRKLIDGNLSKTADNFTLRAKEVEKLLDSWSQYQVVTRLVEVV EGIHQLQQILGLSDLIGIIPNRDMSPSARRSLLNIVSKISRYWEVARYLYRTAKTSPL ARAMRTIPVRLPEEAFASPVIKGYFPELQSKIAEASPRGSQQKLLREICAILKISQQQ AIDRYSGQVIRTLREAKVHAEIQLIAHCELKHPTLLPRVICSSKDACFLCNLCLQLYQ KIYTPKSHGRLYPGWRIPCIPQLAELEQRFSQTLGDHFRETCVALLSTHQKVIYPDPN ESTLFTLPLSRTTTRASISSKATRAGSRISRLPDSSKIVDVIDPRTENMANMPPGSPS PSHDCAKSIENELNYSTLVQGSKNFGCLTPGESSFVYIADSLRSLEIQIEHATGSSDL KYYLKWLGAEEAAEVREKGSSTIVVDTEHLEGAATLHEQNSLYLIAKDAILKVGWASG DKRSPSIRSPASDFDNHYITHS AOR_1_352064 MSGRPETRTPDPPDRDEQENSPPRLVRPKRTTRPPAHYAQEQEI ETEQRNTRSQRKKKNQGKPVAQDKAATSDDSSTEREDSDTSKLVKEIVKLRREIRRRD ELYKEELQRVKEEFGAALTEFRHELLANRPPTPQAHPESCAQSGHEEILREIQSLRVA VNPSGSPSYADVARTPPTSQPSNIRTLSSWNTTPTTFTDTLYCTIDTSKMADTESERP SAGPIRTAVETEIRTMENYTNWRCRAVTVDPKNTNRIRIACRDEAEHQLVKKVAETKV GAGARVLRDELYPIKVDSVNRTAVLDENGDIRVGAAAAFGEENETTVAKIAWLSRKEN AKAYGSMVVYLTKGSDARRLLADGFFHAGGESGVTSTFEHRPRPIQCYNCQEIGHKAF QFAATNRFQSAFHAEALTNRIARTVGSSIHHIMNKTLRVIQLNVRKQGAVHESLMNDE ETQNTVALAIQEPQARRIQGRLLTTPMGHHKWTKMVPSTWREGRWAVRSMLWINKEVE AEQVPIESPDLTAAVIRLPERLIFMASVYVEGGNASALDDACNHLLDAITKVRRDTGV VVEILIMGDFNRHDQLWGGDDVSLGRQGEADPIIDLMNECALSSLLRRGTKTWHGGGH SGDCESTIDLVLASENLADSVIKCAILGTEHGSDHCAIETVFDAPWSLPKHQGRLLLK NAPWKEINTRIANTLAATPSEGTVQQKTDRLMSAVSEAVHALTPKSKPSSHAKRWWTA DLTQLRQIHTYWRNHARSERRAGRKVPYLETMAQGAAKQYHDAIRQQKKKHWNQFLAD NDNIWKAERYLKSGEDAAFGKIPQLLRADGTTTTDHKEQAEELLAKFFPPLPDNIDDE GTRPQRAPVEMPAITMEEIERQLMAAKSWKAPGEDGMPAIVWKMTWPTVKYRVLDLFQ ASLEGGTLPRQWRHAKIIPLKKPNKENYTIAKSWRPISLLATLGKVLESVVAERISHA VETHGLLPTSHFGARKQRSAEQALVLLQEQIYAAWRGRRVLSLISFDVKGAYNGVCKE RLLQRMKARGIPEDLLRWVEAFCSERTATIQINGQLSEVHSLPQAGLPQGSPLSPILF LFFNADLVQRQIDSQGGAIAFVDDFTAWVTGPTAQSNREGIEGIIKEALHWERRSGAT FEAEKTAIIHFTPKTSKLDREPFTIKGQAVEPKDHVKILGVLMDTSLKYKEHIARAAS KGLEAVMELRRLRGLSPSTARQLFTSTVTPVVDYASNVWMHAFKNKATGPINRVQRVG AQAIVGTFLTVATSVAEAEAHIATAQHRFWRRAVKMWTDLHTLPDTNPLRRNTARIKK FRRFHRSPLYQVADALKNIEMETLETINPFTLAPWEARMQTDGEAMPDPQAIPGGSIQ IAISSSARNGFVGFGVAIEKQPPQYRKLKLKTFSVTLGARSEQNPFSAELAAIAHTLN RLVGLKGFRFRLLTSNKATALTIQNPRQQSGQEFVCQMYKLINRLRRKGNHIKILWVP ASEDNKLLGLAKEQARAATHEDAIPQAQVSRMKSTTLNLARSQAATTKALPEDVGRHI KRVDAALPGKHTRQLYDGLSWKEATVLAQLRTGMARLNGYLYRINVAQTDQCACGQAR ETVEHFLFRCRKWTTQRIALLQCTRTHRGNLSLCLGGKSPSNDQQWVPNLEAVRASIR FAMTTGRLDAV AOR_1_216064 MDSSSSPDYKALYLRAEEDKRKAEENQKRAEEERDQGRERTRPT TFLELLRLCHSLFSLQLRVETSSRSTTGKIPPPTGKYCPLRLRHWEDCAARQQEVYRS ICAYLETPGETAAQLFSPRLVLEDLGQRFGERAISSEQDLESYERFGVENYVHDIIAE LCKNTAARNQFGLGDGVKFDNHANALDQTEADPSLLAESSTYRRSRPDQFCIRRVDGK RNTLLTTVEYKPPHKLSVENLRAGLREMNFWEEVVQPNSIPTEESAKLSYNAAWLTGS AITQEYHVMIQEGLEYSYLTNGLALVLLRVPYDEPGTLYYHLCEPNMEIDLNDDQSFD QPLTTIARVLCLCLLCFGAPVRDQAWRNKARKQLPVWKTSFDHTRSQIPERELRQNPP SSEYSPSVSSRRTVSEYLPSSSPVESPTQRRRIPTRSRAQCAPNTMAREDSPDSDTDS APGGRKRGFSQVTSSPSSPSVQRSARQTGTRPNEHGRYQHNAQFCTQQCLLGLQRGGT LDDCCPNVELHRQGGTSNQHLIDASGLVRRIKQQLDQNLDVDCTPIGGCGASGAPFKI TCTAYGYTVVGKGTTSYLWNEVKREADIYCILWRAQGRAVPVFLGTIDLAMIYFLHGA GQIRHMLLMGWGGEPIHKLEDVETIRHEVSRSLKEIRSLGVLHQDLRPDNMLWNAELE RVLIIDFHRSQLDSRPMKKRMRLREQHSCGADVHGRKRLRIGQ AOR_1_354064 MRWISLQPALNRTRQLVAGTRTSLSTPWDGDNGKADDEKDVPTD NQSFGQSPREFWLRRKCRRHLGTLRYTPSQDKIHFFTYKGSWVALYRQPYKETGSPWF AAMERLHFYALPGKRHVLDDLMDEIHKTPVNGDPKQVAVHEGFKVGSSCQWMQVASKD PRFFSTVALNQDKKRRVVEDLQEFLDPRTKSCLSLALASFSYLDIYALSLSAVGLDET VLATVFRDLPKHYIVLLEDIDEAGIKKRSSDSPPSGKPDHNGVDRIQWSPGSVTLSAV LNAIDGAAAQENRVLIMTTNHPERLDPALTRPGRVDMEINMGPVEWQEARQMFLMVYL QPADTLFMEITPLSIPANPDWRLEDIDNLSTAFASEIPSGRFTAAELQRYLLQYRHDP SAAVRNVKRLLFGLECDTDLSAFRITESPHQLRFHDTAYCIRVSAHIFLWVSGKPCIL LIQRALCDSEPGFWKVPAGSCEEQDKTPRDALEREVREETGLQLSRVIHALPIQTWTR SKEGEQHEWVGLPYIIEVSELEHSISHENRQGVSGRALKCKDVIQLNPREHQDFAWAT EDEVRRDMYKLFGNDKETIFEAFATVTQNCSV AOR_1_218064 MAAKLFYTPIPEDIADDNNKLVTEAMNNFGSDFEASKIDIEDTW TAYTIRLFEASPKKQLVIFRPPPSSRFFNCIRVRSPQNAVEWEIMRKSGLYMGLIPVD CQFEAMLVEVKLITQRGGSN AOR_1_220064 MEGPLRPFTDPASKASDRAFPLDDKDITCVSDESLIDLLKSSPI LYDFGQTTVVRLSKNLVLKGGGNVLPCEAKILQLVASKSNIRAPRVHRSLHFMDDTKY FGNMGYIVMDYIDGEPLDGCWRELSDEQKMDVAKQTAQMIIEMQSIKLLEPGPIGGGP CRGRFFTHYSAGPFKDVAEFEGWFNHKLDICKAYKHASPDLPPFKFTEFVLTHQDISP RNLILDRNGLVWLVDWADAGSYPPAFEMAALCSQSQFIDFNDMVLSLLPRYPLEEQQL DSIGYALSTAALA AOR_1_364064 MPPLQSERHGYAPHQTPLVLYSRLYTLGLAKTLLRRIHRGLIAA SPSRHRMETSQPKKLTELSTCWQRPPPKQFTDKFMGRNEFKIPEIIFDPTLVLSPHVC LLGMLFHINGFKSITTTGPVLDSPESLYSLGVLDGLGQQELKLKDDLLDKYVFCQTVR EATGFRIAVEKSYVTCGPNHRLRTGDQAIPPSTELTQRKEEVTDALQNVMLQHADIRT FIRHYEVDVDVDVQGIVRKTGSQTPLVRFACSLSASIDPDRPFWLSAEESKSLNQLPA VRERQKTVNERKRKWVDRKAKLDRVTQACQASFGPLNAGALTGRHRQLQVKLEHLQDR TLEAKRKYNASIRELRNEKQRQRNRRIRENLERYKNEQPVIDLERQLAGKLVDAKVMG ALERKGFMPPQQMLMIDAILSLPGTTLEAEYQRRINAINAVTAFCGVEEGRPTRRTTQ SRRRRLPGDDESSPVFKRHQSSAEDETEIALRQAMESVRIDYPKQRPQICFLCLGNPK LPLKDRLLKHSTPGSLTRHFLRKHVNPPWPAKGVTCTVCDGKPLQQKSELLNHAEGSH GTVVGGTTRLRLAQEVNPRLKW AOR_1_366064 MRYQALSLALLGLGKSYAAHFSDTCNSFDLQGSLLMAKCSKSPN GYYYDTVVDLNKCVGNKDGVLIRGENVLGNMECSICLSIGTQIRCMCYGTKGVLAPSS VLNLGSKGYERFEDIDGILVYHTAGLLTTFFGLPLFQRLMRIAGWRNRITRLAMRLMR PSSRDEFAIAIICALTLEAEAVEALFDETYDRLSAFYKKQSGDDNAYFNGRIGSHNVV LCLMPRMGKGNAASVAASLKISYRKIQVALVVGICGGAPYSPTKEEVFLGDVIISDAV IEYDFGRQYRGGFMRKTGVRDTLGRPNREIQSLLAGLQPQQSRRDFQAKMLQHLRVIQ AAQPYWRQPSSADDVLYEASYQHKHYGSGSPHLCSCLDDTSDEVCPEALDASCILLGC AQDQIHRRRGCRENTSPTIQIGTVASADTVMKSGEHRDNLVKSEGVIGFEMEGAGVWN NISCVIIKGVCDYADSHKNKAWQAYAAATGAATAKAFLEYWDPTVLEETNEFYIPLDL RGVPAIEEFVGREEELSCLWKHLQPTSERTRKVAVLHGLGGIGKTQLAIHFARKHKND FTAIFWLSGKDRAALVSSLSSCLPRIRNPPVYTQVTNEEEAEQRANQVLLWLAKPDNT RWLVVFDNIDQYSPVQGYDGSKYDLYEFFPNADHGSIIITSRLRELTELGKSFPVQKL SHEDTTRLLLQSCGLSIEDIRRTEAEQDITDLAGLLDGLSLAIVIAGAFMRQTGTNAK EYLELYRSSWSDLQSQSGPTRHYQQGNMVQTWMVTYQEIRKSDPTAANLLLLLAFYDN RDIWYELLRSGLDCSDPPSWFKMAVPNKLAFKTKVKALVGFSLVETKQQGGSYALHPV VQDWCHHVADCNNLTSQLHELALMSVGYMVPHNSEREYAEIQQRLLPHANYLISRERD HWQNDTIDVSNAFHGIGILYSDQGKMKEAEEMYQRALAGWEKALGPDHTSNLDTVNNL GILYAHQGKLKKAEEMYQRALAGWEKALGPDHTSTLDTVNNLGILYAHQGKLKKAEEM YQRALAGWEKALGPDHTSTLDTVNNLGILYADQGKLKEAEKMYQQALAGYEKTLGPDH TSTLDTVNNLGILYADQGKLKEAEKMYQRALAGWEKALGPDHTSTLDTVNNLGILYAD QGKLKEAEKMYQQALAGYEKTLGPSHTSTLDIVNNLGRLYADQGKLKEAEKMYQRALA GKEKALGPGHTSTLRTVNNLGVLYTDQGKLQGGRRDS AOR_1_368064 MCDAYISIDRRQSSAFHISSYSDLRKEYKESGYTHLLPIINRFD VLRQWLGVCDKQHSCMRERSQVVPKRVIFVGTDHSDQLQLRESAHITQPFDYITLSHC WGKPTDEEMERFRTTPRNYQKRLEGFSYQGLPKAFQDAITVTRELNKQYLWIDALCII QGDQKDWEEEGARMDKVFASAYCTIASSSASGWHDGFLNRTQNFCQSEGVSSDQKIID DFCKLVDEGQLHTRAWVLQERALSRRTIYFTAQQTYWECGRGVRCENFTTLRCPTTRL YLLDSRFPERLVLAGYKTAALFLQELITDYSRRDLTFPKKDKVVAFSGIAERIKEVLA TEFRYGVFHCFLSRLLLWKRAGMESDQIFYDNDYVPSWSWMRYNGKIDFLTQSALQVP RNESLSFDNSERGINIEVRQLEDCYTRERDGEHIIFSKGVEVGILHFDMGSTAEVESR NCVVIAMSQDDDKDDPNKEYYILAVQRTSGEEEYERLGVGRVRACYVSKGSTSGKLL AOR_1_370064 MRPTAHMDTQDSQMARATTLPPTPEKESRSMKAYCTRERNKIRL TAPFSQLTKHLAHIPIKDMNNWVHRPIEVRRYEVAKRDGKVTKPMNSFFLYRQAYNER AKEWLAQSNQAILSIAFGQSWRMESQEIRSRFEQLASIDRKNCMEVDPRWSLRRVDIR GGQNKILGSTISPGSAESQYSFIMRASTPERRSGKNSDYTDPSRTVLDSLAPNPFAPP LQVGCPHTCTCENDVDTACYECAINEAEMLGSMHFGPPSLHETSVKSYSDLISSLCPG CTPGSSTGRRGDYQFLGSSEKPSYEVSEHLQSPVLFHGVPQQSQADSCYDPLGLPSIP PSTIHHPITASQLNMEPPLTEYLQPSEAYASASQGDMEQFDSWINYEAFDV AOR_1_374064 MDSYTCHALYPIEEEITPQDHQILQNGGTSSNIERLQSRLQQSK LPPRSLKKRVEDLAYENSYLKAELAWHTETKHALLQFREEMYTMFHRMEDALVELKNC LRNAESRYLSFWGLDVCDSNSNDMI AOR_1_376064 MASLPMQNMSPPIFRDQSSHNEEILRLVNVFVHREKESALRQKQ LESRVSAESARLQNERHQFTQLQEKLAKAESFKGLLEAQLSKLSEEVEILQQELIMER AKSQELESRVSILFHVNDMLVRKSIETESSGTSFGQKPLDFFPLHLENLRYQETIKDL GTVAKIKDEVMMPLAASIPTGFRSSSPLVESENSWDSVVPTGISIDTSLVPQLPVQSD SQPLERCDGFLTSDV AOR_1_232064 MLLPFNMYDFPPLTYNATDSGIGDEQPNYSRLMCQDMFNDQNIS VTAIDDIDGTGGTSQTAHPPSRDAAELGQGDRYGLQVTGSTTYDHDSSLAGLIHPEST DQLILRKLLQMEATVNKLEQARKEGVDKTLNERINILAERLDKMDVRVNAFAGIVDEL LDLPDKVENLSKWMNDLGNTFQREKEWMNNLMSGIDDACKRFRYLIFGLSQPRGRNRL GNGHDKEQENEG AOR_1_378064 MRPKTDTSWLDVGVGENGSYVIRDYGRLDEVVSELTQPRQQYPF LSVFLGGKNKDIALQAIFPQNNIRRTQPSSRIGLRYDITSSNSESPILFADGNVTPTK GVLGAMPGVHDYPITWPISSTDNASRLVYARLIFLFADLVCLFADDFPDLMSVAHFLV DCVSMRSASPMPVAVRPRVLVVLMGNPDRSERNGPLQQFYKQLYEADSTHLSECFSHI NVVYLDPIQSDSLRYDSVRTWIHNQRENIQIVRRENWSQVNAVQLQALFTSAIRNLVS QNPAFFDFVKASREWNPVGAGLSDHVAHFLEVGHREECKFEILLSSLVSALILDHCLP GMMLMDPHAVFQTLYHDPVLRAFRHRQAPRFSKSVPELVSLIEQEFVTQYHLYASGEQ SSIEYRRQHLLSTNHELCRVQSDKICLYCLLFGNAAPDAEYQFSMVGCLLCNSRAVTT IDVLPPTMNPTVLAIDGGGVRGGIPLEYLLLIQESLGPECKLADLVDLAVGSSSGGLI VLGLVAMGWDVSTCSKKFDRLARRIFRERRQPAISWLLRLILRRDSLLGDIPKWLSWF FHDSCYDARLFDDCLQEAFGGDRRIFEPVKDKLSARWRSGAKFGVVATSIARETKSFV FGNFNAVNWFDLNHGYELFRANKRNNEPLLWEAARATAAAPFYFSTAQLRDIGSFQDG GLQDNFAAGIAARISRRIWPSRVGIARLVSMGTGEAASRPDQTPHFRHVFRDSFLRRG FDAFMSNLDTKAKWLQMVDQLDCTVRADYLRMDVSLGGMPCTLDNAEIMDDYRNLVIL QPGSARLAKEAAIGLLVARFFFTLDGDFEKPVIGLDLWYHGTIRCKGPAMAVVEALRR LSLENVDFVTDSETLGAFGGVQDICPACGRYSKTVSFTLRHPGEIMNIYMRVNQHKQW RISGFPASMSSFAEKQYLYDQFGRLDHGRPATTPCNTCNAAADSFRGKRRKRTSVTST EEQRNKRVCIAGDVAD AOR_1_236064 MVNSVFTQQTYEHFNKTVTTIVDRAFELSLFHDCKVYVLVEHSR GSLVFNSVDDHSWPFSDMSLDTGKLPVTRIHGTDLAHLRFTESERKEFIQLWLFFHYL LCRLDPRQPVEAYLPDVKDPDDNCLENLEPDN AOR_1_380064 MKLTEGPYFIIISVVITLMALHWLYRCLSSFFNTRHSCLGRTSP YHLFLRYLVYPRATFRLLQIDTLSPLGFVLLIFYFAGTAIFNFVGATTTAEKGVRAAQ LALANLIPLYFSSGREFGAHILGISLEAYGIIHRTTGFMAALQAAIHVTIGYQNNAFN LSSPTGFNGLLGGCMLLSLLILPMVKRRVYEVFFITHLVCAVIALVAIWRHIDSSHSQ SRRYILASLCGLTATGALQLLRLIYRNVAVGRKSVRIVARPYSEDIVQAVLYIPRPWK VRAGERINLGVPFLGLFYLFQAHPFTIAWWEEDYEGNADSISLMFRARTGFTRKVLDC LEPDREYWAWIDGPFGPSSPQNFGSSREIADFGHILMVTTGIGIAAQLPYIKELLRKY RNASVNTQTISLVWQLDRTGDWESARDWLQQLVKEDDGYMLKVAVYDPLRTDSPQEPR KIGHHELISLYGGEVNWADILAGEMGKRTGKLLVTGGRAYIFHSADTLGIRIKPTEVR LRKEEDMPYAWHIDNDELKPLFEKQLSKHSVGAYMKLYVEVGHSFWAVRSQHGESSTE PSLKDQIEILRNENATLLQKLKLSEEYSAEVSQRKTELEFEVSNLQDAINASNSIISN YQQEIQQWTSTVKYYEAYCHQCTDELNQVISSLQRLKDCFAPIMCGEVIEF AOR_1_384064 MESSSKDRTSLHCLPVELLEMIIRLLSSTTSLKPLSTVNRVFRQ LCVPFIFRTLRISCSTSGLNCLVEASHCSIAPHVKAIRYEISERIDPLAQNWESFCTY LYTPTEYARDRRGSCWAFEGGEVSYSTIYSYFNSLAKDQQEIIQSNRDLTSLCTSLPL FTGLDTIQLCFSSYIKPPFDWCAERMLLDGQLSFPRHVEVMATAIAAAKKYRVAIRTL IISGFYPRVLCHSRLVTDIISEAFSDVKELQLHDSPAILNFFEQCPLPQLERFEIGCY WMSVSHLERFIYAHARTIKFLHLEDIWLFRENREGNILNLTLADTKMILDSLTNIRYS GILSELTINRKIDGCYEIKESFA AOR_1_386064 MGTTKALGSPIQMNPPPYNQGTSCTAAEGGDQQDATFVKYGSPF TMDRLFIGLDPTDGGITAIELIENRPVSFVLKVKINDRDIKLDRLRPTCHRHLANLKE AFVCHESLLFIYERWDGVSLKGIQELRPTFELGEVEVATICCQILHGLHYIHNTLGIS HGALSEQNIYIDDNGDVRIGRIGESMIRGLIHGGEVMDISATLNIARKLLGLQGTSGG RGTVGLLAHDFTAAPRGVTIEQLLQLGGRIAHKYRKIKYEMSLEYIVTTTKRRSNTLQ QRAS AOR_1_388064 MEAGGLGQRADQITRRRLRSYRGCALVELRHLMFEGEEVLGSRP LDPKNVDRLAKIYELEGCQQLEPEHRVAALIKEDILYQTIEQCNIIPNALFDYANPPT LSFNQNVRLLCLYGKHRLKAAEIHAVASWLVDLYLDDIPAEAIIQLREESTNAKNFKE GDSFRIYRHYKLAQNYAQERKWWARFQTDERRKHIRRLEQTPILINGFDLLLPYIGLW DHLSASQVRQVLGLRCHELVSHYLKVIYRQWSDFFGTEKGGMVDAESVRLIEGRMPKY SLDDQSFISEVMRSGQLFPRLPEPEDRHCLLQKILQTPGRILSLHTLSQDIRFLESPA KAFRHLIPLRKKDTVNQALLKHFRVGDIGNNTEIQISEYEFSPAPAPVNLSFIGIIQL WLFALRHFTRPPKVNGQQQTEAWYLHGQSLGEMAVLATRLGFSSNRIRELQSTDESRL GPEKLFRSLCINMFYLVNDSKVHNMARHFNAGMRSLSRNKEGPRSPPAFTTERFEDRS RRRYNSPTLDEYLRDRAHLFVGNIYSADQSPSQYPTSFAVTREIIFAFFGKEPLYSIF SQRQPSSPSEVPELAREGQIPAPMESGSVAHDNAVVGLEQNIPALDANGDADEYGRAV TLGSPNDYQYEPVELLPHPPGTPDRSLMDVITDDVPLATGFEEYDSKAPLAIKAEISV HRKAVDILQMWYGADDGRLIILFLFETRSYYKFLVSGGWELRSTLQDLSREHYFLVCN EFGIVQVEVNVVYDTALQHRLILVGKIDSPLHGVEDQEGRISAEKLREYISCYDAKTG KRKADLSDTRTVKRHLVS AOR_1_390064 MSGKRKTLLPKTLHLVHEQGLQDSPTTSISSFIEKPETHLAASS NAAPQKSPGKLLKRDTDLQKCTSKIDKYYTIDQAGLGVLALKDVHHLPFCVIKKYPRN SQKHIRNLKPARHKNLICLFEYAEVQSEMHLVYEYEHIPISLGCLAGSVQFSEVAIAT VSREVLEGLQYIHSELRMSHGAINPSNILLTWKGEVKIANIGDSMLNGRTLRDRDLDL KAVGSMVIGLNNRTLLVGAETPDTITAESDLSVSAQEFIDNTKCKSIKELLKDDFLQL ATPEGAWNLKPYYLEALPFGFRVGCRIRG AOR_1_392064 MSSDTLGSEGSCAEVVQQGVGDEKSGIRRKDTNLTVETEYVHML LELDCIHWIYNFLSGFASWILLAGYLVVPGTFTTLQSSHTVKQELDTNPTRKAVLSTI QNPPLVGISCSLLVIGALTMTYLFFRWKQNYFWLINRLFIPTSLNAVAGLLTTLINIY TAKNGNWSIMALLTVIATATTAFSSLAVALFYKFWKIRKLKEEHEREQKAGLVMVYP AOR_1_248064 MSTRDILHHEYTVACICALSIELAAVTALLDDVHSPLPQSDNDS NTYTLGSMSGHNIVIVCLQSGVCGTTSAAFSVAHMRRTFPSIRFGLMVGVGGGAPLSG ADVRLGDVVVSMPTEKYGGVIQYDYGKMCYGHLEPMGLLNKPSPLLLTVLSKMRSSYL LPETKTKQIVSDALQEHQATRHFSRPDKDWLFHEMYEHPMGNPDCSSCDKSQLVSREP RASDEPHIHYGLIVSGNQVMKDARARDSIAKDLHALCFEMEAAGIMDQLPCLVIRGIC DYCDSHKQNDWQGYAALAAAAYTKALLSLVPLVKDTVCQEKGFGFTEREKVFLKNLFI TDPEEDMSSLKRRKGNRTPGTCSWFLESSELKSWFRRDEDIDGLEQNVFWLYGNPGIG KSTMAMTLVEELPKKDYFVDGHNILSFFFCEASSERQREATSILRGLIYQILNQYPPF MRSVMSRYEVRSNDFVTSFDTLWAILMDMGRAAEGPEIYCIIDALDECEADSQEMLLH QIYQSFSEEGITSSTRHKLHMLIISRPYPNLECCLSIFRCKDLGTCEDVKRDLQIMIQ NTVKKLATRNKYTEPVAWEVSRILEEKADGTFLWVGIVYNELRSVRSRKVIDTLQAFP RGLHSLYQNLLDAAITSTTVYGSEDYPLIKRILEFVAFAMRPLTLVEIAEACRLYLDK DLETRLQFTRDIIGLCHLLIVVDNGRVRMLHRSVQDFLLLEMQDINPRGSNYALSYRC IEVILQYCRPDMDMSTLESSHGFLGYSVLHWPEHANLAQTEFTVSKEIEQFFRNRLGP WKCWLDSHNYLKRGSEGDLGTGISVIHVAARWGIIPLLSCFQDELEEKDTRGRTPLLT AAQHSQLEAVKLLVESGASVNALDDNCRNALHLICKNSRDNDCELADFLLKSRVSQYA CDKDNMTPFLYAIGNSDPELAQVFLQNGFEVSQRIKRQWWPGRTTVSIITHSFQAQQV AARANVDSGLTALHFSALNACTKTTTFLLRHGADLNARSDFGDTPLHLAIRRHLLGRK YEDVWENGEYSVESWGGLITDFASEEEAFDISAYIDKTRIHIVEILLESGTIDVNLAN LGGDYPQHVIDFRKHYAWSILHKLIEKGADSSRVNGSHQTCLHLASRAGNLEVVRNLV ANGHDILLNDIHGLNPFHYALNYSCLDVLQYMSESRESELSQLWHSLDDHGRSPLHHH VSSVLCYVDAVDFLIQCGCDANKHDAKGNSCLSLYVGSFHLKFDRDIFYLLIWKGADP LWVSERQENLAHLLMHNNGADHETLNLLFDLGLDPAARDTDGKTFMHHGAIHGAFTEE LVEFLKSIGALDLHTRDSIGKTPLDYAEEKAHQKFSDVILLHFAGKWKESFKNLSAVA STLL AOR_1_394064 MGTIYDKRNDVKAPGYIWEIHGWLGMFHAHQFRYSSSKIFNESG WRDTRRPRYLTDQQRAQIEDHPELEEASCKLSNARTQYEETEKPGLLPRIQQLEEEVK NTRARLLRALRHNVRKNFDEEQAFLDIEAQLSGTAVEEDEDRSPLEDDMHPPVASGAM SRFVSNIELTRG AOR_1_396064 MFSNEHLEKLLEERKRLAESRGFTLEYQQEQEKIENTVCHPRIA PATEEKYERAVTNWALWRLSRSEPKDANLTREDPDPTPQQLKLFAESYVVSRKTKPSQ KSACNNFTCFTSKWERETSRTLPLGLKKDVLNYIRTVLTERHSLPTKPRERFLVTAKD IDHLLHHLFGEDNHDYVHERARVQTASSLALFSGSAARAGAIVESSSYRKTNECLYYK HLTFNLKWSGDTGGLKRWVVIDPEFLKGLRYRDDKMIPKNWFREHPVLGKSFVFWVIV HGIADGAFKGISTVEELLEKRPPKGRESWTLEWKEDAKELPFFRMTTSEGPKANEAWT FSSLRHHLTSLAERDGFRDRLRVHGIRGAMANKIDPKASAATRGQALDHMDHDSYLKY QSSLKAVDMMALYHDLDPDYECREMEQSMAHHRDQNVPLRLDAASLAEFEKDEEVILI NQRISELTQEIHGRPDKHADLVSERSKLYTRKAKKLRTKRSEFIENWWNVCYDEYIIG NDFLERDTTCLFQIYRKYMPERARLNDNIFKQVPLDSDVGRQCLRDAVSLCTSVEKVA YYPGMTPVEGKCPICAKQMSSGTTPDEIECTLLQAWFDGDSACPYDALSYTWGDTEKS DYIKIDGRRLDVTTNLYLALQDMRFPNTYRVLWVDAVCINQNNEAERGHQVQQMGCIY SRAEQIIIWLGQGRYETNAVMDSLKQLEEESREHPYKDWKLGDKHWIKLWSSVHGNLR SRYPGLERLQHEGIKLLLDRPWFYRVWILQEVANARTAVVLSGNRSVSTRIFALAPFL IGVKPEPHCQAVLDIMPGASRNNSWWSQKRGLYTLLLKFRGSKATDPRDMIFALLGIA LDVRDSNILRADYTKTVEEVIHDAASFLFGLSFPYHTMRGFLSNLTSMNTTYLKRAAE SSDANNIVRLLEERDEVQITEEFLEAAAGNTSSGKDILALLLEQRKDEVRITEKILEA VAGNTASGKDMLELLLKRRKDEVKITEKVLEAAAGNIRSGYDILALLLEQRKDEVQIT EKVLEAAAANIRSGYNILALLLEQRKDEVRITERVLEAAAGNTISGKDVLVLLLGEWG EEILIVAASCYSSGKQIMSCLLDQHSKKVKITEKVLEAAAGNTNSGNDILALLLEQRK DEVKITEKVLEAAAGNIRSGYDILALLLEQQQDKVQITEKVLEAAAGNMRSGYDILAL LLEQQQDKVQITEKVLEAAAGNIRSGKDILELLLEQQQDKVQITEKVLEAAAANIRSG IFILALLLEQQQDKVKITEKVLEAAAGNIGNGRSIIILLLEQRKDEVKVTEKVLKAAA GNIGNGRSIIILLLEQRKDEVKVTEKVLKAAAGNIGNGRSIIILLLEQRKDEVKITEK VLEAAAGNTASGKDILELLLKRRKDEVRITEKVLEAAAGNMRSGYDILALLLEQRKDE VQITQKVLEAAAANIANGKDMLELLLKRRKDEVRITEKVPWGMPQFL AOR_1_254064 MAVYYANRNLTPDQSDQWPMSFPPLPPSEYDRFLGWSMLGMGLA SSEPVPPPQNAQMEYADVSSTETYSLDTTKDFWYPSGYPNAIGTTGYHTPGPISDPQA AIYPEHEQRGINDGTPSTTYQHPRTDAPQQSMRKAKRPSLSPKSHPEPRMATPIRPKP MPKKRSSQDSTASQRRRSISSDSKDDDPNICMLRKKAHNQVEKCYRANLNAGFKQLED VTKQDSTTATSDTKMAKGLRPGRKALILQHAYEHIVCLQAELRSLQKRLGER AOR_1_256064 MTSLFKYLWGSSTSQASSPSPQSTIADIIFPSGLYIIRNVATDT VVDLTGAHRQDGTPAVGWHYHDAKHQKWQIASAGHNQFFILNDATGTYLTAGGVVLTT GSLVSPTNKRARWTIESAETKHAYTIRSVADPSHVLDLSFSDSKNGTPILIYSDHGTK NQQWTLEQLDAAPPPGVIKNTPVGGEGGTPFEEFKYVPVRVVETWSGEVEDETVVRGL RWTWDDGSQSQLYGAEKGDHQVLVVPPGGKVKESSVSSGKRVDSIVIVTKDGKKFKAG GDGGEEHKQDVGDGVLVGFDGASGLDVDRVGLIFLKKDGGK AOR_1_258064 MHMLLFIGALALPVFVCTQSCEPASLSPRLAGVDLEKFRLTPNA EYVDSDQQIPISTTNVGLIEQSYVETAIKLVRETFPNATFRLREDHYVGDNGVAHVHF RQTVHNLDVDNGDFNVNVGRDGSVFSYGNSFYTGPVPSITQLTKRDFTDPVAALKFAL THLQLPITAEDVSVESTKHPHKYVLRGTSGAVTNPKARLVYFVKPDGTLCLVWRVETD VDDNWLLTYVDAKTAEEIHGVVDYVSEATFQVYGWGINDPGQVDSRAVLTDPWDLKES PLTWFRDGQKNWTTTRGNNGIAQENINNLPTYLNNFRPDSPTQNFSYEYPAGGSPKDY INASITQLFYTANAYHDLLYTLGFNEKAGNFQWNNSGLGGKEKDYVILNAQDGASRNN ADFATPPDGSPARMRMYLFTHTTPPRDGVFESGIVIHEYTHGLSMRLTGGPDNSRCLS AFESASMGEGWGDFMATAIRLKPSDTRATDYGMGMWVYNNEKGIRQYLYSTSMETNPL NYTSLNRMWEAHAGGTVWASMLYEVLWNLIDRHGKNDGPRPTFDERGVPKDGKYLAMK IVIDAMALQPCNPDFVQARNAILDADQALTGGQNKCEIWTGFAKRGLGQGAEYGRGRR VGSYDIPGDVCQKKI AOR_1_260064 MDNHVAANVFGTLGAVLWSLQLLPQIWKNWRRHDSESLSAAFFL SWAMAGVPLGVYNISDNFNIALQVQPNILISLSLLTWSQCKYYRDKWNLKKILPVAIV LGAVLGGVEAGLVFALRVAYRRGERWPTTLMAILSAVLLAAGVLRHYVDMFRTRSDAG LSLRFALLDASGDVASILSVIFQPSLSILGLVIYGTEFVIWVGLMVILLYFRAARWRK GRDIRVDGPFDSTSN AOR_1_398064 MPDQLKKSSSKRHRPKVPLEQRKRTVKAYTTCRLRKRRYVLVTP DRCQNCNKTNSSCVFEAEDATPASAPLRYETPPDLRRIIKPWQPEPQQTCIFTNANII DPVTENITSKTAVKLSGGLISSIGAAAEVGDTDPGTIRIDQNGKFICPGLIDCHVHIA AVPGSATLREMKDLSDNVSLLRQPSVCQSMLNRGFTTVRDCGGAGLALKESIQEGVIP GPRLFIAGHALSQTGGHGDRRQPHDRNKCCAGHVNGIGRIVDGVEQCLKYAREEIRQG SDFIKIMGGGGVASPSDQIHHVQFSDEEIKAIVTVANNAGTYVTSHVYTPQAIQQAIS QGVKAIEHGNLLDEATAKLMKENGVILTPTLVTYATMDSPEFRSFLPPASAQKNREVL HKGLQALELASKAGVDICFGTDLLGPLHFAQSKEFAIRSSVQTPLEILQSATITPARL LKQDGFLGQIVPGFAVDLVILNANPLEDITVLDRFNDHILATIKDGRVLASRWSQLDV EAIPLPKIE AOR_1_264064 MAATFHRCMNGLLALGLLQTASAAVIHKQTELVRFRVPDVTADS LHNVHIGFLDSGFQGEIHLLYGDCDLSTSSERHHEIGSIFVKRDAHPERFVWATPSNA PHLHCLHAFSGSTLVGRSTPVSVAAPLVRRESIADVADAMGPWFDGIAYMEAKEPGKA VVAQAKDASVAIIGGGMSGLLTSHLLESVGIHNWHIIESSGRIGGRIRTEYLNNTCPD QYQYQEMGPMRFPVSITYADTNETLEIQDHKMVFQLGDVLNKMNSDNPELAVNFIPFV QNSPTVPASTGGNRLPNGLIPTAADVAANSSLVYEAASSNATAAADATQAYTDYTTAD KITPKIIANMYQAHKSAVENGYFHWSEAGYLRYALGYNDNITDYVAGTDDTPMWDSLY EGVYFSATKWRTIDKGLESLPRAFWPHVANKTTLNRKIQGLSFNETSGKIAVNWRDDP MQLVPESAEYDYAVVSAPFSKVRLWDMPRYSSLLGRAISTLNYAQSCKVSLLFKTRFW EHQENPIFGGCGSVDLAGIGSVCYPSFNINGTGPGVVLASYVSDTPARSVAALSTEDH VALVLRSMVQIHGDIAAEQYTGIYDRQCWEVDEHQAGAWAAPVVGQQELYLPAYYQTE FKTIFIGEHTSYTHAWIFSALDSAVRGTTQLLLDLGLVDEAKEIVNTWMGRWIKV AOR_1_266064 MRDRQQVLVEYRSVYYYYFCKLKTKIECFPPFHPILYTYTYIVL VQGSFQTPLVYNELLTHLRDLGHPVVLPPLPSCSDVEHYDFPTRTLADDALAITKVVE QLVEDGKTVVLVMHSYGGIVGSEAIP AOR_1_268064 MSWYRRGSASYDKKTYFDPLAIVLASSSVTVSLSARCMMLFPSI HNVSLLFLGALIAVSHIRGVAGMYDISLPLDPAGPLKVTLDYGLATTWPWPQDVSLTT AKCNWQYSSHIVFANRVGDSDESNPPISDGQLWYGIRNGAEPVGMAALAWGNGIILAS SMKGVGSFSYDFLNGDTPVGRSLQQCQIVWRDNISPGQNPNKLHKNRGNCAELLAAHL YYKNNRFDLQDQKARMGTWLNLADGWVKKDPCGTTEEDVWGCNLFTANQGLRTLDTNT PSEPYTLASLAGGPTIHEQIWLCGGQPRFFIG AOR_1_270064 MASSILSIPPEILRMIFAPLSPSDLHAVCLTRRNWRSVAEPFLY AHVEWTWTNTEYPPIIQFLRNVVDRPELGSFVHVMILRGDCFYIDARSYRDKSPKLLV TEVVLDELVNYIERIHIPYAEQWIEELRAGTMDAFVTLLLSQLPSLRCLYLDQNFARE SRLMGMMLRSALCEEHQVSHISSFAHLQDVTIVYPFIGLDIRRYTDVRNTTDVLPLLY LPSVERIRTFVDNPITFMWPGKYPPNPSRLASLDLTMLREGHLGQVLSVTRGLRKLQW DWYYRPDIKDHFVRNIIDLDQIAADLSHVQETLTDLTITAGSDCSEADAERPEVTFSG SFKAFSGLHMIEKLEVHIPFLLGFSSSAPNVVGLEEALPGNIQWLTLTDDLCLQYEWE WQWETEYLLGALRSWLQDWRKSTPRLQGFRLLMRVFKVRHWDPELIQGLRDMGAQTGI RIEIIEERRKWAGKMES AOR_1_272064 MGYSEADSISESVESIMNKLEGELSLAKYDLESTPPWSLDCNVP AQAILYNRSLPAPKDTIHIYPFYTVEPGIMVEFTTELDGVYQLALVPSGTQDPDQNIC SKKKQVTSGKVYRAFWGMTHHITQLERLQSISVLVRHLSSASAPDRKPSSWVLSTREL RVSRCPSMTPVTALKIGEDVFVEWEDVWEQCKPIHYFVAPIDRNGTIAPYHGEDAGQP DGRQCVKLISPPLITHDSHKELQFLISPQLMSEQEEPFLLPQQFHVNVDQGYMLTIDN GSYFDMENCQAVLYVNIEGASLSQDKEYSVIIGSLTRTNYVLESEPLPISINWGYAIQ VIWLLSSTPVKPTIE AOR_1_274064 MARWDADRGWAQSLRSITEPGEVDVTGSGVSRYGPVFQALTTGT LVTPRKTFLFWKDSEHFIRMATSPDYQPVIMKDSSFTGQKIWPCVKIATTITYYQRVA MLWVFWVTPDYAVHGAFKRATSPSPQDAWTVFPVTGPNCADMSTPLKIVPVSNSEEDN LLLLWFDRDCLLQAASPFRSHTSLNLRHWYQFNVFWGISSVRDRPSKMAMATAPGGRV VFTWVAPGAVLRQVVFGFNGREITH AOR_1_276064 MSESYILIKGATVISAKATGEEVRENCDILIKNDQIKAVGNDIS PPVEEEVVTINAENCIITPGFVDGHHHMWQHLLRGITVDWSLFGYCCHLRTVYGSLYD PEDVYFANYAAALSLLNNGVTTVLDHSHIMNSPEHADAAVKGLKDAAIRGTFCYGFYQ NPKVPGDIASMATDTFDKAARIKDAVRVREEHFSNNDPSVSLLTFGIALDEAPMQTRE QNVEGLEIARKLGARLSTVHTSVISHGEPKAEIVEQFADANLMGPDIVFSHGGWMTDS ELAAVRSSGAGIVGTPDTELQMGMGYPIVWKANDLGCRTCLGLDITSNQGNDFWAQMR LALQTQRAREYSNTVHREVGRKTADVLRMATLGGAEVMQMESLIGSIVPGKKADLVIF RCDDIDTVPVADPIGSVVFHASPKTIDTVIVDGKIVKQDGQLVGVDWPSLRGEIVNRS QRLRNQAAKVDMEKPESEFRSIFEKAMKA AOR_1_278064 MQSTQVCEFQTIIKNNPVLASTGCTPQFCQAGRLIHSDEPRVGE TRPLEVVKQEALGFLSQLRQEGVYTEDQYTARHLDVLKALKESEVLEPMMVDGVKTVG KTATWTQTSEELLHGIRISWKNSRKCIMRSHYKELDLCDLRHITTSVGMVKTVIEEAV KAFNKGQIRPTVFAFPPRSTSGTGPMFLSKQLLNFAGYQQEDGSILGDPSNVELTQDI IELGWVPPEPRSRWDLLPIVAMAESDAPAWADVPAELRDLVDIRHPRFENFQKLGLKW YQFPALSRLGFDIGGVQYTAAPFIGWYMDAEIGVRNLADSFRYNTLPDVAKVLGFDIE NYKKNPEYAEIEAMEDLPDYEQLVWMSRAQAELNYAVRWSFLQKGVSCIGTLAASSDW TRFDDEHASKYGYRLNSDPYWIAPPQGSIVPVWHRGGAPNYQPKPLIARHRLDPVKSW RRRRSVVKTPVTRLVKVGEDWVTEHITPVQNSLCIENGTKHLTNGVPTIPKKKVHIYY SSTGTSALKLTEKLRRRVKELPGGFQVDFNILNLLDLRKIKPSDPLLMVVSTTGDGRF PANGAEFEAAMKDRVMEYNGAFAVKYSIFGVGDSAYPTFNAASVKLHEFMKAVGGIPI AKGLTKGNTAVEALPMKAFNRWWSFVKDSLTGESANEVATESTEDECFEHHRMLAGFN TGRLLSKSPSETGEGRIVMITMDLGDMDYIEMSHLRLLPYNTSEQVTRTLAALGVSSA SQCVPFRDTTMPSLSYGEFFQHYVDLEGRFKDLAWLPEAFPAGDRWDTNGTVLEVLER LPGLQQITDDLRMKVCLDMPLLRPRSFSVASSAKYVGKGLVEIMVRLHKGGRFSDKFL SAIAPGDSIKYAPVTIVPGQDLISSQKHLIAICTGTGFAPVRSLLQQKIQVLMEAESQ GMDFVFQSPPISIFVGFKAHDEALFEETLAVAERYGLIDMLFRVPSNKQKRRVQHYVE DNKESVLAKIRDGSIYVCGAKAMVNDMAAKLSDMIGGDVRQSLGRRYVEEIF AOR_1_280064 MQSPTFDVNCEDRLVFCHFVVGVVGNRQNASDYDADMKRAKEYG IDAFALNIGTDSYTDTQLGFAYESAANNDMKVFISFDFNWWHFSQAREVGVKVRQFAD HPAQLNVDGKVFVSSFCGDGIDPSAIQNAAGCEIFFAPNFHPGHGDFNHVQGALNWMA WDSNGCNKAPSAGQKVAVADGDEAYRQALDGKAYIAPVSPWFFTHFGTEVPYSKNWVF PSDLLWYRRWREILALGPRFVEIITWNDFGESHYVGPLSSPHTDDGSSKWAIDMPHNG WLDMAKPFIAAYKAGVHDPDDFIHEERLVYWYRPTPKWVDCDATDTTMQENGNITSPD LFRGRPHGSDTMEDSVFLVTLLKEPADVEVWSGSNTRRFQAPAGAHEWSVPMGLGVQS FSVRRDGNIVDALSGVSQRDIVDKCPYGIYNFNAYVGTLPAEAEFDQLQPEGMALLSQ GLRTSCPSNPVAGEGGVEPTATPSHTHTRPSSPEPESTSCCTIL AOR_1_282064 MSREIERLPQPADKKKMRLIVASCSRTGTLGLHAGLEMLGYTPY HMIDVMFKGRSPHMKVFTEAIIANHNQLSGIKRYETPDLERWVGNYDCLMEIPSYIGS RAMRGYIEDPDVKFIVTERSPEKWVRSIDNTIGEAVKAAHKFPLNILKRFDSELGHFL HLATVMYWAYADGANPGDADSEAALYQNYVEYIRTMKGTLPKDRLLVVKLEEGLGWEQ ICPFLDLPIPEEKYPRGNEPDKFHRIVADYMEPRVKAAMLNLGAMVLATAGVAGYLGW RYYVRQ AOR_1_284064 MREILSVQIGKCGNNIGSAFWEAITDEHGLDTSGKFTGSDYQRE KLDVYFSETEPQNYVPRAILLDSKSDTRDRICTGPLRTFFHRRNLLFKGYGAGQCWAV GYHTAGAELIDEAMDMVRREAEECECLQGFQIVHSLGGGTGGGMGSLLISRLRDEYPD RVIATFSIFPSRVPDVVVKPYNVTLSMNRLIEDSDATFCIDNQALVDTCTGTLGQCNP SHENLIRFMAQAMSGVTACFRFPGQLNSDLRKLTTTMVPLSRLHFFTLGVSPLSRQTS ESSSVPRITQKLFSSDSIAASVDHRISRSLSCLTIFRGKVSIAEIEAQLDNLRNKRSP DYIEWVPNDIRCTAYLPHDYDMSGTLLINSTSIQKMFSHVSEQFSALYRRKAYINPYT WNGVDEMDFVEAESNMNDLIEEYREHQDGPI AOR_1_286064 MARTRSQKAHLTASSNKKGQRKISLRNQRSDNHQRSEHHGNNNS TRRVGKKAKPTYLDRSPSEAETDLNRQLRLFSLPREIFDAIINHLPPDAEACLTLTCK EALALLGTTSWASFRGRNRRYSLQCGYSGSLVELLQRDIPGSEYCPRCETLHPPLKPP KDHRETKWTKLCMGQLASIDYWPQTPSGGYSLVWEHILETFKLQPVSPGLSPPIPLFQ GDFTFNKDLVSYRLTSCAQWVERNLVLTQEHRLRISNSQRRTLQATHITSLPFRVCAH LSTTNISTINTSRSNKVITKSPLLTLAIAAAFPPHLRKGVPQPDTPLQVEDAETKGNF IRRCKSCATKYRVRYEGGNGGEVVVTAWHCFGKELWKAQQLWTYLVRREGPTLGPAKR NSEYHSVSRSIPDFEIPEAI AOR_1_288064 MREEAKPISRDALVSSLAIVEEHLKCAYSTTVTVKGFMFEAETV LCMSMLFVYTFHGRLPLVYSFNDGFEEESDIHMYLEEIDRVLIEELLF AOR_1_290064 MAEKEPKTVPVSSTEVDTDSLGASVKYDSDRERQDKQSQPLPGK STFETEDHRLYRPIDSYEGIHRWDPDFEWTEEEERKIVRKIDWRVCTFACVTFFALQL DRGNINQALSDTMLQDLHMTSNDYNTGQTIFLVCFLIAEMPSQLISKRLGPDRWIPFQ MVAWSLVAACQAFLKTKSAYLGIRALLGLLEGGFIPDTILFLSFFYKSSELPKRLTCF WISYTLTSIIGAFLAFGLLHIKDSNGGGSWRYLFAYEGLITGVIGILAAFWMPAGPTQ TKGGLRGKDGWFNEREEKIMVNRVIRDDPSKGTMHNRQAVTPKLLWYSLKDYHMWPIY ALGLIWMIPYTPASNYLTLQLRQQGFTTFQTNLLVIPSAVVSIITMITTTWIAERTNQ RLLLGAAAEIWYLVLLIALETLPMKSMPWPRFAILTLTVGGPSIHPVLVALTSRNAGS VRTRTVASALYNMSVQISSIASANVYRTDDAPYYREGNKVTIALAVVSFFLFIGSKLY YDWRNRRNTEKWNALTSEQKQQYVRDNSVMNNKRLDFRFAS AOR_1_404064 MPLPQKCNFPHSAWPARLHGPLAYRLRYPFILGNGVAGTVHEVG SSVTRFKKGDRVVSDTPVYQVKQSKYGGWQKFVCSGKVLIWSASGSVGGYAVQYAAGL GYEVVATASPRKFEYVRGLGASAVYDYKDDEIVSKLKNLGPYDFIMTASGDAIGASAL SEVLQPGGGTFASVRPQSDEMHLAGNVHLVYDFFSMTTQKPENTAFTEWWYRDYLPGA LGGNVTPTPLEKRPGGLNKIQEACADVLEGRASKKLVLDPQADVFE AOR_1_406064 MDEAIRPSRAVFDRVLYESSKIWSSYLNTSKTTTLLPFDCIDLK KLHRRTPSFLGCGLLPQDAHTPLKLMEKGPREGHYGLTCSCRSIWRTSYSKCPLEPKT MPAHLILGVQSSLTVQISDSAPMAEWPGVQGILGHDEGNYLTVLFLAWAYILSARWAE LLKSASDCKIKFTTENVASFMVPSHQYAAEIDLGYHAGKDEAGWWAVILSSGGCRHIT ADYNQKIYLSPWSVTISDTSRIGVMGDPSVAGIELPSSSTALAYLARFCSRHRLYGQC SAALAAVLYVPFLSGRLVSLPMPKQVQRSQSPAISAQPDKDIIGEHGRLLPYYMTLSS NVWGMRSLLFSTFFNADIECNLVSAWLNPAFAIIDPLLEANDLPMLAKVLANREPKFS SLWLGAIITDIAKITLRDIRTGLTALDLNAAAWTGTVQSFIALKPGLSDGITIRREDE CRLLFITGCDGFIRVPIYPWKPFGATLLYDTEPQVRQHACCGCHCLEYHSWNWVLANG EELEDLGIDLTSDNENGLNTHVPERYPSAQHDLKLFSESLSEAATRGIFSWLRSTGYP ANEKPIYQHSWIDIESSDDECVDDVESGTIEVTGSQEFIDEWLSKVD AOR_1_296064 MSRAFSTARQSLARWLGYNKELLPPVFKEAAERYAENGAVAKVG KIDSIEILHRNDGSSPVHQSHFNRNDKALIISARIAPADGTRPRTHHIYADGTGTIKK GDKREYSTSSGHEA AOR_1_410064 MLPSLLRSLPRFGRTWKPLNFSNPNFTRVPVGQKIEEETLPDYI ASRYYPARIGEIFQGRYQIVGKLGFGASSTVWLARDMNHCGYVTIKIFIKSASMGQQL DDELRMYKRIERGSKNHPGRSAVRSLLDSFDVDGPEDKHRCLVHPPLWESVLTFLHRN PVQRLPSPVLAFVLKRLFLALDYLHTECHIIHTDIKADNIMFGIADDSVFRDFTEDEL QNPCPRKELDGRTIYVSRELRMPREWGAPVLCDFGSAIPGGIEHLEDIQPNIYRAPEV ILEVPWTYSVDIWNVGCMIWNIFEGGSLFTGCDPEFQAYRSRAHLAEIIRLLGPPPPS LLARAKLRHKFFSDDNDFGAKFLLEDRILLEQRETNLEGQDKAQFLRLIQKMLQWEPE KRSSAKELQEDEWICKKLESTH AOR_1_416064 MAPRSRLQGSDNTEYQSDASSDLSVIFSGNESESDSSSGPESDS EDDSDDEKDEDTFDDEGQLPPEHYLAQAESLDVTQLRQNRYSQNTQERLDETRMYWNR YCQYIGVDPAQHWKWISNSDETVQFLYAFFGWRCDIRRGKGGRHCPGIAYQSSLNSFW KWWHLLLKQETASGLSKDITVKVNDVIALVAKEKDLELNWKPKKNMYIEDVAEFARVL LTTTEMTFDCGWQRIQLLLFCQLAAITASRPSALLHLRYTDISLTLIRDPEGGRPRLF IFLKPDFTKKFLGKKDRNEFKVPEIIFDPTLVLSPHVCLLSMLFHIQGFKKFSTAGPV LDCPEKLYSLGVLDGKGQQELKLKDEILDKFVFCQVERQPTGYRIALERPLTAATVRS RMRRGGEITGFDQITRPYLLRYAGAKAFNTSEEVTDALQNVILQHSDIRTFIRHYEVD VDVDVQGIIRKTGSQSSLVRFACSLSASIDPNRPYKLSPEESRSLNELPVVRERQDTV TKRKQKWDDRKVKLDRATAAYQSSHGHDVEGAVPQHHHHLKAKLDHLHDRAMEAKRKY NKAVRELRNEKQRQRNRRIRENLERYRNEQPVIDLERQLSGKLVDTKVMDTLEHTGFM PPQHLMVIDAVLTIPGATLEAEYQRRINAINAMIAFCPVGEGRPISRTQPCRRPLPDA DNYCAPAKRQQHVMEDETEIALRQAIESVRIRTPGQRPRICFLCVGNPNLALIDRIKE YATAGSLTKHFLRKHVNTPWPANGVECNICGMEQLEQKATLLNHAETCHGTVVRGSTQ GKLARECQQAIYMP AOR_1_302064 MERAGAADAREFVLCFDGTGYKFRGDEADSNVLKIYRMLDRNDA RQFHYYQPGFGTFTTSIWQTHNTNQNRIRRWFSNTKDAAVGTTFDEHVMDGYRFLMRF YCPGDGIYIFGFSRGAYVARMLAEMLDHIGLLEAGNEGKVRYVWSIFSKWAKCVNSAD SDRKKKDDLYTYMKALRETFCRPVSQIRFLGLFDTVNSIPRFELNRNKFLFPFTTKTS ARVIRHAVAIDEHRAKFRQDLLSDDNPNTRSTRRKRQGHREPQGHLQQGRCTGEAFYR PVPRVRPQTVNSGNPRIDKEAHKPIGTPYDAGGCPFTENEDTTQDVEEVWFAGCHADI GGGLTLDKDEDLALSHVPLVWMVQEAQRAGLRLDPEKMKLFHCFDDSAGNGSLSGNIE HSIDGQEAGGERDFKTSLWKATINGRVHDFLQYGHGVPWPTVLMWKLVEYLPFRRMAL QSDGSWKPIRWPLPLGERRDLPKAAQVHGSVIRRMQANPKYRPGNLLRYGNGKHRSPL EDGIGAWEVHAHRGCLVRETYHKKLSETN AOR_1_304064 MPPAYKRLDRDDCVFLFIDHQSGLIQLVRDFEPTEFRTNVLGLV KTAAYFNAPSVLTTSFDTGPNGPIAQELVDALPNAPLIRRPGQVNAMDNDDFVNAVKA TGKKQVIISGVLTEICVAFPALSLIEQGYDVFVVTDASGTFKEHTREAAHKRMTQAGV QLLNWAAVAAELQRDWRRDIEGFGKLWTDHVPGYWCLMQSYSNNPASNE AOR_1_420064 MTINNLTNYVAYLEPNGGPRIGHLDFRTSMVTPLSFKSGTPLTN LYQVITVGEQGIKASGAQSFLLSSVKHLPPISGRDVLAVGKNYVEHAKEFNASGYDAS DKSDQPTHPVIFTKRATSIIGPEEPILLHPKFTSTVDYEGEIGVIIGKPGFQISEENA SDHVWGYTIVNDMTARERQRDHKQFFLGKSPDTFCPMGPVAVPKESLPENLKIQTFVN QELRQEASLSDLIFSIPTLIATISAGQTLQTGDVIATGTPAGVGFGFRPMKFLQTGDE ISVSVTGLGTLTNRMATVDAANTTSECAESHIPVSNQKAPANSGLTNINGKHLFYQRL GLESGPPVFFIHGLGGSSNYFYPLITKLQSTHSLHLLDLEGHGLSPTSALSTLSITSF ADDFYNMSQVVGVNQGVTVIAHSMGSLVALKLALEHPALVSKLILMGPPPNPLPEAGS QGSNARAALVRKEGMLSVVDAIVQAGTSAYVQQNKPLSICAVRSSLLSQDPEGYAKAC AALAGSATDPLDIKSLRIPVSIVTGEEDKIGTPALCQKYSEATGGSHVEVLKDVGHWH LFEDVEGTVKAVLTQI AOR_1_308064 MGWLSLRRPRNGPTAHVTSVKPSSEHVEHIKHAEDFEHAKHVEE LYLEYPLQDSIPDNDLPFIPAAVVRDHKLSFSKGHGRAWIVVDKIVYDCTKFIREHPG GETVIRSFVGEDCSWQFWRFHDKGIMEEWGRPLRVGRTEGIGNRFKEIPKYFGRSEMR AOR_1_310064 MFKVSWMSKDCPVEHYDGPPRNIAYIDQLDFDHNLQPVNYEIAG TSASSKILILDVDILEATGREPYRGDVLIVGERFAYVGEVPNKDTLLADSSVRVFHGK GRTLMPGLGDSHTHFTWNGGDLDRLGELGVEEHTLLTARSAECFLDSGYTMCFGAASA KKRLDVVIRDAINAGDIPGPRFLANGQEMARRGGELVRGITAYADGPEEMREVIREHI QLGVDQVKLSMSGESITETRDAEDCYFTPQETAACVDEAHKMNKRVCSHARARDSVQQ SIDFGVDVIYHASFIDGKGMDALEKKKSKHVVAPAINWLIATLHDAVAFGYTTEKAEQ VGYQRELDAAVLAMREMHRRGIVVLPGGDYGFAWTPHGTYARDLAHFVERFGFTPHES IIAATYGMAKLFMRSHEMGQIKVGNYADCLVVDGNPLKDITILQNHDLLNIIMINGRV HKAGAKEYVIPTQGLTGIHSTTN AOR_1_422064 MSIGEKWNSMLGSMDKESSFKLLDAYFEAGGNSIDTANLYQDEQ SEISLGEWMASRQNRDQIVIGTKFTSNYNSHELGKGKTPNFGGNHRKSIQLSVRDSLR KLQTEYIDILYKHWWDHTTSIQEVMDTLHMMVEQGKVLYLGISMAPAWVASAADTYAQ AHAKTPFCIYQGRWNVMVRGLEREIIPVARHFGMAIAPWEVVGSGKFQTKKAMISREQ AGEGLRTMFGPGKQSGKEKEVSEALVKVASEHSIESVTAIALVYVIAKAPNVIPLVGD RKVEYLHDNIQALSIKLTEDQIEYLRV AOR_1_312064 MDSNDFATTKSEEAKMSSSSQTNHLEHTNLGRIHTAGGHVDDRS QPALPVYHRTFASPSPLGLISFATDIFLICVFGLQARGVTAPNVMIGCLIFYGGVGQF IAGIMEFITGNTFGATVFSSYAAFNLSYAMIYLPGTGILAAYTDSATGAISPSFNQAL SLYLWAWLIVTVVFTVAAMRSSWVLFIDLSLLDICLLLLACGYMVNVQSLLTAGYAFG LVVSFLSYWAGCAGLWGGGVTPIKLPTFEMQAAV AOR_1_314064 MPKRLPLSSQSEAIALQETLLRRISDLESLLVKNIEFTSMSTTQ HNRSIRSPVLQNDTPLTEDELSANPASINTDGQFFTRNITTSQRGEVGSIITSQSGYV RYVPYSTSRDPDVFHSLQNQSQMEFPSHAFFCCDTPPSKQALLDMLPPFRHCDELITT FLDVFSPLFHILHDGTFRSNYTKFKQDPRNAPVSFVGLLFVTLGLAVTAIDKESPILS DLGREASPAESARSLAAKYRQATMKCLAADNFMWQHNLQTLQCLILLIYAINHAQGPA WALLGTTLNIAIAIGCHIDPGLLSLNPIEVQERRRAWAGLTMLYTIQNTCLGNLAPFN IENNVQLPADVEDEEIVADSLPTALNGIQHSSRRMHPTKMSYILFKFRLYSLASGVCA LSAGKSECSPAKIQALDHEIELELQAQAERFSDQLDLPPYHQAHSFILSNYTNHLVLL LHRICLLKPEGFEDGSVTGSYEKCEQAALAILSNYETLNLRSEFSPYKWYIYGLATLT LTALKGGLPIPFASYGDYSHLQNVPSVQDFDITVVDERDGFCAIGVEFAGKIKTHYTG TPVTLVHSRHQLLSNEPLPEEFKTRTLELLRAQGIDVILNQRADVQELPDGTFYVKFQ DGNRLHTGMVIMAMASPTPSSQFLPSYILSNGSINTDSNLQIISRDEVIPRMFPAGDI VNVAGIKLGGNAMLMGSVAAANIYSLLVAQHNPSWRSAMERYEPMEPKMALSVGNSAV CYTSDDGVKYGKEWVEPMFGSDLGWSKILSTLGLNNYENTAL AOR_1_424064 MGKRPLEMINVDGTGNEVKSKNGIQSSGPGIWSSPYGNEEYTIG WVSALPIEMAAAKGMLDEEHGDPQTPPQEADHNTYLLGAMSGFKVVIACLPKDELGAS SAAVVATDMLFTFPNIRVGLMVGIGAGIPHYDDNETRDIRLGDVVIGSDRGSSGVVVY DFGKRLPDGSFENIYALDRPPRTLCTALAKMEAEHQTRENKICQYINSMLDKYPYMRK KGFAYPGSSYDQLFWDNYRHTGGRSCAGCDPAQRIHREDRFDTSPEIHYGIVATGSAV VKHAPTRAQIKQRHGAICLEMEAAGLINNFPCIVIRGISDYANSHKNDQWHSYAAATA AACAKELLGFVQPTALDAERKAKDVLQILNKGKSRRFNIILLYPSPQTNNNETMEEGE KRKILTWLSPVDYGPQQSDHFSRRQEGTGQWLLDSDPFQQWLEQSKTTLFCQGIPGAG KTIMASIVVNYLGIKFKDDCHVAVAYIFCNFRRQNEQTSTDLLTSLLKQLACQTPTLP KCLKNLFGNHKNQQTRPTSDEIWTALHTIGSSYEKVFIVIDALDECQDATPVNIFTTS RFIDDIKEVFQKRRAIFLEIRARDNDIEKYLEGHMSSLPSFISRELSLANEVKARIVE VVDGMFLLAKLHLDSLQDKISYSDVKNALRNLPKGVDAYEKAYSEAKGRIQGQMGGFR NLAMRILSWIVCSKRPLKTSELQHAIAVQIDTRELDRDNITDVGLMTSVCAGLVTVDK SSSIVRLVHYTAQEYFEKEWRSWFPDANTDIAVTCITYLSFDTFGIGICKTDDDFEKR LLLYPLYDFAAVFWAHHVRSSRVDMEELIAKLLKDGSKSSAVCQAIMVSGSSRHPNYS QKVPNDITGLHLAAQVGLVDIIRHFMERGYRVNDKDSHGRTPLSWAAAEGHSEVVKLL LSYKDTEADLKDKDGRTPLGWASLGGHKEIAELLLAQGDVDPMTKNLHGQTPLIWASR NGHYDIVELLLNAEVDPDTEDKFNRTPLWWALRNGHHNTARLLLEAGADPDLEESNGQ TLISRALNSKHNEVVMMLQERGLHHPRRPGQTALSRAAETSSLARVLLLLRKGQDPDN KDSDGRTPLSWAAQSGNISIMNLLLEAGANPTLKGDCGRTPILWAVKHSQVGAVRHLL GYGADHMDIDGRTPLSWAAQFGDNCLVNVLLDHGANLELQDNTGMSPLSWAVKNDQMS VISSLLKRGSNPNSSDIEGRTSLFWAVLNRQEEAILLLLEQGANPNCKDESSQTPLSL AVRCEQEAAVVTLLKYGADPNMKDDNNASPLLWATTYSQQNLVRLLLANGADPDIPDI HGQTPFMRAVVTAQQEIAEALLQRGANPNTKVTAYGTTALHWAASRRDESLIRLLLEK GADPNCADAVYGQTPLLWGVEHGLNQVILLLLEKGADPNVTDINGQTPMSWAEYRGLE DLVKVMAEYGATC AOR_1_316064 MKLLSVTFAALLGLTQLGVAQKVSGAAQGFASGVTGGGNAAPQT PKDINELKKLLADPSPRVIVLDKLYDYTGTEGTSKGTVCANWGEGAKCQKIIQDNCGN AGKSTGTWDTAAKTPIDVASHKTIIGVGNKGIIKGKGLRFRGGATNIIVQNIQITDLN PQYVWGGDALSFDGADLIWVDHVTTARIGRQHYVFGFNTSKRVTLSNNFINGDSPFSA GCNGYHYWTFEMVGKGDQITLQNNYIYHTSGRSPALSGGTLLHAVNNVWDGNTGHALE GGEATARGIFEGNVFTDVKAVVADFKGKAFFSPDANSNKQCSSALGRACEVNVLTKSG TLPPLKDTSFFGDFKGLKIAPATPASQAAVNVPKNAGAGKI AOR_1_318064 MSKPLIVLPRPGTVPPSGATQPIPAPLEAAFVATFGNRLPPASY LQTLHGKAAYYELPPSSPVSTDGKQPISRVLFVHGVQTPAIGLQPLANALSSRFPSAH CVLVDLWGHGLSETPFVAHDPALFHGLIEAVMVHLGWTDAHFIGYSFGGSTTASFAAA HPERVASMALVAPAGLRRTAGLDEVQKGYLRGGEGLEEAARDWILEVLEGGRLVVPSD WKERVGRGEVVAEAVRDWEMKEHAGHAASVVGIFRDGGVFDKHGEFAKAATTGIKSRC VLGELDDLCSVQDLHELGMQDVVVVPQVGHGVVRERVPEVAGFIEEFWNRLQQ AOR_1_1624054 MDQEIDIKVELGDSPSAHGCLGGRLGDRDRPSDKEGEDNSSPGE QSRIFLNVSPSYVGDWDATTAFRELYQNWSVKCSARYQESVDFISITVPEMGSNTNAL GFIRYEKKSGRVILVNACAQLRTDALQLGHTSKKGQLQFAGCHGEGLKLAAMVMCREG HSVSIETGNSHWSFAYGGPSKSRFCCNIGPLSVATPDGKPNPAQDMACFTYRTWRDVC VEIGPDSGGTGGGVSLEKFKQWLTVSLDIRGYSYPESNIETDQGDLIIDPRFRGKAFL KGLLLPASVLEARPFELSYNFVQGGVNRDRQRLVSRYEQADLVRRIWESAIRENEVLV LPIYVNLLRNFPRAPDVELADQLLDHPTRFRIWKYLLREAGDKRFYFCQKTGSQSVGS ITKSLRKEPAALPDTLWNLLRGVTPIRTAYEEQIDMFQNSNVCKCHRTLFAVTIKRAL WASMALCGYPTNIQFVHSDNSQIDMVFDMGCRTLKIHDRWLDPGAVHYQSPCRPGMEY ALTACGSFFCDHVIEELFAMVQGEMSRVSPSVMNHSRRNREIRLVRSKLRMMPRDVFV RAGSMPGTLRVSWQDRETESFLELHGSHAAYHVVLHEEKCAGVMAHHLHSDKVDIRIT QAPCGCMQKFTPQNQKCVEFDRLDFHKKYFPMIAANELAAFFGQPTSPIHPARLPQPP LYTGWNGTTRNGSIWQVQNTMNPTFGGIVATSSGQAIYYDGRLPKRALPPLYPNDRRI A AOR_1_1626054 MSKSVLITGCSKGGFGEAMAKVYHAKGFQVFATLRNLTKVGSLA DYDGVRILELDVTSVESIHQCAQTVAKHTGGRLDVLVNNAGVNAIVPLLDASLDEAKK VYDTNVWSIVGMVQAFAPMLIQAKGVVCNISSVSGEMVFAWAGIYSSSRSAGTRISET LRLEMAPLGVRVVTVILGGVQTSGNNPENIADLELPPSSHYRKITSVIDRHKKTMVHP NKQNIEIAAKNVVDDVLHGHGIFIRRGQASMLSWLCNTFLPYRLFTWMINRESALDEI RC AOR_1_2054 MAVPAQIATRQSADVCLRLKRKCQLSVSVRRRRGLMAEGFDMRI ARLEEKMESLLAAMDTFVSSSGSLVGLADTTRSGATSISASVNMTSSGFSERLALSNT TVSTHTNSSPCSDSSAPSPTASLPNQEDGRLEYFRTQMLPYFPFINLTPEMTTQYLRQ NRPFLLRAVYAVTTFSTQEKLAQVEELKHLLFTSALLKVESSIDMLLGLLTYIAWSTD TFLGRADLVSRLMMLATSLVYDLRLFRPSPPDVQVMMAISQGQDEEARQGPNTETPFS LSEGRRAVLACFVLSSNLSSHLGRQDALNWTPKMEEALHMLTLNGTCPTDKLFVLQVR LQLLKQKAENVRHGGEVGCTHTETDPATVSLPHLLYFKMLRRQVQELRSSFRTDLHQT DILHAHAQYVELYINQLAYSMTYEPQPLNISGRLGFERFECLWQSVEDIKVWLDSFNA IHHSTLIGQPFHFWSQMIMSLTLLKYLSTLQDPEWDCQAVRNAVPLISTIDSMLQKLD QGSQQPELQCNDHLLHYLSKLLLRCRLWAEARWDMACPMQEVNVFRESTPDVTRHNSH IPDLDQIPWMQSMDLGDDRWFDEVLRIPTILD AOR_1_4054 MATFSPIPAYVLGTLTLALGCNAVARPGPEYPRFGLPFESAASP PSTHGNNKRTHPPGAVSPLMYIKGIREMSYGLTLLALQYYRQEIAVTIFAAVCALVGL ADGFVVWASGGKALRMKAFGHWITCLGFGGWAWWRACA AOR_1_6054 MGTLPFARLRAVPYRMTSRSPYLTLAVVCTAMFLDLANLSAITI ALPTIQKEWGATEGDLQWVISAYSITFGAFLLLGGRGGDLFGHHRVLLFGMSFFALST IVCGLAPNFIGLVVARALQGIGAAFTIPSAQAHIAVCFPEPAKKAQALGFWGVSGSLG FIIGLILGGVLTAYVGWRWIFWISLILSGVIIPAAFFILPRPDRPPADVVASPESELE AGQQQARTNNSLAAIFQRIVNRFDPLGIALSVAGILLLTYALTSANTEGWGSARIVAP LVVSGALLGLFIFHECRTTNGIVAPHLFRSTSFNLTLVLAVNTYAVRQACTYFLTLQL QSYGNSAIHTSVLFIALGVSALIFNTLSGRLVPILGARLMFILGWGFSIPGVLLFSFV DHDTSYWRYTFPGMILYIAGIGAVYITANFVIVSSASRADQGAVAGVFNLALQVGGSV LGLAVITAIAQGIQRRYGEPSLPKDAYGRIGYQSAYYSCVILCFVALLLSVFAIDIPP SMQGTVWKRFQKQRASSIEEK AOR_1_8054 MEVVREDSDIPFPSQGIRMGMPTLTGLVQVAGISRTPESLDAFR RGSLCNWYRDGKHIIAYPVSTILTSWAVTIPETLQHEESWPQSIPAEIEAQRETLKLP PASNVRSWTSSII AOR_1_10054 MADAEHFTDPFWAPEPDEILSGGVPCSYNAPTASIEMTIGGLGT IAVRSKTTGQLEFIVRSAGPDSPTLKLTVTAESCVLQMKDNDSEPFRDIPVIEYRAET RKSEGPKKLPYVYYPKQPESAYLNVRSKKDPTVYWISVDRSNKRFRYGQHLTNASLTY LEAHFDGDRPSKQWMDQLKSTQILQNGREIPGNDVRYDPLPVTMDKPPLVVSDEQVSL EDLDKYHRTTYANLPPGCQALYHNIAGHNVSLESASFPQLAQAIDYSCRDPRLVCGKI LAQKQKKNEFHDKSKTYLRITVGSNLVGQPPSIASSRFASNHIVSLEASAVIKVLYGS IDCSWYDAVQDGREPQQVGKPHKLSKGDVTWLGDKQFQIHKLENNYKTVCITLQCYQF EQSDNEHYEYFDFLDNDLHKDRFVPNSDRSYGELIEELKIEWDRKH AOR_1_12054 MQSLITLLALPASTLAGSVLWSGISDSSLTVDDIDKWSWSNQVG AWQWYIHGSGKTSEYLGISPEFKNPAAADAQGLRITIDGTSFWNGQTMERSELIPQTK ADLGSGHLYYHFSLSTKETNAPNPSFEHQIAFFESHFTELKYGASGSSDNTLSWNADG KSHWSVQLEAGTWYNFAYDIDFDSKKVGLWASNGSEPLTQVVEPVSASTSTNSADWHV GQLRLPGSESDDAAEDWFWSGVYIEEGPITTEIGSESSSGSSSSAGPSSTTIATTAPA SSTAHSATSTGGITATVSSVGLTTTATPSPVSTAVSSSVTPSSLNAAPTKSTEVATPT SSSVATFASPTSAAEFLTDIRALLKTLLSRSEAGSVHARDFIRRG AOR_1_1628054 MSPSREEQALHQLQSQQSKLLDKIDELRAIGVGGLVELPQVIVC GNQSSGKSSVLEAISRVRFPAKSNVCTRFATEVILRRSPQPKIKVSIEPGESRTNEAE RQKLREFAPQAFSSDGDLPKLIEQAKECMGISNEDTVNSGFSDDVLKVAISGPDKPEL TLVDLPGLYYSTSRDQGAKGIEIVRGLTEKYMKNTRTIILAVISAKTDYHLQEVLNMA ERFDSKRERTLGIITQPDILEADSEEEGNYLQFMRNEKIHLRLGWHALRNRSFETRDI SDDARDEREKTFFEQGRWASLSRDYVGVESLRRRLSTVLLQHVRRNLPSLIADIQDQI ADRQRRLAKLGPARSTLQQQRGFLLDISSSFERITSQALNGMYADEFFGEFGDDTQDS QDFRRLRAVIRELNECFADAMNTRGSRRIVRELLSHFYDLDQEKKKMYMEDWSPEYIT REALEKEIGEQARKNRGIELPGSANQLLVGNLFRDQSKPWEGIARLHLLNTWESVKYF VYLLLRHLTDEPTYTLLVGTVLAPQLERMKDGLLNKLGELTAYTKRGHPLPIGKSFLS KIQVARTNRQIAALRKGLGLSRSFFGLKDGSRSFDADDLERAASELRSSSDQFAAAEI IDQMQAYYDTSIVTFVDNIATLAIENCLLGPLERIFTSQTVNNMDDQQIRELAEELPH VQHDRQRLDQELNKLQAGLDTFNIFSTESSSLQRPPMFAKPKPASAHPSLFQGLDPIA LRKKLEPQSKSHSIPTTSSPSPSAASGDNSNSNTTTASTRNLNPAFGTPTSSSTSLLF SQSSSSGDSSNAKDPSKEKSPFSSLASHTWNSPRPDGLFGSSPFSKADAAKPSAQTGQ GLFGTASLGQSSGSSGLFGSKDTTAKYEPPEPPTTKGFRR AOR_1_16054 MTVSKNQIPAIYERAIKKYQEITDEPFDVQFLAKIQNVEDLTKE IDARNNSFREFREKRGAIFEVLNAAMIPVQLFGNLAAGGASMVFPPSSLVFGAVTYLM GAAKGVSTSYDAIQDLMGTLKDFTIRLKAYSRESISDDLSNKLSDILVTLVEILALST KTIRRGRLLKFTRNILLGSNDAIQGAMGKLDKLTRVEADLVGAETLTESKRTGRVVDG MSATVTSTHATVVETGMTVNQVNVRVNEVQEMLGTLLVSVKENKQESTEDREKALQEH VSKTLRPSKTDYAQDWYDKINKARIPGTGDWVRDERIFNEWHDKHMPVMFISGNPGAG KSYIVANMINRLHELHPQGVQNTSLTSVGFFFFKDDNPGTRSFHQALRDLALQISKND PVYLKHLATIANYEMISTLESAWRLLFVDYFVKKPNADSDVYILLDGVDEAFDEERRT FFSLAKDLYDSAEKSHLQLAVIGRPHISDQLLEGLELEVPTIHVTTQKNSRDINQYIH ASIKKSVVLRRVSAKLRQEIVEKLSARAEGMFLWVNLMLQELVKKRNESSMRKALDQA PKGLKEMLRHVLLSFSTSSNEEELEFLNEILLWVTCSRQPLTLAEVESILKLKSPEGD GMIYPEGALRRQFASFFSLNREDGLTTAELQIMSTNRNGLDESDEEGEGRNADEDAFE DVENFTDFDSHKETTTVTFCHASIGDFFRDQTEGKVSDEESHVPVGVDYLHAKAHVLK TFLRIFTDKEFAKKADDGEHMLRHAAENWVHHLLTTHASDCSLEDRRDIAKMLLVALT SEESITGWIGYRGWVSTEANIRAIRQWWEDEEVLESLTPDEQEFISSTKEDPITTLKP VVMLCTKKYVADDMWLAAPVAAVVWSYQSLMKGKEVNFIEMFDPTAEEIIAAAEFGDF EKNALWYRRCAIVLRQLEYFDQALDYFSKAVELAPDMWLCKAGMAIVYSMKKEWQKAI DLDEEVVQTLSAQIEANEEDSGLRASIHTSLERLGDSYQQLGNLEKRFEAYKRAQALT PYCNTCINVLLEHYGTKHDHEATIDLLQTLADTPVPDEDFSRLTQSLWDNPEEDTRYF VLAADAALATDNLDFMVESWRTAARAARKASKTVTATQLDMSLARIYSEFLHDQAKAV KRWERIMNTYASSKDETVVGFAKLKASFELAKQFLCDAVEAGIGTPQAEEAGAKLEKL CKQVKLNDESVLWTVSSMRAICLGIYYRLSGREAEARALFKPSIKRGIAILSDDDPEN DMLGFLDLLNALVAAGDVKNVTAAAYHEVFGKYNADDPEGATDNESPGDEDSNWLTCD GPCRKELPTLDDSYQCPICLDTAFCPSCVRLLEDGTMGIRKCNPKHVKDFIYVPPRPK NVTSGKMLVDGQEIDFEVWKQGLKKEWGI AOR_1_18054 MSFPDQRETHAVHAEDSPSSGDATDSELNAPVDISNELAEKRSE RSDGKRELKEKDCYQILGYSWPRWKKWTYLAAVAFVQVSMNFNTSVYPAAVKPLSEAF NISEQHARTGQMAYLVTYSIGCELWAPWSEEFGRWPILQLSMFLINIWQILAALAPNW GSMVVARALGGISTAGGSVTLGLIADIYESETQQFPLAFIVLSSCIGTSIGGVIGGPI ARFLDWQWFFWIQLIFGAVTQVIIFFMPESRSTIIMDKEAKRRRKSGEDPNIYGPNEL KVPRISFKEAGKIWMRPFHMLLREPIVLCLSLLSGFSDALIFTFLESFAIVYEQGWGF GTLGQAWAVIPINAAYFIAYFSYFPWFMRDEKLRLRHGDAAIPPERRLKWLLFLAPLE PIGLFGFAWTTFAAGDSRNVHWIASMIFSASVGIANYAIYLSSVDYMVASYGVYSASA TGGNAFARDLLAGISAMYATPMYSNIGDEWHVQYASTILACLSCLVVIPIYIFYWKGP QIRANSKFAATLAADRLQNNGRRVSQISAEP AOR_1_20054 MAMTPQTSDSSLSMGSVRPVQEPIAVVSMACRLPGHSDSPQKLW EFLMKGGVAGTDVPKSRFNIDAFYDGSDRPKTMRSPGAMFMESVDPAKFDASFFNISA QEATAMDPQQRIILEVIYEALENAGLTLESLAGQRYGCFVGDYWDVFARDPDSRPVNA GIGGSGTMLSNRVSHFLGITGPSMSLDTACSSSLVALDMACKFLQTGEINGAVIAASN LVLHPEYGCDTGPIRNTHSPTGRCHTFDAKADGYIKAEGINAVILKRLDDAIQDGDPI RAVIRGTANNHSGRTPGIASPSADAQAAAVRTAYENANITDFSLTSYLECHGTGTLAG DPVEVAGVSSVFAPSRTLDRPLHIGSIKSNIGHSETAAGLSGLMKAIMILETGLIPGN PTFETPNPKIDFGGSRVKVSQSVVPFPNDVPFRRVGVNNFGFGGSNSHAILEEPRVVH LDYCPAHRSSYTSPSPDSIKEEPAKQPYILCFSANHKDSLKHYIERFSQHCTSPGVHL NVRDVAYTLGVRRSKHFHRGYLITDNLKHLDPTKVVYGKKSVNAVKVGFVFTGQGAQW PQMGFDLLTSFPVARRCVERLETVLQRLPNKPTWSLYEELTKACSPDHVRAPELSQPL VTALQIAIIELFRSWGVSPTSVVGHSSGEIAAAYAAGYLSDAEAIVVAYHRGMASQQG QLNDTLPLGMLAVGLGAEQVTPYIDGLEGVEIACYNSPASVTLSGLSSVLEQVKDRLT EGGVFARMLQVELAYHSTHMEAIGEVYESLLNRDLPAIAREFPLPGDVTMFSSVTGSR QEACPDIEYWKRNMTSPVRFEDAARAMLCGNSSVTLLVEIGPHGALKGPITQVQRALE GAPSKVSYLSALNRGSDSIHNTLAVAGQLFLAGAPVDLERVITDSQATRPMVIVDLPN YCWEHSTSYWFETQPSKDWRFRPFVHHDLLGSKILGTSWLHPTFKKTMDLSHLPWLRD HRIGTDVVFPASGYIAMAVEAMYQTSCMRKPTRPFPLPNELGYQLRNIRFNAALVLEE DVDSEIYLTMNPFPGGNENWSEFSISSHRDGAITHHATGLIRLQEPVIDPADETDIAP FEHASPGHLWTKACAELGYHYGPAFHLLEKVESRAGQRRARALITLADPPSAHDPQSL YPVHPAALDGVLRAVVPAAIAGDRSRMAETLIPAMVDDLIINPTHRPHAGIAVASSYY SGRGREDTEKSYLGSTSVYDPSSGALLIRMTGLSSHRIDLGIDPISRHTLVHDVLKPD ISMLNVEAVQQLGQVHTNMTPLLLQLMVHKKPALKVLELDLGTREPGSLWFESLETVE DLQCQYRYVARDATNLSGVESKYRDQANTSFQLLDPDCSHLGVGEQERFDLIILKAIS PLPQRSASVVSAVKDLLSLDGYILAIDHTEAGLNAHSESSLVRLVENTTLGRVLSIPS ERRAVFLCMPLITRDCPPPAAKQVHVVYMEEKTILPPIAERSLTEGGWQITQHQYLTA NVPAGALVLITDELYSPLLTHITEEQWLCLRHLITSGCKLVWVTRGGQLSASEPNSAL AAGLLRSIRSEDPAATLITLDIQSQDGAAALACLPLVLEQLQMREAGLGTENEYVEQD GVLHIHRVLPYRPLSHDIQSWKEGFVETPLSTDGSITRLVAEDIGTLEGLRFVQAPDD TLPENHVEIEIQAAGLNFKDVAVTMGIVPENEHLLGLEGSGIIRRVGSSIDDKSIVGT SVVFMEKGAFANRIQVPLDFTHPIPKTMSFSEAATIPVAFCTALYSLFDMADLQPGQS VLIHSASGGVGIACIQLAQYVGAEIYVTVGSDAKRRFLHDTYKIPYERMFSSRCSKFA AGIMKATNGEGVDVIVNSITGDLLDATWRLCAAGGILVELGKRDMVERNTLSMEPFDR GCSFRAVDLSHPKLLKKLPSILRRVFSLASNGHIRPIDPITTFPITQAAEAFSFMRSG KHIGKVVIRGMAATGSLTAAVRPLRQDITFNAGSAYLIVGGLKGLCGSLALHLAQRGA RHLVVMSRSGCADRRSQAVISNCESLGCHIHVCRGDVSRILDVRQAFKQAPVPIKGVI QGVMLLRDRPYELMTIKEFHESIEGKVQGTWNLHNVSIESRSELDFFLLLSSISSVVG TPGQANYAAANSFLDSFAAHRRSMGLAAQTINLGVVEDVGVVAESDDLSQRLEASRDL IGIPERVLHRIVDYSLRQQFLQSTCRPHSGSSEFPTRLITGLAVPQDPTQSGLRFNPL FRGLFVGNSSSHANAGKPTDELAAALQSFHAMIRAGVGAEELQEPCLSILATRLARML RYNENQQIEPGQPLSVYGLDSLSMVELRNWIKAQMGATVTTFDVLNANSLIMLAQRVV NKLRRE AOR_1_22054 MIEVKELSVFLHIVGAGLVLQLGFPALRQVTAAILTLVSSRRYG TYVYTEKNGRPKTQPAKRVWFLLTCMGCGCFIAILRVATPANNGFLLERWLQLTTWIV MLVHHTTLVTESISSARYVIGLRGCLSCFVVALLSVIEQYTSEEAKKSPEALLVMARI NHGIVGISILITLSLPRRSRIFRDGKAIDGEGSSSVLGRLSFHWVSELVAVAEARRRV TLDDLPELDDRTRAGILQEEFTQAPCNNPSHRCDEWSLFRLLLLSHGKCLRNQIMLSI PLAVVAFTPQLALWMMLQLLEEQSTRQSDTASLTWWALVCGLTIGLSAWLENWLLWLA MNKISVPLTQQLTAILYHKLISHSQLAGDSLNEQNLTNLISMDTQRIATVAGFLYSNV LQAMKLIVASTLLAHLLGWQSLLAGLSTLLIITPLHRIFLQRYGAAERALTGLRDSKM AALTEALQCIRQVKIAALERKWEVKINQLLERGLQEHRAAFHWYLMNLACHLVGPVLV SATAIGIYTWRHGSLTPSVAFPALSLLGYIQFILGLIPDLWSGIVGARISLRRIGSFL ETEKASSTIASGERIEFQSATVCYESSAGDQGSGTLHNVTASFPPKELSIITGATGMG KSLLLRTILDECHIQSGILRRPVPASHDEIYGQTTTTQPRWVIDHAVAFVPQLPWNEA ATIRDNILFGLPLEPKRYQSVLYACALIKDLEQLEHGDLTDIGPNGCKLSGGQKTRVA LARALYSRAGILLLDDIFSAVDIHTARHIFIHGLTGELAQGRTRILVTHHVQLCASKA KYLVTLDNGTVVFAGIPPYLLHPSLEENRDTAGNESKHDIHTPESTPVRHSYNTLVSA DDPTERTKGAFMEDGRSPQNLVRRDVLRHYIQTSGGSRSWAVVAGCYIGYNGLLLALY SWVRLWTESGSSTTPDPRRMGYYTLGYIIIACTACVLGTLRSYLVFDVSLQAARELFH QTIHALLQAQLQWLESVPTGNLINLFASDFYLIDSRLGFDLIGLFSAAMDCVGVIMGA VLVCPALTIVAAILLCAVLWYTKRYVVAVCQIKQLEATTRGTVYEHFNMTSQGLSTIQ AFRRGEDYSSIMHAKIDQQAKTSWYLYLLNRWLTFRINVLGTLFSLFTLFFVVSSPSI TGSFAGFALVFTNHLCHALVMLSRTYATAEMDFSAVERAMEYTEVPIENSDGEDAPDR WPTEGRLSVRSLTVAYSPELSPVLHNITFTVEPGQRLGVVGRTGAGKSSLALALLRCL EAREGAILIDGVDISTIKLHHLRRRLAMIPQNPILFTGTVRSNLDPFGQYSDDSLIEA LKRVFWDNPEALHASVADGGSNLSCGERQILCLARAMLSAPAVLVMDEATSAMDHDTD QMIQRSIRSQIGKKSPTLIVIAHRLQTIADFDRVLVLHEGRAVEFGSPQDLMQSRNGL FRQLVDDDVGKENLYNQMNIAGR AOR_1_24054 MPVPKQNRSVDTDNIQGSIWPRLPKYYETYLFFKITDNEKFRQH LRGILDKGVITTGTECEEHLKSVGEFEEACAYSRRHVPEKDRKPFTAVNVAFTHMGLL KVEHPEVEVNFAQALAEDPEEYCRDRINEGLFEKGMFDDLVYEGADSPPALDPDFRAP PGNESKTGLDRWKWRVDGVFIVAAHNAKALRRKIVDLEDAFNVGDADENSMKIAFRRD GKTRPGANRGKEHFGYEDHISQPKIDGLDDPPARGEPQACPPGYIFLGHEGDPERRRG PKWAKEGSFLVFRQLDQKVPEFEGHLKELAEKIPGNYGGNPEKLGAHMMGRWKSGAPV AKAIHEDNPDLAFDNTFDFRPKKDIKGCPFAAHIRKMRPRADKKRDFGSEEDDENHKP LPEPGEDEAFDEGQKEDASVILRRGITFGPELTAEEISKGETIEHRGMYFVCYQSLIR DGFNFLMTRWASNSSFPEYKTKTFPDGPGMDPFINQRLRTDHPEGHISLYDGSNPNKT VKLDLGLSPWVDQKGGEYFFTPSIRALREQFSADTVNTEGESEDPEEDPVVKKLQAKI DELEQEQKALLKVTEERVADIENLEAQLKEAQESHQKFVEETRKGTVVGYAGLIHLDF LNKLETGDLRQLKHQVRDALAQLAGDVDWKTWQRTIRELNSKYGFDLLYGNGGHYEGR HWREWKEIQYSTSNSDYDRTVAFRNRMGQWCNNAKWKAEGGDAAGCALMWLTFVIQLH DVLQVYRPDVC AOR_1_26054 MKFQWASGLLLGAATVDAFKPAEFSYESSEAECVEIAKSVTGEV KYQSPPPNSYLISKTNPEEPDENWVVQCSSQYRGYACDYAIDDRDDRYWLSNPADGET SEIVVDLRKKYLVSGLTMLPELNKNSKHGQIGEHRISVSQDGKTWTPVAYGTWGSNKS PKLSVFNPKLAQYVKLVSESQSLPDRTQKKHGQISIVNLSVYTYNGTDYPREDPSKGV WGPTIDLPIVPVSSAVEQHGDIIMWSAWADDQFFASPGGKTLTSTMNRDGIITQSEVF ETKHDMFCPGTSMDIDGNIVVSGGADSGRTSVYNGTAWVKGPSMAIPRGYQSSTTLSD GRIFVIGGSWSGGDKVAKNGEVYYPYPDGNAVWETRPGCEVEPMMTDDRLGQWRADNH GWLFGWKKASVFQAGPSKEMHWYDVDDVSRDRNGRRRVRGSVHSAGLRGKDQDSMSGS AVMYDATKGKILTFGGQRHYDGSYGSKRAHLITIGEAYQRPVVKVAGKGPDGKGEGGM HEPRVFHTSVVLPDGKVFIAGGQTWGKPFHEDQIVFTPELYDPETDTFVQLSRNNIKR VYHSISMLLPNATVLNGGGGLCGNCSANHYDAEIFNPPYLFNPDGTRAVRPEITRMIN GNVLTVGGAVTFETASEVESASLVRVGTTTHTVNTDQRRIPLDITHKGGNQYTADLPN DAGVILPGWYMLFAMNDQGTPSVAQMVKVELSSPPEWKTRQYAEEQAGELESEYRGDA HDCDHEEEVKGLISSMLASSSKFWNTWKPSLINQA AOR_1_28054 MYSRNQVLAALATVGITSVNAAMGPALSTGPVASNSFIREATST LILPKGPSGGSTDAITSLWVGMGTSNGDLIQSIADNWQQSDWTMYAYTLMKTSDTSQM PIYGDGQENAGEGDKVTMHYKFDDASGNYTQTVQINGETVSTLSTSDGQAQGWGSSVE CAENNCGTVGAHSWTDTKIILDVADANYINTLAKGEGVTGGDMTTSDGGKTWTISTFG IPDHTFSD AOR_1_1630054 MALGTVRFLRWGMDLVTGYHHSHPRDAHSPRFRMTEEKWITRFI FLESVAGVPGMVAAMLRHLKSLRRMRRDYGWIETLLEEAYNERMHLLTFLKLSQPGPA MYFMVLAAQCVFFTGFSLAYLISPRICHRFVGYLEEEAVITYTKAIQELDKGNLPLWS NMEAPAMAIKYWQMPEGQRSIRSLLLCVRADEANHRDVNHTLGNLNQDSDPNPFSAKF RNALKEAS AOR_1_30054 MKSQTSKKDELELVFSNPTAKEAGTVPGESIRIDPSIEKSVLRK LDFKLLPVLSLMYFFNSLDRSNLGNAKTDGIDQDLKLVGNQYSIILAVFNVTFSLFDL PSNLLLKKFSGKAMLPIMMLGWGSVTLLQCAAFNFAGMLVCRLFMGIFEAGFFAGVIF YLTQFYKRNEIAFRLSIFYGMVTIAGAFSGLIAFGVFQIKENLPGWKYLFLIEGGATI IIATFAAWWLPLSGSKCHWFNEAESQVAQMRLLQDGSVRTTDRLSITEALGALLDWRV LVWAVSCFCFGVAQSSVSNFLPQMVALQGYSAVKTNLYTVAPYCVGTVVLWIIAKSSD HFRERSFHLAAALIITFIGYVILATVDPNTNKGVAYFACFLLAAGAFVPSSIFHSWHT NNVTHESQRAATVGFLVGSANCAGIPSSLSFKAETAPRYMPALIVNCVFLLVGACVVI GLGTWFRLDNRRRDKEQGVRLTAGDVATQNLVGGWKDPNWRWTP AOR_1_32054 MAYHPPLKDKQSPVVIVGAGVFGLSSAIHLAKRGFTDITVFDRQ PYHETLYDFDKGCDAASADCNKIIRAAYGDEVWYQNLTFKAIEVWESWNKSLAEETIL PPGMTSKDRIYVNCGNYHFGDETDGLNDFEKLSVNNITKAGKGPTQYLLYFDSKEVAR ARADGFSYAVDPFNLSKDGKHQGYLDMIGGFVYADKACTYALHLVKKLGVKLVLDRGA GSFESFLEDPTGKVVGIKTADGKHHKAAATVVACGGWTPSLIPEIDGLCETTAGSIAM IQIPEGSPLRERFSPDNFPVFQWNTRAGENGNLYGFPLDSRGVMKIGYRGTKYTNPQR VQSGQVRSIPITKWTSPFITGLPEKSVQVVQRFLDKYLPELKQSGIGISQTRLCWYTD SFDNHWVIDGIPGKEGVIVATGGSGHAFKFLPLLGEFVADKVMGIESDMLRRFQWRKP PHGETPRNQLMKGFNDANALHRVRMVPDDGSHYSSKL AOR_1_34054 MDESSPRRPRARSEATSQSRREIIRETSPISPRRGVSDSANYSS PTRRTSGVDTSRDVLSEGIRRRSTARSARTGSLAQRTTLAERTQGQFTMGGPEDGTQL RQAHEPFVQPGYSDLNPSYEQPSNAKPVWSLAKPLPRVVRAGMVPTKEELLDARLQPE RPAENSQKLGLDVDPNDLEQGQIPKMADPRKMAAQVEDARLQRENNFVNKVLTGDVGS SRVSRTSSTRRRRPSVRIDAPGDQLSIVPEGPSAVPSVASDQAGDSLPHGSDEPLEPV PEQPEQPEVQTAAADALPDLSALHESSLPEDLHPLVQELVEDEVHNNHTTWSVIRTHH REALAESLAVFVQLTIGFCADISVTVADAGNPNTTAWAWGFATMIAIYVSGGVSGAHL NPSVTIMLWFYRGFPKRKMPEYFLAQFVGAFVAALTAYGVYYQSIHQYLLTHPDTGIV TSFVTGQRQSWIDPATAFFTEFLGTALTATTILALGDDQNAPPGAGMNSLIVGLMVFV LSNTFSYQTGAAFNPSRDFGPRLALLALGYGSELFTNPYWFYGPWAGSLCGAMIGAFL YDFMIFTGGESPVNYPWERTQRSLRKSRIKWKRRLRMDRRRRGISEKVVR AOR_1_36054 MDRVAQPGNPGHMASADSTWGVETQDRHSTDIVGWNSFLHHSAA IQSTDFEHFLEREHSTSPGIYVKLAKKSSGIPSVSRDEAVETALCFGWIDGRAHAYDE DWWLVRYTPRRAKSIWSKKNVTTVESLLNAGRMRPAGLAVVEAAQADGRWARAYDGPA TITVPDDLTTALTQTPAAATFFEGLNRSDRYSVLVQLQWAAPQRRAKRIETLVQMLAD GKTPGASDKSAKTSKRKAVDRNHEKGGRRKTSKKK AOR_1_38054 MRLSEILAVALVTGATAYDLPDNLKQIYEKHKGKCSKVYQKGFT NGGHSDGKSFEYCGDIEGAIFMHSSAKGGQYTNMDVDCDGANNSAGKCSNDPSGQGVT AFKDEVKKFGIPDLDANLHPYIVFGNEEHSPQFKPQKYGMEPLSVMAVVCNGKLHYGI WGDTNGGTSTGEASLSMAELCFPEEKPDGDHGHDDNDVLYIGFTGKDAVPGKSANWKA KKTEDFEDSIKSIGDKLVAGLKA AOR_1_40054 MGDNSEESLSNHDIISSYFIGPKSENMPEFKANINAILDEIVKT RDGYQPDDAEFISTDVRKKLKTLNGNFQNAVKEAAKLLGEHSIPFWHPRYQGHMCTDM TMPGLLGYFMTMIYNPNNVAVEASPFTTVVELKAGQQLCKMFGYNTDEKATDLPLSWG HITCDGTVANLESVWVARNLKFYPLTLYQAMKEGPLGFIADTFQVTTCVGEEKLFKDL GVWELLNLRSDEILGMGDALYRQFGVTSKFLEEALRPFTIQTTGKDVLEREFRIQKPI KYFLAQTRHYSWPKSGAIAGIGSANIQGVELDMEGRLSLDALERELNRCLEERQAVYA VVAIMGSTEEGAVDRLHDILAMRRRFQDRGLSFLVHADAAWGGYFASMIPRHLMDSRM PSEEGDGEEAVGNVPSLPLREDTLRDMIALKETDSITVDPHKAGYIPYPAGSLCYRDG RMRFLVTWTSPYLTQGSMENIGVYGVEGSKPGAAAMAAWMSNQTIGLDPSGYGRLLGE AAFTSARLSAWYAAMHVRQPLDNKGQRRYIIIPFNPLPIEKKGYHSLDPEVDDRRQVI FDTVISKDNAHVSQDTESLTWLRQIGSDLNINAFAINWYRENGKLNTDLEEANYLMRR VVNRLSITKSSGNPSEIPLFLTSTQFEPALYGQCAQNFMQRLGLNACAQDLWVVRNVV MSPFPTDQDFIRTIMKELEDVIIKEVEWCRERNSPDDKEVEFLLRGTDEVFLDFQTSF HRATQRQQIILAAQLEEDTKKNYINLKKKYPMQDIAFRSKNPENLEKMMTTISQGKSY TVHGKIGAREGKEFIGRTVECSVRMIQIVKSRPLNSANRDDHYPRHFMPFYLYGSQDQ YHISHMLLQAPNVNLSACDVKLSEALSKTVRTRLRKREGLILTLTGYREETMHPFPQK NDDAVFTSDHFFFRPERTFKVKVYEDPKPDIANGPGLIDNLGTPIARGEMTLGNDVHV DVEALNWDPLEEVGLDVPWDSELDKIQGVLNTGRRMVSAMATTSFRDSDGRRIPTGED DTNLWDSEGESILTGQATTSFRESEGPSGGRSVVSGEAPPTRFR AOR_1_42054 MGSLCRLVAVSASLFAVATAQIRVRLSPSTVNELAEPDFHTWNI ENESQNASTTIDSLDLILSSSADSDLEGNSYKYQYTRPVSHLGERVVNQGITTSSDNP GPITLTIQGLEAGEHTLLTWHNAWDSLNSTATISVSVDGEDKASDIEQSIRVDNIWEA ATSYVTFTVDSADQAVEIVYTPTSADGLVYLNGFEIDTPALKDQISFPSPPHREEHLE LGDGKSITATWRAPSSGDSVTYNVYMGNASDTLEVVNEGLSETQVTLSGLNTMDTFYW RVDVISGDTTYTGRIFMFRLAQLAIPGAEGYGRFARGGRGGKVIKVTSLEDSEEPGTL RYALAVATGPRIVVFDVGGVITIDSRLTVSDRYVTVAGQTAPGKGIAIQGHPLGLSGA SDVIFRHVRVRPGSSSNETVDGMGMAGSNYCILDRCSMGWAIDECFSSRTAHNITFQR NMISEPLNVAGHKNYPAGTAHGYAATIGGDVGSFHHNLISHAEGRSWSMGGGVDDNST FAGRLDIRNNVVYNFGSRVTDGGAKEVNFVGNLYKQGPASELTYDLKATYEDNLPGTQ QYHCAGNSMLDVFDQDSVQYPPGDGTGQTSKIACFADVSIDPAPEYQKFFDEPFFPSY IEEHTSTEAYKRVLSDSGASQPVVDDHDKRIIQETLNGTATYKGSKTGKPGLIDNEAD VGGLEDFPTTTRPTNWDANDDGIADWWDGSTGGDGYTAIEGYINFLADPHVFVAPGAS IEYDLASLAGGFSNPAFKVSGGELGSVSVVGTVATYAAGDKAGIDHFNVTISDDEGST WERSVGVAIFEGADSVE AOR_1_44054 MSDKTDLEKPVEKLQDDPDQALGELGYPSELRRNRSLSTILFQS LSIAGIPFAESGALMQAIYGGGQLSIFVGWIVVCLMDQCVAMSLAELASRYPTSAGPY YWSFQLSGKHAKLLSFMTAWVWLIGNWTITLGVNFAFAQLLVATVSIYSSWEATDWQL LLVLYAICILAFVICGFGNRFLPLVDTLCAGWTLVSILVVLVAVSVSAKAGRHAPSDA LAQYDTSLSGWGNFSFCIGLLPPAFVFSAIGMVSSMAEEVHAPAIKVPKAMALCIPVG GTAGLFFVIPLCVTLPGLVDITNAPSGQPIPYVFQVVMGTRAGAVGLVSLLLVVGFFC SISITNAASRCTWALARDTALPLSRLFSRVDDRVRIPLWALGLVTVVQMLLGLINLGS SSAFTAFVSVGVIALAITYSIPISISLFYNKRAEVSKARWNCGRAVGTTVNFIALAWI GFELVLFSMPSTLPVTPVSMNYASVVFVGFTTLALIWYIVHARKVYVGPPLSDGMPQD M AOR_1_46054 MVGAASLNSTQLAVDSVLGQVNTTTVPEPEKPSLSAPNEEEVPE RINKTEGNGAAGVKKAEAAALVWSKNALWAIYAWIWVCFFMLAFYSSIGTNVLVNAYA NFKTAPEISTAAILATVVGGVVKLPIAKIVNIWASKSGICLAFSSTPFIYTAFTGPLA AQSFLNMTTWRWAYSAFTIVFPVVLLSLAITFKLCELKAKKLGFYKLNGSNFVPLISE EQFRKNLGFRTIHPFRPIHTQSDPNHKNAHQSQRLFDLSLSFSRTPLSGNTNMRYTRY NGHGVAMPFSKLTKLDIVNQYGLSARDLRTLDVPSDGFPHILIRESTLLIHMFNLRLL VQADQMLVFHLAETSTQEPDTISRVFLRDLKSKLRGDPGLGVSVGLPYELRILEAALA AVTSTLEAEYVLTKDQVMKTLGMVDKEEGEIHSNLRTLLELVRKLAATEKRARQVRSA VQDVLNTDEDMAAMYLSDKQAGKPHQVEDHQDVEYLLEAYYKASDAVVQEATSLMGTI QQTEESIQSILDVRRNQIMVLEAKIEILMLGMAVATLVAGWYGMNVVNYFEESGTAFA VLVSSSLVAIAFLSRYGFRQLRRIQKMHL AOR_1_48054 MTTTPQSYATFSIESGCLCFGELHNIWSGSLVPIQGFPSIQPDR SGTVKAHRLKFNIPARNGTWQAFQLVDIETEVVSGWFLWHSDVDPGREIARILRVSGS PYEPDSGSTMNNEKTRAEGVLVINRYDWGYYDARCRDEMDEELGGPDPERRTADVEFS ESVGVVDYAQAKSQVAAWKVQSPDRQCGCEAGVWMRIPMAEYKIGRFGFNDDRVAHSF LFFSGGTHFTQTSLAGHSRPLREPETDVERFERRLREDFDFSGLQLLHLHSASLDNAG VISLSPPRPRESACLGPYSSGANILRVHELDALRLHPFVPHTRKLAFFVDPWKDRVVD LLNGLILSYLERVVFPSLSFGAPSLIAEALFGKSTAGKLLSTYCHCCLTRSDPEPIPN FDADHVSRKVSDFLESRATGQSVVIEEFVSGIARVVAYLISEVLEVSSNAAICSQRYG IVPADIRISVYSDPELYDVFQYSTVLWKGHE AOR_1_50054 MDMIKCSSYFPIGWLFAEFCYPWCRTCTDFGPLLYFPTLTRSCY KCNFLRPDYQVEPVRDVCFRFGLTPNDLRRSSLPIIHPINRHRPRLMDVTQAEALGTK LHGGGREMEQAYQFRLKERERDYHRRVQQWEKHRRQGTHTGGRPRRRLKPTSLDENED SEKPSWRIQATATFPYWDVRTQILEPGTYCKACTYHWEEGNANDWRRMETIWHPHPPS REAYYRAFLEADLPRHFLNCVAVKENYDFRVKRPSDIFFRRHGTDFIVGPKNNTDV AOR_1_52054 MLPPNKSDPEIERVDHVEKQDADPKPTLEQKNVGTLQRRLKSRH VQFLALSGAIGTGLFVGTGQVLSLSGPLSMTLAFAISGLDLYAVINSMGEMATWLPLP GAVPVYAARFVDEALGFALGWNYWYQFAIGVPIEISAAAMAIEYWPNTVSPAVWITIL FVPMIVVNSLPVRTYGEVEFVLGAIKLTTIVGLMLLMLIITLGGAPTHDRIGFRYWKH PMEEYLKTGALGRFLAFLKVFVQAIFSYGGSEIVVVAAGETENPRRNIPKAVRRVFWR ITIFYVGSVFLVGMCVSARDNRLLNAIKAGAKDAGASPFVIAIQNGGIRALPSIINAV ILSSAVSAGNSFFYASTRVLYSTALDGKAPRFLRYEKFGVPYACVAITTALSLLVYLN VSSSSAEVFFWISNLSSVSTLVVWTAIGITYLRFHQALKYHGIPRSSLPFKSPFQPYL AWFAVIFSAIMALLNGFDAFFPGHFSAKTFIPPYIAIPIFGTLYLGYKFVKGTSIIKI EEIDLWSGKEEADRLEAIWEQPKPRNFLERIWFWIA AOR_1_54054 MASKKQIIPILLLTAIQASAAPAPQASSGAQPTSFTANPNVGPG GASYKDSDHFRLYSSDQSNADAVLTMLEAAYSCYVGDLGWTTSGIPYNADPSTVTTLW KENMYEVNDLGSAAGVQKSDPNTGLSWLEVVPSSLADPRVTVHEYGHALTYHSRNWVD QTATGAWWETVANWVADTFSTSPLCAKARSNYNQPTGDTMIELNKVIGDSFQVIVDGS TGSGNYYQAWPFLTYLTNNPDNYAGLGSDVVRQLFQQYEKDSNETPLHTLARISTAAS VQEIVGRYWARMAYVDIGHTIAQKAFLNQRNRLNYANVDPQGDGTYVVKSSRKPQYMG ANILPLSNAGAGNLQVSITSNGVFSATLAIRNTNTGAVRYVSLENGSGAAVMTGNEEA SVVIANTPKTLYEYDAFKLTQEVQQGLDYTIKISGATVQGTAESTSTTGSTTGSTGYQ GLPSFFS AOR_1_56054 MRGLLLAGALGLPLAVLAHPTHHAHGLQRRTVDLNSFRLHQAAK YINATESSSDVSSSFSPFTEQSYVETATQLVKNILPDATFRVVKDHYIGSNGVAHVNF RQTAHGLDIDNADFNVNVGKNGKIFSYGHSFYTGKIPDANPLTKRDYTDPVAALRGTN EALQLSITLDQVSTEATEDKESFNFKGVSGTVSDPKAQLVYLVKEDGSLALTWKVETD IDSNWLLTYIDANTGKDVHGVVDYVAEADYQVYAWGINDPTEGPRTVISDPWDSSASA FTWISDGENNYTTTRGNNGIAQSNPTGGSQYLKNYRPDSPDLKFQYPYSLNATPPESY IDASITQLFYTANTYHDLLYTLGFNEEAGNFQYDNNGKGGAGNDYVILNAQDGSGTNN ANFATPPDGQPGRMRMYIWTESQPYRDGSFEAGIVIHEYTHGLSNRLTGGPANSRCLN ALESGGMGEGWGDFMATAIRLKAGDTHSTDYTMGEWAANKKGGIRAYPFSTSLETNPL TYTSLNELDEVHAIGAVWANVLYELLWNLIDKHGKNDGPKPEFKDGVPTDGKYLAMKL VIDGMALQPCNPNCVQARDAILDADKALTDGANKCEIWKAFAKRGLGEGAEYHASRRV GSDKVPSDAC AOR_1_58054 MSSFWLHPRAATACPIAVEDTFGPVVNHECKDGFDFTLYFEETV LTLPVTLFFLFWALPRIYHLRQQTIKVQGGYRYFVKLGGYALLAVLQLTLVGLWAAPS GKTTRATIATAVVSWLASIVFGVLSHYEHICTIRPATINCGYLFLSSILSLAETRTLY FLEKNREIAVVYTVTQCIKVVLLITETMSKRSLLRRNYRDSPPESTVGILGECLYCWL NPLLMLGNRVDLTVELLPPIEDSLRSTGQGESGLHALWRKDPNRNSPHSLLWACCRYY LVPILLGVVPRALQVAFTFAQPFLVEATTTWVASNELTHPKAQGYALIGAFGIIYTGI AVSTAFAHHQAYRVVSMIRASLVDMLYSHVMVMRDIDVETSAPVTLMSADMERISGGL HYIHDAWACIVEIPIALYLLWRQLGVASIAPIIVVFICMAMSLLISGLAGPRQQVWLE AIEKRVDITAKVLGSVKGVRTAGLTDKLFNLVQTMRIEEVVKSEKFRRLLILVVGVAY SNVTLSPLASFTIYALIARHKGDETLTAAKAFTSLTLFTLLATPISNLVEAATGVATA IGSIKRVNEFLQSDPRRDDAHEPRGTRSNISIPASVLSSALTEMGVVYDGKGMSVVEG VPSISSREKLPLYYEVTGPVFVAEGRSAGWEESKPAVVQDLTFEIHRGTVTFVVGPVG SGKSTFVRTLLRETPIFSGGLKADPDSIAYCSQTPWLTNNTIQENILGESLFDLRWYN TVIDACALYDDIRKQPDGDRTMLGTQGAILSGGQKQRVALARAVYSRSETIILDDVFS GLDRTTEDAVFNALLAPGGLLRKLGTTVILTTNSPSRLSVADHIIALGPSGNIMEQGT YKDLHSRADSYIRSLAAVPKRKATVHLEAPEIPIPRARARNRLSQNRFSQRFSQRFSQ RFSQQFSQRLSRPFSQQFSHDQFSQNQSTQSQFVPNRLSQNRLSQHRLSQHRLSLASP EVQIEPTPQGDGRRTGDMSIYWYYTQVLGVFRSAVFVLLIMAYVVTITYPSIWVEKWA NSNVDHPNERLGYWLGIYAFIAVMATSTLVTSCWHLMANLVARGAKNMHAELLKSVLD APMSFFQTTDLGNTTNRFSQDLQLIDMELPLSVLNTILTFFTCVAQMVVICTSTGYIA ATIPACIITFYFTQRFYLRTSRQIRYLDIEAKAPLVSHFLESLSGLSTIRSYKWEQHY RQRNSKLLNDSQKPFYLLFAIQRWLELVIALMVAGFAVVLVSIAVATRGKVSASSIGL ALLNIVTFTENLQGLIKQWTVLETSIGAVARIRNFKATIESEHLADETAVPPPDWPAR GAIEFSNVVASYKNSSTRALDHISLSIKAGTKVGICGRSGSGKSSLVSSLFRLIELTS GTINIDGLDISLLARNHLRAQLNCIPQEPFLLPGCSVRLNVDPGMSIHDDIIIDALKK VQLWDPVRELGGLDATITPDTFSPGQKQLLCFARAMVRPEGKILVLDEATSSIDTKTD DLIQSLIRSEFADHTVIAIAHRLETIIDFDEIIVVDAGRVEEQGPPAVLLERGGAFAE LYWDKDRGSDRAPLRL AOR_1_1632054 MSAYSFLSALGGILLLFFVYDSKAIPGLWHARVIAILMNHLVWK RNQSSISSSDGPSVLFRPLRTRSYCSLAEVDFNLHKSNSTFYADLDISRIELLLLLFK DVITPLSPPSRYHHSGGPYKSDEQQAGSLKHLTPALGGVSCIFRREIKPWQRYDLESR ILCWDDKWLYIVSHFLKPGSHSETGTGGSEEGVLASAISKYVFKKGRRTVTPEEVLQF LHLVEDGDHADADADANANADAYADASIERSGLGPKVRGQGDQQEHIEVKRKRGLALA AHMAGLDGLHRVA AOR_1_62054 MFSTINASSVASRSPSLASAGSAASFALGSGWATPELLSTSSTS SHACQTLTITYHVFEHSLTVASGSYPTALQLRDDFLHDLSKTSGDEELSSLVELWARF LSFTVRRLERERRGLKEDDLRVLLATAMDTFERDILWNREVHVVVKELDASTEVKSTI LAAYFQARHALRKISRPSHPPSALMAAALDRKAHLYTMFGGQGNDENYFDELRMVYNT YRPLVRDLVVSASSMLQRQSLDDRFSRFYHQGLDIITWLEHSERAPDSAYLISAPLSM PLIGLLQLAWYRVIGRVLGSTPAQIQAALAGTTGHSQGIVTATVTAVAQSWTQFDSLS LDALRLLLAIGAYSQDHFAVAELPPVIVADAEAHGEGFPGPMLSVTDCPLDQLQKYVD AVNAHLSEDARIAIALINGPQSAVLAGPPLSLHGFNVWLRGVKAPAKGTQHRIPFSKR KPEISNRFLPITAAFHSSYLADVSDAVLASVPDLTIRGDDLRIPVFCTRTGSDLRAFG SQNIVPDLVAMITSQELVWTEATKLPGATHIIDFGPGGVSGIGALTNRLKDGTGVRTI LATVAEGTNTDLGYRSEIFDWCPSPALAYGQIWSEQYRPKLVQVSGSRPMVDTKLSRL LGLPPVIVAGMTPTTTAWDFCAAVMKAGYHVELALGGYHRADQLETAIQRLLKEIPPG RGITVNMIYAAPKAVRWQVPLLTRLRASGVPIEGLTVGAGVPSLEVATSYIRDLGLRH ISFKPGSLPAIHQVIAIARAHPNFPVILQWTGGRGGGHHSFEDFHQPILQAYGAVRSC SNLILVAGSGFGGAEDTFPYLTGEWAQRYNRPLMPFDGILVGSRMMTAKEAHTSPAVK QAIVAAPGVADEADWEQTYTQPAGGIMTVTSEMGEPIHKLATRGVRLWAELDRTIFKL DRAKRLTELQKQRDYIIRRLNADSHKVWFGRDELGNVVDLEEMTYAEVISRLVELTYV SLEGRWIDPSFQQFLFDFLLRVEARIGHEREEQDGDDMEITSSVVASVDDLRDPKPAI DKFLEQNPCAADQLMGTQDAQYFLHLCRRRGQKPVPFVPVLDENFEEYFKKDSLWQSE DLNAVVDGDVERVCILHGPVAARHSTVVDEPARKILDAIHDGHIERLEMRDPDYFEDG IPIVHCFGPYPTVSEWSMAQAGIHCVPVGNTGSLLYEVSPEMNAPMPSLDQWLAALGG TQGGWRQAFFNAPTIVQGRAISENPLRRLFQPTRDSYVMVQTGHRSDTTVSLFEYRPH SEPVKVVDIRADESGSITLEIINYRNAGGAAIGLRLMYQYRRDAVYAPIHEIMDGRNE RVKEFYYRVWFTNSQPKKWTSVHDTFCETGLEVTADSIAEFAHCVQNASDASSKRRGK TLYAPLDFGVVVGWEALMKPLFSHELDVDLLTLVHLTNGFRIPPDAQPIQEGAVLETK SRIQAITIEDAGKLVEVRGDVCQDGKVVLELTSQFLYRGTHTDWENTFRKVDEEEMEL CLSGPMEVALLKSKPWFKPRDADLDLQDQTLIFVLQSTYQYASRDTFKAVTTVGDVRL KSSLPGRSSSVATVAFYAATCRGNPVMDYLQRHGSPVKKRHMFNSPNPLTGEGSSLMM RMPDSNEAYAHVSWDFNPIHVSSSLSRYADLPGLITHGMYTSARVRGLVEHYTCASAI GAFRSFNCSFTSMVLPGDEIEVIFQHVGMLAGRKIISVEAKHVGRGETVLRGEAEVEP EETALLFTGQGSQQKGMGMQLYTQSEAARQVWDYADTYFSDNYGFRITDIVRNDPKEL TVYFGGPQGRHIREKYMSMKRESVGTDGNVQLLPLFPEIDEDTEFYTYHAPQGLLSAT QFTQPALTLMELAIFADLQAKGLISERSSYAGHSLGEYAALGAVGKIFSVESLVQVVF YRGLLMQFAVERDDRGQSDFRMCAVDPSRVCAGFDQAALQLVVETIAAETGQLLQIVN FNVAGLQYVCAGQVQPLRCLKSVLDHINATAASTLPSNVLSPDQLTSLVKSQVATTQT NTALTRGCATIPLKGIDIPFHSSHLLPGVPGFRRCLLDLIDRHALDPKRLVGKYVPNL TARPFQLTKEYFEMVKDLTGSVALGGVLNEWETYMSTAGTCGMEKIESVIQSMKVF AOR_1_64054 MGLTPKCDLTNENAQYPYCASPPAAIIFSVLFGVTFAGHLALAI LYRKRFCWVIIVGSGWECLGLIMRAYSTLDQTKSSTLAAAQLLVLLAPLWINAFVYMI FGRMVYYFTPNKKIKGIKAESMAKIFIWLDITAFIIQGTGGILDSDGFGEKLNRTGMN IYTAGIAIQEFFILCFLALLIVFHKRMLSGYRNLERGNGWKLMVYGMYATLLCLTTRI VYRLIEFANPNQAGKTVLSTKEAPFYILECVPIFIGMMLWNVLHPGRMMPGPDSEFPK LSRSEKKQMKQEAKEAKKQERRVGFSKKYTAVNDSEYTLTRPSDEEHEAGYGGGRWPL NEVESGRGGYGGREYGYVR AOR_1_66054 MITSVQVVGENWANIDDRVERRRAQNRIAQRGYRKRLKKEKLES AKEAAAAAKAPKEEEKQQQQQKQQQQHQQQPLPPFQTPVKVQTPPDFQLFSPPLDGLS FPESWTPPSLDGSQRPTMAGRGPSYNPIEEAYALANTNNGNLSNSHPSAVPVSAADTV KSSQPIHAPSPSITPNPSPPTVVESVPSHSTPPGESSLANTALHRAALYGHESVLGVL ISAGADASIADSAGLTPLHLAAMEGHARLVTLLLDSPTGSGVNINVTTREGETALHLA VKHHRPEVVQVLLCPTRRHALQVDAQDWLGRTALHLACERNRRDLVEMLVQAGAQLMI RDLEGQTPLHTACLGKNM AOR_1_1634054 MGDAGDYTAFQAITAQIPMGSKGSSFFFPTLTDGVILDKEQTDQ AQIPLDLGTVSQGKALAAFCERHGLSVLSVLNVAWAMVLSAYADTETVGFLFVRFVAG VPHVGVYQAEIDGGKSVLKTLVDAEETLQASISSSALMTPAEFQELSARAGGPAFNSV VMLYDEESPEREQPGNYLAIHARYLQDQFVVDLQCPTSLIPASQAKHCAATLSHVLGE IIKAPRTPLSEVSLMSQDGMHQIWEWNKRMPEVVSRCLHHLIDERARKEPEALAIQGP DGCMTYGELQALTDRLAHYLVDRGVGPEVAVPLFFEKSKWAIVTMIAVVKAGGAIVNL DAKQPRSRLMEIMEQLQAPLILTSQQYEPLWQDNCNVFSVHQESVMALPAQKASPVVA VTPKNILYIIFTSGSTGTPKGCVVEHESFLTAAIQHVTAGEIDPTSRILQMTPYTFDV SMLEIFTTLTTGACVCFPSDELAQHGIAHIINALRITWTFMTPSVVRLVDPADVPTLK TLALGGEALSQIDVTTWAGKLHLINGYGPSECSVAATINPHLTPDADPANIGRGYGAV CWVVHPDDHNRLVPIGAVGELLIQGPIVARGYLNEPAKTEAVFLDEAPVFLQNMTSKP APFRLYKTGDLVRNNSDGTISFIGRKDRQVKLRGQRLELGEIEQRLSVDSMVRHARVL LPKAGPCKGNLVAVLSLHTFPYEGPRDAEVHELLIDQRKQARGFIPEISTRLAAQVPG YMVPTFWVVVAALPFTTSGKVNGVALNQWVRDMDEDTYNEIAGIAEEEETNETVQLSD TELLLQEIWAEELGLPLPKLKHNRSFIALGGDSITAMKVVARCRREQLKVSVLDVVRA KSLIDLASKTVRSSQVSVEEDTVIAPASTPAERTAAIDDALLSKAGLSSKDDVEDIYG CSPVQDGILLSQVKFPGTYEIRRVLKVLSIMDATTTILGLQRAWQGVVDRHQSLRSIF VDAGGIFQQIVLKNVTASVHCCEYMCSDDEAEVTNFLKSLPSPTYNASEPQHHLTICR TRGDNVYMMVELSHAIVDGGSTEVVLQEMSLAFDGKSFTEPASLYSDYIQYISSPEHS QGESMSHWTSYLAGVQPTIVPMYPRDGQSKQIRSVKVPFAGMKDLSKFSVAHGVTIAN VIQTAWALVLRAYTGSDDVCFGYVASGRDVPVKGIENAVGAFINMLVCRVRLDQHQAA LDAVDTMQNDYFTALAHQHCSLAQIQHGLNLSGMPLFNSIISLQKGVPDQQFGDALSF RAVQEDDPTDFDLAVAIDITTDDVEISIGYWSSSLTQGDAMNLANTFSAAIYGIIDQG GVKPTDIDLFNEQDRAQIFEWNKIEPVAESGCVHEYFYDQVKKQPDAQAVCAWDGEYT YSELDLLSEKLAHHLAKLGAGPEVLIPHCFEKSRLATVTMVAIMKSGSAGVGLSSAHP LSRIQDILDGCQARVAVVSAQHAKLLEGLVEHIVVVDESFLDELPAPTDNCTLPQAQP SNPAFVSFTSGSTGKPKGIVLEHRSLITSIQAHGSEWGVGPGSRVLQFSAYAFDASVS DTFTTLTRGGTVCIPCEKDRVDDLAGAINKLGVNWAFLTPRVLGLLSPETVPTLKTVV LGGEAISREDIAPWTDALELRIVYGPTECTIYSMGTEPLTADSDPAGLGHAVGTRLWV TDPENTNKLLPVGCIGELIIEGPLVTRGYLNEPEKTKAAFFEDPAWLPKPENGQPRRF YKTSDLVRYYPDGQLRFIGRKDTQIKVRGQRVELGEIEHAILENLPGAAHVTVDSVVL PPQTLVAFLKMENASSTENELFVPLSPEFTAKLRVLEKVLSDTLPVYMLPSLFIPISH IPMTISGKVDRIALRRAVPGLSHEQMEMYALANQDKAAPQTKEEEQLRTLWAAVLGKD PSLVGRNDNFFRLGGDSIGAMKLVVATREANLLLTVADIFRYPELSQMAQRVELAKAD EQVNVYEPFSMLNNATNTDALLEEAAGHCSIARSDIQDVYPCTPLQEGVFSMSNTHTG AYVAQSAFRLPAGFDIQRFKDAWQTLVDAHSILRTRIVTIGSTSYQVVLTEDAAKLEW QQDSSLEKYLQRDHAISVTSGTPLTRYAIVEDSGSSIFVWTAHHAMYDGWTVPLLFEQ LEQAYTGGVAPSTAPSYAQFVEYIQETDPEASQEFWRSMAPQEPPSSFPRLPYATYQP RAKKTCHRDVEVALEPGSSLTMAILLRAAWAIVMARYTDSEDILYGLTLSGRDALVPH IESIVGPTITTVPMNVHLDSEASLQSFLESQHEQNVEMMNFQHVGLRNIRQISPQVLA ATDFTNLFVVQPKADGRRAFAELEAVPTDMTEFDPYALVVECNLGDGSVHLEARVDDD VLSVDLTEKLLGHFEHVLRQIIRPSADVKLGDIDLFSKEDEQQIWEWNAVAPTAVNEC VHEKISQQATLNPENMAIEAWDGNLTYRELDELSSRLAYHLSSEYSVKPETLLPLCFD KSVWTVVTMVAVIKAGGACVMLNPDHPVTRLQALIEDTGSHLVLTSPQHQGLFGSVSA SVVPITKTLIQELAPVSTSQLASLQVQPTNPVFMIFTSGSTGKPKGIIVQHNSVCTVA TQHGEGLGFGGPGSRVLQFASFTFDVSMGETFFTLMKGGTLCIPTEHDRINNLAGVIN SMQITWTFMTPTVAALLDPKEVPHLETLTLGGEAVSQSLVDRWASQVNMIDSYGPAEC TIWASHANPSATVSPANIGTGTACRYWVVETSDYNRLAPVGCVGELLIEGPNVARGYL NEPEKTRDAFVESPAWMQGKETPQYKFYRTGDLVRYNPDGTLNIAGRKDSQVKFHGQR IELGEIEFHLRAQRAVEAGMVTLPKAGPCKGKLVAVVALSDLQPLALEGDCVELVSDD AKSTAQPLIMEIEEELSSVLPSYMVPSVWIVLDSIPLTASRKINRVPITRWVTDITEE TYRRIVNISSASVNLPTTALEKQLAQVWSQVLNIPEKSIGLNRSFMSLGGDSITAMQV VSRCRATGIKLTVQDILLPKSLAAVVSRASSLGDRAVTRQETYDVPFGLSPIQKVYFN DVVRQATQHHYNQSVLLRLTRPVSTAAVSSAIEQIVARNSMLRAKFIRGDNGEWSQMV QSQSNGSFKIGFHSAASRQEMLDVINSSQRNVDIENGPIFVVDHFNLCDEERGESSLD CREGQLLSLIAHHLVVDAVSWHVIVAQLEASLLSQGSSPHAPMPFHNWAQEQCHFGEQ LAPKSVLPTDIPPANLLYWGMQELPTWKNVEEVRFTIDDNTTSLLLGAANTALRTEPI DILLAAIQQSFNQTFTDRAVPAIFSEGHGREPWDNSIDLSETVGWFTTFYPVHRRMRK DESIKDGIKRTKDVRRSFNDNGFSYFSCRHYSAEGRKAFNDHRAMEICFNYLGQAQQL ERSDALLKEEPLRVDEEVQNIGEGMGRLAVFDISAAVSFGQLGVSFFFNRDMGRQEQV RDWINRCEKAIQFAVQDLVASSVEHTLSDFPLMKISYPALSNFTNTVLPALGISPDNV EDLYPCSPIQEGILLSQTRRPGTYEVRQLFEVVPRSDVGAVDLPRLLKAWQQTVDRHS ILRTVFIGSLSGDGVYDQLVLRSYQAAVQRLTFSGTDVVSLFQGQQSPDYTKPVPGHR LTICEGPQSIYCQIELSHTLVDGTSLALLVRDFVSAYENTLPAGPGPLYSEYIRYLGG LSKSASLKYWTDRLAGAQSCHFPNMRIGNGDSGSETKTYKDMLIHIDEDGKLQRFCES QSITMSNLVQAAWGMVLRAYTSQEDVMFGYMASGRDVPLDGIYDAVGPFINLLVSRMQ LVDDMSVYKLLKDIQLSYMNSLPHQQTSLAAIQHELGNKDVALFNTVLSLQRQPSRGP APQVDFHIVDQADPTEYDISLCITTHDVPGVEIHMTYLTAILSDSQANELMQAFTNVL ATLADTPEMSLSSVDVISHSRGEELQRLKAVSRTLNGRTFDLASIQNRLSMALPDTED AVVEVISLNQLGARQCMAVFHSEVNNAKAEVSLMPVTDGHRAKFTDLKETLGSYLPEE LIPTLYLPVNGLPLERAALQKLVDGLNEQQLKQYQLVTVEECVALTANEKILLALWVE VLELSDSSTVTPSASFFRLGGDSIGAMKLVAAARNQGLALTINSVFQKPTLSAMAKEL SLLDQSEEHQLEPFSLLPPQLDSERLATEAASLCGISRTAVEDIYPCTPLQEGLMVLN RQNAASYTNQTIFALPDTLDLPRFKEAWERVAERSTILRTRMVNASDGLFQVVLKQTM TWESADDLDAYLKNDSSNPIDYGQPMARYAIVSAATQKYFVWTAHHSIYDGMTIPIIT KQVSAEYNNEMALPEVPYNRFIQYIKGITPESATEFWTAQFAEPSVTYPILQDRTYQP YPNQAITRAVHLSREPSDITFTTILKAAWAFVLAGLTETEKVTFGVTVSGRNAGLAGI NQVVGPTIATVPVSIAVDGSQPPLDFLQNIQQNFLDMVPFEHTGLQNIRLMSEQAKEA VEFQNLLVIQPKAAPVEASDFLGLTPVPTSHRDDQDPYALIIECNLLDDGVDIKAQFD DNVISSEEIKRIISRYSRAIERLSSIQEAGGDDSINALEEIGTISEEDLQQILEWNKD RPETIQSCVHYQFEEQVRRQPDAPAISSYDVELTYSQLNLLAEKLAHELISRGVKQEM IIPLCFNKCSWTIIAMLAVMKAGGVCCMFNPEHPRDRIQLLLDDLDASLVVCDQASSA MLSSLLPPSGVLPIGADYLDSLPCPNEPVGAIAQPSNAVFIVYTSGSTGKPKGSILEH RSLVTGLIAHLSEMSVGPGTRAFQFASYTFDVSFDEIIGSLMLGGCVCVPSEYERMNA LTEAMAKYRVTWTELTTTVASLLVPSRIPTLKTLVLSGEPLTKEVVNLWSDHVQIINS YGPSECCVCTTCNSQTSFTKDPTNIGRGLGCTLWVVDPDNIDRLLPIGSTGELLIEGP IVARGYLNEPEKTAAAFINPPKWWIPHDKGNGRMYRSGDLVRYNRDGSFKFIGRKDTQ VKLHGQRIEMGEIEHRIRTVYDDNSYQVAVEVLTPKSRGSLKILTAFICVPEAASGDV DTLLVPLSGPLRRSFQALQARLREVLPKHMVPQLFIPVSHMPLSPSRKLDRKVLRTVG NGLSPETLASYALSQAEKKAPSTETEKALAQLWARVLGTDSIGVDDHFFHLGGDSIAA MKLVAAGSKAGLSMSVADVTNYPKLNKMAENLDRSSSRKEVNDAPPEPFSLLPGDQVK DILTQATTQCAVDASVIEDIYPCNPSQEALMALTAKDETAYVSRQVFRLPVDIDLEKY QQAWESLAQLQPIMRTRIIYTADSKSFQVVISEPLVWRWEDSVEEYVRADKLSPMVHG QPLMRNAVVPGDQNDPRPFLIHTSHHATYDGWSQGSMFEQVGIIYREGLSALPPVVPY SKFIQHLTTSDREAAEAFWSSQIEGNLPAQFPNTSHSAKSLRPNQTRSLHIRLPERDN LTFTTPTVLKSAWSLLLSRYTTSHDVVFGHVLSGRSTSLHGAADIMGPMIATVPLRVR LDQAQTIEELMGGIQQQALDMTPFEQFGLQNIRRMQPNGSAIADFGHLFVIQPILEDS ENDLDLELVSSVQFEFDTYPLIVECHLGDSELDVRVRYDDMLIPEQQMGWLLQHYENL ILQLFRLPQNTLMTDLTMAGQTDVDQLLDWMGAPIEPVNARVHDLFSVQSRFHPQRQA VDAWDGSLTYQELDQLSSQFSDVLVKLGVTAGCTVGWCFDKSKWAIVSQLAIMKAGGA CVNLNPEDSVSRLTDIAHDTGIDHVIAAPQYADLAAAIGSSHVVIADATTASELAATS TDLPRARTAVDSSSPAYLAFTSDSTAKPQIMAIAHRAICTSIRNHGSAVKITSKSRVL QAAAYTSDVSYAEIFTTLLSGGTVCVISEHDQTKDLASAINRVGANWACLTPTIANSL RPTDVPKLKTLVLSGECPTQDSLKVWAGKVDTLLNAYGSSEASVWCSVSQFTHPKDSF ANIGFPVGCRLWVTEPENVNKLAPLGCLGELVIEGPVLSEGYMGHPQASEAAFVDRPD WMQHIYPADGFARVYRTGDMVKFNVNGSIEYLGRKDTQLKMYGQRIDPRELEHTIKSH IPSTYDVLVDTTLVSSRNRNMLVAYLYDESIQSPDVEIDSLTDTMTTNLQQELTTVQS ALQTSVPHYLLPILFIPLKTMPTDSFGKADRDMLSRMVDSLSDVQLQTYSLATAGKRP PKTEMELTLTRLWAEVLMINRESIGADDSFFALGGDSIVAMKLVSLARASKIFLSVAD IFNHPSLADIAALATANAPVTPGDGTPVLSPSKGSSTCDSSLLDTIAAKVGVEEGQVE EICPTTSMQEIALIGAMTRPRWMLNYFYFESSDPVDVDRLRQGCFELVRHFSILRTVF TEHDSQFWQVVLRQLDPVFLVIPTDDLDETTQRVYEEGKAGDLQIEKPFIQFVLAQQR RGHAHRLIMRISHAQYDGVSLPTIWEALQNAYAGQALSPSPSFSTFTSFCPASDDAAA IEHWQSLLEGSTMTNFVERHKPGLRDVSDEVITVRRRVPNTKLTSEGITFATVAKSAW ALVLARMAARPDVVFGHTISGRNLAIDNIDRIVGPCLNVVPVRAQFKDHWTVMDLLRT IQNQQVANMPFESLGFRSIVEQCTDWPRWMRFSSVIQHQNIDPDRAMMMGNGSYEPGF IGSELDLMDVSILSTPAGDHVDIDLITATSVMSPIQAEDLLDQLCLTIRSFSSSPSTT FLPSVEELEAGRPLIPMVRESSVPDVVIGADFSEPKVAALREAVHQAWTDTLPSTNKS IVSPTECYFTAGGELMGLSQVLLRLQEHAPQLRLEDLLHHSSMEQMVNLLYTHTP AOR_1_68054 MRNSPAWSISQSPSPARTSTVGMMMKGLDPVLAPGDPFDPCPWP ISYADNDLWSQYSSGLFSADSDVLHLSQADWQNADLSDNGSWSATNSLGDLPMTMSDP PFGQPPLWTPSSDPCLFPSIIPSGDLSSENVSDIHHQPRDGIPTPPPSQPQSNPRTPQ EPSHGTERVVSLLLLQRGVNVNVQDSRGQTPLHIAAQCGHLGVVRLLLTTEHIDVNAR DHHGSTPLHVASEKGHVEVVQLLVAHGARLDARSGRTG AOR_1_70054 MTFPPAPAANIDWTKLGLAVTDLVNGHVESTYSVTTGEWTSPKF VEDPYLRIHGLAPALNYGQQVYEGLKAYRSATDQILLFRPRFHAARMQHSAEVVSIPA VPTDHFLECVNLAVARNAEWVPPHASGAALYVRPVLLGSAAHFALTPPAEFTFCVYVQ PFSTYHGIAPLPAVVLEDFDRAAPRGTGHAKIGGNYAPVMKWSEKAKKEGFPMTLHLD SATHSEIDEFSTSGFLGAKMDGDKPVLVVPKSDNIIGSVTSDSCMQIARSLGWTVEHR SVKYEELATFKEVMAVGTAAALVPIRSITRKSRDDHFVYTEEKSAGPVCQQLYAALTK IQRGEAEDQFGWREEVKGTSDVGSSGSFCIIM AOR_1_72054 MQAVALLRHVPLFAYVTAVPVALLAYVLAICIYRIWFHPLAKYP GPLLAKVTNLYGGYYAWKGDLHIDMMRCHEKYGNYVRYAPNRVLFNTNTGLKEIYAYS KSFQKSAAYGAMVHRAPNTLTLIDKKQHGRKRRIIGQGFGDAALRGFEGTIMSLVRKF CDELAKDISGRKAGEWSTPQNMGKWSNYLTFDIMSGIIFGESFDLIGSPKNREIVKCI EDSNVRTGVLSQAGELSTRRLDRWLFPQAIQGRNAFIRFVNILLKKRMSAKPLKRHDA FSFLLDAVDPETQQGFTPAEIGAESTTMIVAGSDTSSTAIASTFFYLCRNREWYEKAK EEVRAAFPGPDDVALGPALNNCVILRACIDESLRMSPPASSSLWREVLDDGVIIDGQV VPRGYDVGTCIYAIQHNPDYYPEPFEYRPDRWLDPVPEKVQLARSAFSPFSIGPRSCL GKGLAWTELMLTMAYMLSKYEFRSAPGEDEKVGGGHVDMGAGRQREGEYQLRDHVTAA KDGPIVQVSFR AOR_1_74054 MQPEVEQQLAYVLLIELLAHQFASPVQWIETQDGLITEQNVERF IEIGPADILTGMLRKTVGQQYKSQDAARHMTRKLLSYAQNADEIQYISGSSTATSRPA VKPHPLLSQGPSASSKTAETIPPAPAETQINTAPAPVAKVAMVADAPVQAKDIVIAII AQKLRKSHAEVATDKTIKLLVSGRSTLENEIVGDLDAEFGSLPERAEELGLEELCNTL QSGAAFSGQLGKVSTGIVSRVFAQKLPAGFSSGDARDYLQTRWGLGAGRQDSVFLRAS TAQTSSRLASSGEAQTFFDQIVQQYATDNGLNLSASAPAAEAAAVSAPVDSKALGLVK KDQERLRKAQMELYARLLGKDLGHDAREALKAQLATVKLQEQLDFITAEVGDVFISGI RPLWAAAKVRRYNSSWNWVLQDTLHLFHRILRGNFGNADFPKYSNAIANRSSPRLLQM IKYLSNSPCSLWGTRFPEAKTVMRRLVDLCEKPRAPRFEPLAANYDAFIKGPSTTVDE NGHIKYREVPRGGSPVIASIHIKTQRHSTWLTDRSVTALYLDEVQSSWNDGITFINKC VLMTGVSIGSIGAEILKGLLSGGAKVVVTTSSYSSATTRFYQRLYVEHGSRGSELIVA PFNQGSQHDLDGLVEYIYTSGTGLGWDLDHVVPFAAISEAGREIDNIDAKSELAHRIM LTNTLRLLGAIKRQKQLRGYRTRPTQVILPLSPNHGAFGNDGLYGESKIALESLFEKW HSESWSTYLSICGAVIGWTRGTGLMADNNIVAAGIERHGVQTFSTAEMGAYILVLMTR KLANQCNVQPLYADLTGGLNTIPNLRATLDKVRREIVDKSAMRTVLAREQAAEQKLTT CSSPTTPEKGARTRLANIRFSFPALPDAKTEVDPLRANLMGMADLERIVVVTGFSEVG PYGNSRTRWQMEATGRLSLEGCIEMAWIMGLIKHHDGPLDGKHFTGWVDAKTKKPVQD MDVKARYEEHILNHTGIRLVEPDLDPSEPPGKRRLLQEVVLEEDLPPFEVSPEVAEQL INEHGENLVDISPQGEQCVVNLKKGVVLMIPKALQYQHAVAGQVPTGWDARAYGIPED IVAQVDRGTLFTLVSTMEALVASGITDPYELYQYIHLSEFGNCIGSGLGGVHSLKKMF RDRYLDKDVQKDILQETFINTTAAWVNMLLISSAGPIRTSVGACATSIESLETGFETI VTGRAKICLVGGYDDMTQALAEEFANMKATTNPEEEAKKGRLPQEMSRPAAESRSGFV ESQGSGVQVITSARLALDLGLPIHGIVAWVGTASDKTSRSVPAPGQGILTNAREKPNS RFPSPLLDIRYRKRRLEARLKQINESVDLEVQMLEEQMTQDGEVPEELQEELQNHKRF VEGEAERQRKEALNTFGNEFWKNESAISPVRGSLAVFGLTIDDLDFVSLHGTSTVMND KNEAAVLEAQMRHLGRVPGNPLYAISQKYLTGHPKGAAGAWMLNGGLQVLDTGLIPGN RNLDNVDGKLQDNEYILYPNRSIQTKGLKAFSVTSFGFGQKGAQAIVVHPRYLYAMLE DGEYHAYRTRRLTRYRKAFRFFHHGLATNTMFVAKTEAPYRPDQQNAVLLDPTARMQS KESTESVEPTIGISF AOR_1_76054 MKLLQLSSLLHFLTLSSALTIPLNRRKRSIDALAHTASLLATGG GHNFDVDVTVGSNNQTFKLLVDTGSSDLYIMGDGFTCVNATSNLTLPQADCKYGPETY TRSSSYEQVPNEVFGIEYGAGRASGVMAYEDITIAGVSVRAKLAIADVSEPMGREGIS HGVMGLGYPSITSAHPGTSVPNNTYFYNRAVYSPVFNTMLEKGLVEPYFTIALAHTAR DSTATFGGYLTLGDLPPVEPKSDFSAVPVEIMRDVPAEFTSGKQQRAYWAFTVQGVKY GSSGEEAAALKTDSNPWQVFVDTGNDFSILPEAVVDPVNKQFSPPGVWDDKLKVYVVD CDAKAPEFGVTIGDQTFYHAAEDLIYEVGEGVCVSSLLPAEKVGMKYVVVYILGAPFY KNVIAVHDFGKNELRFAQK AOR_1_78054 MTEKEPGREQPDLEQGKTQMAETSHLYPETDLDRGVVGWEGQDD PANPLNFAPGKKWALLGLISAFTFVSPLASSMFSPAVSYMAADFKETDETIISFTVSI YLLGYVFGPLVLAPLSEIYGRRIVLSISNWFFVVWQIGCALAPNIASLIVFRLFAGVG GSACLTLGAGVIADLFEPQQRGKATSIWGVGPLIGPVAGPIAGGFLGEEVGWRWVFWV LLIVGGATALGIEILNRETYAPVIIRRKTAKLSKELGRTDLLKSPIVLLLSTYMSLIY GLLYLFFTTISSVFTEQYGFSTGLSGLAYLGIGVGFMLGLVFVAGTNDRIMLKLAARN GGKTEPEMRLPLMIIFSCILPISFFWYGWTADKHVHWIVPIIGMAPFGIGMMGVYLPI QTYIIDSYPAYAASANATLTATRSLVGALLPLAGPSMFEALGLGWGNSLLGFLALAFV PIPIVFTKWGKLIREKYPVNFDKANA AOR_1_80054 MSSVEKKRLRDRRAQQNLRSKRSQQMAVLEKEVAHCREHHDDQG IQRLLQVITGLRKQNETLRSRQEHLKLLVNSWDASPAEISANMHGSSDQRSPGFIAIP REIMNNSDSSSNPIQSTRNNTPRADPSPSSLLVSGDSSHQQAPYPQTLAREPRTADTN LAWKQLPLHDDNFLNPQTISCAWFEYPERIALCPDSPSSPLDLLYGTNTNYLANMIYK ATQRRPIRDPERLAVGWLCYHLARWIVSPSPATYANVPPFLRPVPDQLQIPHPMVLDN IPWPQVRVNLIRHWDMFRDMRDDLFGLFSCCVKVRWPWGESILERNSHNELCIKERFF ETFMSETGWGITPEFMQRYPQLFAGVDLGAITCELVDKEF AOR_1_82054 MLLPYYLLAAAATVMASPTVYLIRHGEKPDDGGNGLSAQGVQRA QCLRSVFGKDSKYNIGYIMAQTPKKSGKRTRPYETVLPLAEDLGLTVDTSCDRDDPKC VKKAVEKYKGDGNILICWQHEALTDIVKKLGAKDAPEYPSDRFDLIWTDPSPYTKITE TTSEQCPGLDS AOR_1_84054 MRFLPCIATLAATASALAIGDHVRSDDQYVLELAPGQTKVVTEA EKWALRAEGKRFFDITERASSLELASNKKQKLAVTYPDSVQHNETVQNLIKSLDKKNF ETVLQPFSEFHNRYYKSDNGKKSSEWLQGKIQEIISASGAKGVTVEPFKHSFPQSSLI AKIPGKSDKTIVLGAHQDSINLDSPSEGRAPGADDDGSGVVTILEAFRVLLTDEKVAA GEAPNTVEFHFYAGEEGGLLGSQDIFEQYSQKSRDVKAMLQQDMTGYTKGTTDAGKPE SIGIITDNVDENLTKFLKVIVDAYCTIPTVDSKCGYGCSDHASATKYGYPAAFAFESA FGDDSPYIHSADDTIETVNFDHVLQHGRLTLGFAYELAFADSL AOR_1_86054 MRLAPITVGLAACANLVSGMAFTAPARCLTMKDTAEKLDVNRWI DLWLETTCKRCQSPKLSDYRTLRESHVAPFVKDCSDSMGTSHLSSNYLALADSLLDLA KSKCEVTDETDLCEDPDQLKTVAKCVQSNAWSFVLGNVGNFLSILLADPCGRQMDFIA NPDTLDRTIRSHLANYEKTCPKNSKSLGQ AOR_1_88054 MSTTFDTIRGGIGRADLLLGRFPKEFEEHSSAGNMDTIGNAMAL LMSGYGYNEDEAANILKREISELEERALEEFHAWQNSNLTRSPSLVGYVFTVMTAAGG FNYWMSHSERYFRTDFTTTAEDRARLVRNPDSCLGCLQGYPAPLALNGHSTSTVELDA VSESHVSGSDSSLTSMTTASSHVSVDGLGFSGMEIGITDKFQKADAQDLCMDPYNYIS SLPGKGTVAKLADTLQTWFKVPAGSTEIIKTCSTILFHSSLMLDDIQDDSSKRRGMPA AHVMYGVGQTVNCVSYTGAKAFFLCEELKNANACRKALYGQYMPLTTNWITSFRAKRS NFTGNSTRHALQ AOR_1_1636054 MIDNKTAGFFRLVLRMMAAEASVSMSLEKKNTLLHFITLLGRYY QIRDDYQNLVSDEYAAKKGFCDDLSEGKFSLILIHTLNNSPTADRIRGLMFGGDRAGM SQEIRSYILSEMEAAGSLEYTKRIITELYETLWRMLDELEATLGPNTLLRALVQFLKI AOR_1_90054 MLAKFSLLSLLLTSTACAAGGQGLSYDNIDKSATPGAKALLKHI QSQYGSHYISGQQDAGSWDWVKQNIGVAPAILGSDFMYYSPSAVARGSKSHAVEDVIQ HADRNGINALVWHWYAPTCLLDNAEQPWYNGFYTKATCFNVADAVNDRRNGTNYRLLL RDIDAIAAQIKRLDQANVPILFRPLHEPEGGWFWWGAQGPAPFKKLWDMIYDRITRFH NLHNVVWVCNTAEADWYPGNDKCDIATVDHYANAGDHGVLADKYKKLQAVTNNERVLA LAEVGPVPDPTVQARDKVNWAYWMVWNDEFIKDGKQNSRQFLQNVYNNTRVVTLDGGS KLAWNNA AOR_1_92054 MSDLKIARIDVFQVDLPYSGGVYYLSAGREYRSFDATIVRITTD TGIEGWGESTPFGSNYIASHPRGVRAGIATMAPSLIGLDPRRVDRINDAMDDALLGHE DAKTAIDVACWDIFGKSVGLPVCELLGGRTNTRLPLISSIYVGEPEDMRARVAKYRAK GYKGQSVKISGEPVTDAKRITAALANQQPDEFFIVDANGKLSVETALRLLRLLPHGLD FALEAPCATWRECISLRRKTDIPIIYDELATNEMSIVKILADDAAEGIDLKISKAGGL TRGRRQRDICLAAGYSVSVQETCGSDIAFAAIVHLAQTIPERSLRCILECRDMVTVKT ADGAFDIQDGFATAPTTPGLGIMPRLDVLGEAVASYF AOR_1_94054 MTQPQPTSRQAFRRTDDHTPGTPKVKLVTEAIPPLSPSGVLIKV HAVSLNYRDANIANGGNPWPVVPHGIPCNDAAGEVVAVGERVKNLAIGDRAAPIVDTE NITGRESTRSWLAADEDGVLADYIVFDEHKLCKLPMYLDWVQASLIPCAGVTAWAALK DMEIGQTVLIQGTGGVAMFALKLARAAGLKVIMTSSSDAKLQKMKEQFPTPPLLTVNY SKNPEWHEEVLKLTEGAGVDIVVEVGGSSTLVKSMKCTRRGGIVSQVGYLSKQNTSEF AELLSVLIDRRVILRGINAGSKQDQDDLCAALSATQIQFDDIIDSVYPFEKADEAIEY IWQGKQVGKLVLRL AOR_1_96054 MTVHRDAESPAIQNGPNYDDHYADVEKKEYSEDVPANDPFGNEE EGEVKYRVMGWWQCGMLMIAENMSLGILSLPSAMATLGLVPGVIILVGMSGVSWYTGY VIGQFKLRFPQTHSMGDAGELILGRFGREMMGIGQLLLLIFLMASHILTFSVLFNTIT GHGTCTIVFAVIGMVVSFIGALPRTMNKVYYMSIVSCISIIAATFITMISIGVQAPDH VQVDATRDVSFQDAFLAVCNIIFAYITHVAFFGLISEMRDPREFPKSLTMLQVVDTSM YVVTAIVAYRYAGPDVASPALSSAGPVMKKVAYGIAMPTVVIAGVIYGHVACKYIYVR VFRGSDHMHQKSILAVGTWVGIALALWVIAWVIAESIPVFNDLLSLISALFGSWFSYG FPAIFWLIMNKGVWFSSPRKILLTIVNLIILGIACAICGLGLYVSGKSIHENSSSSSW TCANNAI AOR_1_98054 MKFLITTAALLFSLVSAQTGVPDVNSLAVQKHLRSRSALIAFEK RQRQDHFFRQNLSSVAQRADAIVAAIRQEEIDDYWRVPGTPEKNDTDERFAGEVFPKA RPLINGTKLWDVVKHMPKGALLHAHLPAMLSYDTILETILHTEGMVVSASQDVSTPEN RRNASVSFAHVNHTIATNVSSIHSKDYVPNTQIPVTVAANTFPGGQEGFIDFVKTKVT ISPELSIRHELGVDEIWRVFQTAFGPAGTMLTYEPIVRTFYKKLFSRLAEDHINWVEI RSGSGQLVQEGQEDLDPDLDIWWNVLLEELKKFQESPEGADFWGARVIWSDNRGKNRT ALTKSMGNALERKRRHPQLFSGYDVVAQEDLGRPLADMAPELIWFQQQAEESNLTIPF FFHAGETLGDGNSTDENLFDAVLFGTRRIGHGFSLYKHPRLIDEVIENGIMVEVCPIS NEVLRLATDILHHPLPAMIAHGIPTAISNDDPAILGQNTAGLSYDFYQTIQAFDNIGL AGLGALAHNSIRWSNFEDQNDVEWFRDIDFGENGDGIKAQRLQQWNEKWEAFCEWVVK EYGDRYATEAL AOR_1_1638054 MPIQPIYANPVSNIFHQPQGAIPPPSNLNGRLQILKEKTLSTAV SEPSSFLVVLVLNMALPKTITLQTDVLSVNVYVDDQGAAFLQEVIPLPGSSRPSVSKY FANPYAPLVEVRLAGEGTAKHKSSKSLIGTYVGTRLRYRSHEIRQHADTHTLNVTLKD PVSNVTIIAHLTIYQSTRVLRATSTIRNDSDNDIVVTQLSSLVLGGLTTGAEKWWSNY VLAVPNNSWFREAQWIDHDLPSLGIDDYGVYGRPEEHAASLGHYSVSNRGTFSTEGHL PMGLLKRTDNTETWLWQVENNGSWRWEVGDWKDSVYLAAGGPVETDHDWRQTLYPGQE FTTVPVALCHVLENYEHAFAEMTRYRRQMRRKHQDHEQLPIIFNDYMNCLMGDPTDEK ILALVDPVVRTGAEYFVIDAGWYADDSGWWDDVGLWEPSKKRFPMGFRELLLHLKTKG LTPGLWIEPEVIGVRSVVAEQLPYEAFFQRNGQRIVEKGRYQLDYRNAAVREHMHAVI HRLVTEYGVGYFKFDYNIEVIQGTDINCSSSGSGQLDHNRAYLQWVTELHDRYPGLVI ENCSSGAQRMDYAMLAVHALQSTSDQQDPDRYAAIAAALPTAVTPEQGAIWAYPQPEW DDETNAMTVVNSLLGRVHLSGRLDKLRPHQFELIKQGMDVYRTIRADLPTATAFWPLG LPRWHDEWVALGMAVHTPDNCDSGVRYLAVWRRGGLDSVELPIPGLRGLRVKAELLYP STFPCEISWELAQGILHIRIPSKLSARLLKLTSGNVR AOR_1_102054 MADKKIESPVSAHIDHLRNHGEENNAVWDSAIEEAQAANINEHN MTVRQALRSYPWAVVWSLTISMSIIMEGYDTNLIGSFYGYPAFQKQFGVEHGDGYQVP QAWQSALGAGGTAGCIIGAFLNGYLVKHFGFKKVFTGAMFVMCAFIFVSFFGHTLGLQ VAGQVLSGIPWGIFATIGPAYSSELLPMALRSYLTAYTNMCFAIGQFISAGVLQSLIS RDDQWSYRIPYAVQWIWPIPLFFIGVLMPESPWWQVRHGWYDEALATVQRLTAGEEKT KARQTVAMMIHTNEIEQEIEAGSSYWDCFRGNNLRRTEISCMSFTGQVLAGSQFAYTG TYFFEQAGMSPTDAYKLGLGGTAVAFVGTILSWFLMKNFGRRSMYLSGMGLMSSYLLI IGFLTSKSNNNVVWAQSALCIVWLFTFSLTVGPMGWSIAPEVSSTRLRSKTICLARNA YYLAITVANVIEPYMMNPAAWNWRGRTGFFWFVFAFLTFAWGYFRLPETKGRTFEELD IMFAAGAPTRKFRKYHVDPYAENVAIKDRARESPLEKSIDMMSPC AOR_1_104054 MTTKPSSAPWADEPFHLIATPSKTLDGSLGHVQGASEMAHAHNA IIRGLNAILQQAPYVPIVTDEHFNAQNVKDLLFYVQSWAKMVHHHHWVEETYIFPDVE EFTGRPGFMDDPKHQHELFHDGLERLLAYSSATKPEEYRWKGADGMEEIINSFSKDLT DHLYAEIDLLLGMGDIDGEGLKKIWEKAQKAAKQAGNIAMLYDIFPLVLGCADKTYEG RCDFPPLPWVLPYVVKYWFAAGNGAWRFNPCDWWGQPKPLEFGPR AOR_1_106054 MNLKAHIFRRPVIAVAGLACETSTFTPSRTLAPAFHPRRGNEVI DEYKFLQTGTPLGDAAEWHGALIGHALPGGMVTRDAFEELAGEIVSRLGDIVATTVVH GLWFDIHGAMCVEGIDDAEVELLRRIRGVIGPDVIVSASMDLHGNVSRELAHELDLLT CFRTAPHEDESETKSRACRNLLDLLTRSSDITGGLVRPFKAWIPVPILLPGEQTSTRI EPAKSLYEVVPEVEKEPGVIDAAIWVGYPWADEPRNRGAIVVTGWDEAAIAAGAECLA TKFWNSRKDFKFVAPTGSFEECIHTALASPVHPFFISDSGDNPTAGGSGDVTWGLTQL LARSEFKDPTGPKVIYASVPGPQAVQTMVNAGVGANVTVTAGAEVDHIHAGPITMTGR VHSIKHGDKDAVIEAVLQVGSVFAILTQLRKPYHHERDFTDLNLNPRATDIVIVKIGY LEPELFDMAADWMLGLTPGGVDQDIKRLGHKRIRRPMWPFDTTFQEPPDLTARIIAKS NEPRNGPDE AOR_1_108054 MEQIQPDSPSRNSTNNGSSKDAASPLLEIACFNEESAIIAAKSG ADRIELCRDYASGGLSPEPEALAILKSQISIPIYVMIRPHAESFYYSDTDFEAMKRTM HSLREKGADGFVFGILTQNTPAQAAPRIDVARNKELVELAQGRPCTFHRAFDLISESN WDTALAGIVECGFTSILTSGPSGGTAIECVDHLDRLVHERLEQLRGRVEGHARLPQII VGGGVRATNIGMLWERTRAPAFHSAALAQSSVELVSDAEVEALRAALNKAT AOR_1_1640054 MVYRGKPSPACEPCRTRRLKCDQRRPSCSQCIRAHRECSGYRDV ATLSFHDQSEEVIGKARRQQNTKASVKALSCSRRPSPSTANGYQQSSPTLFPSLTFSV NDRASGFIFSHYVRNAKNTRGHLDFLPTVIREDTSPAVKACISALGLASLANIHMSPE LMSAARHEYSAALSATSVALRDRECARSDSTLAAVELLSLYEIVTCEGPPLIGRWLNH IEGGVKLIEIRGFEQLHHQAGLELFTQIRIQIALGNLYKKERTPSWLLDLSKEALKHR GDTGDQVLDYFFRILVEVGDLVAIINESAFAHPARLLKRALTLDADLITWAMSIDPNW KYTVVKVKKTEEENDTLHPIYSDHYHVYPNSTVSMAWNHYRFIRIILQGIIGYLYDTH FQDSGGRGSHIESERHSTAVSQQLAEDICASVPYHLGMTGSSDGSTLGIPFAGGVVRL MWPLFIASDCRGASPKMRAWIAQCLEKIGHGVGVNMAVTMSHILRADMRLNWLEEEET SIVKRPYLVRNEYFTTGT AOR_1_112054 MNGLFPADLAVYLFLTPFVLYVYWSHRWVGWMPWTNLLVFCIVR IVGGATGVKDSTSIAANVISGIGMSPLLLAIDGLLHEARYYRHPEHSVLLSRIVIVAI TGLMGAGLGLSIGGSLQVYQGKGTSSDLLHWKVGSGLVVAVWETEVVWAIFSLLPSQC KKDAPGFKDGTKLIYGALGAIVFAGVRVIDNLVGVCTQRKDLSTVFGSTAVRVVLVFL PELLAALSMIVAGLSSRNIRKHNHVAEKESMSA AOR_1_114054 MASTILTNGRIFTPSTSSDGYEFQQTMIINGDRIEYVGSPNHDA IQQAKDSSAREVDLQNKIVVPSFIDSHMHIVHFALSRRKLSLLTCKSLEEIRQAIKSF AEAHPKEPRIMCKSWVQSTTGGEALASMLDDLDPRPIYIHANDMHSGWCNTAALEELG VATMADPPGGTIHRDENGKPSGLLSEMAHLGIVPQFLVRATPLEAKLDALDDAMAAYT AAGYSGMIDMGMDDIEWDVLKAWRQRHGEKFPFHIAAHWVIPPNDDLDVVLGEVDRAI ALHREYDPATSPTFCIVGIKLMCDGVVDGCTAALTDPYQGCENPVDPIWPEDFLQAVV KKADAAGLQIAIHAIGDKAVKNAIDALSLAQPGRRHRIEHLELTSPEDAKRLGQLGIT ASVQPVHSDPALFRAWPELIGEHRCARAFAYREFLEGGAPVAFGTDSPTASHPALPNL YNATTRRSAIEPECTETVNSHFGLPLAAAVTAATTGAAYSRWADSWTGSLKAGLSADF VVLDMDWKAENLLKGKVQQTWARGRKTFDSSSDRAQL AOR_1_116054 MTESSETRRDNLERPRTPPGTVYLGEGRIDLDGVEQDADGHVIL QPQPSNDPNEPLNWPTYQKAINFSIACLFTLMVFATLDVGTVTWPSLGEELGYGDGYL TDSYAAGLAGMALGCIFFIPAADLIGRKPVYLFASLIMVLANVGQATFQTRTQYIVLH TIAGLAGSVNDTIIQMTIVDLFFVHQRATMNGIYTTMVVVGTYLSLIPAGYIISSQGW RWVWWWCAILNAVVLLLIIFAYEETRYGRPAGNCYIGQDPPAALGSEEKQPTTSKFDK EKAIPLPRDTIPPRPVEEPIPPRKRYLERMTAFEPTDGISFKTYWRHMWTPFLLFFRI PAVAFVGIEYSLMMCWVAILATTQPILFAAPPYNFSSVGVGNINIAPFIGAVVGAIYG GPLNDYYVVYMARRRAGIYDPELRLHMLLAPLIVCPLGLFLYGISIANEQPWIVPCIG SSFVGFGIGSVTSIILPYYGDSYRELVAEGLVVITFIRNVVSTGISFAINPWMTGLGV QNMFISTGCLCFGTMVFIIPMMIWGRKARSSTADYYLSAVAAG AOR_1_118054 MTPQTKSYRPPRRRPAHLRTKTGCLTCRKRKKKCDETPGTCSNC ARRWLSCEWPANLRVNQKHTGPEQLPHSKTHSERSPQLLINEWHATQYAFVADYAASA SPSWDTEGGSEVLPSPMESNTPSSQDDFMMALSSNPSSSLNYISPSICLSPAITPASA PLFNFLRAVFLPQLIRPMAHDRVIKSAADESLILALHTPFYMHALLACCGAEIPVDDM CSQVHFQKLARMHYVKAIAGLRESLDSGILDAENTAIIRTSLMLCIYERSKPRLSRGV DAHILGLAQLIQLRFRHNQTTTEFQSESETNTARVILEAFIFHATTCIPFQQTTKQPE PVEAALSLAETRLQEMYRWKVPVHPESPVLGAPPKLFVYAREIALMHERSSVEGIDIA RCYELQQLLSHFNECGFEESAHSSEYDRLARTTYTPLLLGPRLYIVVSKILLEHMINN NSTTTDTSLQELVCEGIRLVSKLDPSTDYYAEYYCWPMVVLGTFTTDSAHRKCLLSQA MAFWKATRNGTMRRLVDMLTTLWQI AOR_1_1642054 MILDPVPSLRLCENILHLLDLSCRLLTPGRDLDLLGEVINLNEV YDTLQLLSGGLTSRLTIYWSTNSLFIDGLLSYGDLELQNLAPCCQGLCAQLLVAARQL GLHDGSSRLFRSFHEGLQAVWNKRQIEALEEGLLACRWEAMRVLTFILRDGQSCVSHE IRSLKNQNRQIDMSPTRWLTCVIYTISDIKHKANEFYFGDEIPGVICGHNREQIHSMA RRMAHAVFLKTLHFRKIRDRHARIARAHEKTFTWVFGTKANETAHTSHSPTLLNWLRG QNGSIYWVAGKPASGKSTFMKYLLARPQTQENLKLWAGNAKLVIASHFFWGAGTDMQK SKQGLLRSLLYSMFSHSPDLFSMACQRRWKATMLDEQDDSIWSVEDLLEAFKTLTSQT EFPTKFCLFIDGLDECTEDHSELIKLLNSLVQSNVKICLSSRRWKVFEDAYGEPEDRR LYLEKNNREDIHSYVQSELEQHPAWGPLVEINPRTSGIVAEITDRSQGVFLWAVLVVR YFHEWLTHGDTMFFLEQKLRNFPVDLELLFKSMLESLHPMYTSYVRRIFKLALTAPEP LPVMAYASLERGVKDESNVHPQGNKPLSYRDQLLRIGQLDRQLQHMGKGLLEVYRQHN EQDALRYRVDFLHRTVRDFFSRNEILQGTDGVSQSMV AOR_1_124054 MRFSFLAALTLISAAIAAPQQAGNDAAQNTLAIGAPCKKDGSMG ICDGGFCLQDEKADQGVCQQAQN AOR_1_126054 MVTETGFNHAKEGWLSAAKTARGAKEHCQRTYEEDKELGLIGDE PFEKWAEMNAPGFMKAYRQFKLHERKYRKIAQEYDREQAKAWEQEYQRRLNDLHSRPG EENGSDFIIIILEEEE AOR_1_128054 MAFARRLAILPGGLGGLGSSIGKKLRQQGARLAILYAPFEAARR DQLLESTYGRVPDSDEIRAYECDITSPESVQSAFKALDKEMVQPSSFSVADRTFPSIL INTAGYVNLSDMESTPPEDTMKHLTTNVFGPMLCSQAFARLYFTASKGAKSSGSPPPT GRIVNIASQAAHVALPRHGAYCASKAALLGLTRSMASEWGGRGITTNSVSPTVAWTEL GKKAWGQEDVREAFLKTIPTGKFALPDEVADAVLFLCKDSSGMINGADIRRYLVGVFL NQDMLSRAV AOR_1_130054 MAPHTTVADFSPDECCGEQFFTFTSAKGELAPHLPRLRLVGQND LPLVETFVPCCGESLDIILDTARAACAIDYPTARFCVTVLDDGNSAALHKAIADLCKI WPNLSYHSRGVKPNVKVFAKAGNLNYGLFEIKRPFPPEFIAIMDADCMPTPDFLRATL PHMLTRSKLAIVGSAQYYYNLPDGDPLDQALDYYSAIKIPQLNRLGKSFASGSGCVIR YSTLLKTGGFPTFGSVEDVTLSSILTQSGDQILVLTEMVQLGLAPGSFEGHVLQTSRH KAGVSDQILTWSPSTSNTIPPRYRPLVPTTLLRLQMVLAVLYISSFWLYEWLRAAHTG FRVSTFHHLREWFLATRHMYALLHFYLFSFQSSNKWPASLATNVTPLLSYSDLKPHSP QVQHLAITISEP AOR_1_132054 MDSLSKHAQDNEARLGPESNNLTLSSGSTAHDILEALDLDPALN RKMHLVNDINQRKSQALDQIGWTPYHRRLFFLCGMGYGVDALQVSLQGIIATQAAYEF QPSYPKGLTIALYVGMLVGALFWGLSADIVGRRLAFNISLFICSVFTIVVGASPNWAS LAFFIAFSAFGAGGNLILDTTVLLEYLPSNKQWLVTGLAAWWGVGCTIAGLVAWGFMP NYSCSNPSEAPFMPCTKANNSGWRYLMYTMGAMIFVMSIARVAVVKFKETPKFLLGQG KDTEVVERFNQLAEKYHRSCPITLQQLQDCGPIATAHSQSSQFSVGEFSIHLRSLFST RKLVLLMALLWLSWLMLGLAYPLFNVFLPSYLASRGVKFGVLSTYETWRNYALAQVCS IFGPIASAYLANRRFLGRRYTMTIGGLLTMAFLFAYSQVTSQQQNVAYTCVISFTLQI YAACLYGYTVEVLPSAHRATGNGVSVALHRFMGVVSAIIATSADTETTAPVFICAALY GGLAMCAVLLPFEPCAKRAS AOR_1_134054 MKFSAVLSTLMVAGLVAAAPPADRPTDLQKVPVPLPTADAPVPP KPENDNDKRGDVPPPKKPTDLPAPPKDPKENDNGKRGDVPPPPPPTDKPLPPKEKEND NDKRGDIPPPPPTDKPLPPKEDDKSKRDEVPPPPKPTDLPAPPKDHDNDKRGDVPPPP PTDKPLPPKEKENDNGKRGDRGDVPPPPPTDKPLPPKPVQQ AOR_1_136054 MAESPLRIIIVGGSVTGLTLAHCLDRAGIDYIVLEKHKEIHPQI GASVIIFPNGGRILEQLGLYQRIEGLTQKIRRIHTCFPDGFHYESNVPELVKELFGMD FAILERRQLLEVLYMGLRDKSRVHTGKQVTSVLPTESGVSVTTADGARYDGDLVVGAD GVHSFVRSEMWRIADLEHPGLISKKEKTDMTVEFACVFGISNPVEGVKSWEHVIRYNP GVTLFVFPGTDNGIFWLLFRKLDKRYTYPDTPRFTKEDAISTCESLADLAVWEHIRFS DIWGQRRTFHMTALEEGLLGTWHYGRIVCIGDSVSKMTPNQGQGANTAIEAAAGLTNV LFSLNQNTEGKRPSEDEIQRGLGHFNTTQFQRLLAIHQSSEFLTRLQACDGLAKSVFA RYVAPYCGGTIEGISGLATTGTVLEFVPLAERSGKHWSPISSWAPWISKMAYLGTRTF VGLAIASLPAGFAWTLYKIAIPRVLRR AOR_1_138054 MASTLRNIIVVGGSYVGKTTAQELAQVVPNTHRVLLIEPHSHFH HLFTFPRFAIVPGQEHKAFIPYTGIFPSTSSLTQHAVVQARALSVLPQHVKLDREWQG SRQIPFDYLVVATGTRLVQPAGMRHDDKLSSVAYLQNHQNDVKKAKSILIAGGGAVGV QMATDLKEFYPEKEITVVQSRPQLMSGFHEGLHELVKERFDELGIKFVTGARVKIPAE GYPTEGGAFNVELTNGTQLSTEFVICATGQTPNNGLISELTPSTSESLINPDNGFIRI RPTMQFLDPKYSNLFAVGDIADTGLRKAARPGSAQAAVVAKNIQAMIEGKSPEDVFPR MPAAIHLTLGMKYNVIFRNPNVAEGQTEPTIMKKFDGQEDMNVEAFWQRLGVPIESSN QYHL AOR_1_140054 MLLLRSVRAHQLLQLVSFLLFTLVYGEDSCPLQTPLYPPPRNLL SRQDVKSASKSLSQTIEEALTTGITDLGSLPLNTTTFAVKVFSSHTEDSIYEYIYTSP TYSPKPGAGAEKTALDSVYRVASISKLITVLTLLAHDGYTHWNHPITDFIPELDILAR NQSSRLQWREVTLGDLAAQLSGVPHDYAFEDLAGEYSNEQMMAMGLPAVPAKDIPICS QGEAVNGANPCDREAFLSGIVSEDPTFAPGTTAAYSNAAYQLLRYAMENITGTDFPSM FQNSIVKPLNLNSTSLLAPGNASVGIIPVNETVSVWDFDLGDGDAFGGAYSSAHDLAV IGRSILQSLSRSSALNLSSTLARHWLKPLSHTTSFKTSVGAPWEIFRYELPNRPNHVI DVYSKSGNLGAYADIIALIPEYDIGFSITAADLPTNPLTNVWGLADLIIDRLSPAFDK AAQQEANATYAGTYKVQGANSTTDSYVAITTDHYKPGLQVAEWVSNGVDFLQSLAALS GFEGLAVRLYPTGIEETVPGESSKRVFFRSTFDYDTSGQSKGVISACQSWLNVDGSRI GNIGVDEWVFTLENGQASSAEPRILRKRLRRL AOR_1_142054 MAEGRSKRRFVNRSKTGCRTCRARRIKCDETPESCHNCTSTGRK CEYDIQRLPRRLPGKRSTVGSIVRSTNIADGFRWKITSDERLCFSFFQHRSLPTITGY FDSPLWQRLVLQMSQVDQAVYHAVVAFSAIHADYEARGNPLAIQNLDNSWQRFAIDQC GRAYALLIARSASQDPNLQQVTLVCCMLFVLSELIRGYYDLAFTHLRNGLQILKNAGC HRLSDACPTHTSTIEQSLVDTFSHLDMQSAYFGVGGPVLPMQLGLSRCESDEDDVKAF QHVCEARRALDRLMGAVFQFHTSISPLSPIEISVKYGYLSTRQFDLSSQLRHFSRAFD PLSKSVSAHCGSKEKRGMDIIYLHQFSLSIILETCLLDRTKEVLNYYTPAFEKIVTLA EAITASFTERPSILLHMGVIAPLFFVSTKCSEREVRWRAIRALQSWPHREGTWDSSLV ARIAIETIKAEEQTVKDKGQASRVSHAFTTLSSEDQSYGEMVYTLDDGGEVQSRTFSL E AOR_1_144054 MVRLSTFIATILSSTALASELLIDTTSGPVEGFYNSSSVRAFLG IPYAEPATGPRRFKPPVPKSRSNQTVQADSFPATCPGQYTFSNESIWSVLPYMPWNTE SMSEDCLAINIWAPKSKKGNGKSAVMMFIYGGGFTQGGTAIPFYDGTNLVEDHQDIVV VTFNYRVSIFGYPNAPGLEPGQQNVGLLDQRLAVEWVHRNIAQFGGDPSRIMLFGQSA GAASTDLHTYAYPKNPIVHAVIMQSGAASIIINEDKTHQNWQNLSQALGCSTLQCMQD KPWEDILEEVSSGSYSFSPVPDNVTAFADFEARAKEGGLARVPTLIGGANREASAYMN LSSTSINETLVYTATQSTFNCPIVETVGNRLDQDIPTWRYLYHGNWSGLSPTPWLGAY HSSDVPIVFGTYNKTTINPSSSPAEVAASKYIQGAWVAFAKDPWNGLSKYGWPQFTSQ NRSLVHLALDNRVTATIGSAEQWDSHCRGGKYSP AOR_1_146054 MCVHTIKTRKSLGVVQPERAVTVVREDQGVMETWMLPVMPVCSE ELSAPLLSCLSLIQRSESIEKSSVKILPYLQAYFEEFHPCWPFLHRATFDPDHEPAFL LQSVTMIGLWVSDGGQRSAMDLHAHLTRSIYQQRDRWDASSQRSEHQQHLHANQPASP DPWPIATYQGILLHLIFALLQGDQNRSDLRLTHTLPRTPSQLLIPLIRSCLQQNMFYY PSIFAQFNSASVPDVFIWVGIEEVKRFALALYKVYRRYRVDGTRLLSLADLQFAMPDS DELWHASSDLASRIPASYGDKNKEENWISQTARLLQPGGAEFDWI AOR_1_148054 MGCKVVSMKDPTDEMANVAAPNIPLALASGSKSLEHLITDGFDA NAQVTDDGRVDIAVNDDDPQVASLLDALQRQTTHRPPPVRNDGAQFPVRLNVLIHVVG SRGDVQPFIALGRAMKQHGHRVRLATHLVFRDFVKENGLEFFNIGGDPAELMSFMVKN DKLIPKMETLRQGAIGKRRKDIRLMLGGCWRSCIEAGEGIDLTSDDPITAPPFVADAI VANPPSFAHVHCAEKMGVPLHLMFTMPWSPTQAFSHPLANVRVRDTKPSVANFASYAL MEMVIWQGVGDLINAFRRFELGLEQLDVMRAPSLIPRLRVPFTYMWSPSLLPKPDDWQ DHIDVTGFNFLSANADYVPPSDLVEFLDSGPPPLYIGFGSIVVDDPDALTKIILDAVE MTGQRALVSKGWGGLGAEKINRPDVFFLGNCPHDWLFKRVSCVIHHGGAGTTAAGLAL GRPTTIVPFFGDQPFWGALIAFNGAGPSPIPYKKLTADRLADAIHFCLKTTTIDKAQE LSEKMRSEDGARDSLKSFHSQLDLRRIQCTLCPDRPAVWRVRRTKILLSTFAATVLVQ EKKLNPKDVKMYRAKRYEMNHGCAGTDTFTGAISNFLTGLVDMPVNAVQNISRPAADR FAENYNLPSCEARKAMITPAASVPTPSATSRKDRVQTNDDAKSTSSLLSTSTSSSQEG TSSMVVKKNPLQRIAMNSSYLGRRVLNWVVEVPMGVTLLFSQFTHNVPRCYNDRTVRE LPEVTGVRSGFVAAGKEFGYSFYDGITGVVTQPSHGWKDDGFGGLSKGVGKGLGGLIL KPQAGIWGLIGYPLNGVHRAIEHSYGTNREGYIVRSRIRQGVAESKAASQEEKLAVLE KWSTYEKGVRLKHQKNPR AOR_1_150054 MESKSWESLSKASHASSGQTLLADDPKAETWVEPTGVEHSDLRL VSDDISLDIFLVAVAELAERFTYRSITAPIQNYIQNARDDPLHPGALGMGQTLATSIN YIFIAWCYLSPVLMAIIADSLLGRFKTIVLGTGLSACGVLILFVTSLPVSLEHGAGLP GLVAALTLVGLGTGAIKSNVAPLIAEQYQYIEARVKTLPDGEQVLVDPNVTIQAVYAR YYWVINLGALSVVPVSWLELKVDFWAAFMLPLCFWTLAIVALLVGRARYIVRPPNGSI VIKAMRVLWIGMKSGGNLEAARPAFMEERGVPVPWDNVFVDELKRALVACRVFLIFPL YWICNGQASSNLVSQGASMNTHGIPNDMMGCLNPITILIAVPLLERFIYPTLHRFHIK FKPISRITAGFLLASCAMALAAGLQELIYETSPCQRNPTADSCKGVPPARKVSLLLQI PVYCLMGISELFAMLSGMEYAYTKAPKSMRSIIMSLFLLAGAFGSSIGISLSPTSVDP KVLIEYISLSATMLVAALVLLLCFQKYNRMEESMNKLVDADCPRRVSWDTDDPVGLER Q AOR_1_152054 MDGVDITKAVLNKGKQMASVAASAANGNGGKKRRKGTDLKPIVT NETAADSTTEGAPSLAVPQSRSPSTSSVDEIETTAEEEDSEDYCKGGYHPVTVGETYN NGRYVVVRKLGWGHFSTVWLSRDTTTGKHVALKVVRSAAHYTETAIDEIKLLNRIVQA KPSHPGRKHVVSLLDSFEHKGPNGVHVCMVFEVLGENLLGLIKRWNHRGIPMPLVKQI TKQVLLGLDYLHRECGIIHTDLKPENVLIEIGDVEQIVKTYVKEEQKKDHKEDNRNGR RRRRTLITGSQPLPSPLNTTFDFKHSSHHSQSSLSQMINEESETAPSEKASMKEILGI KEEDEKQKQREKTADLLEREVSGISLNKSSKEAKDELECDIISVKIADLGNACWVGHH FTNDIQTRQYRSPEVILGSKWGASTDVWSMACMVFELITGDYLFDPQSGTKYGKDDDH IAQIIELLGPFPKSLCLSGKWSQEIFNRKGELRNIHRLRHWALPDVLREKYHFSAEES MRISEFLLPMLEIPPERRANAGGMASHAWMKDTAGMDAVDLGISPGSRGEGIEGWASE VKRR AOR_1_154054 MAILLCCLAQRPRQVGICLKHLPSSPEEYFHSGNVPWQRVINSK GMISHREPGSAERQAEALREEGVEVETDAMGEFYVDLTRFGWFPLVLPSEEGESELED VVDG AOR_1_156054 MTLMPDRFFTCDFVGCVLPSVRGFGACERCNRHLCTAHRRPPSH TCGDMLLPDDEFETNIHAEVKGIRAKINEKVVCERASKLNGGRKCEIEHPPAWGRRSL MGCANYHAHIRFPADDSVWLLRVLRISPQSLADYLIHSEYANLKFLETINVPAPRSFD YGLASDENNNVGGPHGKRFTDDKDKERVSKGLADILIEIQRHPFSKAGSLLLGPSPSE PIVSAVASERFLVLSPSGPFDTASDYYTSFVEQNMALIADGQLFTSYPVNAYPVFSFL KSQIQALAAIPVNGAPATTEQFYIKHVDDKGDHLMMDDELNIVGIIDWQMARVVPARE AFGPSLVTAEMGDITTESRP AOR_1_1644054 MSTGSSSSPSNWVTGSYTGNSDGLRITITIFVVIAWYNSIELMV LIFSIFKQYKGIYFWSLLISTAGILPYSIGFFMKFFDLTSAIWVSLTLVTVGWWTMVT GQSFVLYSRLHLVVQNTRLLNFVCCMIIANVFLLHVPTTVLTYAANYEQSYKFLHAYN IMERVQVAGFCAQELIISGIYIWETTRMLKLNPNRDNRNIILQLFMMNLVCILMDIAL IAVECANYYIYQTTLKATVYSIKLKIEYGVLNKLIYIAKQSAGRPAEDPYIELSRLSP IANSDATRTTTQSKPRRSSIEDISFRLRPIIRITPDEVHINDVGYLDTIYAPSMTRLD KYDYQLRTLRVPGGVGTTADYYLHRIRREALPPFFSKRNVLWLESVITEKVNQLCGLI AKHAATETPVNLSDAFYGFSNYVVNNFLFAHQTDVLADEQEAARLRHNSHELLKGINM NKHFPWIPDILEALPQLLTRPTMPPGLIDMLELFDRVRAELITIITRISSNTSGEKES INTGAKGSVYESVLDSPNLPASEKALLRLEQEGALLTLAGTESPAQTLNIIFYHLLAN PSLLTKLREELATLPTLSTWTQLEQLPYLSAIIEEGNRLSFGVTARTARIQHTPITYT PSAITTLSAHTAESVFPDPYAFLPERWLGDEGRERRKFQLTFSRGGRKCLGIELARAE LYLVTAALVRKFDLVLWETDERDVSFEHDYHVAMPRDGSRGVRVVARIRGGLALSRDR LTTK AOR_1_160054 MRIPIAVQLGLLVLLTALVGIVILAVATWTTTYSFVVDVESQGL ELVATIKASQIASSLELLEVTCKTISTRLLVQSALRRYYAGNISEANWATSITDVQSA LGSRGYLSTYQAILYSKNGQGGRERLLNVTSDSVPEITLPYTYPNGSSVKLGDEGLGY PSVLYPNLTYTQSSEKGNATTVNAFSDYTLGLASALLLGPIAINSSFSLLSLTLPIVN NTSNTDILGFMTVVASAANVQSVVSSRDGLANTGQVLLLGPSRPDNRFSTESATATSS PDRPALTDAQVHYIFEPTPLPSQDSRHRGVSTGTSFELSKYPMALKLMTESEENENRS VSKLSTKNEQGYNVAVGAVRPKTSLVEWILLVEETHSEAFSPVVRLRKIILACVFGTA GFIILVVPLLAHWAVAPIRRMREAARKSIEPEVPPTPSAGYVEHITDGHGDTVQAIEE HMDEKGAPVSWVKRLRRPLERFNSSHSFGDRRDPRSSFHIPSRVKERRSCVTDELTEL TSTFNEMSDELAIQYNRLEERVVERTKELQKAKLAAEAANESKTLFIANISHELKTPL NGILGMCAVCMGEEDLHRIKKSLQVVYKSGDLLLHLLNDLLTFSRNQIEQAIHLEEKE FRLSDIRSQLSIIFQNQVHEKHIDFSVNYVGIGNSQPRGTMCQERGVERTHPAVGPPQ TGRLKDMVLWGDQHRILQVLINLVGNSLKFIPENGKVEVRIRCVEEVPDAESSVTLVQ GSQPSSQARSRTPSRLTATPVDGTNLLDSQLEKQTPVPSDLRTLIFQFEVEDNGPGIP ANMQRRVFDPFVQGDLGLNRKYGGTGLGLSICAQLSRLMGGVILLDSEEGNGALFTLK IPLKFVKEAAASTRSSSIAGSRTPSVVSLSLEEFSNIAQTPSNHSSVGNKETLTNGFE KPDVQPRLVGLSQPFFAPTVPSAPSSPSKGQESNQSSSDNGSKKIRVLMAEDNKINQE VALRMLALEEVYDVTVVKDGQEAYDTVKANMEEGKVFDLIFMDIQMPILDGLQSTRLI REMGYSAPIVALSAFSEDSNIKDCMDSGMDMFISKPIRRPILKQVLNKFATIPEESDG SSS AOR_1_162054 MEYPVGLEEEFLIEEWRYWGERGARRWLRLADQKGEEGLERGDR QELREVLQEVAGERWREVAQEISNQGYWEHLQQREGIRERLGERLVRWAEESWASQTD DEVVNSFESQPQLQSRLIDHFRQLPLFGKPESSVPPIDRFELIRIWLRSCDQGHRDCR AESSNHHPSRVISVRQDDANRLQLLELECGIEYVALSYCWGNKPQEQKPYLTTDENFQ SRKDKGFDFNDLPKLFQDAITVTRELGKRYLWIDALCIIQGNKEDWQNEGTKMEHIFA SAYCTLAPSSAFEWKEGFLKTYRDRKPGVSLCGPSPVKYFRRLVDDGPLNKRAWSSDK ILYD AOR_1_164054 MPSSSLADYLAKNYLTADPATERPKKKRKKTKEVDTAVQGLIIA DDDPPDLKSSAANFGNDDEDGPAVVTSGRSAEFRRTKKSNWKNIGGAGNEQDAADAII ASAAAENAARRTEGEDDDAPAVIEGEDEDDGEMRMESGARAGLQTAAQTAAMVAAQER RKKVEAALYKDSPAGGQAQETIYRDASGRIINVTMKRAEARKAEQEKLEKEEQAKEAL MGDVQRQEREKRRQQLQEARAMPVARTVDDEDMNDELRARERWNDPAAQFLTKKGPGK SATGKPLYNGAFQPNRYGIRPGHRWDGVDRSNGFEKEWFAARNRKGRLEALDYQWQMD E AOR_1_166054 MDLLCRIAGSIIERNQKFSKITPSMVRMTQTIDAELMSTDPLSV VEDPTILPPGKSLERAQAYAKLMTRLWHYQLIAWLHLPLLLESGTERRYDYSRQSCLE ASRHMITCYTSIRHLTANSFCCKSLDFQAFTAAVTLLINILGPTGRSHLDSNDWTAIE TVMTSLETLAEGQPPDKVATRGLSVLRTLKSVATRNNTPQSDISDGLPPMDCQSRRIK LDIPYFGTISIDYSTRSGLPGQQRLNNVPPKPTGTDLAANSALSSNVGNAAEASAVHE QATDVGPLLEARLELGPADIWSFDPDLTALPSFLPGLEDSWDLGL AOR_1_168054 MSTKTRSSQTGETAVSSRISTPATLEKPNKDALPSHDPQVMEVR NKEDSGSGVDDAPTEEPSTGGFTLLMTVTALAMSMFLVSLDMTIVATAIPKITDEFGG IEDEGWYGSAFFITLGSFQAAWGKAYKYFPLKTSFLLSIFLFEVGSLICGVAPNSLAL CIGRAITGVGGAGISSGAFTIIALSAPPKQRPAYIGILGASYGVAAAIGPLVGGAFTT NITWRWCFYINLPIGGLAAGIILFFYRPPPPLPFLDTPLKEKLLQMDLVGTLILVSAL VCYVLALQWGGISLSWGDSKVIGTLVGFVVLLAVYAIVQWVQKERAAMIGRLFRRNVV VMMIYIDLLAGTFFLLVYYLPIYFQVVSGVSAAQSGIRNLPLILAQSVSTVISGVTLS KFGYPQPFLLVGGVLTAIGSGLLYTLEVNTGSGKWIGYQLLAGIGIGWCFQIPVVTAQ ASVAPEDLPSVTAMVLTVQTLGGSVFVSAGQSAMVNVLLKNLRSETSTVDAVKVAMTG ATELRQKFSSAQMPFILTAYMNGLQAAFLVAVVASSVATVVCLATRWIKFSGQTTAVA AA AOR_1_170054 MSSMPDQFAASLECIDISDIDLPLNITLTESADILAASSLSTPL RECSSDTSGSHDALSLNPYEVGASANTGDFRIDEFPFHPAIGADIVSEGTRFVNPETF NLTPSSEETRSSSCEAANPLSNPLSWQETFDMMIRKSRTTEESCQCTDRALELLDQVF MRDCDTIDQVHSPYSITANAIGAIKSLSSLRKETHGLEHFATCGHCRQTPGLMTLLVL LSDRLSTKLQHILEIANIRKDARTGQAPSFSSQYPPTLAEDDRPPQCYGRSYTAQEQN EDIRTKVTLFCEEFTLDMTKEKEALVLTWSLLAIKQLRRIVAILWARAQEQNRRDFSE NLARIGHKIHALDSALKSTLTADSAHVNGL AOR_1_174054 MRKSLAAAFSTKALLEQEDIIQGCVNDFIEGIRSQTTVNFTKWF EMLAFDILGEMAFGESFHCIENRKSHFWCDMIEEHLYFITILDNLRRYPLIAAIGKTI LPHLTVSVRNKHTNYSRRKVAHRLQSSSPRADFMSRLIAKVEDEEMEMEELTAHASTL VYLTWKGGESIAGGETVATFLAAVTYHLLSTPNAYQKLRDEIRARYNHLSEITSTTAL QLPYLQAVISEGLRIYPPGSQGFPRNTPPQGIVVKGTYVPGNVIHVFLILIQYQYDGR TDL AOR_1_1646054 MKIVLKFDGAMNTASGSYEENNDELFYVPTPIGERLIIPGRYLE ELKSADMAVVDFQATFLEMFEGAYTTLGTHSRLLPQVVRAQLNQYLPDVLPEIQCEIR DSVTELFPPCQDWTVINVTELMAVLVARVSSRMFGGPALSQNREWIEASLRFAHDGFN AAQKLKMWPDTLKFIGQHFIPEVRSIKNTYKIAERAIIPLLDEREVDKSKKAHDLLTW MYDQAQGAEKDKKFIAGTLLKVSFAAYHTSAAAPTQLLFDIAAMPEHIAPLLGEYLSA PRDNNQNISVKGFAQMVKLDSIMKESQRFNPLLLLTFERIIKRDFTLSDGVVIPANTW IGCAAQAIGMDRKLYPDPDTFDAFRFVAKEEATATSTSVPATKAHYTSANPGSMAFGY GQHACPGRFFAMMEIKAIIGEILSRFEMRLADGEMRPPSVTFETQHLPHPAGKVLFKR RRCT AOR_1_1648054 MPDSEKHPGRMWQEGQIVIGAGTETTAWTLTATTFFILDNPNIL SKLRKELAATMPNRYEKPSCRELEALPYLISFLKHEMEYNAVIQEGLRLSFGVATRLQ RINSEAPMIFRQKKTNDTIEEKVWEIPTGTPVGMTAALVHLNPELFPDPHEFRPERWL DQDGQLHRGLDKYILSFSRGSRQCIGINLAYSELYMGIGILIRRLGDRMQLFETDRTD VDMVEDCFVPVPRRESNGVRVRLSAQ AOR_1_178054 MTLISLSLLALSLWIIIRVLVIIYRLAWHPLARFPGPKFAAATS AYEFYFDAIKGGQYTFEIGHMHKKYGPIVRISPHELHINDPGFIEELYPGPGKPRDKY AYATGQFGIPDSVFGAVSHDLHRMRRGALSPFFSKAAVTKLEPVIYSAVDKLISRIEE VVESTGFVDLTMAFSCMTTDIVTQYAFAESSRFLENPDFTPNFHEAILAGTRMGSWAR HFPILFPVLRSIPMYAQRAQF AOR_1_180054 MASMQLSDADIPSCAGKTVVITGGSSGIGWATGKIFASHGARVF LLDVRAPQEGLPLNSQYIECDITKWADILAAFEIAGDVIDILVANAGVSEEVNYFEDT FDSEGKLVEPGYNVIEVNLRGTINVIKVGLSIMRLRKTAGSIVITTPWQLIGLMRALR ATLPLDNITINTVAPAATLTGLIPPELAKPIIAMGLPTSSADFVGLAVAYSAVALETR QVELYGKDPDTATVECKGRWNGRTILTLGDRYTELEQAISDLRPQWFGVDNATLTRMQ QKATDFR AOR_1_182054 MLQRLWALSTSAIKLPFQPFSFGAPRDLLIEKDRRSMPCLAKEA PPPSAFSATIHPLSDSVSTEVDNYFLQNWPFRTDNERARFHAAGFSRVTCLYFPMAMD DRIGFACRMLTILFLIDDLLEEMSLDEGSTYNEKLISISRGDVAPDRTIPAQWIMYDL WEDMRACDHVLADELLEPVFTFMRAQTDKTRLTIHQFGEYLDYREKDVGQALLSGLQR YTMKLYLTEEDLRMAAPAERNCAKHIAILNDIYSWRKELLASKTLHHEGAAICSSVQV LSEVTALSHAATQRVLWTMCREWESVHKQLVTEVAGTGSRDLLDYIHGLEFQMSGNER WSESTPRYHF AOR_1_184054 MGAKENKGPGMTAASIVLTVIAVVIFLVRSYSQRLVKRGFSLDE LFLSAGLILTIGLCIETCLCFHWGAGRHMEDILLTDPNPLPNLRKYWIAGYASVVTWA VAMFAIKLSLLSLYKRIFLVQNTVRRWFRVFVYAVMGYVICSSIAIIFSYIFICTPIS HWWTQANAAVGKPVPKGECPNLVPRGLACTALNIVSDILTFSLGVSGLWTLQMDRQRK FMVGGILAMGSACCIVSIVRLPILLDATFSNDPTWSNANSLLIGVLEGATGVISASLP GLAPLVRRWQRSVQARKGTSSDSEREYQNRTNTYSHKMPASIGIQGLPGRYVPMDDLN SDQSAQLDTTPLSPTQQDRNSR AOR_1_186054 MAGLWLGLGFTAGKSIAITLWSAITAPFRGQTGGATAYKHVAIT FARSFFGTASIEQIQYILPPKSAHNAYKALMHSQQTTPNIVRLHDGTEAFWMGNPDAE KLIIYFPGGAYCIPALPGHFDLVNALATDLKKNNQDIGVLFLAYDLVPHAQWPRQLAQ GVTLVQYVIEVLGKRPSNIILQGDSSGAHLALAVLSHLTEGHPHNSIPRLSLSENLRG ALLLSPWIDFGTDHESFRTNADKDAISAESLGRWAEALFGDTKMDKYTNPTDAPTGWW KLLPVEKIFIGVGGDEVLLDSIVSLAHKMKTEHPDVLVSRVPREFHVEPITDFGLGLS PGVQYQAMAAWLNQTFSQ AOR_1_188054 MKGLSLGLALLAPLANAVAAPTVDLGYTIHQAAVESTKDGMRYL NFTNIQYGTSRRFQPPIPPPVNSTIQTAGAYNIRCPQGQPAWLSLFGQPVGNLTGVPP VTIADLPPVDPSTSEDCLYLDVFVPEDVFQAKGDYKSSVVIWIHGGGYVGGWKSLYGP GLGLMETAKKEGRDVIFVSINYRLGLFGFLADPDSSDITRNLGLQDQLFALKWVHKYI HLFGGDPNTITVMGESAGGGSIMYHLTSGNASYRPLFQRAIVQSPFTINIPARMQRST LQEVYQRANVTSFEELKGLSTQALQTANALVVGNAKPYGTFVFGPVSDKYYPDYPPVL LNEGHYLDDVSVMAGHNTNEGVLFASPFVKNDEDYANLVASLFPGISSTALSIITDKL YPSTLSGKYGYVDQTGRVASTIGESLISCNEYFLGEAFARSNTSFRYEFSVPPAIHAV DLSYTFYNAGEATSGVNITLAGIMQRYFANFITTGQPYSHRPTDFPVDDMIQNFNISS VGRMKDSVSTKRCKWWQKADFR AOR_1_190054 MFVGYHRLISATEGFLSSASKRNASTLPASNSNHVIPTEPRNKS STLVDPAQLDQTLTLSDGRTLGFAEYGSPHGKPLLYFHGLPACRYEIDFHELGLRHGA RIFALDRPGMGLSAFQPNRQLLDWPADVKDFTGKLGLVEYRVLGGSGGGPYSLVCAKA LPKESLKGVGVLAGFAPLEAGTQGMSLRSRILWNLGRWFSGLGRLYTDWTIVPAAHHP DPKVLEELLAKTVKNNFNETDSSVFEDEKILKHAAKIVRESFRQGSQGYVQECKILTR PWGFDLREIDFPGVRLWYGDNDRHTPIQMARWMADRIEGSVLTEWKGYSHFTFTDDHT EEVVRGMLES AOR_1_192054 MSDSNDLRHNGTAAKRVYNHKSKWAYGGRAALPHRDVSLSDPVT RPAAPQTHSLKYSRLLQLDRKAKSNQLATTAKSTLRRDIREALDSGPSDSSAAEDVEE PSAAKDILGHLQEEDLLEPYQVSGQTILSDAISKAVEKFETRETEKLVEEYEIITRES EIATGYLADDDFELVDHDHARL AOR_1_194054 MDKRSAESPMDFEWQTRAPGDVTSPFYQLSMQHDNQKKRPHRVF ESPGKKQMPALREPNSQPFLFSQPRSQDPPGTPKSLFGQSAFMTPRKFDVDFSSGAEN MSSPENADNEDTPEPPMKSGHRNSLFNMYGRFAPSPGRGEIPRLNHYSNALARRVQKR RRRDKALDMQFRRESDDESDDEHVSGNKQNQKQGHVQGEAQPASRMNSFSDFFALLEA HPNVPSILSWWAQLIVNLSLFSLAVYVVFGFVSAIRAEFEQAAEEVSDTILAEMATCA KSYVDNKCGGGDRLPALETVCENWERCMNRDPAKVGRAKVSAHTMAIIINSFIDPISW KAIMFFLATISTVTVVSNWSFRSFRNRYNQHEYTHPSAPSFPRQPSGQHHPSLGPSQP SYQHSVGFNYQSHAPSLDHKKETPLMLEDSPTRDFVNERSRTRESRMRTPSPTKRDRK LL AOR_1_196054 MTRSPEHTMISPRSEVDQEKVSVVSSKYEEAPDGGRAWLVAAGG ASLFFCCLGFANSFGTFEEYYLSHQLRGQTPDNIAWIGSLAAFLQFATGACSGPLFDR YGAWIIRPAAVAYVFAMMMLSLCKTYWQIMLVQGVLMGVVTGFLQFPAFPAVSQWFDK RRAAALGIAAAGSSVGGIAIPIALSKMLNGSSLGFGWSVRIIGFLIMPIMAFACLTVN RRLPPSTSPFWIPSALKEAKFALLIVSLFFMFIGMFFPLFYIPSYAVSRSMSATLSGY LLAILNAASTFGRVIPGILADKFGRLNAFMVGGITTAIVIFCFNLATTNAGLIVYSAV IGFTSGTIISGASAAFTLCPKDPRDMGTYMGMGIALSSFATLIGPPVNGALVKHYGGY SEASIFSGVMCFTGGFFALATKAMTPQGIFGRT AOR_1_198054 MAKQLAWNPERVPLQQLLAHLPTSQASVADVEALKSRIKNLEEQ LSKANQGPVQSSNSTYAPHLRVMNSPLCEVDSHLFGETQVITRSVVHKSRMFGQSHWI NGVIGLCRDVFEMLEPHDVADELVDCYLRTSETVYRVLHIPSFQKDYEALWMSDTAPD MAFMVQVKLVLTIGATVYDENFSLRASAIQWVYEAQTWFSEPVCKSRRSLQFLQINIL LLIARELVNVGGDTIWTAAGALLRTAVYWGLHRDPAYLSNRTIFVGEMRRRLWNTILE MALHSSMACGAPVGISLDDFDTAPPDNYDDDQLVADAPVPKPEDTQTQVSVAIALRKT LPIRLAIVKFLNELGSKSTYEETLRLDAEFRVGYRDLCRALQRYNAGTGLKSQFTTHM VDCLMLRYLVTLHIPFYAMAPHETAYAYSRKTVVETSLRIWCALNPSSSIMAAHTRHD TASTGRNDFDRLAICGTGYLRVFGMQASLAIAAELSTQLQEEERLGPVFLRADLLSMV QESKEWLFRAIEAGETNIKGYLLIELIEARIAGLRQGLARDQLVMLLLKAAADAEARC LRILEKMAAQGQTEGFSNGLGQMGLEITPDSMDDWDLMMTDVLLNQSTAEPMNWVYNE IPLVPLLPS AOR_1_200054 MKPSFVSLLSLACLGAASREGAPIMSHLMSIKTEHRERARAQGL FKPNSYIDLAKTPCVDGKAGEYSCENVDLLGFLSHQAMGSTTREGNDIWGWTSADGRE FGIVGQTDGTAFVEVLDDGSLQYVGRLPTQTTATIWRDMKVIGDHAYIGSESPGHGLQ IFDLKKLLETDSNNPTNFSTTEDLTAWYSGFGSSHNIVAHEETNMIFAVGTARNLSCA GGLWMIDVSDPANPTSPGCVSEDGYVHDAQCVIYTGPDKEYTNREICFNYNEDTLTIV DITDRASPIQISKTPYVGASYTHQGWIAVSDMSYLLLDDELDEQDGTGEAANGHTTTY IFDIKDLANPKHTGTYQSPVRSIDHNQYVIDGLTYQANYGSGLRIVDVSSVKDDPTGK GFKQVGFFDCHPEDDAQGGEVEFVGAWSVYPYFRSGNILLNSIERGVYSLKYTGKA AOR_1_202054 MVMLRSLLVSALAALAAGSPIAEPADQSLEARQLGSSNDLTNGA CKDVTLIFARGSTEMGNMGTVIGPPLCSSLKSKLGADKVACQGVGGLYTGGLMQNALP QNTDPGAISTAKSLFEQASTKCPNTQIVAGGYSQGSAVIDNAVQQLSAEVKDKVKGVV FFGFTRNLQDKGQIPNYPKDNVKVFCAMGDLVCDGTLIVTAAHLTYTINAPEAASFLA SKVQSA AOR_1_204054 MPNRDCGDQQASVQEGQDSTLANPPLNTVSSALADGDQKEEDGD PFKHLPEHERVILKRQVDLPATKVNYMTLYRYATRNDKIILAIASLAAIIGGALMTLM TVLFGGLAGTFRSFLLGDLSDNQFTSELARFSLYFLYLAIGEFVMVYLATVGFVYAGE HITATVREQFLAAILRQNIAFFDELGAGEITTRITADTNLFQEGISEKVGLTLTAIAT FVAAFVIGFVRYWKLTLILCSTVVAIVVTLGAVGSFVAKLSKKYLGHFAEGGTVAEEV IGSIRNAAAFNTQEKLARRYDGYLVEAEKSEFKLKSTTSSMIGFLFLYIYLNYGLSFW MGSRFLVDGSVGLDQILTIQMAIMMGAFALGNITPNIQAITSAVAAANKIYATIDRVS PLDPLSTEGEKLEDLQGNVELKNIRHIYPSRPEVVVMDNVNLLIPAGKSTALVGASGS GKSTIIGLVERFYDPVDGSVHVDGHDIKDLNLRWLRQQISLVSQEPTLFATTIFGNIK HGLIGTAHEHESEKAIRELVERAARMANAHDFITSLPEGYETDIGERGFLLSGGQKQR IAIARAMVSDPKILLLDEATSALDTKSEGVVQAALDKAAQGRTTVIIAHRLSTIKNAD NIVVMSHGRIVEQGTHDDLLQKKGAYYNLAEAQRIATKQGSADQDEDPILRETNYDLR RSESSENRYSLVKEDQGENLDDLQGDKTRSDRTASRTALANKEQEDIADNYTLFTLIR FVAKLNKKEWKYMVFGLLLSPLFGGGNPTQAVFFAKCITALSLPLSERSEIRRQANFW SLMYLMLAFVQLLTLISQGIAFSYCAERLIHRVRDRAFRYILRQDIAFFDERSSGALT SFLSTETSHLAGLSGITLMTILSLLTTLVASCAIGLAVGWKLSLVCMSTIPLLLACGY FRLAMLVRLEKEKKKAYEHSASYACEATSAIRTVASLTREGDVCDHYHEQLLSQGRSL VWSVLKSSILYAASQSLQFLCMALGFYYGGTLFGRHEYSIFQFFLCFSVVIFGAQSAG TAFSYAPDIAKARHAAASLKALFDRTPEIDSWSHDGEMVQSIEGHVEFRDVHFRYPTR PNQLVLRGLNLHVKPGQYVAFVGASGCGKSTAIALLERFYDPVSGAVYVDGKEISSYN INKYRSHLALVSQEPTLYQGTIRENILLGTDREDVPEDEMVLCCKNANIYDFIISLPN GFDTLVGSKGSMLSGGQKQRLAIARALLRNPRILLLDEATSALDSESEKLVQAALDTA AKGRTTIAVAHRLSTVQKADMIYVFNQGRIIECGTHSELMQKQSAYFELVGLQNLGEM AOR_1_206054 MPPSSLSKAANLKALLTRALTLNLPLYPDSPTPSGLSLSEIASA AATAVPESPVSNVPGLAFDRFYHLWMENTDFKVAAADENMQWLASQGILLTNYYGVTH PSMPNYCSSVGGDIWGMDHDNFVQMPSNISTVVDLLDTKGISWGEYQEHLPYNTHTDG YVRRHNPLVLFDSVTNNDTRARQIKNFTTFEEDIKNKRLPQWAFITPNVTNDAHDTNI TFGAKWERSWVADLLNNTYFMNNTLFLLTFDEDAYDGNNRVFSVLLGGAIPEHLRGTT DDTFYTHYSTIATVSANWGLPSLGRWDCGANIFEIVANKTGYVNYEVNTTNLRLNETY PGPEAIGWIGQYSPVWPVPVTDAQCSAGHGVLESVKAAFAESTPTYNYTSPYPWDAKN GYNADVTATRPTNGTVTNTTSDDEDVTLSNAAGMAGGSPSSVTITLVLAGVLSWLFI AOR_1_208054 MSRCLALAPQTHNSRRLCGYSRPSNAHVPGLEDSPIKIPALCNY ADSHNKDIWHKYCHWPPGLREMLGKEGTVCPSAPSNPVCDSTEKSDTEEPRNGTTSGI NQSIDWIKPSKGHEERFLECKSFTRRQPSWDNWPSNANILFNTLQRWISNPKSCLLTL EARPVDSKRARDISLEIIDFIRLNSQFHVIYTLSSPTGENDTTVDILKNLVTQAISIL PNLQSLIPQQSTDNEDQLLDLTNNILRQSKNCFIVLETTNTNCADQLLRICLDIIQDR NIPVKFMLRRLKSRHLQMIAIGGIIGPGLLVGSGNALNKGGPAGCLISFSLVGLIVFF VMQSLGELATAIPVSGSFTEYAQRFVDDALAFGLGWAYWYLWVTILASEYNAISLVIG FWTDAVPQWGWILIFWVLFLGLSNLGVLAYGEMEFWLSLIKVLALLAFFILAICISTG GVGPGPIGFKYYHDPGAFADSINGVARTFVVAGTLYAGTEMVGVTAGESANPQKAVPT AIKQVFWRILIFYIGTFFFLGILLPYNHPKLLSSTSTAASSPLTIALTDAGILPAAHL INALIVISVISAGNGSLYVASRTMLFMARNGKAPRFIDRTNSRGVPWVALIFSNIFTC IVFLTLSSSAGRIYSALITLAGVATFVVWAVICIAHIRFRKAMVVQGDDPSRLPFRAA LYPYGTYFALGATIFLVFFQGYTAFLNPFSVDDFIINYILLPVFVMLVVGYKIWNKTK IVKLEEMDIWTGRRVAVIDETETGKEHGWLAKLKDIIIG AOR_1_210054 MSIPKYQTAATIERPRPGAKLDLRHDIPVPEPKAGEVLVKLECT GFCHSDLHNINGELPMSTNVPGHEGIGRVVQVGPDTTEDMMGKRVGVKWLYSTCMKCP TCKVNYPNCPNQSNSGRNVPGTFQQYIVSPADFVSIIAEELEPEAVAPLLCAGLTMYG ALNKLHKFCQKGDWVVIMGAGGGLGHLGIQIGKEMGYNIIAVDSATKQDICMKSGAAA FVDFRNDVEKEVQNLTDGAGAHAVVVVVGLASAYNQALRLLRPLGTLVCVGLPSQDYR MPISPLDCVNRGIHVVGSCVGTEEEMQDLLRMAAAGRVSTHYQVFELMEVNTVIERLE RFAIEGRAVLRIPTESPKATE AOR_1_212054 MMYSKLLTLTTLLLPTALALPSLVERACDYTCGSNCYSSSDVST AQAAGYQLHEDGETVGSNSYPHKYNNYEGFDFSVSSPYYEWPILSSGDVYSGGSPGAD RVVFNENNQLAGVITHTGASGNNFVECT AOR_1_214054 MRFSAIFTLGLAGTALATPLVERAGSSPTDIISGISDKTDALDS AIKAYNGGDPSKVESASADLISTITKGTDAIKSGDDISTTDALALPEPVQALTKKVEQ AIDDIIAKKDKFVEAGAGGKVKDSLNQQKSAADGLASAITSKVPESLKEIAQSLSAGI STAIQKGVDAYKDVSDSVPSSSAGSSASATATGSASETGSASTTGSASATSSSVIPTS SGAASSSAAPSGSSTPTGSGSASATSPPLATGAANKATIGYSLGAVAMAAIAVAV AOR_1_216054 MDWKFAQRHVLRLAAATAALLLVAFLYINFNVGSSTMGEAIRVN NKTQSCIDFDPATVSEKLHSTIRLKQHTYNNAEISEFVCSILNHDMNLTAKLDCSVSI DSRYEHLRPSPSGSPRIQYYFALDLYQAVHIILPLMGAIMEAIRYLGPEYCALSIVEG RSTDGTYAILAGLKTELAAMGVPYFLVRDYLDPKAGGENRITALSHLRNLALEPLLEE SKSKHSRLASKPTIIFVNDIVICPEDILELIHQRVIQSASMTCAFDWNKNAGNFYDSW VSRSMSGNLFFEVTHDGRHWLGDDMFFDHPDSAARWDQTLPIQVYSCWGGMVTLDAEP FIRGSIAFRSSDKEECYMGEPMTLAKDLWKQGRGQILAVPSVNTGYEYDQARDAKGRR GYVHDIVNHTQYNTEAELVKWQKAPPPMVKCMPVFERQWWTTPV AOR_1_218054 MYWLPTLLYLGALPSCIARQIPRDDHDSSPLNEDFEKKVNWALK HFRIPGLAISVVRGEDIFAKVLTEHTLFEGASTTKAFTAAAISLLVDDDKYPNIQWTT PVHDILPEFALEDPWATTHVTLEDILSHRSGLPRHDWIANANLTIQEVISKLRYAALT APVRTTWQYTNLLYMTAGYLIEKQTGQELKDFLRTRIWEPLNMTETYFTPTDAQEANE DIANGYYVGSDHQCKDAGYPSPKILRGASGVLSSATDYAKWLRAMIHRRPPLSPAGHA AVTSAHSIVLPTVVPPFSSPSSYGFGWFLQSYKGHPVVQHTGAIYGFGSMVIFLPDVE LGITILGNNLMSTNAVSSVLAYHIIDEVLNIPEEDRFDWAKEAEKALNVTITPDPRTL YPTIPNPPLHPPLPLTSITGLYTHPAYPTLNFTEKCSQKSIIPPLTNATTVPRLCMFF VDPAELPESLIMEIVHVSGDDWVFGSEYDGLATATKVQVKAGPDGSVERLGIEADGAM AALGEKIWWDKSD AOR_1_220054 MAPQTNSNNSKPSKLLSILNPLTWKSRLGLDSRSFLMMLKGALP PTIVIAIYQSDAVADITLTIGYLSALISILSQALTPRAKFMKIMFFNLLSTCVSASLC CLTIYCAVKAREHNTPPDANESVKNGYSSDACAVSAIWLIFMIWVANTIRAWRPMELQ DPMVAFSIFASVTITRAGTFVTVSDGLAFVSRLLKGFMIGFAIATGVSLLILPITSRR NVFHDIKGYVAQIDAVLQSQMAFVERTPQLLTGGQGLLNRTRTAQTIRDVENNPGSDL EARKKQLTASITKLNALHGKLQSDLFYANDEFAWGKLSASDLNRIGGLLRSILLPLAG MAQLPEVLDMIIKNEGSRENDRVSDGERGDELLKQSEMEKVSGTLHDRLADATSLTTG GLQYVLLALELAKPKQLESKRNESGDEESKGEAISPLDSNFTSRFEQEMSKYFERRKE LPRSLASLEAFSASEKVDDISNDSRAVIPDSDVRQEFFLILYMGHLQESLLNGVLELI KFADSKVADGTMKKSGPIFPMQNSIRGWLSLKSEKDKSRGSDSRQPSQANPASIHAED EPDRFPDPEHLPPANLLEKASTIIRFISHVIKSEYSIFGFRVAAAAFSVGILAFLHQT QNFFIRQRCIWAMIVIVIGMNPTSGQSMFGFVARIVATAVSLVLSLVVWYIVDQKTPG VIVFLYLANVFEYYFYVNVPQYFGPSIISIVTLNVIVGYELQVRKLGMEVATSNGQPY YPIYIFGPYKLAAVAAGCAISFFWVIFPYPITAKSTLRKSVGRALFVLAKFYSCMHTT VELWLHGELSNGQDTRSASYKLETSGHKIFKEEMMLLNQLRTYSHFSTYEPPIGGKFP KETYDHIISEIQRMLTSMALMAHTTQHLNVSSRETESSRNSGERWVSQLASIALQSAD FHSHSLTSLLCHLSASLANAQPLPPYLSVGDSFPLARHLQRIDGELLSIRHVQDPAFS AFVTLEVLRSVVGFTLRDLLSNVKTLVGELKFDNYSRGTLEDAESARLLSTNTEP AOR_1_1652054 MHRIHSWAKAHASSRGSAPETQTPASTLDPLPTGGVPATSKQNG QVTEPAGTLASPGSNPEKSDGEQQSTPNRGLLVRMKDGSIRFVSHTKHALCHSWVNVL LVFVPVGIAVKAVGLSAGLIFAMNAIAIIPLAGLLSHATECVASRLGDTIGALINVTF GNAVELIIFIIALVKDEIRVVQASLLGSILANLLLIMGMAFLLGGLRFQEQIYNSTVT QMSACLLSLSVMSLLLPTAFHASWSDNTAADKYTLKVSRGTSVVLLLVYVLYIVFQLK SHSYLYASIPQQIIDEESHPGVLADFMNHSSDSSSSSSDESDDTTTSWTTAKRIKRAM KYRRHRKSSTSSKGTASTPSFRKQVLSEMPSTGSSETPDSVHRSTSIPTDDPAACAID FGDDPRYEADNDTHQFEPQFRDFGQESNAVKLSKKELKAKRREMRKQQKLAEKANEAT AMDNATNARPSLKAHLSEPPLEPSASQKGQEDLDSPKRRSPFRPAIPSLLSNTVFSNS QAPYNVRGDASGNLNGLRRTNSLPSRMNRPPPVGNAVQFARGAARMPTAVNPQTLQPA PEHQEPEMSRTAAVVMLLLSTGLVAVCAEFLVDAIPEMIESSSVSEAFIGLIILPIVG NAAEHVTAVSVATKNKMDLSIGVSVGSSIQIAIFVTPLVVILGWCMDKDMSLYFTLFE TISLFVTAFVVNFLVLDGRSNYLEGALLIAAYVIIGVAAFFYPPASQSSDVASAGY AOR_1_224054 MRRFTIVIRYDSPEAYQQYGSTIEQVTKDKFAEKGATEQTLFIS MKSFPPIHTTSFVAPENVSVDDLKGVQFPEGVHVDIHQED AOR_1_1654054 MNAVGVEQYGPVDNLICRKIPKPDKPRGKELLIKVKAAAVNPID TKVRNGTYDDAPDYYKFVPRPFHIMGYDGAGIVQEVGPECTRFKPGDEVFYVSSPTKQ GAYCEYQIVTDATVGHKPKSLDFVEAAAMPLTYGTAYESLVDRLEIKKGEKAGILIIN GAGGVGAMASQIARWVLDLPVVVTTASRPETIDFTKKMGATHVINHREDLKKQIDELQ LDVPIKYVYITHSTAQYLGVCSDIIAPLGKVCSIVQSADMNMYGTQFMSKSLTFVWCW LGSRLYHGLETDQGEMLEELSALIDAGKIKCHLTRRLQLNLEGIKEAHKILESGKAIG KVGLGLSEGGWA AOR_1_228054 MQSFRFTLPNNGTVEGVHSIPPSSGTPLKYRPLIVGLHGGCYDH RYFNATPKCSASLASAAFGVPFVSIDRPSYGGTSSILPIPEGSDFFEQSGFLLHQQIL PTLWREIGMPSQCNCIVLLSHSLGVMPAIITAALHAQDKTPSYPLGGLIASGMGDKQS SFMKDGPPSFLPVDNDYALFPLDAKDDIMFKPETFDPVVLEHSERLNAPCPLSEVSQF AALWLPVWKQKWAAHVSTPVMFSLVEDDPFFVATEEEIETCVRAFKSSVRVDGSLITG APHCVELSYWSQGWYARCFGFAMECAASFASST AOR_1_230054 MADTPNTSAALPQHAAPFNIPSHNIAPCPGCENIGPLKGDFHMD PLSLSPECIPLTLFNSYPQSPFKNSLDERITNPGPATQGEPEVGLDMHLSTTSQLSEV VVSPRNHTAIHNHECVNLGLSGTRWNAAFEDNTALDRQHGNLVRNLTVAPTIQPVQFQ SRVGQQNVCTNMNQRYYYPYLIPKVHDRVSDWI AOR_1_232054 MIERYLIVSQSLRMLSFFCVVASAALAAATSLHIQGHRNGPLIL QDAGDFFVGGREVKTLVNTGDRSNPLFDYPPEDWIFVDQMYVEYQIPMNGTNHLPYIL VHGCCLSGKTYQDTPDGRMGWAEYLVRKGHPVYIPDQTSRARSGFDPTIFNQVQLGEV APSALPRIEIAGRQRAWDLFRFGYTYPQVFPGLQYPIEAMAELSKQVIPDLNAMLPNP NPTYANLASLASKVHGAVLVGHSESAFFPFYAALNNSSAVRGIVSIEGQCPTLTDEEI AVLATIPTLFVYGDYLDQAKVSRRVWPQSLQGCQAVVDRLTAAGGRAFMAELPKLGIY GNSHMMMQDKNNLQIADFIMDWIEENVDN AOR_1_1656054 MEIPEAAGQRFLAAVDPCSNHQIAKIIKENFSDLASRLHEQVAV PNTGSRFMVDTSPMKILGISFKPLKDSVIDTFFTIEGLTYLVPALAHPGTFRLRTMPR DNVSRVKKTNTIGILTFIGLRAADSVFQYALLQRGWASSLIERLGATAVSREMIVHVS TGQLQPQYAIIAFMALGSSVKQILNILLVLQQEMSPSSAVIIAFFNTLCNTLNTVLSV WAVTSQAPGPDSFFGIFRRPFLLAGIGFYSAGILIEAVSELQRTAFKKDPNNKGKPYA GGLFSAARHINYGGYTIWRASYAYTSAGWLWGLGVFSWFFYDFAARGVPVLDQYLLGR YGKKWESIKTRLPYRLIPWVY AOR_1_1658054 MGFLDFSEFHEGAYNQVQESQGHEAHLTHDLIGGAVGYEAIKKF NEYQAKNGKPVEHAQAKELIGGFVSAALTNLVETKGLDQVDKFRAEQEAKRHAEEALS PHYE AOR_1_238054 METPALESEKPLLDSKDDWSDSEPASPIDLPSMLEDGPALKSDN ISLVSTIIHCLLGIFFWAPARFLSYYFPPLERVLPAPSPVSQESQLQMKFLSSLLLLL AGADAINGHPAAVEQFTRELEVASPNPSGLTLRALQQRAPNCSRVDYFISRITSVKAQ VAFVTFGPEAAKYICRAITHGDPTCDDWAQGIRYALGLIFAIFGKDGAEPAVRPGEPV RRINARSYMDIATTALKDSSLEFASIEADDNLPSVEKRSLDEPSLVERFLIRGLYHAD LGNDATNIWVNHYSNGDNILQIAPEDGQHNGNSTPTRRWDKPGFKIAYTTRNKSPLNE QDALKMARHISMKWQGMAIGNDISDFIGFVETGHTANFYFRIIPEHKGYGLNYESVDI CGGMAGML AOR_1_1664054 MAARLSASITLRLGETAYYMHQVPEVLSESIERFGRLDDVWSPD FLQNVVLQSLSSQESIRKDAEDILRPYNTSSIYITSVSSGALNEGPYFLNMGRLHPAY RLYPDYAGAFIAPTVPTEDPYCYKPLDAAAYGETYPSSLTVAVPSRLYHSPTPENPFA GTRVGVKDIMDLCGLRTGASSRAYTQLLGPRTENAEVIQKLLGLGFIVVGKLKTTQFA DSEWPTCDYVDYHAPFNPRADGYQTTSGSSCGSAAAVASYVWLDFALGTDTLGSIRAP ATVQGLYGMRPSLDATSFKGIIPYTKLADTVGGFARDATSFAKLSRALYGSINDPPFS KKPSKVLYPVEYWSETSTEHDAVLESFIIWATTKPVHENITMKEYLEHVFEWAGNPSQ WKDFLSPFITEYRNTYGRDPALNPQLQYKRGYLPTITDEQEKEGLKRWKTFKSWYETN ILPPAIDGFSDTLLLLPWSTGKPDYRDTYRDGPQRFTGIGFFFYNLSPYSEGPEAILP VGQTSYTSRITNSTEHLPASIGISSGKGSDVMLTDFIADLMTETNIQGVGVGSRAFEN IDNMGTTSLYTQSAAQLPLRGDF AOR_1_242054 MSYYGAPPQPPYGQPPYGQPPYGQSPGGYERPPYDQRPPYGERP SYDRPPYEQGPPGDRPQYDRPPYEQGPPSGERPPYDRPPYEQRPPSGERSPYDRPPYE QPPPGERSQYERPPYGQPPQDQRPPYDRPPSERPPYESDRSFDSRPPYSSAPPSARPP QIPPPPLPMGWVQEWEPNARRAFWVEVATGNSQWEQPFGDSSRDMGPGGPPAIMSPPP SGPISPPPGGYYGGPPPQEGGYYPPPQQGEYQSEADRKKSEKKKMLMGAAAGLALGGV AGAVLNHEFGGSDSESEKEEEEEEEEEVVEHKIVEQHIYHHYDEPSEERAYSPPPDDW AOR_1_244054 MAPSQPFRVSATVLLSLMAVQTGVHAAFVYPKVTILTAAKLSTI GMTPQYCANWCGQRGFTYGGIEFGTQCFCGSEPDFSLATKTNETSCNSQCATEPSSAC GGNFVMSLYKISNPQGGGVNTKFVPACQTQPLCSHPVCDTSLSIAERVDSLVKSLTLE EKILNLVDASAGSTRLGLPSYEWWSEATHGVGSAPGVQFTSKPANFSYATSFPAPILT AASFDDTLIRKIAEVIGREGRAFGNNGFSGFDFWAPNINGFRDPRWGRGQETPGEDPL VAQNYIRNFVPGLQGDDPKNKQVIATCKHYAVYDLETGRYGNNYNPTQQDLSDYFLAP FKTCVRDTDVGSIMCSYNSVSGIPACANEYLLSEVLRKHWNFNSDYHYVVSDCGAVTD IWQYHNFTDTEEAAASVALNAGVDLECGSSYLKLNESLAANQTSVKVMDQSLARLYSA LFTVGFFDGGKYDKLDFSDVSTPDAQALAYEAAVEGMTLLKNDDLLPLDSPHKYKSVA VIGPFANATTQMQGDYSGDAPYLISPLEAFGDSRWKVNYALGTAMNNQNTSGFEEALA AANKSDLIIYLGGIDNSLESETLDRTSLTWPGNQLDLITSLSKLSKPLVVVQFGGGQV DDSDILKNKDIQALVWAGYPSQSGGTALLDVLVGKRSPAGRLPVTQYPASYADQVNIF DINLRPTDSYPGRTYKWYTGKPVLPFGYGLHYTKFMFDWEKTLNREYNIQDLVASCRN SSGGPINDNTPLTTVKVRVKNVGHKTSDYVSLLFLSSKNAGPAPRPNKSLVSYVRLLN IARGSDQVAELPLTLGSLARADENGSLVIFPGRYKIALDHSEELTFEFTLKGSPAVIE TLPIPDVQYNFTVPVHIQPASTEAHS AOR_1_246054 MLTQLLLLGLAGLVCVKSVKPPLTPAPPTPSPRPWSTFAENIIY QPDSNHSVLYPRQVELSDGSLLATASFAGDKTPYFPIFKSADGGVTWSWISNLTDQVN GLGMSAQPALAELPFAVDDYPAGTILASGNSWGSKSTNIDIYASKDSGHTWKFVSNVA RGSGPDITNGNPCIWEPFIEFFNHTIGVFYSDQRDPLHGQKLAHQESADLRSWGPVID DVSYLNYTARPGMTSISYIPPLGKYILVHEFPGGDSWSGVGYPVYYRMSDSPFDFRFA YGIPIMVNGVQPNASPYVVWSPSGGDNGSIIVSDADHSGVFTNQAGGQPDQWELHDTP QAPAYSRSLHVFEKYPDHLMLLGAGVFDATVNLPLYLSVVSVEDTLKKPAGK AOR_1_1666054 MSEETLENDADFGRLPFDNFSSQTNATFIRFCSGLTSAVQERWD FNAAGDSQEQWERLPYQYRDELSSPALGENIRRVLATHQTVHERNISLVSSEGLQTVW LRTCYDPDLARKYEELKQRSVVPGWQGWWNEILDDPARYDFDDGGEGSWRPMLVRVPG ITDFYGLIDMDGAGRNMQYKSGQNHEEMMAQAEKSEEVWRDLALAEIKIQTGLYLLDR DSIESGLIKILWLDEHGNVAWHSRLDPSTSDFDGFMMQLLSATSLVELAGYDGTRGSL IER AOR_1_248054 MANLPTSFIITLNGTPIAKNINPDEEQIHAEADHNNPAVFTFSN GLLESDGWYLGRSVIEDRSLLPKRVLWHKKGGEVGEDLIQKTTIEDQGGNLVLTNGGT VLTLIDGQVYGDLIRKNPATVGIQAA AOR_1_250054 MGVYTTDHSTSVLQTHNWRTASNSTAYLLPHITSTSKILDIGCG PGSISVDFASRAPQGHVTGIEYVPDPLDQARELASSKGLTNIEFRVGDIHSLDFPDNT FDIVHVHQVLQHIADPVKALQEMRRVVKPGGIVAARESSVMTWYPENKGIEAWLDITI RMAKAKGGNPHPGRLIHVWAEEAGFEQSRIQKSTGSWCFSTPEERQYWGGSMGARARS SGFAKTALEEGFATKEELESISDGWKKFVDAEQGWFGLLHGVTQDCI AOR_1_252054 MPTSENHHAKQRPPRKKACNSCTKSKVRCSLEKPVCSRCRSTGR VCEYSVSALAQDSPPGEGVLTEDTGAAYTGPAIYSTPRFDSVSAMPIPVPLANTPSST AWSPSSHFHVRRQVASGCRDGAGLDFRTVDLVSSANAEDIRDRWLRPYILPPLGQDEI PKVYHPFTLQYISRILSTYPRCLLKDKDVPPIIHRAQIEGKELPPSLANCYSLVRMWE QAVPGSEMMVMSTLEKEMERLSEEHQHPEHDYELLSAFQAYLLYTIMLYFSPRGGPSL VNDKMMITLMELAFQTARNGLFCAAELAHARPKWESWIVVAAKRRAIFTMYLFSSVYN ADRLLPNFVADEMRGVYAPGNKALWEAKERETWSREYDRYLLQWEDGILEISELWRSA ETGSAERRERIERWVQSADEFGMTLFGVCAHIHGC AOR_1_254054 MVTTTSDDVHETTALLGRNRVPKEEKPLPKAQILLLCYARAVEP LAFFSIFPYVSQMIQDNGGIKFSDVGFYTGLTESLFSLTQAIVMIFWGRAADRVGRKP VLVFSLFGVTVATVLFGLAKSITQMVLFRCLAGVFAGTIVTIRTMIAEHSTSETQARS FSWFAFSGNLGLFLGPLLGGSLANPARQYPGVFKDTGFFADYPYALSSLVVALIGATA AFSSLLFVQETLKKEPATSGSNPDKDLSTWQLLKAPSVGIVLYTYGHIMVLAFAYTAI IPVFWFTPTYLGGYGFTPLQISVLMGVNGAAQSAWLLLAFPPLQKKIGSNGVIRLCAY AYPFFFLACPAGNILLRLDSEVSVKLFWIFLPIALAVGCGVSMSFTAIQLILNDVSPS PKVLGTLNAVALTGVSGLRAICPALFTTLFALGVRTQLAGGYAIWVLMILLAATLSVA ARYLPEPEKPDES AOR_1_256054 MGKKQKDWVEERNKLGDELRGDYLPISTTFKDENTPPKNLVETT IDTDIPTATIELNQGPSPQSRTRNIHYAFDPVDNATAKQLSSPFSFLSPENIPLPVFQ HANRLVPSSLGVPWPTSFPTTFQSKYWVEVEERTRAYTQELLALRPGKYQAKYIEAVI DGAVSLLVNAVPMGNLTRLKSLTKLYVFFFLSDDLVNSNNRVTMVPSHVDGKDEQEPE YTVYNMLAKEFLSEDFVQGKRLLESVISWISAAQHTPPETFPTLEDYMAYRASDVGAG MEFACDITLSNTDIEAVEHLRSLCEKHFLLTNDLYSYAKEAIAEQEHGDSVLNAVRVV QRLMNTSENSSKAIVRQLIWDVERQMNEEYERLLQDAPKSQLTYAQGLIVCVAGNMFF SATCARYARVVEGSRLHV AOR_1_258054 MAQIRRLPASLATKTTFFSALAVFGIGAYCARSYFLPQVHAESD EAPVMFSKFGFTTLRVQSVKAVNHNTKRLVFEFPDKNARSGLSLTSALLTFSRPAGRW LPVLRPYTPISDLNQQGTLELMVKQYPNGKASTHIHSLAPGDTLTFLTALKGFSWVPN QYPQIYAIAGGAGITPIYQLIRGILDNPNDKTKIKLVFGVNSEQDLLLREELEEFKKL FPGRFEYVYTVSRLEGEKEGLRTGYVTEELLRGVVDGKGEGAKVFVCGPPAMEESLVG KRGILDRLGFEKGQVYRF AOR_1_260054 MRFSLLSLAWAFQAAATILENGQERLNPYPGQAEQVSVDDSWKS YGADASEISYKGRWDSTYTSWWSVPGVKFGFTGDKLAVSFGEHTSKDVLVAYRIGGLD WEFSNVTANSAYQFVEPGSSALNETEYTDHKTFELRVQIDSVYVASDARLVKAAEFNR TVEIIGDSLASGQYATYEGLASWGFNFAAGLGNAEYTITAYPGICLVDKQCYGGDARG MTYQWSRASDVGDRANAAFGDKPEAWNFTAHRVADLVIINLGTNDARTVNNVPSDDYY QSYVKMVENVHGVWPDAQIVLMSLWGNFIKSGSTWVQETIYESEVQKVYQHFEKNGYV HYFDTKGILQHNDISPGGHPTDFGHLKIASHLMQWTKIKLGWEFGATGPEVQHDTTYW NNQDSYKRSVFSDPFI AOR_1_262054 MVSFKFSRTALARHHVRSPDEKALVRRLDIFLLTFGCLSQVIKY LDQQNINNAYVSGMKEDLNLFGNELNLFTTYFNAAYCVMLIPSQIILTYVRPSFWLPG LEIAWGVLTGLIAMCNSAKQIYVLRVFLGLCESMYWYTPTELAKRMGFYQSCQAAGQM MSGALQTAITNTMEGHHGLAGWRWLFVINAIITVVWGFLGFFMIPDLPNRPNPRAFWF KKVHAELSMERLARNGRAEPKRMTWAGVKRTFSGWVVYFIAVLYIATVLGTYGYVYFS LFLKALKRPDGSPRWSVSQVNAIPIGGSAINVVFVWVWALLSDFLETRWTLIVLQGII GIIPCIIMSIWTRHPTSVDVSAAYASYFIAHTCLGTAPLIFAWLSDLIPQDPEARTLV VGVAVAGYYAISAWSQVLVWPASQAPYYRYGWQSALALLVLVIVMTCVLRFIDVRYLL PKRVAFQEALDAEVVAGGSLKNDEERPRDADLKTPTTSTRVDE AOR_1_264054 MGLAEVYLTKPPVSVSSSELPVHFLCYMQIETHPFLLGPTFELI EAGVKGEDIIILEAREAASGASSRNAGHVRPDAFRGFSAYAKVHGEEQALKIIANERL VLEKVDEFTKKYGVQCDFNLTTTFDVCMTPEFAAYEAESFDAYKNTGGDVSHIKFYEG EEAQTKTKVNGAVAAYEWPAGSSHPAKLAQFLLQAVVSRGGKLFTFCPATELRRNESE PELWDVHTPRGIVTAERVVHCTNAHAALLLPHLEPYIQPNRAQAHSLIPTPSFAAENA LRNTFSLRYSLHHFYSLIQRQNDGTLVLGVSRSNPTLSQETRASVYSTDDTSYNEEIV RDALQSFNQIFPDFDSASAVHGEGLDHAWTGIIAMTTDSVPFVGAIESLPGQYICAGF NGHGMARIFTCAPGIAKIMLGKDWDDTGLPECFKFSEERLTRLSTKTVQSVW AOR_1_266054 MPDKDAGTPRVFLYRHGQTEWSKNGRYTGVTELELTQDGEKQVL ASGKMIVGSGKLIDPAHLAHVYISPRKRAMQTFEIAFSDAAKQQLRDANKVSETDRLA EWGYGLYEGLVTKEIRALRKEHGLDTEQEWDIWRDGCEEGESPQEVTDRIDDLIKEIR ELHKDNMHGEKHCDVLLVAHGHLLRAFTKRWLGYPMEFPLSMMLEPGAVGVLSYQHHS IDEPALMVGYGFPLEG AOR_1_268054 MSYTSAITTAIQNPRLRLLNALRTNSKPVMTFLGLPSFRTAQIV AQTGVDGIIIDCEHGHISDDSMHSSTAAIASLGVSPLVRLRMTHADLIKRALDAGAHG IVVPQINTAEEARAVVSHAKFPPQGLRGQGSAFPAIAYGVDMPAYMKTANETLITCVQ IESKAGVENVDAICAVPGVDMIFIGPNDLALSLLGYVPAKGDEPEFVDAIDKIVAAAR KHGKWVSRLSNNGALCKEHLKVFDTVAMSYDVRAIQNWYTAELQVARS AOR_1_270054 MKEAVVDKSVTVAIRDVDIPVVKPGQVLIKVVVSGTNPKDWKVP TWQPDAPAANQGDDIAGYVEAVGEGVPNFRKGDRVAAFHEMLTAGGSYAEYAIAWAHT TFHLPEETSFEEGATIPLAAMTSAIGLFQELNLPLPWNPAKESLPLVVYGGSSAVGAF AIKLARLSNIHPIIAVAGKGTSYVETLIDRSKGDTIIDYREGDEAIRKNIKAAAGGLP IHHAYDAVSEKGSYRNLGAVLTAPAKITVVLPGIDSKEVPEGVQLLRTSVGSVHQSAA AGQTVGNIEFGAAFFAFFGWGLAQGWFTGHPHEVRLNGLAGLEEALQDLQAGNASAIK YVVRIADTPGL AOR_1_1668054 MSQSSILDRLSALDTNTVSDALDFLGLKGATYGLRPLWDCPKIV GRASTVKVGPKTDTAPTTHLLTPVIDAVTTDDRVLVISGGIDGISCWGDIVANASKQK RIRGTVIDGMSRDIDGSREVGYPVYGRGVTMISARNRLVQVDSGTPLQVRGVTVHQDD YVIADRCGTVFVPAQRIEDVLEFGERIDRRQAKMVDAVRAGQPVSEVMHDKQFEAIRE NAPLSTVLPVAPASKRNPKQASPEDQELVALFADSDTPGVSDALDKLGIPGQAFGIMP LTDYKKVTVGPAFTVRYVPASDPPGSVGDFIDEVAIGDVVVIDNGGRTDCTVWGDIMT QYAGLRDIAGTVIDGVCRDVNRAIDDDYPLFTAGRWMRTGKDRVQVGGVNESIGIGKV RVNPRDIVVADANGVVIVPRDRAREVAEVARRIEKSEAGIRELIASGATIAEAREKLG YHTLQRKV AOR_1_274054 MSTLISSYLLGLVACAAAAPAASRASPHGTRGYGEIQWKPCGDL GVNGTTELECGSLAVPLDYTEPDSGETLDLEILRAPAPNQPSKGSVFVNFGGPGASGV AEMSLLGSVLSIFVGGSYDVVNVVPRGTGNTLPFSCFEDEQERIAAALRAPFATNASD TALGQVWAEAKNRADACAHAQNETGSLIGTAFTARDIMQVVDALEEDGKLRWWGQSYG TLLGSTLIAMFPDKIDKAVLDGVINAHEYYHINVEQVAGADSAFSGFCSQCVDNKDKC PIASNRTAEELEEDIYAAMEALKSEPIPVSVEGKGYIVDYATIKGTIHYALYFPATWP TLAEKLDILFSGNITGILPDLVAPLPVTPDADAIQGIKCSDNQEPLETLEDALPGVEA RAELSKIAGDIADVSALQCARWGMPAKEQYTGDFKAKTQNPVLLVSTQHDPITPLVSA KKMSEGFEGSVVLEQEGYGHTIISQGSVCTVKAIMAYLNDGTLPEPGTVCKVDAVPFS GDSGVAAVLEELTNAA AOR_1_1670054 MIFVDFPDAPASDTTEELYQLFVPGAPDWYKHSSFGQLSLNITA DTSRFYRMPNPSTSYPYDRGITAQLHGKYIQDALNSVGQAIDFSGTDVLYIVPTKAAK HISFSPTYMGELTAGDGTVIGKTVTFGQDAPDSWGFLVMNHETGHTMGLPDLYPSNGG RATMYVGGHDIMGLISGGLPDYFAWHKWKLGWFSDDQFDCVDGAGSTTHTVTAVGTKE GVKAVVVKRDETTAIVAEVRAREGADIAACSTGVLVYTVSTSTASGQGPIRVHDATPN SGGCDGEELNDAHFTTEAGRDVFVSEDGVQIKVVSQNGDVYTIEVEAT AOR_1_1672054 MKSFPSINLEQRLAKDVETFVRAQLDGGSLRELDADTKVLVIDT LLLSRERRFRWADLQVKRLEECRTDDHMKEALRTIPDSLEATYQTIIDNIAERDRSIA RGILIPMCFSAAPLDLQTVADSVSLRSSRHVMDICTTSLLSTSGEEVRLAHFSVKEFL VSEDAVGNICRFSERAAKDHLAKKTVDCISCQTEELNQEMAAIKPFLAYASCHWQAYV AALVDINPQNADLGRKIDSLFTEPTVYFNWSRIADSYAITNDNQWNKLRSECKPAIDR ATEMGLVGPVDTPVNQGADPLQSWKAMSWCPLKRAALEGRLKIVELLLRKNITISTEL AKALIGLVKHDVEVEHALEGVLKALLDRGVLQDTARGPSESISEHIVSYAMTNRYSGL LILNIFLDWRDRGLVSVPITGDVMRCAVVFSSPAEEMLELLSRRSQEGFYISPTMFID TGGLPMLFDGIAALARRRPAKLPLSDALLEGMAIKCDSATMDTLLQARPDIKVTEKIL VAAAQNNLGVDMLKLLWPLREPGASITEDVLTSAAKSRSPIILKLLIDKLQPSVQLTE TVMKSIIGNWECGLSMMKTILDDPRVTFEVSEPLISMAASTTQAPLEMLDLLVNNSET EVHITEDIVCAAAGNIIYSSSVLEYLSHLEARPLPVTEKVVMGAIRNPKTLEILFEKC PNAPITDRVSLGACSYADQMRLLLDKPHGVLPIEKMVEKLSNNYLDSCVVLDLLFERN ILTVNEQCSNHSESVTSSGQESPIHTSIVRQAAQGRQNHGGGYDCHHKSGECLEIIQG ISHRTGSVPITERLFREAMSYGQVDVVKWMFDQRPDMNITIESLFHEIWQDGSIEWQS RLAAWMVLSSHTGKSEISTSLLETYQYSAEHKENYDFDEFVHVFGDLEVSESERVAEI VFERCNIPAVEDFLKHRPKIIVTDSLVQAAEKNVIANRDALMSLLEKRK AOR_1_280054 MSSADHRHNVLQDAAPNQAWLEKQFGPGSLWRPKEADLPVDLTN KPAREFLINIGFPSVKLPRIGFNSTHLKTFADKGDSLCRYTGEELYGIYDPDDEVPAL SFCLGEVYTQLVMLENEHGHVFWYNGDCYDSLGRDRGLVAQGLDSLAVLLGMVVAVTK DLRESPLDLSLEELERRVEILKRPLDILRGKMRDYDFYAEDAEFWNDLFSELLDDWEF RDESLGS AOR_1_276054 MNLLLYLLAQHPSVDMGLLTGSRQLDAADAHNFGVDWVIHYQFE DTEMLKAIEEFRTLIKDLQDAKLQVQVRPGYGASLLLCIRVPRDHLGNMVYKSRVKDW LYGIIHELPIGDEHTSIDSETPAEELRSVYHAVTWSKALGGAGVTAQLGPWKNVASTF PLHDPTANAKLLRKWSHTLLLNAEDLDAIRALYGEKVAYYFAFIQCYSTFLVFPAAWG IFTWLYLGPYSITSALVNCLWCIVFVEYWKIRETDLSLRWNVRGVGALKVNRPQYVWD KEVRDSVTGETVRVFPAHKQFLRQLLLLPFASIAGLALGSLIVVTFAMEILISEVYTG PFKEYLEFLPTVLFSLSLPWINDTLTDMATKLTDYENYRTQDQYDIAQTTKTFVMNFI TSFLPTILTAFVYVPFGARLLPYLDVIRVGKLATAFDTRRVHIDPSRLQQEVIYLSVM GQVMSFGEEIVLPYVKRVVMQKWRDYRQKNVPAGQGRRYSYRTDQLLNDSPAEASFLS RVRNETEADEYNVHDDTLEMCVQYGYLALFGASWPLVPLGFLLNNWLELRGDFFKLSL ECQRPPPIRADSIGPSLQGLEILTWLGTLSTAAIVYLYRGNMADVRLSTLLLILLAAE WAYLGLRFVVRTAVEKIATGSLRKEAAKRYALRKNYLDSLTRSTSPKGRQRVRFEDRV NVYTTGTDVRTNSQEFLHPGHHETSSEQRFWSCAAQDTADAGVRLIKALCMGDRVQSE NKSEKIKKCA AOR_1_278054 MCPLSESKTELFLQEENETGKIVPMVTVVRRRGRLHLVHPPWYR NSLLASTGFLEFANAGDFAANVWNEIPVPRHAMILMAIGGPIALLMSIVALRDFILSW RNVKLLRAERRYLQSLKHDYLASANPDPDLIRLIDSRLGLGWRELGTELIDRVAMDVF LGLGALLVGTGTIMAIWGAHPKVFDASNLLSGFVGNSFAAAFGVVNAVWSVYLARRFH RYDRLCTRAPALSPFRDRLHLRFSKFKWHAVVSGLTGLIAGAASMVTAKMWWGYVVLA PCMVLQLLCNRFWRTQLGYDRPIVSDYDQRGILIRETQEIRDEEKDGPLLDSLASTVT LFNALGALPSPSEALDWTSLDSLVQFMITNDLFDSLCDWLARDKSVPSDVRDAVFRDP SSSSEHTEITVSPDHLLRVPVALQTQLRDLCRQFLQEDGRRVLLYRERYLLEMMGSML SRESQ AOR_1_278054 MCPLSESKTELFLQEENETGKIVPMVTVVRRRGRLHLVHPPWYR NSLLASTGFLEFANAGDFAANVWNEIPVPRHAMILMAIGGPIALLMSIVALRDFILSW RNVKLLRAERRYLQSLKHDYLASANPDPDLIRLIDSRLGLGWRELGTELIDRVAMDVF LGLGALLVGTGTIMAIWGAHPKVFDASNLLSGFVGNSFAAAFGVVNAVWSVYLARRFH RYDRLCTRAPALSPFRDRLHLRFSKFKWHAVVSGLTGLIAGAASMVTAKMWWGYVVLA PCMVLQLLCNRFWRTQLGYDRPIVSDYDQRGILIRETQEIRDEEKDGPLLDSLASTVT LFNALGALPSPSEALDWTSLDSLVQFMITNDLFDSLCDWLARDKSVPSDVRDAVFRDP SSSSEHTEITVSPDHLLRVPVALQTQLRDLCRQFLQEDGRRVLLYRERYLLEMMGSML SRESQ AOR_1_1680054 MVRKWYRSYHPRAQISHPDDKRTLRRVREVLDKPTDLKGWVLSP CPSPIHSNLDYVYTIDLDTGVFIISLWGKPDGTLVPTAIRIDLARFHEEDFSILINHP LPRPAYLVVDNTSVADGSQYEPLGSETLTFDFGIPTPMNELQELLFTDFVFHWRFHID DPLTWRYSSTVFKLLCIALLRLAAWDFELPISFSSIPSCRHPEADIYWFHGYLIVLHE DIRSEAMISGAILKAKSYINNLEYECNEVHLILMSPFHVAFVKLLHGTVMASKSLALL TNVSANQCSPGFRALVRVLTSDCRIKSRAYRETWKYDIPPEILQRLLYASEPRDAVAF SQASFVAEQCYYASIPQIKDIVVQTFKSSIPCCGKPGGLKEEGVCCSKCYSWQHIGCV GLKNRPLDKQVVDDEGKGTRSKLDVLNSHSIYDFSNPPIYDQSYGLWGIFGRFYLAS AOR_1_284054 MPENRRDSEASQSSLISYRSVENQSPGTPEPGTPEHHAETQGNN PDSVSPTSVSPSRHRVHFGTDLRYEYGDVDTESEGSPDASPPESRHQRPTLGSVDITE ARPRKRSIYLNQHSGADSVDFEKEGLAQSSQPGTSGSLLSRLNELRHAAAKKAREYAT RLGRPPADEHDMRAMRYRPDSGANSELTLSEKVYDHDRSSSEAHRLVREMSQDQMAYH RPGHNKHYPHKGPDYWGSPADAWTNAGIRRRGSTGGVLSQLLKLNGALDHVHLGAMST TSQSPREIGTPLGGSASGTSTPRKMKWYKKPPNMSPNALATASTNVSGASTPVSSEIL TAASKRRSKHSSGNMRLEDEIQITLQIAQIIARERYIMQLCKALMVFGAPTHRLEEYM QMTAKVLQVDSQYLYVPGCMIMSFDDPSTRTAEVKLVRVSQGVDLGRLSETHNIYKNV IHDVIGIEEATQELEDIMKRKPRYNKLIIVLVCGLATAMVGPFAFGARPIDMPIIFFN GCLLGIMQHVIAPRSVLYSNVFEVTAAVLTSFIARAIGSITTTIHGTPHQRLFCFSAI AQSSIALILPGYTVLCSSLELQSHKIVPGSIRMVYAIIYSLFLGYGVTVGTTIYGLID RSATSSTTCPNILGFKNPYVARFPFVIAFSICLLIINQGKWKQGPVMVIISFTGYVTN YFVTKRLGTNTQVANTVGAFAIGVMGNLYSRLWHGHAATAILPGIFVLVPSGLAASGS LIAGVESADAIRSNITRNASHGDTQSTGVGQQKSVQDLGFGMVQVAIGITVGLFVAAL VVYPLGKRRSGLFSF AOR_1_1682054 MRKKWLTKVFVTGDVLSFLLQGSGGGIQSGGSLDSMKLGEKIIV IGLFVQIFFFGFFIVTAGSFDMKLKRYPIPRCYSAEIPWRKHMNVLYASSMLIMIRSV FRLVEYLQGNNGYLLHHEIYLYVFDAVLIFITMVIFNICHPSEIGRLLANQADYELKD TYTTVP AOR_1_1684054 MADPISVIGTVAAVLQLAQSACKAALGLYNSCSVVQNAPQEIIS ISRDVHAFYMTISNLESSLRSDEVATVVNGDVQIMLTLETLKIPIENFSKASEAIMEK LIPHLN AOR_1_286054 MAAPIGVHLVGSIPLPNTEQVLRQIPTALPNRLYSIPDGEPGVR QNYIGWELSCFPPETWRPFLPGSTGLPADHPGFTQDSVAPSQYDGAALDSYKRFVELR DQGIIPPGVRFQVSLPSPLACIQGHLRPEIHAQLEPFYERRILDSLNAIIAGIPAHDL AVQWDLPFEVIDLEYERGRLPDDFPFKPHFAPVKQGVLGRIQRLCAGIPGQVHVGFHL CYGDLEGKHLIEPEDLGLLVEFANDIVKAIRPRTVNWVHMPVPKDRDDVAYFEPLKGL AVDDNTRLVLGLVHFDDEDGTKRRIKAAQLATGKRFSVATECGMGRVPKEHLDSILRI SKNVTEPIN AOR_1_288054 MSSSTLPKNASLVNIGTHSLALYTHGPEPSCPKDPVVLFISGVA SSSLNWTAVVRLLPPSLRSYTYDRSGFRNSELSPLEPTAENIALELSLLIKKAPILNP LIIVGHSWAGVLINEFIALTGNGPHIAGLVLVDANHETMPDILNVNDPVLSVIAEGVH PYAGRGIEAEHKFTQEEWDAFKSDQFSEKTLLIGEKEDSEHYAPSFETLRKKELGKKQ PLVGDKPVYVIGGMRSRDWSGLYKAGVEKGNGTEEQRSHVRELIRTADEKSDGLMKKH LKLSTKSKLVFAYESGHFVQLTQPDIVVDGVKWVLENLQPSS AOR_1_1688054 MVSKLPNEILHAIADLLEQNDINSLAKSNSHVFPVFNSILYRKN MLESDGWALIWGIINRQHNTVAYALSTGATVLGEALALAVEDGQEQVVQWLLSVKSAD FSPKYDFHGQFANWTSQHRIASKCTAASSKCIEQLKGRQQWERHGTFDNRSPLSRAAR GGHLGIVKLLIAFNGDDLSVEDLDGKTPLFQAVERGFLDIAKALFNTGHCDLNRTDNE FKTVLSHAASSGNGDIVQWLIRCGGAINLNHQDRYGQTALHYAAERSDVPTTKLLIES GRVDPDITNLYDLTPLHIAIQRSNAVIIKLLTEPRTVDPDFRVTNNFTRLYDVTKNLY VSQPILSIESGEVDNHSRTPLHGATEHSHASLLEPLRDLSRLDSNISEEHSQLMLSQA IMNSDTSTLRLLICSGKIDLDRIDNEGRTPLSRAAKHSDRSIIKLLIDSGRVNLDSKD KNGRTPLSYAAEYSDASTVKLLIDSGKVDVDSKDHQGRTPLSYAVQHMDLSNLTRLVV LWCQGDLEPGLNPNTIVPRRSLAGTSTLKTLLDCGKADPNSRDQHGQTPLAYAAEWSD SATIRLLLENPLVEVDSKDRSGRTPFFEAVANGNFAAAEVLLDSKMVNPNPRDKYGDA PIFEFMDLYTSLETLNDDQVLDWSIEDTVKTGYNRLLKLLLSRSDVIVDFRDHHGQTP LLYAAEECGYDVVRLMIESGKVDFAELYAQSLPGQTGPQLMES AOR_1_1690054 MQLLPVALLLGALAAPTASESFNPLNHLAGIAPYRTINDPPLES APPQGCNVTKAAYLIRHAAIYANDFDYESYLEPFVEKLRNTTQDWSKTTDLKFLANWT APVDEEHLEKVTKVGYKEAVELGVNFRTRYASLPHPSKVWSSSADRTTKTAAGFIEGY TLNKTAGMDLVEVKEKKDTGVDSLTPYKSCPAYSGSYGSDQSQEWVEKYTAPIKERLN AQAPNFNFTTSDIVSMFEFCGYETVIRGDSPFCATTLFSSNDWLAFEYGEDITYFHNV GYGNYASPRIGFPWVNASFNILSSNSSQDVYVSFTHRELPPTVITALGLFNNSAFSGT DNVNKTMPTDEINYGRQWKSSDILPFLTNIAIERLSCDSYGYDEGDYYRVLVNSSPQP LEDCRGGPGDSCSATKFGDFVKGLGERFGDFVGACGAPKNESQVVTIYN AOR_1_1692054 MQSNDGSLLPEQPSGIETVGGSAGQFNQWTPGLTERQSNTGLNS QNQDSSWDGGAQSSTPDDGLYHPGRKTAGTQETKKSATDNGLASSGSQGAYGWSQDSG QPQSGSAAWGTAGTASGGQWVQGTTSGSTGSNAGKASIEAKSPQTSMTRSGLQATPTP SQAPTPYESSQYEGQNTWGNGNGGQWSSSSSLPQAGAGTPDDGMYHPEYSNTNGQGAQ QWTPDDGLYHPDEWSPSSTTKPTSTSSLPENTATQSSPPLSSSSTTGTPTATSNPSNS TTTSTNEAKKLAIPITLGVATAAMAGIILWWLYRKVQDAKAERKRMNTLEEGGYIQGP RLSFFKRRLATLRSYSLSKSATKSTPVAARSSLSISESPCKQCDGHSDASETSSLDET SSRDIEKLQPPEYSSSTESLTPEGHRRGDISMPYNTQKADTCARPRTVTPTSLPGVPE EPEESEEAKEAEEPSPQITPVDSKGAVEEVYTVEISFNPISAKHVELKQGQTAKILKE YGDGWQSDAAPTIQCLSIFILESIDQFGLGLGPTLLTMMRNNNRFSEPCVYVRQYHPH PSLTAGQAETQGRFVIMAYMEIMEAEAGNIRGTVGPWRLLPSASSISVNLPNPG AOR_1_294054 MRQSSSFTAGLLSMASLASLTQAELGKIQWKGLCDPTNATGPMI CGTLDVPLDYTDSTSNKTLTLDIGKWPAAKKATAEPVFVNFGGPGVNSFEGLGSYGKE FQTILGGHSDVITFNPRGVGNTIPFSCYSNDSSRELASLQAPNDGTASNTARGEIWAQ STNYAQACYAQNGENGSLIGTTFAARDTLQVLDALRGKNALLNYWGISYGTTVGAVMA AMFPERMGYLALDGVDNPAEYFNG AOR_1_1696054 MLLRLYQKLRGRNYSDPCLYTFPFSLYSIMVQFTIALALRDKSQ SSRELAKIKHRLVNLHRNENLSEDYLLHVNPKGKVPALTSKSIPAPLTDSLSISYWVC EQHPSLIPEAHRTTIQRLLSQLHHIQAENNPNPAVDDLLARTDISPEHRRALEYKRDC DRKQIEPDLDNGYEDGMTDQARQLFSKVLVEYQKFNHGGMWIFGDKTGPTVLDAHIVA FTARLIDIHLEELVPPQLQTYAKAIMELPEWETVMQGMPTVWNPSLGPIDQL AOR_1_298054 MVVVRLGQLSDFTQARYVIHLSDKKRLVLFRLPVIEPSKKVDRA ANETDDGWTYYSMEAECPHAGGPMQDSQIDIEDSAYVASCPWHAYDFNVETGESSVGI KACTYPVDVRGEYVTLMYNTEDGSEVSLVKLEPVSEKFKAKKNRGSKNKTTPQEEDPP AQQIESGPAKYLDENATVCDWCAHILNTANPEHKIELTHHLFSILTEKEASSSPMPLG RGSVSPPAQPPREGLSEVQPWAIPKAGKGGTLKSRIAMLHALANIELWAIDLAVDICI RFATFQTNPDSPGGSRELPRAFFHDWLKVANDEAKHFSLLRARIEEMGSYFGALPVHH GLWESATMTAHDLRARISIIALVHEARGLDVNPMTIDKFRRAGDTESVQSLEVIHNDE ITHVTTGHRWLTWICQEEGTDPVHVFRSNVRKYFRGYIKEPFNAEARAQAGLDGRYYQ NLEGIPLA AOR_1_300054 MPTVDPQIFRRFKLDSAPKRRAQPQFSPTGRPIKQSRKTHTKSR NGCATCKRNRVKCDEGRPICGRCSKRQESCVYEEKPSPELRDKVGLQEIVFCDQLLDN RAEHERLEWRIETDLTYSLINNILSTGSPHRPPRGTNIPAAAMTPSTHLTQHLPKCID MSFQSYPGCKLFHRFVLPNCAATPALMHGLLALSARQLQHLQPSCRLHERAYLFHTQV ATRLLNQELTQATIAAKNLDFIFATCLLINMISFATDETIPSNSWLFMSDSVSIDNAL NWFMVQQGMGYLSKILNRNPNGSVWNTELDADTSCNPQFVIKDDLPSYSDLELIPQTL AEICCITADNTPDNNSYYTPLVLLSRAFRIKSVGFGNLNSYLSFGPHVTQSYRLLLRQ KDERALLLFMLWLMLFEEETCWWIGARTRNEYTAVLWLLSRSEDQRIREVARDPSVFV RSNASV AOR_1_302054 MVNVAIAGGTGDVGRTILEVLKESTKHQAFVLSRKSSTEFPNTL VADYNDIDQLASLLEDNKIHTVICAISAEVDSLESAQLNLIKAAARSQTTKRFVANGF AIPYPKEALEVLPQLKVYFDGLEELRKSELEWTVFHIGMFMDYFATPALKSYLKPHIA ALDLENKVAAIPGDGKVPVTLIYSFDMARFVVASLDLEHWEEESRVVGDEITWNEFLV LAEEARGSKFEVHYDDIEKLKRFEITELPAQKALYNRVPKETFQWVTAIFERFTADGS SHIPKTGSLNERFPEIRTLSVKAMLNTYWKSG AOR_1_304054 MSDDTAAACKVCAKESSNDITLKRCAKCKTQWYCSRECQKADWK THKKTCGKNADETFANTTSTGGARPRNLEIFIEKPFHQLHSMKWLHERPEKDVYKLLI DTYRMKMEDQYTIEGEVDEDSIYSGRPDSRDGFCRFLRLVEKKHGLLPPWWSPEKAKA CVAYGLNKDNWSSLDCCAEKGDFVEHYGDPTFPMQMRMFGEQIYGRGPGGQPGFQMMQ MMMQAEKGEIQTSLLNMRR AOR_1_306054 MRLLHTEESHTGNFEIIEFTDDRIPPYAILSHTWEGEEVTFQDM HADQLHTRQKKGYSKIQRCCHLAKTEGFEYVWIDSCCIDKTSSAELSEAINSMYRWYQ DAEVCYAYLADVPSKEFKESRWFTRGWTLQELIAPRKITFLDENWKELGNKADLQQAI CECTRIPIGVLSGDEDIESFSIAQRMSWAAERVTTRVEDRAYSLLGIFGVNIPLIYGE RETAFIRLQEEIMRISDDHSLFAWTSADNRGGLLATSPAAFIGSHNIVRFNPFDPFNA PFAGTSTGINLTVRFMGIGPRGLGLAILHCKEEGEGERLIALYVRDSEFLTMERFERV CSEGFNQLDLRKYRPSQYPMRQMIIRAGRLARHRKSKGCGKCDGITPEIYSDAKLMTL MEFENPSALLQAAERGLEDIVWLLLTRSDVEGDCRDDEGRTPLWWAAEAGHEAIVKML VEKGIAIEGRDRDGWTPLTIASKNGHEGTVGLLLDKGASIEMQDGEGRTPLILAAWTG YENIARVLLEKGAVVEKQDQAGRTPLFLAIWAGYENIVRMLLEKGAVVEARDQSGKTP LLGAADRKHEAVGRVLLENGADIEARDAHSQTALLLAAWHGSDTFAKMLLENGANIEA RDKQDETALFLAVRKGHIAIVKLLLQHGAEANIRNSSGRTPIAIAKLEGQKAIVDWPF SSAQAT AOR_1_308054 MPGQRWLNRILRGLAFSYVVMLQGGDCTAYNTGNKNIGHQSFEP TARVLNGTYYGVHNDHYGQDLFLGMPYAQQPVGDLRLRTPQSLNESWTTPRNATEYSP ACLGYGQTSGASEACLTLNVVRPSGASPGDNLPVAVWIYGGGFIEGSSSDPRYNLTFI VNESVTIGTPMIGVSINYRLHCWGYMWSKEMKEEGIGNLGFRDQRLALHWIQENIDAF GGDPSQVTIWGESAGGNSVGTQLIAYGGRDDGLFRAAISESGSPSTYIPYQTPEKWQP YYDAVVDAANCSSASDTLQCLRSIPTEILVSIFNNSTIIPAHTLSGVEGPQFVQVIDG DFIQESATLQLEQGKFVKVPYLIGANTDEGTSFAIRDIDSDEQFREVVSNWGLDNATV DILAALYPDIPQIGIPAIMPGRPPAGYGKQYKRVAAFQGDVNVHAPRRLAAQAWARHE VPVYSYLFNVVNNLNGPYAGADHGAELPYTSGILDLFTEHEVETLIAARTPISQDTSS LFVGREDAAAMDVALAIGAQVEGSPEDTHFANSYFHRARQVAFADMLMVQNVETVRLF LLMAFYMLGACHRNAASMFLGVAARAAIILELHSSEAYSSALSKEDSERRAWIFGAGL ILGFSMFAGEPRRDVERLFDSALLLLDDIGHTSPQAQLYYQILTSFLEAVNKYRNRVA GEVYRTVQDYMDQILTIDAVMPGNSAAPPSGRQDVYPGWDDSWLAGAMQDVEASAIAL DPISFGTRGAQMFREPCNWGDMDTMQLEGGLIIDVEPFDQLFYTVE AOR_1_1698054 MNTRRNGIKRSIDLTTSILGITYASPFFICPAGGSKLTHPSGDL ALTQAAGKHDILDWVPNNSGCTQKQLANARAVSQTLYWQIYAMEDLSVTENEIKQAIA LGYRAFALTVDAPRAGKRERDVRLTIEEEASDLTEGDEDNGFASGPTIARS AOR_1_1700054 MPQKETCPTKVIRHYLDRTRKKFGGPLSPLGLFQSLSKGSPLLD PERLPAYIGSVKWEIKETADATATFFNAHRERSIPQGTLPTFHVQEKHNHISNVFSIG TADSAQGERLLEFMQSCVANIDQRIQRTISVISSLAQNVEHY AOR_1_314054 MAERAIPVISLKDFEKRKEEITQQLVDVAETSGFLTLIDHGITV EEIERQFAISKSFFDLPSSVKGKTPHSNVTNNGWEYKAQLRPSTGLYDQKESLWLQHR SQWPSDEDVPGFRNTTAHFMAKCAAISNQLFTCFAVALGFPSDYFCVANDVTKPDCLT QLRLIHYPPSEDAAGTWRAGSHTDIGCLTLLFQRDGEDGLEICPGRESHTSFAVGDVF TPLPAKTGHIVVNIGDMLMAWSDDRLKSTFHRVRAKDEGRSPSRYSIAYFNQGRRDFL LQGPQKKYPPKTVGEWFKESVERNFANKQTVVAK AOR_1_316054 MESCKKNLEDSADIEVGVGYNRPIGFADVAHAGVNVNGTRTSNA LNLLAEQRVEVDLESPRARRLLRKIDMRTMPLVLGLYTLQLLDKNSLSFAAIMGIRTD TNLSGSQYDWLGSIVYFGYLFGEIPAAFLMQRVPLAKYLGIMSMLWGTVVALHAVCHN FGGLAAVRFLLGSIEVCTTPAIIYVTSSWYTRSEQVTRVAVWYSTSGWAQVFGGFFSW AINQASQFKWQGLFIFYGALTFTTGVILFFFLAASPIDASWLSDEERIIALERVRDNK TGVEMWNFNWSQLKEALCDPRLYIVFLLMVATGLPNGGLTAFGPSIISGFGFDTNTTT LLSMVPGACAAIESYYTARYGGYILTMQYPNSILVVLAFITSGVGGSTKKVAFGASFQ LGYAVGNICGPQTFQEHEAPHYYTAKFTMLAFLIFTAILLASVGVLHWHWNRQLDNQD ALDIQNGVIHEHGVNEEFADLTDFQQRSFRYPL AOR_1_318054 MHDSFRAIVKVSYWISVLKTYATAIEVATLSFLRAKGIPVPEVY GWFSTINNPAGLEHIVMEYALGIGSDSHWCTTTKNHKHALVTGIVGMEKKLSTSPLLL SAAFISRKTFPLSSKGNCILQGL AOR_1_1702054 MSFMGIFNFHKHEIEKNIEWNPTGFQFIEAWSFPNVNEVTSWNF RHGCLESSQLKEWLQQTGKLSTPSYPLSEQPNGGIRLLICNYTLFERVSLGMSREDYK LVEAGLALHPATLSALEVNGGMFSRYSWYAGQQRHRTSIILKAPQKYEIANYMLSLTH DPASQWTTALLAGEDIIDLFPSMEDHRSELPFRSQAPGRTIRTGLAQSPEMWNNPLTL PCILVTDHLKRLQQYCTGSLTQQVMVIEEHLGVTTVGRRNQVPRFRGSTNRRLHSKDA DVLNLGIPVNRSQTHFLTVSINSKLTSILFTKLSPKWNHEASRFLLNIVNESSGEWRG QNLHDNQIRELIEHNVCLAKSIEDHVLCLQARMELQLDVLYSFVAQSDNRLNARLAAS TGRDSTSMKILAFITTIFLPGTFIATLFSMDMFDWKSAPSDGSSAVSSQFWIYWATAV PLTAVTLGGWALWWNFEKHRNQEQDMETGKLDQAYSY AOR_1_320054 MHFHRLLVLAAGLLTTATSAPTELFKRDAAGVVDAVAEIADKMT TLNTTVTGYQGGVLGTGTALKIEFQSIQLSHALKDAISTTEDSQNFTGDESNKVAAAF IDLQPKISSTLNNIVSKKPQFDTGLLGIGSVSFLVKWNLQQEKDLSADLGQAVVAKLA EPYASVAPLLNDQIAAAFEKALAAYN AOR_1_322054 MKFIYAVLLAAVTATASALPERLEARICGSPMCSNYVALGEADC PLDCMWGDCTKYQCINEGYDVICGKNGDSCAKV AOR_1_324054 MGCCCSRSKSSSSPSPTSPPNEMEDVRPTLQSLIAESDALLEEN RWVEARGKLDQAVQLSEQQQGPDHEDTLETKAILAYNLRKHGEYQEAEQIDREVHATR LRVSGPDHTETAKALNNIALDLKGLARFDEAFHLEEQALDIFMKVEGEDSRATQTSMN NLANSYHQQCRFGDAARLHEKTLELRVKTLGRDHFETIMAMDLLGVDCRELGQVEKAA RYQEEALELATNSLGEGSETTLRCSINLASTYQAFGTADGQQKALTLLERALDLSRRN LGEESPETVGTMNNLAVAYVKADRLDDAYPLLKAAYDINRKTLGPDHPKTRASEGNYN YVMEKLGLTQANIFGA AOR_1_326054 MRRPSLWVALGALASEVLSRPMDSRSSDTVKVHWVGDAPEYHAG TTFGLPWPQGKYRTNDTQFSISGPSNEQIPLQSWVTGYWRDGSIKWTGHAIPPLDTID SEYRVSASASRRPASNHSDTPSGLKVTTKADEITVNTGKLTASFPKQGNVIVGSITTS SGKVVGENGKLVLHTQSGVAEDVSARAKSSINYFNFQSNIENVTVSKDNAVRTLVTVN GKHQTSGSGDHDDWFPFVLRFYFYANSDSIRVVHSIVFDGKPEEDFITGLGIQFEVPL EGEELYNRHIRLPGVDGGYLHEAVQGITGLRRDPGEEWIPVWNDYKLSQLSPDGFTLK KRTKPGQAWINIPGGTRSSGLAYLGGATQGGLAIGLRDFWKRYPSGLDITDAGANKGQ ITLWLYSPEAAPLDLRPFHDGLGQDTYEKQTDALEITYEDYEPGFNTPYGIARTSEIF LHAFDATPESDNLALLGKYINEPPVLVPEPEYIKDTKAAGSYWALPDTSNEKSSTIEN HLDFLAKFYQGQIEDRRWYGFLDYGDIMHTYDEDRHTWRYDVGGYAWDNSELSPDLFF WQYFLRTGRADVYRFAEALTRHTGEVDVYHIGDWKGLGTRHGVQHFADSAKQVRIAQP LYRKYFYYLSGGDERVGELLEEAIDADKTYGILDPQRKVRTDGWTPEPGKPVAFSLGT DWAGLAAGWLIEWERRGPRWQEARSKLTGTARGISSLKNGFVTGSGLYAISNGTLLPP PTDPNNEGIVSISHLNAVFGMPEVVSELLEYWGDDAPDGLESAWLDYCYYYGATSAEQ KARYGKSFSGISLIQGHSRLTAYYAKHSNNVTVAERAWKEFYNNTDGFTADESWVSER VNGSAVLIPVDEATWISTNAVAQYGLAAIQDLALAGDALTQSPYGA AOR_1_328054 MSYATEHGEYRLGADVGGTFTDICAFTPDGQIARAKVPTTVEDQ SIGIKNGIQKVRQQLKDRYSWDGKFQFIHHGTTTATNAVLQGKGARTGLIVTAGHKDI LAVRRSQIPGGLGAWLHYTPPEPIVPLERVLQCQERMSVNGESVIAVNKDALRAELKA WGKDRPEAVAVSLLNSHCNNEHELLVADIVREELGSDIPIICSGDVLREVGEYERTVT TCTNALVKPIVQSYLGNLRDLLAEDGNTIRILKSDGGLTSLDLAGELPVNILMSGPAG GVQGVADVVTRNTPYKNLITFDMGGTSTDCALIYQGKPRLRRETVVGDLTVRSPAVDI RTVGAGGGSIAKYMGITETMRVGPESAGASPGPACYRKGGIEATVTDANLVLGYLPEK LLGGEFTLDVEAAVAAVDTIASQMKLTVTQTAEDIINLVNETMYGALRLVSVEQGYDP KDFALVAFGGAGPLHANAVGKLLGAWPVIVPPSPGTLCALGDATTRLSHSQSSSYIHL LSMTLPSEVKARFDELKTACRATMESSNGGHPMELNISYHVDLRYKGQALNLTVDLHS EDLSLDHEPWKALLQAKFDQLHEQQFKYCLPNFELELMRLEVVAVDASPSIELPRLND VTSNKPPAEAMVTKKDIVIEGKTLEATLWDREKISYQGVRVQGPCIITEMDSNTLILP GCYGEIDAIGNILIRPDGDQQREQPKGQTAEEAEETVRSTPLIPTLVASALASIRSEM DTLMLRCSMSPAIREQQDEFNVITTADGKMLVGQFGSFITQFLKAWREPIHEGDVFIT NDTYMIEGAVTHLNDVIVLLPIFFEGSLIGWASQFGHLTDVGGMVPGSMSINATSIFD DGVQIPLIKLYSKGVMNTDLVELLCRNSRQTDWYRSDLMAIIAACRTASSRVCELATR FGSQIYLAACSELLLRNRTGMAKIIETDFDDKPCTFTDFVDDDGHGVGPWALTCTMKK IEGNRLLFDWSGTSPQSEHSINFYLSETMFKMFIGYYMIAAAAPGTVINDGFHDLIDI YIPEGSVLKPVRPAAISCRTHLLGRTMDVMQALIGKKNKLYAAAAGFSHSPHFFYSGY KPDGEWYQLYQIGFGGVPARNAGDGLDCHCLFPAIKSIPTESIELNYPLRIEANESVP DSGGPGYYRGGNAQRTLYRFLCRGEFSLHDDRWFTKPWGIRGGKPGSRSRKILYRFSK SRENPPVEILPSKCDHIRVDPDDLLEWVTWGGGGLGDPLTRPAEKVALEIRRKLVTID GARNNYGVVVDPDDLSVCEEETVALRKSMKDARDTQGQVPEYDRGGSMEELRDSCLRE TGLPAPSPQWELDPYGPHVRLPYVRDWFTRMKEVKGWELN AOR_1_330054 MAGSSNPREPARFKFLEVFIPLPKEYHTFYDKLEQMKDRLTAHK SQLDQTTPLNPGYYDLYFKVIEDENDETLELIRKLEARKKKQNIGEIIRICVPEDVRV DWDGMTPAQEKPEDGRKYVRYQNLWYNEGFLTCYNAVMLMVNGLLEDAVRMQEAEVRR RLQNPNPYCPPQEPEWNFFIKEESDDGHT AOR_1_332054 MRLLLPFLVLPLATLDVPPPQDVSAVNSVAALRLAELNKPKGDF IRSCKDVKLDGKKDDMHELIATCAAGNGTEITSKLDLSYCYSSLSAGGNNVNPKKADD ATCSKCKLLGPTLLECICQRKPGNGRTIFLLDQELWNDHGYLRCEKGKGQRI AOR_1_334054 MQLTLLTTLLVATSALAAPQIDNLVSQAEGIAQTAVNGGQSIAS DIASAATSIASAAETGVPGAASSITSAAGAAASSAESWGSSVASDAQSRASSIASEAS SKLSDSLTTLTGTNGQPTSTGLVSTTSASGTETTTATSTSTTGTSSSSSSSSSAGSAS STSSDGAGAMPTPFSFGAGVAGVAGVLGVMAAL AOR_1_336054 MPLSPEQIQLIKATVPVLQQHGTTITTVFYNNMLTAHPELNAVF NNANKVNGHQPRALAGALFAYASHIDDLGALGPAVELICNKHASLYIQPEQYQIVGKF LLEAMGEVLGDALTPEILDAWATAYWQLADLMIGREAELYKQADGWTDFRHFRVAKKV PESSEITSFYLEPVDGKPLPKFRPGQYISVQVFVDSLKFPQCRQYSLSDAPRSDYYRI SVKREAGLNTAEPNAPAHPGYVSNILHANIKEGDVVKVSHPFGDFYLSDADSPSPIVL IAAGVGLTPLTSILKTLTSNPPDAPQRKIHYIHGARSAATRAFKKDVDSLAEKYPNLH ATFFETHPAAEEKQGEDYDHQGRVDLSKLDKSKDLFLDDPKTEYYVCGPDRFMTSTRA ALAAEGVSPDRIKLELFGTGGVPA AOR_1_1704054 MKFMNHGARADRAEHSGSTPVYSSTQKQLPMLHLKDTARNNVIA VIGEFVGTFLFLFFSFAGTQVSNTPKPVDGAPPNTANLLYSALSFGFSLMVNVWAFYR VTGGLFNPAVTLALCLVGGLSPIRGVLVFAAQIVAGIASAGVVSALFPGDLNVGTRLG GGASISQGLFIEMFLTAQLVFVIIMLAVVKHKSTFLAPVGIGLVFFVTEMIGDYYTGG SLNPARSLGPDVINRSFPGYHWIY AOR_1_338054 MAKMESQAAVQAHAGADEAGLADPGDIQLLAKMGYKQELRRQYS TVQIFAVAFSIMGLVPSIASTLAFSLPAGPAGMVWGWLTASIFIFTVGLAMADMASAM PTAGGLYWWTHYFAGEKYKKVLSFLVGYSNTMGLIGGMCSVDYTLSLMLLACVSITRD GNWSASNGTIYGVYVGLIIIHALCGIYTGKIMPKIQTFCIFINVAIIVATVIALPVGK VTRGEKLNSGSFVYGHVDNLTSWPTGWAFVLSFLAPIWSIGFFDSCVHMSEEALHAAK AVPLGIIWSAGCATVLGFFVLSIIAACMNPDVSATMNSVYGQPMAQVYFDALGKKGAL GFMGVLIVIQFLIGLSLIVAASRQVWAFSRDGALPFSGYFRHVSKRVRYQPVRAIIGL VVVCIIFGLLCLINSVAANALFSLFVASNYVAWGTPILCRLIWGKTRFRPGEFYTGIL SRPLATIAVVWLVFGLILSMFPSTGPNPSAQDMNYTIVINGFVWIAAMTYYVLFARRW YTGPKMTIDAPPSATDSASGDEGRVEQKAE AOR_1_340054 MLRDILQGLQDTLKASTVSYGVINIVLLLSLVFILVVQNGNTST TRKLKQLQRLGLSISNMTDQYDSKYNEPEGTTSKGPVRIKAICIHPIKSCGRIELNRA LLTKTGFKYDRCFAFATELGKNNPAMESKWRFISQRTKPTMSQIKTELWLPHKESNQR DPLVQAGGCVVVSFPDPDGPGCFNYLEKFFHIGNPVAKPEVRFIVPLQPTPAMINEYK IQFKTFGIHGRDAKGLDMGTIPSVAEALPKLKKYLRIANDQSLTLLRCTPDTLVRTDE NLAPLEHIGTPSVHGYTDQQPININSLSSVHAVSTLLPKENQPLNAFRFRANLWITGA PAFDEESWKRYRILPKGPDAGPRADVAPTVSVVCRTSRCTMPNVDPETGKPSTDNPPP EKKRGKPQPSATLVKFRRVEDGNKSALGYIGMHCVPEDRALRMAEEQEKGLYVAVGDE IEVLERGEHLYGSTGNDY AOR_1_342054 MPTQVSNYESNDAFEVPDRTLNDDDLSEPEAVAKAQDQELNKPQ TMIAGVLEADEVATAKAHVMKFMTDGKKEMARVKIERPLMSQPAQETLINTLGNAPKI NVLGLPG AOR_1_344054 MIDKTVTPDCATASGLFFKHPQNPKKKSNLHATKQPRSVERYQI ENIRSKSTPNLHTSDIPLEVLHSSAIKIMIEDPSAPIRPPSENKPSEDGGFTVHCKDL KWLPLAPKVFIKIIKTVPETGEYSIMVRAEKGGVLPRHRHLDSAEIYVMKGSGAHPQT GSFAEGDYVSESKGATHDPLVFENDTELLMVSRGPSMFLDDDGSDLYMMDVAMLERMT AGAN AOR_1_346054 MGIFDYDFLYSQLFIRPAYPTTSFTNQTIIITGSNVGLGLEAAR HFTRLGAAKVILAVRNRSAGEEARQSIERSTGTTGICEVWDLDLASHESVLAFAKKVA ELPRLDVFIANASIATGTFQLAEGHERTITVNVINTILLELLVLPTLRKSARLHPGTK PRLTTVVSEVHAWAKFAERSAENVFKALDDKEQANMPERYELSKLLQVLLLREMVAQG AGDSVIINMVNPGFCHSRLGREMGLAFALLQMVLARSTEVGSRTLVAGAASGEESHGA YMTNGKVDNGALSTFVRSEEGKKTQMKLWAELVEILEALEPGCTRVV AOR_1_348054 MATYIVTGSARGLGLAMVKELASREPTDVSLVIAATRKSSTALD EIVARDSGRVVFIPLDVSNEASISSCVEKTGSVVGQKGVDVLINCAGVHSWLEGKTAN MSDLDYQLSVNVVGTHNVTRAFLPLLKIGKSKKVANISTVYASMAQAEMSSFANCPAY KISKAALNALTVQYAMSYKDEGFIFLAVSPGWLKTDMGGDDAHLTAEEGAQAVLNVVD KAESDSNGCFKNIYAPGWDMYDGKDIPW AOR_1_350054 MSLKVIIYAYLARNTVEAPVEGASDRNPLTPATVLVGQQTDFDF DAYAELTFADQAAFQAFGAKLYAPDAAAQIAADEEKWLDKSKLAMAMLGDVIETTR AOR_1_352054 MFRLPEESVFRLEGAAADLQSISEPEENAPAKRRKLDSHRNTEV RNECNPAATPDNSTHHAQQAKLVIQIEVDGRRLGRRHLSNERRRIFESAVKLVDRMSR GEHLALHESIAPGESARELLDIEIPQTPPAEILYMLLPEPVMGDGLHHIRWPDHISDK AFERMMSSLLDGDCRGRLFYQYSICVYVKAMFHLYQLARRSTNPSVKKQLQKSKRTYE AAALQALKHINLMSTPSLSLIQALLSAALLMQHTTNISQCWLLNSYAARQVVSLNYHK PCPPSQSGCNDEIQSAVYWCYYLDRTTSALLIRHPSLPELHAPPTDFITTDMSSPYNR LLFVLLDLAKVQGTLLDITLNDGDKSRTIALYPAAPFFVVFCNIIATSDETDYRLVQQ IIKGLSQFKATPYLARLLSLLTSLLRLCDPLFQNMSYQTRHSHIAGEGSSSSHTPHNH VPNHATSIPTFETELAESSGTQLSSTAYATYMSADISNRGVGDTSYPMADDLMWQLVN SQFPYSLLEADTIFDIF AOR_1_354054 MDRFMNILRKPGAKPEIQGVAPTQHLAGKETLDHPNAKGYIPKS KPKMLDRWLDFVVRVSGSEPVFFLILAGLLTWALLGIKYGSTDSWQVLISDIQAIVSY IFDSFLVRQQLNAYEEEMVVAAELESRILSHKRMLAKLHQTLEAQDQEKTTQLVNLVK KHQNALEFGTELPTETRFGRTITWISHVIGHLGTITLFWAGVFTWIALGHRSHYSDKW QLYMNSASSALMVFIFAFLANIRERHAAYTRSCLDAIFQVDASLEAKLRHLTDDTLPN DIVIIPAPRVSKIQRAIFYYADFVGTLVGIAILVAVIIIWIAIGPLLHFDSNWWLLIG TYAGLIGMNDGFVLRNMQARLRCYVDAEFARITAQDATLFATAGIPAPSDEVVRGASL TRRVSETMGRVCAHEITVVLGFLTILGLIAGASAMRWTMTGQLLCNVPPSLIESFFMI VLVTGHNSADDRIRVDLRNTYARRLQLLGFVHAVQSVW AOR_1_356054 MTVGIFPAAGGLGTSIINHLVKRIPADQLILIARKPDSLAHLSR LGAPVRRADYEDPSSLERVFDGVDVLMLISYASFEIQYRVEVHRNAIDCARRSGVKHI FYSSLAFAGDLTDSSVAHVMGAHLRTEQYLADLQAQSHITYTAIREGLYSESFPIYTA WFDLAHPVDEITIPHAGSPPGVTWAKRDELGEATANLIASYVQDPRSFPYVNRRLLLS GPREYSLQETVEILGRAVGRTVRIREISPDEYAALSTHGTKHTYHGINLAREWATAWD AIRRGETAVVTPLLREILGREPEDYETTIRGLAREVRTQEAS AOR_1_358054 MAYTRTDPSFTLSDDERMYMSHHSPMHRPYDTFAAPKGPDPLSA NWNYDSAIDLFSLNTMMPENFALDVPNEPMGVDPKDFPADFFAPPPDISGFTISNHSG EDAGSITSDLESDDQSWSPTYAAPAEMLPAPGRQSTRRKTTPAVKRETTWSSSPELAP QEYPAHTSPQTTPTSPPVNRKMTRTTSVDSNASTGQTTTATTTSGRNAAKRAAHNIIE KRYRTNMNAKFVALEKAMCGGVQKSNKSGSASLKKSEILTNAIAYMQELQEENKALQK ELALFKQNMVPSGMWRHTKGAETFRA AOR_1_360054 MSKTTSSSTKSNPTTTTTATTAATGTTSTTKSTSTTYQTPWNHT ELDNISRYRESPSWMEPYYATERMKDREGHRRRIGDIIKGVEGILGLEPRRP AOR_1_362054 MSHLTYYNYPGVGERNRQNFKYSQAVRISDRIECSGQGGWDPET GEFHKEINAQIDQAFANVDLALKTAGGKGWAQVYRVNSYHVPINNEALEAMVRNFRKW MPDHEPLWTCVGVTRLGEDDMRVEIEVVAHDPK AOR_1_364054 MQVDSAVNTPLEHVKNVDGVERRCSQWRVPCQYSVAEDGRRPAS KTYVLLLRQRIESLERLLERHGIDARESNVPEQLPLDKKSGMNGSIRHDDASSDMDSL AENFKGRLALDESLNFDQDGEMRYFGPTSGRLQFQGSSSNRVSIDGSAFSVSPPDQVS DSYGYIDPIDPITAGMGVPKDVQDHLIDLYFRWEQPWYAVVDEELFRESMNNGGRYWT PLLHNCILALGSRYSDRVDVRSDPDDPNTAGKSFLEEAKTQLHREMERPSLTTIQALG LIGMVYIAMGADAAGWLHHGMANRLSLDMGLNLDPAGFEETNAMTPREIQLRRQIYWT LYCHDKLSSSYTGRICSMLDSQGAVKVPDDDPVPNIEMSAAQKAFRPLQRAMISVCRI QERITLSLWAPKPLLKEHQRPAFLKSCLLDLRSWFYDLPTELRIDRANDIPQAYTLHM VYHTARILLAKPFIMRENPPPKAQNETTDLAHSICRESARSICLVAQKYRHTFGGYHL SPITATHCTLSAALVLLDETENLNAPSHKNKLALCLTVLDELSKSWYPAGHIGHNLRK LCQSVISDDTFSIEKGLYPFETNPSPPLDLGVELPNVIDEIESNPSVNPGNALANQLE LSVPMESLPVDYGFFDILNQINWERMW AOR_1_366054 MPTPPGPPPPPPPENPLSKIPPIVLKLRSTPPKHFYQPPNPHPT INQSTTPTGPYFFYGTLTDPNMVAEILNLDHEPKFRPAIIQGYECKMWGQYPALVDGS DTIVEGAVYHVQTAEDGMKLAAYETNNYRSESCIIKYMDGKEPAEEVGYTFKFVGNLR DLHEGRFELRAWLKLMGREDAVEKLDDRVSFV AOR_1_368054 MASTEETRAIPLTSYETALCVVPPSHLTGDIDRLRALYDKAHGR WPPHANLIYPFVAPEALPQASKLLQSVLSNRREVDPIRLRLDKSDFFAHKRSNTVYLT DSGSDGLKALAQLQHDITDAFGGQSRSSGRLHLTVGQSEADDLAERQFLLEKVGLIPA VEWEVEELVILIRDRSQGLDTASSPMVVWGAVSLSGTPSPNPKALEYLSPSSLPARSE TTFQFSPSQDDSEEGKWNAIPKSPAPTGGKLVDSPVTVASYNVLVESLHPPPTERYPL LLQNLLSDAASANILILQEVADDFLSFLLRDKKIRLRYPFATHGPPDQAEIGPLNSLR NIVVLSRWQFRWEWLPFDKRHKGAVVLQLEHLGTFHDSKFLPLVVTGVHLSCGLIDSS IMAKRSQLQTVLKYLSGIYPNNHWVVAGDFNITTSSYTIDTALKRKSISAQGASVLAS LDGMLTDAGLLDCYYASRAASSGLGNPQGRLDLGASYEGEEGATYDPTENEHAARIAG QSFHSRPQRYDRILVRGVEFEVLSYNLFGIPSGDESQLASDHWGVRATVKLNGPRSGG LESEKALITVEKAPLNLGGIDGLKGWLKGYLAFPSNEEITQRQEAFELIKELVNRRDA NLPAGTRLDLLFEVVPVGSYGFGVWNSSSDMDILVIGQVSPRTFFALMIAKLRRATDS DVVLLRKVKAASGIMLELEVRGVRVDLQYCAATRVVESWPQALELPADHSTFDLPMQS LLKLNSLRDMHYLQRTIPDLASFRLAYRFIKIWAQRRGIYSSKLGYLGGIHITLLLAR ICTLSFRQAGTISAADIITTFFKHYAQFNWEKQVVYDPSFYKSPPRYFRPQREPLVIL SQHQPKVNVARAASIPSTRTLVQEFQRADKLLSQQDVTWEQLAGSIENSTGADEFLKS YRSYAKVNVQYWGGAATKGRMLVGWLEWRCVSLLVDIHRKFPDIHARIWPARFTDMEE VGETTKEYQGCYLIGLTRESTPGATPLSDADRQSAHISLLAVLNSFAEQIREDENYFD SSSSWVDVSLVQPSALSGLRVDISNWGNGAYDEASFDDDEDEIELEDEEDEELVQARL RTMPIRGTKAVAMPEGAKLRSASDVLNRLRWDAEFDIENYIVGYDDRFLGEREMPVGQ WKADLTDEAFIPMHRILYFKRKSDGVKVWDRETRTDLLFGSGVSSKVQE AOR_1_1706054 MDTLKATLLKSWSRLASILGNPSQKAPLCRLNHFRNTEEPDLYT TGGFHRVSLGDTFDHGRYAILRKLGYGQYSTVWLAQDFKHKKYVTLKLLRADCYGGPH DIFEREILSKISDMSRNSTHDGARHILPLIGDFTHTGPNGDHVCLVFDVLGHHLDFQC AKYEDGRLPVRAVKLIARQLLLGLDFLHRECGVIHTDLKPTNILLELENPDRVISRYL EKVPPLMDTQGNAEVPLREVITTPLISEMEAPRIRIIDFGVASWRDNHLSEQIQSSAL RAPEVTIGAPWDTGVDIWSLGCLIMELVQGIVPFSGEASERGTWTAEDDRLARTIEIL GPFPLELLRKGSRTPDLFDEKGKYSST AOR_1_372054 MQFTSFKALAIFAASFFAFSAAAHPSCETGAVWADPHDCHSFFE CAAGGIPVRKTCGPGTAYNSRFGICDYEEKVRSCHGHGPVGHDESSEGHGHQWKDHGN GQSEGHGQEGKKDSKGQSSEGHGQGTQEHGSGEQEHSEGSH AOR_1_374054 MNMNERLDAIERHLQALDPKLWGATDTPASSGQVKDLERRVEEL TARLETVTAQTSRPSGDMLYPMDHTRVGVSEYGRVGRVEAGRAAVTRRKGGGPTRIAF KQRFDRVPHVQITPERFGGPGTGKFENFWLYLYNDGHPCADQEGFNVGNYISVGQTVV FRWLAVEIV AOR_1_376054 MTPFQNQPVPVQQQGQNHVNGQPNMAAQFPHHGNRPMTQVDMTA LQVLNTFYATRAQQLVPDTMAQQQAGMAAPPRQGVNSANVSGAQQAPGTMAQPPTGMA APHGKSVNLINGIVQQQVPSTMVPLQAGIAGPYGPPVYPINAVPQQEVHGTMVPLQTG IAPQYQHGTHLPPSQGLQFSPQQHARMVQIKEERMKEAQLLEQNVMAKVNQKVAEVNQ KNEMLHQKIEEVCQENKQLRQGMQSFKRRTERRMCNRIKGVEKRAVERITHDVQQKIN LWLQSSEAVRSPGLQAEIKELTSALSKAERRIQDLEGWAVLGRRFLYEVFFSEPQGYV EEES AOR_1_378054 MRLTISAAVLPSLLLLPCFLGDALAHPRPDPKTAWVRQGRRRKS SPRNLQVHSSMLSTCVESNATVIKAPKHNVWEGMTDEETASVVKWLFQQPTLNLTVTE GAGEWDNTIALVELMRPNKTDVLSYLDHQGPAPSRYAHVVLDNRATTDPHYADLLVGP LAITNQSTPSWTPLEYPYTRKTHGRVRNLDADYSTIYSEWLYKISASIADITLDLFNG TALGLDNDTLDIWGIDPLWQDDGRIIRWDTFWNMPTDEFDTGSILPLGLFFKSDVTGR DPSQWKLEGWLYNDIFYETTEAFRHAFFSTGFVKLKPNTEGPWAQTDQRGPILPQDKQ QSPLMVAPSGARYSVDLDRKYVTWMDFSFYISFSRDTGVSVFDIRYKGQRVLYELGLQ EALAHYAGNDPIQSSVAYLDSYYGFGPYAFELVKGYDCPVYATYLNSSFYVSETTHTH IDSLCVFEYDADYPIQRHSTSDYVSSTKNVYLTLRSVSTIGNYDYMTSYTFHMDGTIG VEVRASGYIQAAYYAHNEDFGYRIHDALSGSMHDHVLNFKADFDILGVNNSIELTTVA PVTRTFTWSGGRSRNTMTLERSILSSEDEGRFNWGPNGATMMHVINQDARNPYGEYRG YRVLPAAGTAHLTVQDSSNLAHAAHWAEYDIQVTRQHDHEPRAAHAYNSQDIHNPPVN FAEFFDGEPLNQTDLVVWLNLGMHHVPHTGDLPNTVFTTARSGVQFTPLNYLAGDPSR QTVNMVRVNYANGSATEVKTFGQAEEVCTVPITGIGEELWRYQGDVVVRKFPYNPNDP YYEMEGDA AOR_1_380054 MAPLKLNNKNLSQIAAAGETQVKVPTYKRGGDVKEGIVHVGVGG FHRAHLAVYVDQLMQKHGVTDYAICGVGLQPFDAAMRDALGSQDHLYTVIERSAKGSF AHVVGSINSYLFAPDNREAVIAKMAHPDTHIVSLTITESGYYYNENTHELQSEHPDIQ FDLQPANEKSPRTTFGFLYAALARRYQQGLKPFTVMSCDNMQKNGSITRHMLESFARL RNPEIAKWIAEQGAFPNAMVDRITPQTSATDKTALADNFAIEDSWPVVTEPFMQWVIE DQFSDGRPPFEKVGAQVVKNVHDVEEFEKHKLRLLNGSHSAIGYPGQLAGFKYVHEVM ENPLFSKFVWQMMQDEVKPLLPEIPGVNIDEYCKTLIERFSNPTIMDQLPRICLNASG KIPQFIMPSIAEAIWVTGPFRRLCFVAAAWFHYINGVDDSGKKFEVDDPMREELQAKA RAGGTSPAELLSIKSLFGDDLRGDKRFLQEITKAMEDIARDGILKTLPKYID AOR_1_1708054 MEYDEIEMVHGYGEHKLPRNIVRRPSPSRSQRSQRKQGLERYLG FLPMLAFAATSQASWEAIGASMFAGLENGGPVALIYGLILAILGSLGMALSLAELASI TPVAGAQYHWTYDLAPFAPRFLSFMQGWITIIAWWANTATSPFLIGTQIKALAVQNNP SYNPKPWHTTLIIWAVLLIPLAVNIYGRRLLSAVEVIGGTIHIVFFPAVLITLIVLGS RNSSEFVWTYFENSASGWHNDGIIWSVGLLTAVYTFSGFDGVVHMAEEVKDARRTIPR SMVYSVLINGTVALGFTIGLLYTMGSLDDALNTPTGYPLLEIFYAATKSNAAASGMLM MFILPGFVALLNGLASVTRLTWAFARDEGLPFSSYFVHISSWHKIPLRALFLVSTIIV LLAVINIGSTTAFNALLSLATLGQYISYLIPIIFLLIKRIRAPQEVRWGSFRLGKWGI PLNIFVIMYGIYIVIFLPFPPNYPVTATNMNYAAPVFLAVVFFAVIDWFVRGHKCWHG PRIKVTAD AOR_1_1710054 MPISTALRPPLEEKSVDNNGPLVSIFTFIPFSVTLIVVIIKTWS MVYLKRVVLSVDIPIWAGTIVALVQSLLIQFAVDHGMGRHLEDLLSTTFHTYNKMTYI AQILFFLVLTLTKVSTSNLIRSIDPTQSIQRYCRITETVIGGWTILAVFGYVFQCQTP RWQYFPSHCLGEGAIMYPIMTINMLIDIALVVLPTIMLWNVQMPLPRRLKIISTFASR ILVIVVDGFQLPYLEQYLHSTDPTWTIFSFIACNQYGFSLIIALPKVMMNANIITTCI PNLYRVMNSLALKMNRVQIPEELELSSSQRSNWAEPGSRVVSPMGPSSQAGKGVIEIL RFPRQASERESGTAI AOR_1_384054 MAPWGLTTFALITATISVLIKPTGHPTFRENVKRNVLLFSPSQS ALLVAVMLLDLVSWRLLNLVEIHAGLAISCHYYFTSDSSGLERKLWWRLTRRAFLIGG TVPLYVTLLKSENSPWMKILAMPLVADSILIELLSLFWDTSEADLGFNRDWPHRTLVV KTANPPTRKHENKGDADQNTKTVEDKDTPSSNVTADGLCDRVYGLWSPTAEVDDKKDL QSLPPNDSNLERIFSPTHRAPLGKCGQGHWRCLTYLAMYIAMRVIRWPLMFGDLALIT WLLHGGLQPLTLTVADMLLNIRLLKDLLTISYMACIVFLGNILIFVAVRLLFRQLCQY ISFLRRVTQWFQDLPSVAPITYKAIHLFQWIIAIATVSHLSVILVIAVIPQLSRNILS AFMELIVIPMFYITMYMLVCGREKPEKAPANPEPRLRADPAPEAGPCPNITSQDHTND ADNDASKSLQSANGDRFNILRLGLLISTTAIWFLFC AOR_1_1712054 MAHHVSSVAWSANRLDVFGIGTDNACWHMWWNASSWGGWESLGG VFSSPIEAVSWGPNRIDLFGPGTDNTCYHKYWNGSSWSGWDKHGGVASSAVRAVSWGP NRLGIIVRGTDNACWHKWWNGSSWAGWESLGGKINSCVETISWGRNRLDLFTLGTDNA VWHKWWNGSSWGGWESLGGVATSPVSAVSWASNSIDLFVKGTDNALWQRWWDGTNRGV WESLGGVVTSDVKVASWGKDHLDVFVRGSKNDAWHISWHNGKWGQWGRLGDKTLFSAI SAVCWGVGRLDLFAVATDKNALLHQAWDGKAWRGWESCGGILLSQLRNV AOR_1_386054 MFFSRGALSLAVLSLLSSSAAGEAFEKLSAVPKGWHYSSTPKGN TEVCLKIALAQKDAAGFEKTVLEMSDPDHPSYGQHFTTHDEMKRMLLPRDDTVDAVRQ WLENGGVTDFTQDADWINFCTTVDTANKLLNAQFKWYVSDVKHIRRLRTLQYDVPESV TPHINTIQPTTRFGKISPKKAVTHSKPSQLDVTALAAAVVAKNISHCDSIITPTCLKE LYNIGDYQADANSGSKIAFASYLEEYARYADLENFENYLAPWAKGQNFSVTTFNGGLN DQNSSSDSGEANLDLQYILGVSAPLPVTEFSTGGRGPLVPDLTQPDPNSNSNEPYLEF FQNVLKLDQKDLPQVISTSYGENEQEIPEKYARTVCNLIAQLGSRGVSVLFSSGDSGV GEGCMTNDGTNRTHFPPQFPAACPWVTSVGATFKTTPERGTYFSSGGFSDYWPRPEWQ DEAVSSYLETIGDTFKGLYNSSGRAFPDVAAQGMNFAVYDKGTLGEFDGTSASAPAFS AVIALLNDARLRAGKPTLGFLNPWLYKTGRQGLQDITLGASIGCTGRARFGGAPDGGP VVPYASWNATQGWDPVTGLGTPDFAELKKLALGN AOR_1_388054 MYFANTLSIFLASVTFYHQCAAAQVEQEQAQALRAPILASREFT PIEEAGLEKRATCSDGGQCLFGQCCGTGCSPNCCAHDDGGIGCNLAERCQFQGNVFVG CCNGFIGRCTGEATRITVHSPADSTMFNTGAPATSDATTTITSTTTSRSTRTMTSTES TATATSDEDSSSSSSADSSSRSRTTSAEETRSTSSSTSRSSSRSSSASANDSVGTTAS STSFAQGGETGSANAAPVITGYVGLEMGAVAVGALLVL AOR_1_390054 MDLESNSQPLKPHLVDQDVQDAHDLAAMGHDQALTRKFDLWSML ALAFCVLGTYSTFAQDLSSGLTNGGAVAILWGLVLVTACNLCVALSLGELASSMPTAL GQAYWVFRLWDTPLGRFASYMCAWINTFGWWTLTASQIAFMTEFLLGMKVMFEPTWEG AGTGWLEFLVYIGCVLLLTVINVVGCRRDKILPWINNFVGIWFTALFVILSLVLLISV GVKKDLSFQPASFVFGKWINQTGWSDGVVWFTGLVQAAYGLTAFDAVIHMVEEIPAPR KNAPRVIWLAVLLGAVTGFIFMVVCLFCIQDVDKVVDSPSGLPFIELMLETIGLKGGA TLIALFIFNGLGQGIGILTTASRLTWGFARDGGLPWSGYLSHVDPVWRVPARSLWFQG VLIALVGILYLFANTVLEAILSVSTIALTISYGMPIAALLVVGRDKLPPGQFNLGRWG KPLNWISIIYCAITTVFFFFPGSPNPPAGDMNYAIAVFGVMLVVSVGFWFLQGSRTYL KTEDAIAQMIVAQRLENEGTVEPDSKKK AOR_1_392054 MAEAKSYAGSCHCGQVKYTFSLSPPIEEQEVVQCNCSICHINGY LLVYPKIDDFEFPREDSVKAYRFASEQVPHYFCRNCGTSVYARSTIPQFSHLIALNVR TVPGVDIETLKIKKMDGKSVKFGKQS AOR_1_394054 MTDTADIKNQLPYEVEKLSDESLAPDDAVLQAQGHRPELARSFS WVGAIGLSFSIANSWLGYGATFGTPLAYGGGPTVLFGVMIAAVAQWIVLLGLAELCSA LPSSGGQYHFTYILAPPKYKKFAAYTVGITNVIAWWVSAASGIIYTGISAFGIAVFWY PDFQHERWQIYLCYVLVVTLTLVPVFAVPQRRYDYLTKTTFTLSITGLIIVLIAVLAS GRGRYHPEILTTFQGTSGWDTAPAWLLSITMGQYCYAAIGAVTHIAEEMPQPGRRIPL VINLGVLVGVMTAVPWVTVMLCGIHDIDAVHKAFIPSMEVYYQATGSKVGATALQAFM TFLYWNNGLPFSHYWNFIDPKFNIPVRTTFLSVSFCLLYGLVYIASSTAFNCIVNMSI LFLNISFTVPQAILATVGRDKLPVRAFNLGRWGYAVNIFSTVWLTFSGILFCFPTKLP ATAGSMNYGSAVLVGVYILIMLLWLERKNKFTGPKINWDALNMSNKLA AOR_1_396054 MATNNPDLDSALAQQSPTPEKSAQQAKPVFKEAERWNHPRSNIL KTLATFWSFLVMGANDAAYGPLIPYLENYYNLSYTIVSLVFLSPLGGYTLAALLNNKI HTTLGRRGVAWISPGCHLLAYIVNCVHPPYPVLVVSFIFAGFGNGLADSAWNAWIGNM ANANELLGLLHGLYGVGAVLCPLIATSLITEAKVPWYYFYYIMIGCAAIELAFCLTVF WDSTAAALQEATPQGDDPAGGLRQALFTKRSARVTWICAFFLLGYVGIEVALGGWIVT FMMRVRHGEDFASGMVATGFWLGIACGRVVLGFITPRIGEKMAIIVYSLFAIACGLIL WLVPNFYASAVAVSFQGFFLGPFFPAAVIVATKLLPRALHVSAIGFAAAFGGGGAAVL PFVVGAIAQARGVQVLQPFIISLSGGILLLWLGLPRMPKKGDKKGEGTSHV AOR_1_398054 MFTTRILNHDSDTPRNTEKFYIQRPEQPLIDEIVRGNEAGHYWL IFGEKGTGKTSMLVHAMRDIHGQGVVLLDAHDDIDVFRLRLGRALDFAYSEDYLGGWL SNREPRDGTALLDIERAMNSLEKVAIRHRETHGRPLVLVINDIHHIQDDTENGRRLLT LLQQRAESWAAGELLTVVFTSDEYRTSDLLRLHAARMNVLNVRDITVDLAVQSLKEYW LHAFWEEVPVETLERIYSMVGGRLSFVDEIAKSRDILKTGNTQLNEDFTPVFLGHARL YVLADKYGIESLTQLVLEKLKQTLNDFKLSAANVTDIIELVRFTYAHTPRLATGRNEL RTLVMMFIISSIGQIGETESFQELLGDGGDFVVDFWQIVWA AOR_1_400054 MSPKAKAEYNLGDAEADQGIGQIDHVKHKFGKLSMLSLSVSLMA TWEALCSTMVSGLVSGGPPALIYGFIISFIGSLATAASLAELASMFPTAGGQYHFISK MAPLRIVKGLSWVVGWITTFGWIAVAASAPFLSGTMIQGLLVLNYDSYAFQRWHGTLI YWAILVISAIVNIQGSRVLPVVENLSLGFHVVAFIIVFVVICVVSPTKHVPEFVFVDT INNSGWGNNGIAWCVGMLSSCYVLVGYDAAIHLCEEMKDPRTDIPLAMVGAVLINGTM GFGFLVAILFCMGDLKSALDTTTGYPIIEIFYNITGNSRSATALCSTIVIMAGLASIP LLTSASRMVWVLARDKGKHDTWPRRDSFLTVYATSITCYYLVIENG AOR_1_402054 MFQILSSFNKSDQSQEKGPEARALPASWYHSSPLYELERRAIFS KRWLLVTHRSRLTKPGDFIRYEEAGFPIFLCLDRQGNLRGFHNVCRHRAFPVVISDSG SANILACKYHGWSYGLNGKLAKAPRFDGVSGFDKEDNGLFSIHVHVDQRGLVWVNLDA KETPTTPWNEDFLGADTQGRLQDFNMTEYKFDHAWDMVGNYNWKTLVDNYNECYHCGV AHPGIAAISDLTTYDVQTHGGQIQHYVKDKPGYDSDIKVAPTFFFPNASVTMTSHYFY IMRVVPTSATTTSMQYEVFRHRDASDKAFKELDDFFKQVENEDKNLCNGAQKNLNAGV YVNGELQPFNEKGVLYFQKLVKQSLVSHRAEEEAKGEEICPSMRKAIKSTSLDDEIGF CARLEGCGKAELAW AOR_1_404054 MAIQYSRELASCVDPTSPETSMNTNEEQIRNRHDITPQSCEFDG MINDGCSSSSCLLPDGFLNGLVTEDIRNGGEDIMDSLLENSILQSEFLCPLFKPIDDK AIVLSTHYFSHVCTINSCFDSHLNPFRSVIANLMNSSQLIFHLVMMTAATHLGHQQNE MLSVARQHRHDAISYLIENRNVTDKGRFEAMLGSILLGITSAWRDSSALGITHIHTAR ALFQESIARPEASNDPQSTSFLVGIMAYWEAMVAIVTTQSPRSLEYLIPFCKQENHDT LVYPNPWTGASTTIFIYAAEVSTLCRQNRLTKHLSTSLASTEVCENIFHEQLTKAGEL EAKVLQYSPPVSGCIKDPDDRFTPVSHLQCLAQIYRFSVLVQLYLTFPDLLQKSNTTM STLDTDLSNETSQRSLNEIIVGLAVNILNLISSVPESSGIKVLLTVPLIIAGSALQKV ENHNQDIGNLHLTNPFSIEKAILSVHSSDFMILHWRSLVRQKLKVLHEFVRLDPVPRA LQILEAVWLRADLCVSNRTNTSTQVFIHWLDVMNEERLESIFG AOR_1_406054 MAFRVRRKTDVFKIPNFGGIPHVFFADYLGTEDEKAIGPISGSW FRIEKGPEATPPRYDYDEIGVVIEGEITLKDETGQTETVRGGDTFMIPRGSTINFSSD TYGVAWKCGGRPMSRL AOR_1_1714054 MAPPSNEGFLWTPHGSTSGLETDAVQESSPVIHDSYDVVVIGAG FTGLIAARELSQRHDLKVLLLEARDRIGGRTWTARALGEELEMGGTWVHWAQPHLYSE LRRYGLHINLKTSAGTAAPTKQMFKQGKATPCEISIEETGDILERIAQSFFTIDGSSS RELMPYPHDPFKRPALWMKYDHLSVQDRLDSLRGFSSWEKDLFESNTSTFGSAPGKDI AFTEALRWYALGGHNMKGVFELAGVYKIGNGGMTSFARAVLGDYTGHMLFGATVKEVA AOR_1_408054 MKTSTLTLLAFATSGLAFPDPGGHEFRAPGPFDSRSPCPGLNAL ANHGYLPRDGKNLDYEMINKAAQAVYNFESGFYIDAVNMVFEFNISTTNRPNETFHLR DLARHDTIEADGSLTRNDIYFGDDLHFDATVWDPVAKDLGLDHYRDVDRFVTVDTAAK ATQNRYGLAMSANPQFNASELWKQFQYGTTALYLLTLWDNDHNAVPKPWVKALMGEDR IPYKEGYTRGNVTKTGQHIQAMTKAVRDAVGLKS AOR_1_410054 MRPLVSLISWIPSPWGQTVSSNNRSTRLVSYLEDLPLEILYMIA NSLDPATKACLSLCNHHLHSLFGEKRPFPIRTEAWHRFHSNLARARPSLIYCRICAHF HRREDISPPGPAWRTDARFPQHSTDQAMRSLQWCMSVHQTESLYHFNYMHVQLAMKRH YCGPEHGISTDKLAHVEVLMFPSRRLTSLLSVDVCVCAAAGGQASLCLRLQNWALIPD FDVHRLPFIRVCGHSSLHAPHVYAVLKRGLETCMWRGFEARESAREMGRQTETETETQ SEAPETFHCSPCDTTYQVEVRTCGDEGVAVVVTKWLDLGAGLDPHDDRWRRHILTERH EMKEIVPPSQESTRTRFERDSCVSLEELSRRNSSLLEKRAYTRSMDHWFDNVWVLQGN KRLPIYCYLPPKKNILASHVRFRN AOR_1_412054 MEQHQNPKDSMKSTWRRWDRSQWTLSHKLLEQANVYHVDLDREV PVHSKEEKLPYVSDLSLHRWIIFHAAIPLILHQLYVYLTGHNFGPIIAFAFYYYTNRI FTSRELRKLREMGRTYGFLDGDKHERDGVPDVGVSKVLISVMLASLVRPMMMVYLTYT ANKAPASLSWRWLPLEVSLYGIVLDFWFYWYHRIMHDVNGLWKFHRTHHLTKHPNPLL TIYADSEQEFFDIAGIPLITYLTMKFMGFPVGFYEWHICQLYVLFAELAGHSGLRLHA SPPNPLTWLMRIFDAELVIEDHDLHHRKGWRKSHNYGKQTRVWDRVFGTCCDRIEGVD TNVDYNNTVQMSIF AOR_1_414054 MLPAILLLSCLPTAWGNPLINRGTSTISSVSQAPTSDTLTSLSP SVEPTCYTSSQWLVISGTTLFWPTSTDYLYGPTDSPGVDCAAQWIQYDGRSGGLESLG PTATSTLTELIPTSTGACTTSSHLESYYDTHTGPVTTLCDGHARALGPRETVTDYYPG TGACSTFYIPTTITTTLYNVPSASPTCQLDREGCKGVWETYKSRSRAYKSSISVSIPG DTNSPLIPGRCPMTTSERPEQCGSCHFLPDTATLFYWPVTTAGSDLCAQNGTTIPATP TGNGPNTAMLGDQTLVSPSAYIFFTSIYAWGNSRHAGQCGEYHENKMISVNPTTITSR RGHRNARYPIRGTAYPFNFAEFLPQTVGNYTQPLIPWPQYWGGSQCGVYDSACTLIRD DYLPFIDMPSEVTQIDAKWENCDCSWYIPAVTLVPIVDGTVSFPSPTPTGVESEVVHG VEEAVPQGSVGAPTPTPTEGLGW AOR_1_416054 MSAIQNISCGRCQSKKVRCNRVSPQCGNCEAAGAECVYLPRKPR SKKQVNAPPDKRLLLEIIDRLKRLEEQVGLENTPETENNGDDSMSISSVGSEAPRAIS LDKDPAQVVPSVIRDIVSRINDEGTRSMLLSNVFCHLRQVDSCYFENDRCITAMTSAI LEIEPTQSTQSTEPLGDPVIPKDLAKKIIENHYGSYQFPGFEFPLEKSFLCSIPDLIE IPHVQLDCTSQIIYYSVLLRGILLEPEPHPRRGSIMRNLYLKCVALSDEWMKNIQDNP VDFLAASLMTSTALEGYNTDLAWKAFSHTCRISKTLGYFSVDETPSEGDNQPSTPAGT PLHEAEVERNRKRFVFWHILRTDSLFRKSFGKPTLIPAGSWKVHFPDPTINGVDDKSS RFIQIHFLISMRLGLIVRKYLDWIDSGPDPDPVSHDAIIHTYIDEVQSILSDWDTASL LRTLSNYVDSWLCIDVLVGSYEILIVLHLSKKCDHGHLLPYEAVNLARKSLKIFQSLL GSTSQAFWGISPITMYQFLPFFILCLDIICNPDHENLDEDLGTVAWVCDYVKMAAEGR VELKPVMIIIKEMVTACQKAEMDRLARSVTTGE AOR_1_1720054 MSGVELLGVLSAVITVLDATTTLYQRARKDIRLSETFEVAARRL PVLLHILQTFKSTLEPLKDSLSSDVCEALEETLNSCEEKARRLSEIFTKVLSGPTDSV SWRQRYSKIVHRLGQRNKVDLLMIAITQDVQLLVNCESIGSVTPSQNTELETILNEMK DHCDTTLLEDGFSLSFHSSGAQNNNVNSGNGQLNTGNGQQINTHARVETQTFNFANTI QNDDFSFRKPLGICLDQAPSMSPGLFVGRDAELRTIRKDLHPSSQGGQRKQQQQQRLA IGGIGGVGKTQIALTYAQTPHASYETVLWFNAATEISLKNSFATAAGLIFGSKVSQSL EGNEAVRRTREWLSDPENSKWLLIFDNYDNPSDFKLDSYYPPAAHGAIIITTRQADQV TGRTALFDIKPFQSVDNSLTILETRSERDNLLSVQLAERLAGLPLALATAGAYLRKSP FTFQRYLQEYENRWNIDPRRPVKLQEYQERTLYTTWEISYNRLMKDDTEAAAMLRILA YFDNQSLWYELFRAGLKDGCPLYEVINNDISFNGAMTVLAEYSFLEFHPGLKEWSMHK CVHDWVSASLRKAVGRQGYWYAFGCVSGSIEVSDEESLAYPLYSRYVPHAKHLSQSRF LTSDIIEMITPERLRAVRGISSLLLYQTQYDSAVRLLKLFLQSQAAHDPDDDVTLSIM NDLGYLYFAQKRYSEAKELLGTALTRKEKILEANDLSLVGTRINLGHVYTFQGDYQAA KEMYLQALFGKDVAADGHILATLLLARVKSRLHSNSKHNDFACLYWRLEIAHNEKSLI HSGLVTDLLDVSDNLCHLLGLTGKKNEAELMLKEVILIRETLQGPAHSSTLVSVSILG FLYKDQGKFDDAEKLYERVFTEREKLYGPNHYSTIKVLQDLGHLHLKQNHFQDAEDSY TRALNGLKRTLGPHDERTIQVMLDLAFLYENHGKSVAAEQMYTQALTMREALLGSSDP LTLDIAARLGQLYLTMRRLDDAENMLLRVLGYPSSPQAVGLEVIKRSDAALNLSSLYM LTKRLHDAERLLLRMSTVIAQTPDPDNCKVLLYVSYNLASVWLRNGQLDKAEKEFITI REGCKEVWGSEHPLTAVVSESLAKVYEQKQFQ AOR_1_1722054 MKIILSASALFASLSTAANSQAGLDYCAFNLMGKGNYHDEIYDA LRNADWPIDPTRVNFDYYLYRCHDDGTISKTENCPWGCVDGGDNRDDSCE AOR_1_418054 MQCPVYPVRQSIFAPPPSPARKSSQPGILLDPNDKLEEEQLPGY SPEDFYPVKIGDVFQSRYQVAGKLAFGGHSTVWLCRDLEQHAYVTLKVYERDPSHARR EKDVYKHLRNVESSHTGSMLVRRAMDDFQISSAGYAHSYQCFVHPPLAMSLCELRTRT IDGVLPEDLLKSTLIHLLLALDFLHTEAKIVHTNIQEDNVLLSVEDESILVDFEEAES SSPGPRKVVGDRVIYSSRDLGMPKVHGRPILSDFSEARFSSSLGKQWEDVQPFVYRAP EVILRMPWNEKIDIWNIGALAWDLFEKKRLFYVRDSYRNVSDSHHLAGMIAIMGAPPK EMLRNSDYAMKFFDSDGNWIGTAEIPSISMEKLEDNLRDTEQSLFLCFMRKMLQWQPE GRASAKELLADPWLKST AOR_1_1724054 MYCHLHKNQGPKKVEVWKLETDDKGDKNQGSAKSDQFLQHLRYP YYAEFCPELYDTTPFNILVRANYLEDQELSFFWCFLYVYEVDGNKGFVKIRYTPRSIN ERHDEWSFHCNRLTKPIYPPVFNVNTAVPNAASVEKLWYAKLTHRNTRIYCSGCLELH IEWFEVPPSEVIAVIEKWSKWMRPHPCQTNPVDPSNIWALRPEEEQKSKDIGRFMKGI AQLLPDRQRLENLRTGKHKVCSIAHEQ AOR_1_422054 MVTQNTVIEHDPGDVTAFYEMVCFTYSLNPVRGDRIKPRSSAER DGIGVAKCRRDFADAIAYFCARSCDPDNVTAVAVGRKDTKVVIWVASNANVSREVLEF LDNDVLNMVQRLAKMKQGQLPSEENRTVTRLLSNILNFTRKKIFKYYKATITAWKAIG EFSKSEGMTF AOR_1_424054 MFYDWFKREFYKSGDVLKECDMPRLAISCFFAHTDGTFGILEHR SSQGNEKGPDYERLYKLLSKLGKHVRLFERMIHAIEALRRDFCEGFVVEPIAASIPKP NPLPKLHEQSMGKIVARVFQEEDERHQFYHHLNQFFNKEYISQSLQRCKKRRARVHAE ILLIDFFDKFDANFLDNDDKYIACSKPACYLCYEYICQHPDKYTLPPTHQKLYYAWSL PIVRVNDRNCIEKFARHKRFLNHVTETLQSDLRKEVQRQLAPRKNHADSTAGASSVFN TGRVRPTSKIYEHHIRALTQLLSEG AOR_1_426054 MQKGTKSRSQYDTHKPKELRRLYEPLAVLHELTMKGTTKPSIFS TRAQGINIMQRRRNFVDAIAYLGAYTKGCEIAVAVERQPDGLIVRIAGTGDVDGIIVP FVNELLRMLLDTLNLGNDEIVGNNKEKILTCLSNFALDFAQEKVLAHYMKLLHHIAPS LILVQMGHPVQTEILIKMKRT AOR_1_428054 MHYLDPQNTSFADAIANEPAPHQLGAVKAREAMEILQKHEAAPD ILTETFQVPGECGPTSVVIVRPKSLATKQLPMVFYTHDALLISVSPASFAPLLEDLAR GTGAAIVFPQYTPAPEKQFPFQFEQIYEVLDYLVRHGSERNLIVQSIALAGDSAGGHM AIALIQMALERSLPAEFAHIVLFYPITDTHKKLESYEIFKDGPFLKADTLNWMIDAFI PEEKDRQTAQASPLSFLSDDVLPRFPPTSLILSAVDPLLDEGLAFSRRLQKAGVDAAV IRAEGQMHAFVLLKAIRDSPTARAIMDLTTLRLRTALASPP AOR_1_1726054 MTTTPTLDNVLAKPADLCCLKGAIHSGEPTGSITQIEGIDTYIA RTHPERSNGNVILFFPDAFGLHINSFLMMDAFAECGYLTLGVDYFLGDSVTKYCARPL SDPKFDFEGWKTRHLRASEEVAAKWVNGVKAQYGATDDVKFACVGYWGVLHAAQLGAK D AOR_1_430054 MPEEIRTVAIVGCGVIGMSWATLCLSRGLKVTVSDPAKGAKEAL ERYLDQARPFLEAQGNFEELATNYEFVSDIVPRLAEADFVQENGPERQDFKRELMKTL DEWARPGVVIASSSSGLPSSAFIQQCKRDPSRILIGHPFNPPHLIPLVEVVPHAGTSE EYISIAMRFYQSLGKRPIRVRHEVPGFVSNRLQAAINNEAYSLISRGVVSAEDLDFAV TQGPGLRWALTGPIATNALGGGGGPGGFVRRIERLGPSIRAWEDDMLKHRFDWSDERL SSLQDSVEEWLGATDWTNLVEDRDSILVQLLAAKSNTTSMRTHSP AOR_1_432054 MACNSLNHDPQDSYGPGTVVDTDFLPLPAECRRLLRIFAARTPG FTKDNTLLDGVVFHGDDLPCIPGPIKSQAVTAVLHAMVGIVGLEILHLRGISTSTVTL VDINHAGLYPATAALVNIDGHTGPSVINLPTVPQWDKDRASNSPLVYRATAIYETADK GVWFQLHGSLDSWKTLALLGIGKDLDAEIRTNDTAYALIQDRVRKYRAREIEQLMVAK GFSGSIVFSPEGWLQTEMGRSLARHPLINYRQQTQCPILEPPLFSKVDDKRPLAGIKV VELVRIIAGTAAGAALASMGAEVIRVNSSKLKDYTPAQPSSLMAGKIAIDLDLEDPAD HKRLTRLFEQADVILQGYRLRSLERRGFGLQAALEMANKRGKGIIYVDENCYGPDGYY AERPGWQQVADAAAGSSYVMGQSFGCPPGQGVLPSLPISDMSTGILMALTVMCAIRDR AKFGGSYHGHASLTAYNMATLDPDVRLYQRQVVQMINDKYRFPVWSSDAHVAPLYYSI LDAWDKNSDLIQDEKYYVHFSDSEFGTDLRVLAPVVKYAKQECSPRWDSPPVSFCHHQ FRDFSEI AOR_1_1730054 MTKTNQDDFHVAIVGAGIGGLALAMALHKKGISFTLYEEAKEYS VVGAGIGFAPNGMRTMDLIEPGFRPLYERVCVGNKGENAQSIFFEGMLLEEGFGRGQP WHGRSGWGHPDYVRKSAHRKTLLDIMTSFIPIENVQFNKRLTHIEQGPAGVTLTFSDG TTAEAAILAGADGIKSTVRKHVLKDAYPGQVAPVYAGAYCYRAVIPMSEAYEILGDLT DVAKFYFGHKRSAVTYRISGGDELNFLLCVADSKNAWKPKDAITERITHEAMMADFED PAIDDSFRQLLRKAKPVKWGFFHHLHTATYFRDRVVLVGDSAHASLPFQAAGAAQGLE DALVLSNVLAELAKLREGGVNQALAIHAGLTAYDSVRLPRAQKQLEQAAEVGRMIFFQ HEEAGADMEEILSRLQQGRFNWLWSHDMNDDVQEVLRRMQKQIRTRSHEAMI AOR_1_436054 MSSNSAPNELTQLPLDEDARPRYSDAELRDYFECIKLPQKYLDS IVLKDKTQTGTKEYGLPLLQALTRYHTCHVPFDNLVLHYSPHKTVTLDQAELYTKIVR RRLGGRCMENNTFFGTVLRSLGYEVRNCGGRVARAMSPYPDVRHNQSATYDGWNHMLN LVRLDDEWYVVDVGMGSMGPNLPYPLRDGFETTSIPPRWIRLQLRSIPESYASRSANS TGPPKMWCYDVCYKPGDDGKKTWLPVYCFTETEFLPQDYEVMSWFTSTNPRSFFTRYI TCTKMLMDEDKEMIVGNVTLFKDTVRKSIGANREVIKECKTEDERLQALAEIFNVYLT EEEKRGISEDQMLA AOR_1_1732054 MPEQASKKPPSEHGRESALDPAPPASKRRRIGLACNACRVRKSR CDGHRPSCSSCTSLGLECLYEPSESATNVIVRKGYVSDLEQRVTSLEHKLQRLNDAFR GHLSPCPNNRPCHSTSSTPALVAATCAKETHATGLEEPQDEDASTNGMAMTFVEEQSS AFFGESSNINFTQLLLRGIAAVHQPSPAVASAVDKDYALRESITAIVSQGQPYHPVAA PSTHLDSSPTTLPSVEEMDSLLDIYFDTAGAVFPFIHEDTMRKTYTECRLNGFTRARR TWLGTLNMMFAMASSFDRDHVPSAKKRFERSNIFYKRAQELCSDLSRRVISLEMVHYL LLVVIHCQGTQRSVQAWNNHGLVIRSAIALGLHSDSNGQAVDPVNEEYHRRTWAVIYC LDKVLSVAFGRPASIPDELMTGREPASGLSTTASSGPHGNVDLPGEFLAVSFRLYQVM AKSLINQYGANLEKANSNLDDIASLKASGELRKMLQDWATSLPSYLSPCEPESGILSQ NTPANRFRVILTLRYYNLAILIHKPLLRATIRHLFRVDNAASGSPSYLIQLAMAEAHE CVRAAQLTIAIVHCIISADAKSKNNLGAWSFTLYYGEPPEASLRWDANRIHPPVFTAS LVICGRLLWAQHGETVADEAAVKDSKTLLSKAEAIFQDLDYENSLVLSCLEYIRRLAR MCGVKGAAPNPSESRTGTGSALDPVVFSSESTFDPASVFHNRDDMEAFQLFSSEMFDP CIFEGFQQSPVEGAALGNGFWDGSPRGGL AOR_1_440054 MSNERGYLPRWGELPVEQYLIRHWDNAVTEPSDQQRVRLVRQYL DLDEIPQEWFPTQEGDPLPRTPTGEEIDTILRPWRPADLRRRAWHIYTRITDEPIFLR THYDPEDDERMESWTSASEEFEDQAWWACLNNAELYNFGSDWQRVYEILPEIAGPSAG GLVSLETLSFIRSGFKKWLSEAKQIEPELWRKDPHRFIELKASRLLGAVTTRYMLLAD QEAFETDGRLRLIYLDNKRNIVRETRVDADGQTITDIIMAWFELTDPLELEDGITGDR YRVTGDLGRELYELTDSDFADP AOR_1_442054 MDFDSDFLPPWDYLPIEQYLIEWVNASEEFADRAWWALLDDQNS FNFGSDWRRVYEILPEVAGPVEGNDCQRYASPELVNLAREQFKSYLTKEKKARPDQWR NRDQFIEVVAADLLRTVAAMYMLIADKEAFDTGLLCLVYLDGKRNVIREMRVETDEQT ITDVIMDWYNWNLPDELWEEGTIGDRYRVSGDLGKELYRLTEADLADP AOR_1_444054 MSTTTTSVAASATASCNSVTYWQLPVDDAACALPKTGNYSDVMD KCCSPAKVTDFDNGCGLYCLAQGQSVGDLKDCLRKNGAQDGREFYCKGNDTATATASA PSSTKTGDKTGTATGSGASSTSSDSAAYAIQPAVSKGGLGLLAMVFCSALMGVVA AOR_1_446054 MVPRSSPLTVIVTTSPTPSIPSTDLISSVLQSFHRHCRDLVSAR VIVVFDTFDRIGLRSRLKKGQVTPEVAANYGIYKENVKELILREYASPDASPNHVTQE WQDRAEFGLNDLSNVVDLSITQTDDKQVTFIEPAARLGFGLAVRSALRVCETPYVWVQ QHDWALVADIPLTPLLEVMERSDADPAVPVKYVSFPSVRMLSYATQPCVVEFPALRAL TGDLTRDFVPPSHPEVTVPLTPLYFWFDKPHIASTEHYLSRVFPNRLTMRRGEFIEDK VGQQARQQMKEGQWHKWACWLYYPEEGKQLCLRHLQGRTWNGAEGELLTKYGFSEVDT DST AOR_1_448054 MTDEYSDSPQGSTIFELNNGMKIPALGFGAMKKGLVLPEEEKDY VRSLIVQAIKAGYRLIDTSKIYATEDLVGEAIKESGVPRSEITVLTKLSSDDHHDVRA AFERSRRLLDTYIDIYIMHWPQGFTKDVSRPLAPEESPTYIEVYKEMEKLVGPDCRGI GVGNLTQKTLDMLLKETEIKPVVNEIEIHPGNPNTKLVPYCLERGIRPVAWGPLAGGP TSHYSDTSSIYESPVLTSLSSRYELSVGTIILSWLVQRGIVVIPHSSSLPRLSENRRL VRLTDLEIQEINSLHEEIGRTRLIDSVPQVWMEVPGKGKTIMGWTVQDIGWVDDKGEC LV AOR_1_450054 MEQEAISQSQPHRPTDTSSSNKETDDRITEVLPQKHDVETGSTA DSAQAGVQRAAILQKTWSKKGMIITFMGLFLYTLATHFGDYSSQVYIPYTTSSFKNHS SMSAARVASNIASITAYPIIAKLGDVFGRGEMFTFSILITTLCYVIYAACTNISQYAI AAVFHSIGSTGFGLTQQVFIADVTNLVNRGLWSTLPDSISTIPTLYLGTTIGQSVLDH STWRWGWGMWAIVLPVCGLPLLGSVFFHQHQAIKNGLGKKRLAAQLGLNASQPWWKQA YELLWVQLDLPGALLLLAGLALTLIPISLTGANRSDRWQSATFIALLVVGIVLLVLFA LWDIFVAKKPFIPYRMVRSKTVAAACLLGALDFLHYSMFTVFYSSYLQVVGGYSPGHA TRIDNALRVSFQASGIFAGLFMKYTKRSQIWVLIGAPLCVLGMGILLYLIDMGDGKMG NEASFVTAKALIGIGRGFYQTASQVSAQAVVTKQEISVVTAVFFASMGVGGAIGTSIS GAIWRNNLPTKLRQYLPEELKPQAMAIFQSIVTAKKYAKGTPAREAIDRSYRETQRLL AIGGLCAVSPMLIVMFFLKNVHLDKRDNVVGDAEERLDKVEGESGKQVS AOR_1_452054 MFAHAPNTIQLELKSSDGDAYLIQVAWPLAWDDPKAPGHSASIL YVLDGNALFFTTTEAARRSAMLPTSDNAVVVGIGYPMEDRPYSPRRHYDYTPPCDKYE APCGIDGQQQALPHGGANQLLDFLVSTVRATLLADILPGLCVNKEILIGHSLGGLCTL HALFQHLTPFDTFIALSPSIWWNKEFILGEAERFMKQHLDVVGSEAYITLSTLIIIYG SLEQDRRCHPSWSEDKCRRVKALSHERKMKDNADTLANCLRQSGRLRKVRVKEYQEED HGSVVGGGISWAVGAVLDDGWFFSDGDDELTHFHSLTEPLQFPHDSLRQG AOR_1_1736054 MRLTHPIWLIALIGGRQVCLGAPHESGCGLDHLDWLALHNDAHV AIDAQIPDLNGEAVVEDREVDDFWFGKDEEIWNAKDNYQYNSGQVLLQSPLEENVPLD EVASDSISFDCKTKEQVIYNGQSQMVFTEVRNKAPQKVDKLIIETPDLRPWNLSITKF WGSCPGTKPGATRIRCEYIDVHPGSVRIAQIKVQLGEYEGSAIEFPMKMTSYVNGHLK DDKEVKVHWDKREPLVYKQTDPSKFPQPRTFKVSPLVSPPDEALRLRQQFHWSDLQST GFYLNPNEPLTVFVESSVRDGPKPRLVLGPPALVHPDHGKEHVPAQLVELPPLENGRN KSVHNFGGIIYIRYTHRASDQPPPPVFLRLGDTAEPFPLFREGSTTDAQWKSMLDVTK VPFAEHDGKRVIITGLAKHAKKYADNGQRQQELLDTYAHIIAIQDRFSALKYNARDPR DRPSLLRPMVVESVNSGVATATNYRAAIPNRLSDQIYWVPRLRNSWMVFHELGHQRQI TRTWSWRAMTEVTVNIYSLANLREYKPPGHKNVAEWDNAKQYLTKASKEKDFDSAGFY LSLVMFEQLRVVFGDGFYHELHRDARHTLVVDKDADKKHHFMTKAAQLTGQDLTEYFT KWGLKPEDRTINEMKKQPKPKRDYTKTPVYGGHQMYRMLERSRRIEEAL AOR_1_456054 MSETIHLTEYLFRRLREAGLQAVHGVPGDYNLLMMDYIVPAGLE WVGNCNELNAGYAADGYARVKGIGALVTTFGVGELSAINAIAGSYAEMAPVIHIVGTP KRAMQTRGAKLHHSVCSGKPSDFTMFAEMYSKITVAQENLWDASTAPAQIDRLIRECI IQSRPVYLQVPADMVTEPVPAAALSRPLDLTPPSNDPESEQEVCDIIVERISNGKQPF ILIDAGTSRYGLVSEADELVRITGFPTATTPFGKGIPDETLPNFHGIYASVGEGAYLP YVESSDLIINIGPVHSNVNTSCFTTIPNPRVSIVFDQTSITIDGEVYNVFPKGVLKRV LDHLRDAMLSFWPYPNLPDPRSTLKHISRATSAGALTQDIFFKRMSYFFRPGDIILTE TGTASNGGRDFVFPQNVSLINSGVWLSIGYMLGAAQGVALAQRNMGSQGRTILFIGDG SFQVTAQELSTIIRKKLSAIIFVINNDGYTIERLIHGMDADYNDIAMWRYLDSPSYFG APSDDSYPVFTARVSTWGELDGVLSRESFQRGPGLRMVELMMGVSDCMETLRHFLKMY AARKE AOR_1_1738054 MPPNNNPNQPLSHLTTILARTTTEKITSLHLISDSIAQQRQTSS CAILLHPLTLTLLSLILVLLSQHFYKTTSDWILLLTTTTTGCIATLLSFTRYITSGYL DEAERVGIWGWLYSNHHHNSNPPQNQIPTSNDPRTIDMGKDGDLILITKFGYRIIGTL VLRVVDTVSELDEHIFVPEERLRIGVLGNGIKIGVIRAWAVQLQYRGVGVGRSLLEAA VEVSRKTGWRGPVFSVGHATSKWFGLGVGSMGMERYEWAWELLEDVRGEFGIVGG AOR_1_460054 MSEKTEIGEGSDKVEVPPNAVSFFDPALRSVRRQVFMQWGRTVL TLCVFILCILSLYWAVQFRVEQNLHSLTVWVVDFDGMVDPYRDTKPIVGPAVTDVAEN LIQTPETGRLGYTIKSPDEFNYDPWAVRQGVYDEHAYAAIIVNANATTLLHDAVKNGN SSYDPTGAAQFVVITARDETTYSSYITPGLTAFASTVLAEFGPRWVQTVAQESLNISN VPQAINPAIGFNTVDLRPFGPAAATPSVTIGLIYLIIIAFFNSPFLMPIHAQFLKGNH PPLKIPQWLLWRVCSNITAYFFLSLFYSFVSLAFQIPFSNSPAPDTVSASNPNAYGHG SFVVFWMLNWVGMSALGFPLENMAMVLGFPWSSLFLIFWVISNVATGFYALDLAPGFF RWGYAWPLHRIVEALRTILFGTHSRIGLDFGVLFAWIGVSILFFPFASFIMRWKMKRG L AOR_1_462054 MTPGLGYQPTKHKKKKEEQKQKQKTWVGQPHYVGSGSPNERKVS CKAGKHEYLPHFLYRDAYTRPLERLDFASSYESMQRRPISNSQKLALRSQHALKPYLT QLQLCQWFEAEYQQPIAESSVSRILSSRYDSLDGASSHQLRAKRRRAESWPELERALY EWIRRVQDQESLSQHLILQKARTFWERLYPGQAMPQFSNGWMRGFQSHWDINKSNLQG KSASHSDDGTVEMIGIRQLLNQYAPRDIFACDETALLWKRIPESGLAAAGHTPTGTNG NDRLTTCFCCNSDASERLPLWFVGTAKRPQAFSAAGINIENLGCHWRFNTRAWMTSTI FTEWLLWFDQRMTGRKVVLLMDGLSAHKFAFEEKSYWKCKWASYLVHEHDRGWDPIST MTILHAIRWAISIWEIDLADDIFTHAFRATMSIESIERIDNRQTIMDIENLLHQLYLS GYVSEPMDTSHFIDPVEEKVDDSTLHLDDSILCHFENASELQESASTAIQPLSLVSVS DALEGLYKLRLYEEQQVIANMDLLQVLKHHEKLLLQKQTESQRVSDARAFF AOR_1_464054 MKLVTSTRQTAEIGPSLDKITRLSQNIVTLTRKSMTAMCGKPHS NALPTLNDIDDIIRLQLMLSTELSRLYAVLDPDDHYSIKSTRDL AOR_1_466054 MATAQITVSHPLATPNGSQPSSTEAPTISLLGPNATAASVRLDV SAPFSAIRTLFDHLYSHPDDATNLNAIYPRRGILKTARVALIPASLQQSLAGHGLKDV LDFFNTLTTEYVPSILSTLSIIAGADFTPAHSTYNMNFRLCDYNPVTAASGSLNGCGA HTDYGTFTIIFQDGTPGLELEDASAPGSWVPVAGDATVVLTGWCAVILSGGRIAAARH RVRRTPGVRRLSAVLFIAPDLDVTLRPLGGIQPIRPFSETVMRGDIDTGVFKEVMGKR WRYREGNEEMEGHEIGTQDDEIAKLIWA AOR_1_468054 MFGRDSKVLKTPSESHPMVEYKPLSDRPSIDIGFPLAQDSEADI STENGVNDTYLTPDCSRRRRRCEGFARTPIKQTFLLLLAGIGLMNIGYQTYWFLRSLK PISCNCGETVSEAIANGCRYDSFAAAWLPPACRNDELIDRFERAGPNPDGSWQYYGDK NKTRVLSLEEVSMLPETGGHFFTTHQWHLVHCAYYWKKMFLAKEHGTIIEHRYDNMAH LEHCEMMFLKRDPLDTIVTEAGVALHSDVIVTAKKHKHDH AOR_1_470054 MALDIETSVEHKSVQKRHNYPFKIFTVLLAIGLPLALASPIPTE EVEAIPYYPKRSGDAEAIPYYPKRSEEAEAIPYYPKRSEDAEAIPYYPKRSEEAEAIP YYPKRSEDAEANPYYPKRSEEAEAIPYYPKRSENAEAIPYYPKRSEDAEAIPFYP AOR_1_472054 MSHCQLKETARTSIDGKGGFSESGNYWSCSGTESKRPHCLSLWI ICLNILIFSASIVFLLSPLSSRACLSHLSDQDKWKATSYYAPILERFDIPRVVLTTNG SLYDSEPPSIMRIPQGDEADAEWSRISSGVFPILISAEEVRKLGKDPALAVRVPDEFG YGPDAYLAQTEVFHLLHCLDMLRKEISYEHYYYPEFGNNPDAQHTAHITHCIDILAQY LKCQGSTDVILFNWVGGWDQPFPDFMNKHVCRDFEALLAYVNENSLATEVFQKMKEPP DGYPVQPDPSIAPFVPTAVPGS AOR_1_474054 MSSDPYSRSWAGPWVNGSEDESHTSHTVFPYPENASPSDRPPLM KSNALPVLSSPETWYAVRSTSPSNGADTWLANDTPLYFAIAATYCPQVIDNDNLLPLK SPPTNPNAVSSIEQPSTTVTVPKTRTRRPDARLRGSKARARHAGSVKCEWKGCTYTGL FARKDTYSTSVEVQ AOR_1_476054 MSLPETTHSTISQRAQSALVAGSKNLMWDVMNDLWCEKTNPNGY VNVGVAENVLMHDHLLSFINRQLDLPAKLLTYNDGPTGSGRVKRAVSAFVNRHFRPFH PVEPSHLFITNGVSSAIEHVSWAITEPGEAILLGRPYYGTFIPDISLRPGATVIPVSF DDCDPFSLEAVQKYEQALLSFQETTGRKVKGLALCHPHNPLGRCYPRDVLLKLMELCQ KYQMHFISDEIYALSVWKNTVDESPKPVDFESALSLDLTGIIDPQLVHVLWGVSKDFG ANGLRLGVIISQANRDILAALRNVGLYSYVSGVSEYLVALLLEDVNFTDEYIRLNREK LSENYSFVVQQLKSNGIEYSTGANAAFFIWMNLGKKYHELHPEVKDDEDVSETVMDLL LQKKVFLASGSLFGSERGGWYRIVFSQPQEYLSEAVRRIMAALEA AOR_1_478054 MDFDDINLDTVDNLRQEWIKLASNSSAGICELANRYRQRDDCKL CSMHCGSFNFSFRLNWDDEGEDWLIRFPLPGKSMFLDEKVRREAVLMKYIARETKIPV PRVIAYRMGDENPTGLGPYIIMTWIDGKKMSDVLRQNDLPDKHDTLDPTINPETLKTL YGEMAEILLQLWGLDFDKIGSISEDSMTGKPVVDGRPLTRELNELIRTSGLNDCTPRR IYHTSVDYITSLLALQSMHLEQQRNSVYDSKDCREKYACRQLMKATALNFIPKEDCGP FKLFCDDLCPGNVLVDDSLRILQEYYNELGVDNNVSYEPEPPLQPIAERDPGPKYLDV ANRGFLEGALVGLAVGLGITICLRWHRSPL AOR_1_480054 MAPATLEQENHTRDAEFNRVLHGKSSQAQGGFAAMLGGKDAAAQ KAAVDEYFKHWDNKDAAEETEEIRAARRAEYATLTRHYYNLATDLYEYGWGTSFHFCR FAQGEPFYQAIARHEHYLAHTMGIKSGMKVLDVGCGVGGPAREICKFTDANIVGFNNN DYQIQRATRYAEREGLSDKLSFVKGDFMQMSFPDNSFDAVYAIEATCHAPELEGVYKE IFRVLKPGGVFGVYEWLMTDEYDNDNAEHRKIRLGIEQGDGISNMVKVSEGLQAFKNA GFEVLHNEDLADRPDAIPWYYPLAGSFKHMTSPWDFFTIARMTWWGRGIAHRFVGALE TIGLAPKGTQKTADSLALAGDCLVAGGEKKLFTPMYLMVGRKPE AOR_1_482054 MPPPQIKQDLNRSGWETTDFPSVCETCLPDNPYVQMLKEDYGAE CKICTRPFTIFRWKADRTARTKRTAICLTCARLKNCCQCCMLDLSFGLPIVVRDAALK MVAPGPESTINREYYAQEHEKEIEEGRGAVEAYEKTDEKARELLRRLANSEPYYRKPR QIEAPQDEESEKPSTDAPVVHSRYGNGPGPIRTTESRRGTPLPGRGRGNMRGGRGGRP FPGTAQLPPSQEDILPPADPNVTSLFVTGVEDDLPEHTLRTFFSQFGQLRSLVCSHRA HSAFINFATREGAEAAAKHCQGKAVIQGCPLRIRWGKPKPLDNMDREERMKYAREGRS SATTARASGSGNKAITAAGADLGKQEKPRSYAVAPPPGSGEVQYTSLSGD AOR_1_484054 MTAPALSARGAYRQILRATRIAFHEDTRVLLAARQEARRQFDEH KRVGIDTPMQINHAIEVASILKHNIVQGVKPEGDEAAKWELRIHDDIERGDNDSIKHA GKDIKIHKACSA AOR_1_486054 MTTVQVVNVSLPALSEGWSAEKDFKAVGTLSAATQRNLEPVGPH FLAHARRKRHHRTFSEDERIQAQQNVKKTEEEEDDEISEDEDPMMLSRDAKDWKNQDH YAVLGITKYRWRATPEQIKRAHRKKVLRHHPDKKAALGDRDENDSFFKCIQKAHEILS DPVKRRQFDSVDEAADVEPPTKKEAAKGNFYKLWNRVFESEGRFSKIQPVPKLGDDNS TFEEVDNFYNFWYNFDSWRTFEYLDEDVPDDGESRDQKRQTEKKNANARRKRKVEDTA RLRKLVDDCAAQDERIKKFRKAARADKDKKRLEKEAEAKRLAEEKEKARLEEEQRKKD AEEAAKAEREKNKKAKEAAKNATKKNKRVVKGSVKEVNYFADGEASASQVDSVLTDVE LIMSKIDAEELAGLAERLTAAGKDAAAVKNVYAEESKRLVGAGKLKEGETKNF AOR_1_488054 MAETTGPPHSDESIIGKKEALDLGKVESCSAGQYIERAGVKRNI KSRHAQMMAIGGAIGTGFFVGAGQALAIGGPGFLLLAYGLMSLLVYGVFTAVIEMSTY LPIPGSSIAYYCSRYVSPSLGYALGWLYFYSFGIIVAYEITAASLVINYWPNNVHIAL WVTVLLVVIVGLNLCPVGVYAEAEFWFAGIKVIMIIGMIILSLIIMLGGAPTHDRLGF RYWNDPGATNAYIVPGSGGRFTSFLYVWVWSGFSFFFGPELMVFTGGEMRSPRKNLPK ASRRYFGRLVVFYILGTLSMGVTCPSNAKGLTSNTGDANASPWVIAIRNAGITALPSI INACILTSAWSAGNAYLYMSSRALYSLAVAGSAPKIFARCTNYGLPIYAVLGSSCFTL LAYLNAGSQAGEVFNWFVCLTNTSGYTSWLTCCLIFLRFRKACNAQGIVMPYRSNIQP LAAWICLFVFAALLLCNGFTVFFPGRFSASEFLTAYLGIPLFLAIYFGHRLTVGRKDP WVYRPEDVDLRSGVEEVDAETETWARLEAMKRERNVRPNVVWSKISLIWS AOR_1_490054 MGSMGAREQNYDVLIIGAGLSGIYSLHEVRKNLPSLSVKVLEAG DGVGGTWFWNRYPGARFDSETISYQFSWDKELLQEWNWKDTFSAQPDTLEYIERVCEK HDLYKDIQFNTRIKSAHWQDAERTWLFVDEAGFHYRARFFISCLGVLSNPTLPAIPGL KDFQGQSFHTSRWPKDFDMKRDFANKRIGVIGTGATGIQTITETSKEPSIRSLTVFQR TASWSAPLRNTKITPEHMEKMKAEYDDIFQRCASTPTGFLHKPDPRKSSEVSHEERVA LWEKLYGEPGFAKWLGAFCDTYTDREANRLYSEFMASKIRARVHDPVVADSLIPKNHG FGTRRVPLESGYFEAFNQSNVHLVDLQKTPIERVTPNGILTSDGKEHELDILIYATGF DAITGAFNAVEWHGRNDRPLIASSGTEAGKRAVWLDHRPYTYLGLMAPSFPNMFMVLG PHQPFGNIPRSIEHAVQVVNQLLQFCHNEGYTVVEATEEAADRWTEHVVECSKGALSN EIDSWMTGVNTNVPGKTVRSVARYGGSAIEYRKRCQQTRAAGWEGFKFARGYSL AOR_1_492054 MASFEGKVIAITGAASGMGLATAKLLASRGAIISLADINEAAVK EATASLTGSDKHMYTVVDVRSSQSVDSWIKSTVERLGKLDGAVNMAGVITPTKPITEE TDDTWDFNFAVNTRGVFFCLRAQLKAMTAGGSIVSAASAFGQMGSPGVAPYCASKAAV IGLTRTAAKENQHIRVNCVAPGSVNTPMSQGENPEDVKRGLQATVQKRRAEASEIATV IVHLLSDEASFVTGTVYNVDGGWLC AOR_1_494054 MATLTDEQSIQSHPTRTRSFGGCTTCRSRHVKCDEGRPTCAMCR YFGFVCAGYEKGIFFDFESSTDERRFRRPLLTEAEQERMSQWLVSSVPPESALQLLTR IDDLCEKAAPFRDLQVDCGPFGAFRLSQLPSAPSPDIVPEETLDPLSSSPPEDVVRFD DDFTASSEPPLTPRTQRILESIFGQAPNPTSPDTDTGDMAMDLCRIEAVLNDAPLSNF QDFPMTSMTAEQQPQYLHNTDLAPIPPPNPCFSLTTTSKTVPQEVMLLLKHYTTTVIS LMTPIRHAKTPWHILFIPHAKTCLAALTLNEDLDNASLAAFYGILAISAFSLSGLSQS QMWLAQGTAYKQQALKHAKLMLRTAYDIPKVSKYKSTMIALLTMVYVSMFSVDREQTE YFFLETERLIRLRGLKRKKSRKVRLLHHCYAFERFFYESTFTGGMNSRQRHYFRRSIE SSGLAQYSRDDLSFRLRGWENLDQEMMEVKSQEDGENDLHLERPGIFSATLYPEIFGV PESWVQLLSLVIRLGREKDIAESHDLPNPLTLKDFSSRAKAIERRINNLERPGQAQLD EHLNDMLDAMHQALSIYFYRRVYDMEASILQQKVIAVRDCLWRCADPPMLHASAGVIW AAFIAACEAEDRELQMSFSKWFQDSAQQNLERETLWEWDQCHMA AOR_1_496054 MDVPGIALITGAASGIGRACAHTFARDGASGIALLDLDKTALET VQAEINSQSSQDKTARCRVEIYPVNVTDENRVDEVINSAAQTFSRLDYVVNAAGIAMK HQGGAAFAETSDWQRILDVNLTGTFFVLRAAARIMLSQEPIRSSIDGRPLQRGSIVNF SSIQGVAGIPLSTAYTATKHAVIGLTRTASEDYAKDGLRINAICPGYTETPMTTKSPL VLQAMQERVATAVPMQRMGEPREIADGVVYLSGGRSSFVTGTALFVDGGYTQR AOR_1_1740054 MNALRRSLTRSLSSLRRKPFPIPHPGPLLPPNEPVDEERCAGYN SKDFYPAKPGEVLAERYQILVKVGWGTTSTVWFARDMRGNEDEPEGVVALKIANTNWH RADNERAIEQYIAEKDPSHCGLAVLRTSSKCFEISGPEGRHVCLAYKSTREPLWLFPR RFIDGITPCPIIKAYIRILLVGLDYLHTECKMVHADLKLENIMVSFEDPAVMGDFMND QFDQPMEYKIDSTGRPVYQRHNDFGPLRQLRNIIPKIVDFGHCARLDSDDDWGIYPIQ PDHYRAPEVVLGCGWRMNTDLWNLGVILWDLIEGKELFRQVYDEQGRYQAKAHLAEMI ALPGPPPQELITRYRSLLKYQWPQPIATVDDNVYESSNQFFGGPFFDGDEFLHNDLIP DRNLADTLPSLEEKEKENFLSFVKLMLAWHPEERRTARELAEHPFLRLK AOR_1_500054 MLTRQSLVALLGGLSLAAAQTSSEQNPSLEEIQAAQATVLPHSP VSNVKGLAFNRFVNIWLENTDYESAANDPHLSKLAEKGLLLTNYWAITHPSEPNYCAS AGGDTFGMDNDNFNQVPANVSTIADMFDVKNIAWGEYQEHLPYPGYQGKNYSNQETGA NDYVRKHNPMVFYDSVTKDATRLRQIKNFTTFYDDLKHERLPQYSFITPNMTNDAHDT NITFAGSWTWRFLSELLEDEYFTKDTLILLTFDENDTYEIGNKIYSFFVGGAVPENLR GKQDDTFYTHYSIIASLSANWGLPSLGRWDCGANLLSWLAEKTGYVNWEVETGNLFQN ETHPGPLSAGEYNTFSPEWPVPLTKGSCSAGHGILPVVQQTWKNLTATFNYTSPIPYD SVSGNNVGVKYSRTLKNGKTESGTTE AOR_1_504054 MPPNKRLRTEPIVRVRTGCYTCRRRKKKCDEVRPSCGGCVRNKL SCEWPVNIPASSTRQNGSHTINQQAVPDQPGSPCTTRTQRGSSGSPTSPSGSAGSPSA LSNGFEATEAFSPPTDEQALLVPMGYSHATPRSSISGPDSPTSMTIGSFMPRSLSMLP GYSPESFQLLSHYLATTADVMANGSTPVNPFLVQIVPLAFTSDLLLQLVLTQSAAHRA FRCRNDSDEVAQSHYTKALQLFRKGVTEFIDGKESNPLMLTVGALVMCFTETAKGDMN GTIFDHLSAANSLLTRLLSLSDTAVPKDLKDFVIEYYTYTAAVSMISIDARVSHQLLL NFDLEQKARQLLESEYVGNLCGCWLELLLMIPCIFDLGRQWMMLDGQPAMPTADDIAM FGSLQAQIMRWNPFSFVTPEVFLAGRVFQQAMLLYLYTSLGSFSRTEQGMHQGLINTA ITEAMSYLNQLSATARINSGLCWPIAVVGSCLYDIEQQEQLRQRLITMVNTFGLGNMQ RTLLLLESMWQMPLDEAGPWNICRAMQQHQIWISFA AOR_1_502054 MVGRLAGKNAIVTGAAGGIGLETTILMLREGASVLMTDISEPGL QKALAKANDVVPQRDGKVEYRVVDVSKESEVEAAVAHLDAWGGLDVMFNNAGIMHPKD GDSEETPEAIWDMTMNINVKGVWYGSKHAVKSLRKHGKKKGSIINTASMVALVGAATP QLAYTASKGAVLAMTRELAIVHAREGFRFNSLCPAPLNTPLLQDWLGDDKEKRFRREV HFPSGRFGEAIEQAHAVIFLASDESSFVNAADFVVDGGLTKAYVTPEGPATEAPKNLG Q AOR_1_1742054 MDIIDMRLPSAAVGFIGGLALCVVKLVVLCVMGKYLAISLPFLI GAIILIQRVYLRTCRQLRLLDIEAKSPLYSQFLETLKGLSVIHLVLAGMAVILVAITT SLRDKFTPGETQLEISIGAVSRVQQFAKTPSERRTLAANAAASHGWPREGTVVFDRVT AGYGPSNPPVLRNVSLNIKAGQKIAICGASGSGKTSLFMVILQMIDNQCGSILVDGTC LSDLDPEVVRSRVNVIPQEPFFLPETVRYNLDPHAKVTDDRLELAIQKVGLWDRVSGN GGLNMQLNPSTWSSGERQLLALARALVRDSPLLLLDEAASSVDDTTATFTQQIIEEEF RDHTILAILHRFACIERYDYIVVLERGEVVEFDSPTVLLGRDSKFRTLYTAMEKED AOR_1_506054 MDTTNVGIVCPPYSDNAFGPRITTACRSFDFTLLFEDAFFSLLP AALFLLILPGRVLYLARTSVKVRSHRLTIYKIISLSILLILHIVYTILQRETAVLRTT LSTASEVVQSLAIAGCIVLSILEDRSHISPSSLLSLYLSVLTILGIPRLRSLSLIQTE NVCQGMWTAIFIITVLAVIQQSLCKIGFLHTCYGDIGREQRVGFWSRSFYTWVIPLLR QGYRDILEVEQIPKADTRLDSQYSLRKLQHAWENTKPKHRLVKAVLRAYLDTLLLGVP SRLVLSAFTFCQPFLINTTIHLFQENGEDVRLYSRMLILAFALNYAGMAISRAVYVRQ TNCLAAMARAGLISIIYQHTTALTRSEVQDIAAVTLMGTDVERIWISLKILHDCWASL LEVAVAIYLLERQVRAACVVPAIVSLGLADAEDVPVCLCGTFPISTRMATAQRAWVEK VQVRLTVTSTMLASIKTVKMLGLTDVLYSMISSLRQMELNTSQRFRKLLLWTVSLSNI PLDFAPFATFGVYAMISVINNDKSLLTAQAFTSLSLISLMTSPLLTFVQAVPQLLQSQ ACYDRIEAYLSRNPITLNDQALVPNVFEKAASNNSISLSPCSRHGIDKNTLISFRGAD ISWSSKSEPVFKNFSLDIGQGITMIIGPVGSGKSTLIETIIRETIVQKGHINTNFSDV AYCGQTPWIMNKSIRYNITCEDLVDEEWYNQCLSICSLKKDLMLFLAGDMYVPGSDGN GLSGGQKQRIALARALYSKLPVAVLDDVFSGLDLDNIRMISEHLFGKNGFFRGAGRSV ILATHTSSLLSFADEIIVLDKGAVSSRGSYTEIFSAVPRVALQSLEQIDEEDVHTTDE KINANSPISLAVDNKANPRVDQQELDLSRRDGTWGVYRFYAQNAGLWTACCFVISAFL AALLSGFNGGLTPMQNILTLNWGVTLGYMPF AOR_1_508054 MTIKVIVAGAGGNLGHHIVSALDDDHRFTVTILARASSKSKFPS HITVHRVDDYYPELEVVEAFKGQDVVISTVTTGAIQRQKTLIDAALKAGVGRFIPSEF GHDTRNGNASKMLPQMYQQKREVVEYLRAKQNDGLEWTAFVTGPFLEVAIENFLGFNL SQQHATILNEGSDRWSATTRATVGLAVKNSLLIPEKTSNRYLFIDTVTASQNDVLLAL RKMTGTEWGVDYVDAEEQKRVAIEHLSKGRLIGIPMLMRYITCVRGYGGDYLDYETSA NEILSLPVRNVDEVIASILKG AOR_1_510054 MASPELASHHSEPSDGEGAPFLPGVDDESPESLNSDIPFQKHSN HGLIIKLFVIYLAIGMGGPMIQSPLTRIVESIACRNYWNAHDPSQLPGPEQISEGMCK IPEVQREVTTIIGYREFFNAFLTSTFALPYGLLADRYGRKLAIRLASVGFVFNSVLSF APIWLPNIFPLRTMWFGAVGWVLGGGPVLLFALFWSMIADATADSERDTVILRFGIAT VSAGFLANVTSSFVMKFDSRVPLMTGCGLLFAGLLVANLLPETLRKKSPETTILADTS ISLTSLFFRIKKTIWSYRFICYNYPVAVILPAFFVTQLAGGSAFLVQYISIRFHRTIA DATLLVALQHAFTIPVLFFILPQISERLRAYISRLQSDLLLARISVMLLALGLFGIGL SSSINTLIPSLLLHAGGAGFVLIARGLITGLARREETARLYTLIEATQSIGEVTASLY ITNSLNWGLGRGGLWIGLPWLIVSFLLALIALVLGILRLPPRSENAPSGSTH AOR_1_512054 MEPFSKFFKSFRRYHRFHPLDSSSASDDELAGKYEEHLLMDERL KRKTVIFKSRIWILLTITNLIILGITVSMILTSHCQLYAGKNADLRPISWWSPILDAI EIPTYETTLNGTFFAKPEVSIAREEPGPENDADWEQYETIRTHIVSREDILRLGKDPD TVMRFDNDYWRFGDDAYMVQLDVMHQIHCLNMLRKAAFHDYPGYVPTGAHTDANNTHA SRWTHLGHCVDILLQNIQCNANTEVITLAWVEGRTQPWPDFSVNRKCRDFEAIYKWQL ENSVDAGKFDRMPIPHDAYVWPAPWENRESELGEKLGKHQKQEGVLGQAGHQHTKRHE AOR_1_514054 MHLSRYIAVLLSASSFVSALPLQNDVISDDGSKPIDATMATAME HKVVNPEELDATPATPETPEDLDKRFYYTGYKRTAEAPEDLDKRFYYTGYKRTAEAPE DLDKRFYYTGYKRTAEAPEDLDKRFYYTGYKRTAEAPEDLDKRFYYTGYKRTAETPED LDKRFYYTGYKRTAETPEDLDK AOR_1_516054 MVNQIRTLSPSTNKVIFEHPGTSLDEARAIAQASENAFQSYKQL SLADRKAIMVKALNIVDANKETLANELTAQMGRPIAYCTKEIDTMRKRADYLLSIADD SLKNLPGQAESGFRRFLKKEPLGVTLISTAWNYPYLITVNTLLPALLAGNTVLLRPSP QTPLLGERLVSYFHEAGLPTNVLQLLHVGSLDVLDEIVKLPQIKLVSFTGSTAGGLRL REATARRVVPVNLELGGNDPAYVRPDADIAYVAAQIVDGAVFNSGQSCCSIERVYVHA DIYDNFITEVQKELSTYKLGDPTDKATTTGPVISKQALKNIQSHIDDALSKGAIDATP ENTTFTSLPAEGNYIAPKLLTNVTHDMVTMREETFGPVIPVMRVSSDEEAVALMNDSD YGLTASVWTKDIKAGEALIEKIDAGTVYINRCDYPSPDLAWIGWKNSGLGCTLGPHAF DGFYKLKSFHIKEEQT AOR_1_518054 MTRIIRVAILETDTPIDPILARYGTYGAIFNRWLNTGLQGLTLT DTEIQTTIWDVVNKSEYPKPGDFDALLMTGSRHDAHADVPWIIELVKYVHDIHEQHKK PIVGICFGHQIVARALGARVGRNDEGWEISVEPFQLTDTGKQLFSKDSLDIHQMHRDI VYDVPRGCVNLGSSPRCKVQGLYMPQRVLALQGHPEYDEFVMTEVINLRHAVGVFDAE LAKDGLSRAGKQHDGALIAKIACKHILGEI AOR_1_520054 MATNVTLENLSSLLADDIKVKVAGIDCDGILRGKVMSKEKFLGI AEKGFGFSSAVFGWDMQDVLYTTDANIAPKDSGYVDFIAVPDLSTFRRIPWEDDIPFF LVRFIQNGQTVSADGRNMLKLICDKLAAENCQGMAGVELEFMNFQTPSEDGYSNGSQT QNIAAFLEKNTPGSLRPITAGSFSYSATRPVAFKKYFYDIFNTCAQINCGIEGWHTEG GPGVYEAALKVCDVREMADRVSLFKLLAKSIGVEHGITPCFMAKPIQGQPGSSGHIHV SLCDLDGKNLFARETPDDNAPWPDAVGLSDLGRQFLAGLLEALPDIMPLFAPTINSYK RLVENFWAPVNVSWGLEDRMASIRLITPPVCKPGATRFEVRIPGADLHPHYALAVILA AGWRGVQKKLEIKVPPVSAMKKDNTRPELLPNTLEEALKRFNAADSVAREILDGEFVD FFTATREHELRVWREAVTDWEFKRYIETV AOR_1_522054 MATISINAPGATGFLYNNASGKARLCISAETPDFDTETLRNWRD EGFDVIYVPYDGDQREYVARLKSVKEGLGVGENYAVLAFGDAASFCLDYYLKPTNCSR LCALVTYYPTNIPDTRSRYPPSVRILTHLAGTTVDVTTIPTLVGLQGKKKRRTRQINP GMGTGERLNIGHTAYTYEYVQPGFAEHDLDEYDRLACDLAFSRTLQVLRRGFNKDIDL EERWEEHLEAKFFSMNLSNTMEAYVSHINPAVTYVPTVSGGIGNHALRRFYEQNFLRQ LPPSMRLRLISRTIGVDRVADELHATFQHTQEVPWMLPGVPPTNKQVEVILVSIVSLR AGRLYSEHVYWDQASVLVQVGLLDPKLVPQGVHGVDRLPVVGREAARRILDENPEVEK KEYHNRLIRRAKAKKKGKDGVTPGVDESGTEYFKSEAEKPLENGKGKGKTVQKQPPEH GPEGNESHRNDNTEDNQDNKEEEDGQDRAQTPTPFKGSASVEDANDEE AOR_1_524054 MLSSVLLVLFSAAVGAKTVPNGQTLTLNGIPYYLSGIPISNFSH NVFDKASNDVDIFPFTVIQTSSTVHSSFLSETVANFTQQDDVFQPAFLQTVYLTSSVE ASQIDELSGSEALHQFDNKMFLTESDASLSTPLPNGPYFASARTGHIFRAYRLYSDDS LAFISAAISDESGGFIPMTGVTEGVMTKNVAVPSRLYYTPTAEKPLAGFRLAVKDIFH IKGLRTSGGSRAYYYLYDEQNVTTPSVQRLFDLGAVMVGKVGTVQFANGDRPTADWVD LHCPFNPRGDGYQYPSGSSSGSGAAIAAYEWLDLAIGSDTGGSMRGPAGVQGIYGNRP STGAITLEHALPLSPPLDTAGMFARSASLWSKTVQAWYPNFNRSYPSHPKQLYLSHSN WDESTAPEANEHLETFMQRLEDFLDTNRTIVNVTERWSETHNSPSLINLLNTTYAYLV GVGQWNNLAKGFFADYAQSHDGRRPFINPGPLARWEWGQANGGNASYDAALHNMTVFR DWWSTSGYGRSDDDSCSEGIFVHAWATGAADYRNRYFNPPGPPFGFTDDAIAVFAGAP EVVVPLGESPYNSTITLHEEYLPVSIGLQMARGCDRALAELVDDLGKAGILKPVSAGS RLYS AOR_1_526054 MLRARLMWYKIEPNSANIPFLSPRHILKVSLATLTVVQCGYANP YPGVLPEDGVAVVEDIPENQASIPYMGEEQVLSPYDPSDDLALDTSADSNHTLQYGEC YKVQADGNWLGSDSNPWNYYLFGGYSNSRTFQVCRLMSSCQRQNTQDQEVRHRGHLYL WDFRGNHYSRNGEFVANNNRGYFYPAGLSARNYAYFEAHMEDCDDITHSKDTCYINLV LVGQASNNNGIEIRSNNYLANAYNGKSVTVQFRRVKCLLD AOR_1_528054 MPRDNIEFQTADHVTLRGWFFRPPTKAPETRLPCLVMSHGFSAL KEMELDTFAEYFTQNLPISCLVYDNRGFGDSDTKEGQPRHEILPSQQTSDISDAITYA QSRADVDPDRIGIWGSSYSGGHVLWVGAVDRRVKVVLSQVPFVDGWQNMGRLIRPDIM EALNKSYQEDRLARAAGKPPATMKVVDEDPLKPSALPTPDSYQFFHGWEVKSNWKNEV TLKSLEALRGYVPSTHIAHISPTPLLMTVADNDVITPADIALEAYSRAREPKKLHIFP GGHFDGYAGKGFERIVSVQVDFLKEHFVE AOR_1_530054 MVLTHFIFLAATTVKLVATLPLDSIKPPSSRSDVHFNVQQAAAD AVPLDKQLMGLSIEFGNAVDYFGDVNNPNAFSKQLLQNIVDRSQSPAILRIGGNTQDR ANFCENCNQTMSSIVLNDPNDPKGTEAVNVTFNKNLFNVLANNVPAGTPIIFGLNFRN NSYSLAQAEIDGAFKYIDQSLVMAYELGNEVNLYGDFRPPDYDVNDYARQMREWIPRL RARSSAASSFQFPSFAGPELFKPDMSISELVRLGVPQSIPGIEYFSVHGYPWNICTDE DAAKVDLRNLLDHQQTLDLLDQYSSQISAAKPLGKMMHMGETGSVACHGKDGVSNTLG AALWQLDYALSGATAGIDRLFFHNGKGDFYYSTWEPLSTETSPVPHINPTYYSMLFIA DLVADLNGPRITPITSLDSNSAVHFAIYDNDQIQKLVLLNTEYFNETTTRSSREFNIA SSLGLNLKVRRLTGQSSDARTGVTWAGQSVDASGGITGDLNIESVSTGIVTLLASEAV IVERA AOR_1_532054 MLESGYLLMDYVGNSEVQMLSETWEEDHHHRDKRINLFRGLSRI MLSLSQKPLPQNGGIPTNIGRTLTYPAKDAYYHDLLACHDSRIRHQPNSLSDEDDGRA QMARLAIMRALLPHFTNRELRQGPFLYRLTDLHPSSIFVDRHWHVKCLVELEWACSLP AETLRPPLWLTGRSMNDLTGENLEAFKQVYEEFLDVFEEEERLLPSIDNTHSYRTDLM RRAWQTGGFWYFHSLDSPRAHRVSSEFSRIVSDYWAVDTETIISTKLQDKEEYEKVLR QRFEDAVDRTLKKRLHTLTKSFE AOR_1_534054 MSSSTSFADTLSPGTNGTNASRRSTSTVRSRPCRLVSFTDDDES DNGRQLEPSGLSTTLSSDIPVPRSRGATPSPYTSRGASPMPMRHPSRVVSSPGRGASS SLGGFSYTGKNQVNFAESSRAAVEFLDASWSSLQSLASSVLGSDTARPATNGAARTHA RKPSRPDSYLGTSSRTLTPSSWGPAVPSTSAIGAGTKEERQAFLQAKKREALLLADTE TNGNLNTKHKRRDSSDRTSHLKGGSNQDEEALAYVHHVQPTDSITGVTIRYGCQPAIF RKANGFWPSDSIQGRKTVLLPVDSCSVKGRPIRHDLNLVDAGSSRRDSLEDPSGSSIA PSTVSGPRDQPEAIPDAPSDVEADQIWKHESWVQIDGFSAPVEIGRVPRRALGFFPRS RRKSVSYTDSEIPSFSGRERTPTLSSTSSSIEPQSSRDTPISGNRPHADSSGSRASAK SKPAVRHQRQRSNIQLAGPGVGTLDRDTMAPGPALDGLSKFFAQHLPNLAPTPTPPKF QDSTEYTSNAVSNTPTGLDNIGGAVEGWVRKMTSRAKAGFNELQQSTQGHQINGTAHR PATRGVGDLIELNDGLESRDSSKILRPEINRSATSLHDGGSVRGRFHSPSAGTSRTRA TGDRFKDD AOR_1_536054 MASARGPRWQQFLQELVMVAGTSASAYFLIRYLLSRLDFDPESQ KKEEQRRKSAAILRRLDGGEESDEDSPRREGKRGRRQKRGDLALTQYEQAIAMDVVAP DDIPVSFEDIGGLDEIIEELKESVIYPLTMPHLYSSTSSLLTAPSGVLLYGPPGCGKT MLAKALAHESGACFINLHISTLTEKWYGDSNKLVNAVFSLARKLQPSIVFIDEIDAVL GTRRSGEHEASGMVKAEFMTHWDGLTSANSSGEPQRVVVMGATNRIQDIDEAILRRMP KKFPVVLPPAPQRLRILSLVLKDTKVDRENFDLDYLVKAMAGMSGSDIKEACRDAAMA PVRELIREKKAAGLQMNTVDPEEVRGLRTEDFFSRAGGVRIIPPPAQLQAPIKASSEK DWSTESEATSEVETRPSAEMVEPPE AOR_1_538054 MPPKRKAAAKLSNLAESDNEDATQAQEPRDDERPTKKPRGRPRS KSAELKPLIQSKNDTATAPSQAPEAAPKRGTRRGRPRGSRGSLQSVNQEIEEQKEPEE GEEESGPQVPESAPASNDELVASQPAARSTRSAKPAKPTTTRGRRKATVEKQVKTDGE FQYTPRNTRHYKSPVKANEEAEQSTKQQQKADPQSELEEEVSEGEKAAPDVVEETFVQ EEPMEPRSISMSPAKRRQSTQRPLQGSPLKPNAEPELRRKIGELTKKYDTLESRYRTL KEIGVVEANANMEKLRKQCEAMTNASNNLVAGLKAELEAQKALGQRSRTLQKQLKERD AEIAQLKSQAEEATSQLSSAQTEVKALQTKLAAARNTAASLESAAAKVPGSAIKGGSN RANAAASAEAAQAAQFAQLKEDLYTDLTGLIIRDVKKGDSDNLYDCIQTGINGTLHFK LAVPHVSSANFENAEFQYVPLLDDNRDRDLIDILPEYLTVDITFVRQQASKFYTRVID ALTKRRTSTGN AOR_1_540054 MARVGRVGFLTLAVVFHLMYAYSIFDIYFVSPIVSGMRSFGVER EASAEAPAKRLVLFVADGLRADKAFQALPDPDAPSDLENDEPIYLAPFIRSRALSHGT FGISHTRVPTESRPGHVALIAGLYEDVSAVTTGWKLNPVDFDSVFNRSRHTWSWGSPD ILPMFKEGAVPGRIDADTYGEEAEDFSADATKLDIWVFDKVKELFASAKKDPELDAKL REDKLVFFLHLLGLDTTGHAYRPYSKEYLRNIKLVDKGVQEITQLVEDFYGDGKTSFV FTADHGMSDWGSHGDGHPDNTRTPLVVWGSGVASPRYTHEGTITGHEDGVSADWGLDS VQRNDVAQADVAALMAYLVGLDFPTNSVGQLPLGYLDTSPKDKALAALANAQGVLEMY RVKEEQKRDALLRYTPFEPLADNGETSVEARLERIKTLISNKSYDASIQLSSELLLTA LEGLRYLQTYDWLFLRTIVSLGYLGWIAYALTTVIDLHVLHGKSESNRTTFSIMFFSS ILVALFSVLLYQGSSWRYYLYALFPIFFWEEVFARRKALLAGREILLGHVHSVSGYFA FAIQLLLYVGVLEALVQSYFHRDIFTVCFILGGFWPITYGTKFLGQHKLLSASWALGC FLMSIFTLLPANKVEDMMMISCGSLLMFLTGLLYLIFERSILGQKRSSDPNSVVSSCG SRTIMGAQVGMILLALIVTRSSVASLQAKQGLPLGNQVLGWAILVSSLLLPFLHRLYP NSHYLHRLMVIFLTFSPIFIILTISYEGLFYFVFCMTLLAWVRLEQAIYIHTTAPTRE QDHSVANGSLPAKKPSPGNTVVVEGQPYRYRTLSVSDARVALFFFFLLQSGFFSTGNI ASVSSFSLDSVYRLIPIFNPFAQGALLILKLLIPFAIISANLGILNHRLEVAPSALFM VVMSISDVMTLNFFYMVRDEGSWLEIGTTISHFCIASFLCTFVAVLEFLSELFISGVD FGHPATTVGSAVAKAVNGSVACGHSPDSDISGEDSTSVGITAKADPDARS AOR_1_542054 MSTTGENHTDSTSRPSPAPSATGSTGTSGITVRAGSNGQMGFRR QRASRACETCHARKVRCDAASLGVPCTNCVAFSIECKIPTPKRKKNQTKAKESSGEEN PQKETPKDDQSTTDGKDAFGYSSNRMAVDGMPVTSLTESQAAQQATQNGAYAQFMKPK FARAPIKEAGRVAYLGESSNLSLLVQDRHGTTDVVHYPLPPNIRGSRARLADLDNLEL DILHQRGAFLLPPKPLCDELVDAYFKWVAPVVPIVNRSRFMRHYRDPKNPPSLLLLQV ILLAGSRVCTNPQLMDANGSTTPAAMTFYKRAKALYDANYEDDRVTIVQALVLLGWYW EGPEDVTKNVFYWTRVAMVVAQGSGMHRSVESSQLSKPDKRLWKRIWWTLFTRDRSVA VALGRPIGINTDDSDVGMLTEDDFIEDEIDIAAEYPPDPVHVQFFLQYVKLCEIMGLV LAQQYSVASKSRRMNAMDLTHSDMALADWLQNCPKEVCWQRQNHHFWAALLHANYYTT LCLLHRAHMPPASSAPNSYRVEEMAYPSRTIAFQAAGMITSIVENLQTHQEIRYTPAF IVYSLFSALIMHVYQMRSSVPTVVATCQERINICMQALKDVSKVWLVAKMVRTLFESI LGNKVLEERLQKAAGKRHQRIRHDTAQHQPPRKPDPPKRKFDDMDLGLPNGGPTPPVS YERSRPQTPAVTPSREMGQPGLNVPQGSPTGPPAGNSRGNTRPTTPFNAQFSLPATPP DLFLVTRTSPNLSPSLWENFQPDQLFPDGTAIFPELTSPQQTAVDPQLQMSSQLQTQG MDQRHMMPHQMSSRGLPGTQGSPEMISNIPPGLGMQGQQPPQVFGMENQQPWPMAGLE AALHTGVEAASQDDTWSNSSRSGPTAPTTLNVEDWFQFFGINGSFGDLSTSA AOR_1_544054 MSASGSGAAPAASSSTNPAKKAFPSVDLEGHNLPPSPAPSSPHT GRRYAIATELVYTDSGDQYNASSVPIYQSATFKQTSGGGGGEYDYTRSGNPTRTHLER HLAKVMSAQRALVVSSGMAALDVITRLLRPGDEVVTGDDLYGGTHRLLKYLSTNGGII VHHVDTTQPEKVREVLNEKTAMVLLETPTNPLIKIVDIPQIATAAHEVNSTCLVAVDN TMMSPMLLNPLELGADIVYESGTKYLSGHHDLMAGVIAVNDLALGERLYFPINASGCG LSPFDSWLLMRGVKTLKVRMDQQQANAQRIAEFLESHGFKVRYPGLRSHPQYDLHHSM ARGAGAVLSFETGDVGVSERIVESAKLWAISVSFGCVNSLISMPCRMSHASIDAKTRQ ERAMPEDLIRLCVGIEDADDLIDDLTRALVQAGAVNLSLDDFQANTTTN AOR_1_546054 MPGNAISPDGALSMQAVNERPTSTWNTRRLGSRLGVDIASAATA GALTCPVITVIDRAIIEKASKGIPIRQTITSCFGSMIRHPAGFFLSTPFLLIYTLYTS TYLTANAIDTISSTMRNQPFSSVFAGTAKFLATTTVNMGICVYKDARFARIFGAQSKP TPSSSSTPARTSPPASCHPSGAATVPKVSYALFCLRDSITIFASFNVPTLIAPSIPDY IASTPGMKAAIAQFSCPALMQFASTPMHLLGLDLYNRQPPGGLGWRERVSRIRRDYVP SCFARMGKIVPAYGVGGVANVRLRSAMMEYLERTEK AOR_1_548054 MPEIIDDKSQHCIPFLLERLKAHQERFGNDPARTPPFFLGLNGV QGAGKTVLVSTLQSTLRSPPYSLPVITLSLDDLYLTHEEQVNLAKSHPANPLLQHRGQ PGTHDLPLAKEVFESLRAGRATAIPQYDKSAYAGQGDRVPESQWEIVNGEGQEKIKVV IFEGWCVGFRALDDQLLREKWDAAVLRKEKGDYDGRLGYVPFEAAKAVNDALKDYDLI TDQLDALIHIDAQDLHFVYDWRQEQERTLLAAKGTGMTPEQVTHFVNGYYPSYELFTE GLRAGTFRPVPHTTTASRPSTGWEDRQLHLIVDRNRKVQEVRQI AOR_1_550054 MSELRFDNQTVVVTGAGGGLGKAYATFFASRGANVVVNDLGGSH SGEGKSSKAADVVVEEIRAAGGKAVANYDSVENGEAIIDTAIKNFGRIDILINNAGIL RDVSFKNMKDQDWDLITKVHTYGAYKCARAAWPHFRKQKYGRVINTASAAGLFGNFGQ ANYSAAKLGQVGFTETLAKEGAKYNIIANVIAPIAASRMTATIMPQDVLDILKPEWVV PLVAVLVHPSNTTETGGIYEVGGGHAAKLRWERAKGALLKTDDSLTPGAIARKWNDVN DFSQPEYPTGPADFMTLLEQGLKTPSAPSGQEPDFKGKVALVTGGGNGLGRAYCLLFA KYGASVVVNDLVDPEPVVQEIKKLGGQAVGNKASCEDGDAVVKTAIDAFGRIDILVNN AGILRDKAFTNMNDDLWNPVLNVHLRGTYKVTKAAWPYMLKQKYGRIVNTASTSGIYG NFGQANYAAAKLGILGFSRTLAIEGAKYNIKVNTIAPNAGTNMTRTIMPEEMVQAFKP DYVAPLVVLLSSDIVPGTGTKGLYECGSGWFTSTRWQRSGGHGFPVDVKLTPEEVVKN WKKITNFDDGRADHPEDSQAGSEKVMANMGNRSDAAEGGNDILANIEKAKQATTDGTA FDYADRDVILYNLSLGAKRTDLPFVYENNEHFQALPTFGVVPWFNTTVPYNMDDIVKN FSPMMLLHGEQYMEIRKFPIPTEAKTLTFPKLIDVVDKGAAALVVAGFTTKDAKTGED LFYNESTVFIRGSGGFGGSPKPTAARPKGATAAYKAPQRKPDAVVEEKTSEDQAALYR LNGDRNPLHIDPEFSKVGGFKTPILHGLCSLGVSGKHVYSKFGAYKNIKVRFAGVVLP GQTLRTEMWKEGNTVLFQTTVVETGKPAISGAGVELLEGAKAKL AOR_1_552054 MVLSLPVPSFSKSPTTSTSTAPPLRPNDSNQSTTISEALRNNPF GITSRSLLIPPSAPTTADQNREQEELNNALEILARIFPDVKIEVFRELLVRFDGQSRL HVCVEQLLRHKKEWVAGRWNVPGDDAEAAPVTGSLVIPRNERFRTTEYKLAVRTVLLK EFSGLSKSTVDAVLAEVNFCYVRARPTLRDLSKRTWRATFNSLFPSFKSKKDKEESPL VVWQRHANGEVVPTLKETGCVELDRELHDALLAPLLSAKKVECEDRDRRLAEELNEEE AKAAAALYECECCLSDVTFEQISTCSESMHIICYGCIRRTIQEALFGQGWGKSVDVER STLKCLTPFAHDGCNGSLHPEVVKRAILLDKGGSETYVKFEGRLASEALLKSQLKLIR CPFCSYAEVDSVYHPSAKGITWRFRRDGIISTIILTVMLLDMIPLLVIPVIILYILDP SAVQNFLHNSFLNLCLKVRPKRFTCANPLCQRVSCITCQKPWRDPHVCFEPLLLDLRA TVEAARTAAVKRTCPRCGLSFVKSSGCNKLTCVCGYSMCYLCRKGLSPPSKATQRFRP RQRQQENINPANAAENDDQNLDDEEFDEPEGYKHFCEHFRINPGAHCTECNKCELYQD EDEEAVARRAGEKAEREWRMRQGMASLGVRSLQVNRSFSRPNKSAGDISLSGQGKGLN YWLDEVWREGRWKMEGQAFVDWVVERVVVIDEI AOR_1_1750054 MTGDTYGTTIAHAFSSAKDTAAKMLSGGECGKRLIPHVIDETAR KTPDVECMSTPRSNNRHDGWKPVSWAQVANAVNYAAQMLIMQAEHPAPGTFPTVAYIG LEDPRYPIFVVGAIKAGYKALLISPRNSIEAQMNLFARTDCNILYHELQYASMVQPWA DARSGMEGVAVAPFDEWVAEGVTPALYTKTFAEAEWDPYVVLHTSGSTGLPKPVVVRH GMVAMNDLHRYIPARNGNLAWLSTWTSFPNPRHLLIMPLFHTGGLMIMTVCAFYYNAP IAFREPSRPITGDNVVEWLQNSNSGWTFIPPAILDHMSRSQQAIIELKELHALTRTIS SGAIAHDSIDILLSHGIKTINAIACTEYFYFPYYSQPDPAMWPWFIIHKELMGIEWRL IDDDTYEQVIVRKDKHPGLQGCFYTFPELDEFSTKDLYRPHPTLADHWTYVGRADDII VFSTGEKLNPVTIEGAVMGHPAVFSAQVVGSKQFHAALMIEPIQYPKSEEEKQHFLDD V AOR_1_554054 MPSWIHQRRGDMVNDPASRNVSTTSSTPIMQALAARIRSQPGGN TAPIVRSEKGQLPMLHVPYTFQNTFVAVVGEFVGTFMFLFFAFAGGQVSNTPKPAEGA APNTSNLLYLSLSFGFSLLVNVWTFYRVTGGLFNPVVTLALCLCGGMHPVRGVLVFAS QIIAGIASAGVVSCLFPGPLSVGTRLGGGTSISQGLFIEMFLTAHLVFVVIMLAVVKQ KSTFLAPVGIGLVLFVNQLVGTYYTGCALNPARALGPDVINRSFPGYHWIYWVGPLLG SLLASGFYGFLSIFHYETVNPGQDFNQWEAAAGPGPWHEEINKHSGAPNHSHLSGDQP TLHQDNV AOR_1_556054 MVSIYELPHEILLSIINEFETERDISVFTQLNRFFYRCFTPNLY QHNVGQSKSSALVYAARYGRLSTATKAIELGGADPDLIASNQTVLSHAAKAGHAEICE FLLSRYNVKVDSRNIHNNFTPLLIAASFGHAPVVRVLLAHGANPNETEGGRDRSGRSA LSLACVRGFSAVVDVLLADAPGLKVDGYSTNTDHIPLLVAIRFRHESIAL AOR_1_558054 MSLPSYDALVVGAGFGGIYQLYSLLKLGLTVKLVERAEGPGGTW YWNRYPGATSDTPSHLYRYSWDKEDLQSYSWSHNYLERKEVLAYLEHVVERHDLRRHM QFHTEVVSAIWNDDSCTWTVESSQGSFMSRYLITSLGIITEPNWPNIPGRDQFQGSLY HTARWPDQYDLKGKRVGLIGNGSSGVQVITAIAQDVESLVCFQRHPQYIVPAGKRAVS QEERNTINKAYDEIWQKVKQEIGGMGVEEAKTSAMSVVDEERERIYQAAWDDGGAFRF LLGTFNDLILNEASNRAACDFLKKKIDQIVQDPEKRRKLTPSELYARRPVCADGYYEQ FNRENVDVVDIAESPMLEFTRDGIKLADGTVHKLDVVICATGFNAFDGAYRRIDVVGR EGKTLNEYWKDGPTTNMAVATAGFPNLFMIFGPQTPLTNGPPAIEAHVEFITGAISRA EKHRKEQSTLPTPAKIVIESTEEGEAAWGGLCNAISDAALFRTAASYFNGVNVDGKPR SVYLFLGGLNMFLQKLKECEESEYPSFHPF AOR_1_560054 MTTHKDSPSIGVNEDPILPAVTTKNQDQQDGVRVAEAVTASWSK KSLIVTYASMWMLYFVNGLNNNLTSNLSAYITSDFSEHSLLTVISVVTSVMGAACVMP IAKVLNLWDRTLGISIMVLIAIMGLIMMAGCNNITTYCAAQAFYTVGFTGTIFCVDVI TSDTSSLRNRGIAYAFTSSPYIITAFAGSPLSNQFHETNWRWAYGTICIILPIVASPL IITWELAKRKADKEGRLQYKPRSTRTWWQSVWYYIIEFDIIGIFFMIGGLILFLTSFN IAGNTKGEWKSAKIIAMMVVGFCVLAAFVAYERWGAPKPFIRFALLSNRTVIGACLLD ITYQVSYYCWASYFTSFLQVVFNTSLTQAGYISAIFDLMDPVWLIGCGYLIRVTGRFK WLLMLAVPLYLLASGLMIYFRAPGHSVGYMCMCQIFLAVGGGTMILIEQIAVLAAAKH EDYASMLALLSVFGNVGGAVGNSVSGAIWTNTLPKKLRELLPAETKDQWADIYESLDV QLSYPVGSPTRTAIQNAYAFSQRNMMIAGTAVMGLSIGWVLMMRNIKVKGNKNVTQVL F AOR_1_562054 MSDSSSIPTPALPSYSQVACIGAGASAIALGASLKRWYGLEDIR FFERQTDYGGTWHINTYPGCACDVPSALYSFSFAPNAQWSKLMPSQQEIKSYQDGVVA TYDLKQRMTFRTEVKRCVWRDDASRWVLFLLNVETGEESTHECQVLFSATGHFAEPRP CEIPGASSFQGNIIHSARWDHSVSLEGKRVVVVGNGCTAAQIVPAIVKRTKHLTQIIR SQHWIFPATNFTYPKILKWIFEYIPLALKLHRLHIFLLAENGFRMFPMTDRAARLRQK RRIEVEKYMKETAPAKYHDILIPDFEIGCKRRIFDDGYLKSLHSENLSLTTAKILEIV PEGVRTSDGIVAADVIVLATGFKTNQFTPFMEIVGRNGSLDDHWKRYDGPEAYNCSAM SGFPNFFLLFGPNAGTGHTSALMAAENSVNYALRVLKPVFEKGADSVELTQVAEDEYS QRVQEALRNRVWNAGCQSWYQNDKNWNAMAYPWSQAYFWYRSLFPINSHWNVRTRNPK KFRIGKYWTILPIILSLSFLASRTGNTLAIGPTILDFGKNMLSSVRHATISGFKESLI RGAKGLF AOR_1_564054 MNPIFYLKVYVIRLLTRLFAWLDRRGGPLRPPGPNLTVNIPSIL SESKGSFDVFFYFPPGYDRNDPKGNGFPVVLNFHGGGYCVGHARDDERFIAELTSRGA VVASVNYRRAPESPYPVAIEDSLDALLYIWRNAASLNIDKHRTVLAGSSVGGHLAFTS LLMLWKRMKDKRLQIDPSNLGTVKGIMAFYPVMDMTKSRAERAQSNPAFLALKKKPAS SKKFIGSVFDEAFFWKLKEKPDKGFMYLSPGLAPEDSLKEALPPIISFKLAGLDYLLS EEKEAVRRLGLLGKKVDCEVVEGVSHYWDHMARTPEMKELRDKCLGKAAEEIEQMWQS AOR_1_566054 MSSIPPDPKTPAEWLKYVHSEVITFIPSKQEQKIIQNSINERDI YLDESKIINPPSQLWYAYTDIFAFTKPEITISPEAYASMQIITRVLTADTPINLKIVP DTICWIYIYASILDQPISVSVDGQEPLLLELGPGTGNVGVKLIVFPDKIDLEYLECYM RAVDEELHASLNTQLCIARALQWNDTAIASSLCSYVVSVTTDIELSFYSQINAQAVAL GQQLAAKR AOR_1_568054 MSEAVLNSEKSPSSSDSSGTAVVETDGESKIPHVFNEQTNYVPK STIITIFLACSTVDLIALMDQTTLASSLSIIGNALHASDKASWISGGYFVTSTCFQLI YGRLSDIWSRKLVLFVGLGIFFIGSLAASLSQTATQLIVFRAFTGVGGGGLMTVAQMI VSDVVPLRERGKYQGILGAVVAIANGIGPVIGGALSSINEDSWRWIFRLNLPLTAITT LCVLFFMPLRKVTGDWKMKLKAIDFIGAFLALGSTAVLLLGLTWGGGEYPWASAHVIA TIVVGFAVAVGFVIWQWKGATYPLVPMHIFKSRIVNGACLTMFINGWNFLVQVYYIPT FYQLVFGYSTVKAGAMLLPVTLMQTVSSTVSGLVVHWVGRYRECILFGWMIWAVGLGL FSTLDESSGLGKQIGYGLLTGVGVGNTLQPALIAVQAGVERKDMAVVTSFRNFVRNLG GTLGLAIAGTIINNLITSSISSLGLTQSETRSFLSSPQNYLSKLPQAEAERARSLLIP AYQKGFRIIFLIGAALAAVAFVLAFWLMPQVTLNRADDEKLKEEGRKRVKGEGKCDEE VNEEERR AOR_1_570054 MANLNERNVYFGRDSLKKYFDPDCQPPLPLVELPEHLNPYHQDG VRVYAKMMTMHPANNVKAMPAMNMLEKSVTPGKTNTIIEYSSGSTVISMSMIARVMHG IQDTRAFLSNKTSEAKLQLMQFFGLNITLFGGPSQPEPYDERGGIQSARRMALDSDSV LNPNQYENDNNWQSHIRWTGPQIYKQLPEINVLCAGMGTSGTMTGLGTYFKEAKPSVL RLGVCTAPGDRVPGPRSFALMKPVEFPWKAAVDVIEEVNSSDSFSLSLDLCREGIVCG PSSGFNLQGLFQMLEKRKAAGTLSEIAGPDGSIHCVFLCCDLPYQYIGEYFQKLGADK FHPIQNERLTKVDLYRYDESWERSPVVLFTHFYNTPNVLSECLLSDIKLRPLCCVLDL RTTADFASWHLPGSVNIPLRSLDSHTVKPFSDPGVLEAQWSELEAMFKDPSVITKLDS HHVLVICYNGDTARVATSVLRAKGIEADSLRGGYQALKDHGLWGSSGVESVEKNTYPT TTTTELSVSTN AOR_1_572054 MMSMDEESSGGLPWLDMPVMFHSSRADTCKMTPEQCAYRSGHWR YWYEADHVYSLNTVYFLCATIGFFTIVHFLSKYVPVQARRSGIWRKTTAVGRYLAYKG YQLPAIRYCSPSLGVMLLGIIGTIFFFAMTLGPKPYYWPNTKDGTVSYGNSPPIATRT GWMALALLPFVLALGAKANLISALTGVPHEKLQVYHHWTSYAMFVLALIHTFPFIVFN IWKGQMVEKWNTSVVYWTGVAALITQAYLTFMSLPSIRNRYYEFFKATHFLVALLFLL FFFFHCDFRLTSWDYFVAGGAIYLFSLFAAWTRTHLINGRHSATIDLLPCGFVRIRIP TIMSWRPGQHVFIRFFSPQLGLHCLTAHPFTICSLSHDPDKVGKASEIVFYVKPRHGI TARLAKIAAKSPVFSQTVLLEGPYGGISDTASPAEFDTVLVIAGGSGGGFSLAIVEEA LRVYGNMPDLQQRNMQVVFATRNSKVAEWYREEIGDRVSMYDVPDKRISVSIHDTSLE QAEKPIEPALSEKGTVVHGPRPDLPRLINTATSTGSHRMAIFACGPASMLHDVRNAAA EAQKRALRGGAEVYLHTESFS AOR_1_574054 MASTATTVPTQDHVLVPETLLKKRKSQEQARAVAREEAEKKKAA SKEKRAAIFKRAESYVKEYRDAEREKIRLARVARKEGNFYVPEEPKLVFVIRIKGINK ISPQPRKILQLLRLLQINNGTFVRLTKATQEMLTIINPYIAYGYPNLKSIRELIYKRG YGKVNKQRVAISDNQIIEENLGKYGIVCVEDLIHEIYTVGPNFKQANNFLWPFKLSNP TGGFHKRKFKHFIEGGDYGNREENINALIRQMN AOR_1_576054 MASRRGVGLGAFANRSQASQSYANHGANLRSTHLSSLQAQLSVF QSLLHTFALEHSSTIKSNPTFRAEFARMCNAIGVDPLAASNVRGKNGRKGMGEGASFW TQIMGGDMNDFYFELAVRIVELCRDTRSENGGLIGVEECRKRVGKGKAIGSGLEVTDD DVLRAVKALEPLGSGFSIVRVGSKQYIRSVPKELNTDQATVLEVIQVLGYVSISMLRV NLNWEKARAQTVIDDLLADGLVWLDAQGEENEYWSPQNLLDDSG AOR_1_578054 MSRFFYGSGSDSDSSSDEEELLTDREEEEKSEEESSEEEEETSE EESSDDEGETGANRFLRDASESEESEDEEKVTVVKSAKDKRLEELEGIIKLIENAEKI NDWAVISSEFDKLNRQVVKVTQSGPVPRIYVKAVADLEDFVNETITKQKSAKKMNASN SRGFNAVKQRIKKNNKEYATHIEKYRSDKDGYMEGKEEEAKPAIVAPRLTKVERVVEA PAAATSTDDGFATVGRGGKTLQYTPESILKHLRVIVESRGKKNTDRLEQIKTMEKLLE VAQTPYQRIRVYLTLLSTRFDISSTSSANYMSVDQWKLAEKELAALLSVLETNRDHVV TEGAEEWEDDEKQPQVKPGETLHIPGSIVSHVERLDDELTRSLQHIDPHTAEYIDRLS DEKQLYTNLVRVQAYIEGLVEAEKSDMRQDSLNRVVMRRLEHIYFKPSQVVTILEEGT WKALPSELDSKVTPRGNAGDVTGLVQTLCNYLFTYSDGIIRARAMLCQIYFLALHDQY YRSRDLMLMSHLTENISNFDVNTQILFNRTLVQIGLCAFRAGLIYEAQNTLSEVCGSG RQKELLAQGIILQRYSTVSPEQERLERQRQLPFHMHINLELLECIYLTSSMFLEVPLM AQTSSSPELKRRIISKTFRRMLDYNERQVFTGPAENTRDGVIMSAKFLAAGDWKKAAE MLNSIKIWDLMPQPDKIKEMLSQQIQEEGLRTYLFTYAPFYDSLSISTLSNMFELSEK KIAAIISRMISHEELAAALDQVNDAIVFRKGVELSRLQSQVVTLADKSMNLLEANEKT LEQRTQGMANAFQRDQGAGARGGRGPGRGGQARGGPRLPGGQQGRRPGGQQFSGGALG GAIKA AOR_1_580054 MDSQWQPYQDPLMGRPAQLNNGLTSNPPQLGPKYGGQPQQSQPP VGYTYEAFQTPGIAAKPASTGMNSKSVSMASSPAATPRSRDYVTDADTTMEDADPYNR AKYSARPSHHSRPSSQYFPTEESSAARRYSPMNVLSPSMPYNTSPGKPHNAYAFPPGP NQTRRSPTRVPNYSSPPQPFQSPPSGSRAPRLPPLQPTDMSPEQFYPPSAGSQLSAPF GQDGRSPRSASISGGSQQPGRGPVPKFQKIKSVQELKPRVNAQPPFRRANPEGGFISP LQALTTHLPATYRICNPGFNYESSRNPRRVLTKPSKGVKNDGYDNEDSDYILYVNDIL GSEEAGHKNRYLILDVLGQGTFGQVVKCQNLKTQEVVAVKVIKNKTAYFNQSMMEVSV LDLLNSRYDKNDDHHLLRLKDTFIHRQHLCLVFEILSVNLYELIKQNQFRGLSTTLVR VFAQQLLNALSLLNKAHLIHCDLKPENILLKNLESPIIKVIDFGSACDERQTVYTYIQ SRFYRSPEVLLGLPYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQVCRIVEMLGLPP TWMLEMGKQSGEFFEKTQDEFGRKTYRLKGLEQYSREHGTKEQPSKKYFQASTLEEII RSYPMPRKNMKQAEIERELNNRVAFIDFVRGLLSINPLERWSPQQAKLHPFITQQKFT GPFVPPMNLKYSSLNKTVAPGIQQQQQAEAASKQRAAQAAHAQSAAQTAYSMQLNQFH TPTHAQPPPPMYNGVFTGHQQGAPPPYPTQQPPGYGHQMNLMPGQMPQSQYAPSQSLY AQATTRAGRQRASTMDPQGGGIPPTIQRVASHLDPNAPIRLQPSPAYYPPPPDGYVDA GAANQRRRGSRAGGTRNRDFIRTLEDGVLGGDGFMGQNQWH AOR_1_582054 MEAEPDSLSQNEHPKDERAMLLGAVSSAIPVHSDQVPATGTDET SRLRELQADVRDQDDLERDISRQADRLLMEQADERDNRRLERTTLEKEKLEAQILKAH QRMSQPIGTSARVRLHNEIQKLETRNAALANDLKEIQQRINERHEGQESGGPAMGTGR MPNESRRDYLIRTGKITPFSKMSTGPNEGPLASLHDALIDAEDERDEREALEQVRNRS AVSHRNLVRPSFGFDEASEASMEGDGHPKKRRKLTQRPKDRETTMDSEEMDETVTPVE DVASDDGSASYVASEEEKSPSEDEEDFVPEGTPPRSASRSKAKKTPDDVEDFSGLDDG NEKVYQSRLQSWVTRRSAARKRALQGSTDAHEHEEQEEWFMPHPTVPDVDYDNGYRIP GDIYPLLFDYQKTGVQWLWELQQQQVGGIIGDEMGLGKTIQVISFLAGLHYSKKLTKP VIIVCPATVMKQWVNEFHRWWPPFRVSILHTSGSGMVNIRNESSREDALLSQTWDSRR SLGGLKAGRKVVKRVVEEGHVLVTTYSGLQTYTPLLIPVEWGCAVLDEGHKIRNPNTS ITIHCKELRTPHRIILSGTPMQNNLTELWSLFDFVFPMRLGTLVNFRNQFEFPIRQGG YANASNLQVQTAAKCAETLKDAISPYLLQRFKIDVAADLPKKSEQVLFCKLTKLQRQA YKSFLGSEEMQSILRGRRQVLYGVDILRKICNHPDLQSHKLTSHKAGYGNPDKSGKMQ VVKSLLELWKDTGHKTLLFAQHRIMLDILQKFVESLSGFNYRRMDGTTPIAHRQSMVD EFNNNPDLHVFLLTTKVGGLGVNLTGADRVIIYDPDWNPSTDVQARERAWRLGQKRDV TVYRLMTAGTIEEKIYHRQIFKQFLTNKILKDPKQRQTFQLSDLHDLFSLGEDGQGPT ETSKIFKEADITYKEGGSTTTQQTCTGTRVQSHPRNQQDEKKDVSLVEGIASIENFQG DSEPPSDRDQGPPGANKESRIMEGIFARSGVHSAVEHDQIVNGKRVVRADPKIIEAEA KKVAAEAAEELHRAGEAARSVPIGTPTWTGQFGLAGRPEEPAARPAFGGGSSSARRAA AGPSSASILANLSARTPSRSGSNSPAPGKAPSGTEFITMIRDFIVSHGGSVHTQMLID HFNRFCTTPQRSAEFKEILKTIAVLEKGGRNGRGKWSLKAEYAKKR AOR_1_584054 MKFFSSLALLVSAVAANPILRTRDTPKNFHLKTTGADNSAHNDL YVYGYHTGAGFNDAVLTSDVGTASPAFLNGTNVQFSLDTPFPWGLVMRPQNNYGAWEP VEINTGYGSGSFSINDDSLEWSEQQGFGGWLVCDWYHNAPQLFYLYKYREPTIPSSCS KVQLAVEPVS AOR_1_586054 MRSFALLPVLCLLVLLGMVSAWPHPHGEVVARAETTSAETAADK ATTGAAATTEQSATKTESQSDATETGKKTGKETGTATGTKTGTATGKSTKTGTDASST SIDPRDPVGGISMLTSAGATTYYKIGEYVTFQWKYTSLQVTPSAVNVVASCSKNSETY TIASNMSVSETGKVVWDTKKYQSNATVPLLTATYTLYVYDVNSTLGDTASAGHLGSQI GYNFGMYTPQSYTPLDHYICATCNSALTSTERNAIKFAVGMAAITVASFTWFASGTGA FST AOR_1_586054 MRSFALLPVLCLLVLLGMVSAWPHPHGEVVARAETAADKATTGA AATTEQSATKTESQSDATETGKKTGKETGTATGTKTGTATGKSTKTGTDASSTSIDPR DPVGGISMLTSAGATTYYKIGEYVTFQWKYTSLQVTPSAVNVVASCSKNSETYTIASN MSVSETGKVVWDTKKYQSNATVPLLTATYTLYVYDVNSTLGDTASAGHLGSQIGYNFG MYTPQSYTPLDHYICATCNSALTSTERNAIKFAVGMAAITVASFTWFASGTGAFST AOR_1_1752054 MASTSQAAPKFPTVPVVVPKVEFPSKPGQPKVSETKPNNSNTNQ TNVIKSEGDNINVNTTSSPAIKQDSDASKQVSESEMQLVSSLAKLQELEAMIHQLRTL LPDRLLEPLVPIVNPKAAAGRAVPRSPQMLYEQLSQAAKAGVAEVTEFQNMWRSPEMN AVWERVDAQIKENGGQLLQPTGVWDRNYGTLLEELVKEENARMEQQRKSEEELERSRI QSTEGGWRAIVDSFIQKNVPGVRVLPTKSETSVTVVLPKAGMTFKVHTIGGSEVNGVP EWQVSSRTMPGQVKTKLESAVSDCLNSRSRQWDLAYLLDMISSYSDVKQTPCVKCGRM TDNAAQLPAVRQQKQQSDPQQPPIWEAYHSSCI AOR_1_588054 MLAQIAPLFGALCITFLVLQYLQRLYQQRKRAQSLGCQPAAQGP SGIFGIRSFVRLLNEVRHKRWVEYIAGQYGRYGNTYTQKALGKWMVSTIEPENIKALL ATQFNDFGLGTRHREFYPLLGDGIFTLDGPGWSHARGLLRPQFTRDQVADLELMDGHI SRMIDLIPQDGSSFDIQRLFFLLTIDSATHFLFGESVGALESSNSASLLGRSSVGSAQ GFAEAFGTAQDYLTTRSRAMHFYWMVNPKEFREANQRVHEVVDHYVQLAIQSKNNPDK KSDRYIFAEALAADNDDPKVLRDNMLNILVAGRDTTASLLSSAFFYLSRNQDVWKKLR QTIIDEFGDSQNPKGEITQAKLKDIPYLRYVLNEVLRLLPPVPLNFRVAAKDTSLPVG GGPDGRSPVFIPKGQVVAYSVYAMHRRTDLYGPDSHSFRPERWEENGRRGWDYLPFNG GPRICLGQQYALTEASYTLVKLVQRFDTLECADPELKQPAILSTLTMSHDRGVKVRLS SSVPKCWFNQ AOR_1_1754054 MRFDLFLILSSLAILAGPAVGLGLFRGSRYMRELQLAAELNLDP RSLSKKNTVHSVLAKANTQIEKVTTEYITIPIDHNDTSVGTYQNRFWVNDDYYEAGRP IIMYDAGETNAESIAKNHLTSSLSFFRKILEDTHAMGIIWEHRYYGNSTPFPISRDTP PEHFKYLTTKQALEDIPYFARNFSRPKFAEHDLTPSSTPWVLVGGSYAGIRAAFARNK YPDVIFAAYSSSAPVQAQLNMSIYYDQVYRGLVGHGFENCAKDIHAALGYIDQQLSNN HTAAAIKKLFFGPGADQNSNEGFTAALATIYSYFQNYGLDGPEGTLRELCEHLEVDPT TKEAAGPDGFAPVRGSKHVAERWAAWPAFTPLVNNFMETNCRGLSDPAKPSCKLDMTY YDPDSISWSWQYCTEWGFYQSSNFGPHSLLSRYQTLEYQQEVCNNQFALAVANGVLPS YPQTEALNKEYGGWNIRPSNTFFTGGEFDPWRTLSMLTTEDIAPEVAPDGITFSTKIP NCGETSEDKVFGYLLKDSEHCYDFQGLSTEGKAARDLFKEALTKWLPCFKPSSSKASM VNVTQAEITKGAVM AOR_1_590054 MLSRTILFSTSFLWVRVANAAFGITTSDDSYVIDAGSANPLKFT VSRSSCDITSINYYGSELQYSGTGSHIGSGLGSADVSAVEDGDYIKVTCDTDTLTQYF VVHNGDSVIHMATYTTEEPSVGELRFIARLNSELLPNEEPFGDVSTTSGGEAIEGSDV FLVDGETRSKFYSSQRFIDDQRHCVAGDAHRVCMILNQYETSSGGPFFRDINSNNGGS YNSLYWYMNSGHVQTEDRRQGLHGPYSMYFSRSGTPSTDIDTSFFANLDIKGYVATDG RGTVSGTASGADSSFKWVVHWYNADAQYWTYTSSDGSFTSPAMKPGDYTMVYYQGEYK VAETSVSVTVGSSTSKDISGSVETGDTIFKIGDWDGTPTGFRNAENQLRMHPSDSRMS SWGPLTYTVGSSELTDFPMAAFKGVNDPVTIKFTATSAQTGAATLRIGTTLSFAGGRP QATINDYEGSAPSAPTNLNSRGVTRGAYRGLGEVYDVNIPSGTIVEGENTITISVISG SSGDEFLAPNFIFDCVELFQ AOR_1_592054 MAPAYIVFVGVFVHLPRSTSKALAIQQGALWVSVADGRIKGADW TVITESDLRDFLKEKSWVLEDAPRYKSGTRVKIVRAREEHNEFFFPGFIDTHIHAPQY PNNGLFGSTTLLDWLKQYTFPMEASFGSSLSNIPSPRAFRVYNQVVSRTLANGTTFAS YFATIHVSATNLLATLCHKRGQRALIGRVCMDNPNFSEPYYIDPSTEESVELNKEVIS YIHSIDPQGTMIKPIITPRFALTCTPKAMRGLADLASSYEPPLHIQTHISENTQEILD VHCQFPSAKSYADVYDTYGLLTPRTILAHGVHLSPDEQDLIHDRGAKVSHCPASNSAL GSGICPVRKLLDKGITVGLGTDVSGGYSPSILEAVRQACLVSRLLRHVEPASEGDEGK KLVLSVEEALYLATRGGAAAVNMENDIGGFDDGMLFDAQLIHLGRFLPASRYDNGESQ VDVFGWETWEEKIHKWVWSGNDRNVKGVWVGGKLVHGEDAVEGEIRSGWWTWVLSAGV VGVGAVVALRRLRL AOR_1_594054 MAASWCALRGTRQLALRTRLRSAPSPIALRKATPSSPFRATHRA LHTATQQPSRRPVYTSSVADHGDPHPRDLFQPLDTFPRRHIGPSPDAAKEMLATLDPP VASLDEFVKQVLPADILSKKDLKVTDPHAKTNLYRDNVGGGLGETDMLKLLDVYRKQI DVSGKTYIGTGYYGTIVPPVILRNVLENPAWYTSYTPYQPEISQGRLESLLNFQTLTA DLTGLPFANASVLDEATAAAEAMTMSFATMPASKQKKPGKAYVVSHLCHPQTIAVMRS RAEGFGINLVIGDILADDFKLVKEQGDNLIGVLAQYPDTEGGIYDFQALSDNIHGAGG TFSVATDLMALTVLKAPGEFGADIAFGNAQRFGVPMGYGGPHAAFFACVDKYKRKVPG RVVGVSKDRLGNRALRLALQTREQHIRREKATSNICTAQALLANMSAMYAVYHGPTGL KSIAQRIMSMTSTLQAKLAALGYNVPIKTNVADGGAIFDTVTVELPTSQEADAIVAAA REQSIFLRRVNSTKVGISLDETSGRDEVKSILQVFATHASKGEVSIDGELGISPLPAS LERTSEYLTHPVFNTHHSETEMLRYIRHLESKDLSLAHSMIPLGSCTMKLNATTEMIP ISWPEFSQMHPFLPADVAKGYTQMIDDLEQQLADITGMAEVTVQPNSGAQGEFAGLRV IKKYLEAQGESKRNICLIPVSAHGTNPASAAMAGMKVVTIKCDTKTSNLDLEDLKAKC EKHKDELAAVMITYPSTFGVYEPGVKEACEIVHQHGGQVYMDGANMNAQIGLCSPGEI GADVCHLNLHKTFCIPHGGGGPGVGPIGVAEHLRPFLPSHPASEYLQSKRTESSSPPI SAAPWGSASILPITFNYINMMGAKGLTHATKITLLNANYILSRLKEHYPILYTNENGR CAHEFILDVRKFKETCGVEAIDIAKRLQDYGFHAPTMSWPVSNTLMIEPTESESKAEL DRFCDALISIRQEIAEVESGAQPREGNVLKMAPHTQRDLLSNEWNRPYTRETAAYPLP YLVEKKFWPSVTRVDDAYGDQNLFCTCGPVEETD AOR_1_596054 MSYSSSEKDPGADTRIEDVNQPALNDVDYDEEYTYAEQRKIIHR VDRRLVTITGLAYCVSLMDRTNLSMAAVAGMTKDLGLTIGTRYSVMVLIFFVPYVIFQ PPMTVITRKIGPTYFLGTIVILWGAILVGMGFAKNWKHMVATRALLGILEAGYFPGCV YLLSSWYTRFDVQKRFSVFYLIGCVASALSGILAFGLMQMGGLQDLEGWRWIFIMEGV ITGVIGILTITFLVDFPDKAHKSWRFLNEKECAFIVRRINRDRSDGDVEPFSLKRFLS PALDLKIWGFAMIFFCTTTVTYAIAYFLPIILERGMGFSTAAAQCLIAPPYVFAGIVM YATAWIGDKYRLRGAIVVFNALLCIIGLPIMGFAKGNAARYVGVFFAVAGANSNIPAC MAYQANNVRGQWTRAFSSATLVGFGGIGGIVSSLVFRSQDAPGYRPGMWTTIACNLLM LVIVAAMSLWFRRSNREADRGERVIEGSPEFRYTI AOR_1_598054 MACHYQKLLWVALTLGVSVSALPGSSKATRADGSPSPPVATIKS NNAQTETVFVGRSLPEFDQELFLGIKYADKPVRFTPSSLKTSYTADDSDSGAYTASMD DLNISQEAVLYNATEYGYECPGYGSDETKLVDMGLIQLNEDCHNLNIIRPKLHPNETQ LLPVMLWIFGGGWQQGATADPRYNMSYIVRQGALNDKPVLGVSINYRLAAFGLLDSEE VRASGNNNLALRDQRNAMRWVKQNIEAFGGDPDKVTIWGESAGAYSVGAHLIANDGDN EGLFRAAIMESGNANGPPWNGTEWYQPMYDRISNKTGCSSSSDTLQCLRDAPYETIYD AAYEGLEWFAAIDGTYIKEYPQISITQGKMAKVPILLGTNTDEGTSFGTTGTDTDEEC IEQLISSKRWVLNREQATKLLTFYPNDPALGCPYGWGNTTWPNLGLMYKRYESMAGDL TMVGPRRLLAQNMVKYRNEVYSYRWDVPALNTSSTIGVGHFAEIPFVFANPVQNITAL GDDPARLELGNLAARMWTSFVVDLDPNGHNVANIPQWPQYSQETSNFVFRLPKDGSYI ETDTYRADGIDYINSIPR AOR_1_600054 MGIPDDILLADAAGRIPSGMSLEYLAESRDCPAIVGIIFLVCFT GVIMIVRLYARIWLVKKLGLDDVLAILTMMIYISFVVLSLVLIDLGSGRHMDYIQYVL AMPTVRQTEVLDFVAHVLYTTALFFCRLSGLAFYHRLAARSSKLHLAIKIAAGFLFAA YLPQLFLIIFHCRPVTGLWPYPWQEEPISYNCLTWGLVYSVNSGVSLTCDVLMFIIPT ILIKELHVSMKRKAQLSLVMFPGVLVIVISAVRVYFVAVGQWADDGSWAYDPMMVIEN AEIAGTLIALSVPALKPVFGNIFTHLTEYTLSTRNRSNMKSQSKPTSGIKSRARDSKR LLSWSKHGYDDYEMMSSETNIPPSGPVQSTEPGSPGNKSIGVTTEVSIFHGQQEADQR HIV AOR_1_1756054 MVSKVFGRLLTTGLVLANSVSASTEAAHDDSLVASQVVTNPYEY DFPTLGATGASLFPMRLCNGFKLEEASIDAIQEQLGAGNLTSVELLQCYLERIHQTQP YLNAILQVNPDAFKIAKALDEERAQGKSRGPLHGIPFIVKDNIASKDRLETTAGSWAL LGSVVPRDSYVVHGLRKAGALLLGKAALSEWADMRSNNYSEGFSARGGQCRSAYNLTV NPGGSSSGSGVGVGANLIPFALGTETDGSVINPAQRNSVVGIKPTVGLTSRAGVIPES LHQDTVGTFGKTVRDAVYALDAIYGIDARDNYTLAQKGKTPEGGYAQFLTNKTALKGA TFGIPWKSFWALGDEDQISQLLELVDLIKQAGATVINGTELPHYKTIVSPDGFNWDYG STRGYANESEYSYIKVDFYNNLKDYLSEVENTKVKSVEDLVQYYQDNYGSEGGYPSIH PAFGSGQDGLIASLESKGIMDETYYQALEFCQRTTREEGIDAALKYKNRTLDGLLVPP DVAQSIQVAAQAGYPVITVPAGVGKESGMPFGLAIMNTAFSEPTLIKYASAIEDMQKS TGTKYQRSLPEWRGYLTRNLPVIM AOR_1_604054 MSRSRSSIASDSGDRLEPRLLRAAENDSVEALRRIIELAHENGQ YSDNFLRVGLMRSCERGCIAATQYLLDLLCMNLKDGNSQPSPLAANNRPSPLLRAVER NHVRIVQLLLDYGAPLETTDKDGRTALMTAAWKNHWHVLQLLIARGANVNAKDHRKRN VLHNLAADKHCNWGEDVIALLLKTVCEIDGEAGQDELGRTPLHWACATGKLRFAELLL TRPHGPIANVNAIEFRNKSALHIAIAHDWDNIVHLLLRHGADIDSRSDGGWTPLHNAC DKGAKEIVRILLHAGAKINSQLLNGVTPLHLAAQAGHTEVVKCLLEYPDLKRRIRDNF GCTPFLRAAQFKRKDIVLLLAPFNNVDALSPDARGACEGFEATVVDFGNFRNENRVRK TSVFNLLYGRDPENPHKQAFTTVPMDSKVTDFRWIHLPANNMAWVEGLLTKNFIEEGA HDIESFKGLERSFHYQHRGQRTHSHFMRPMCQRTQRKQRFHEENEESPEEKVENKLPQ IVINGRLQEPPKSPANGGSNKAKKQKTQGGKSERADSDDTSNSSHGKKAKNHTKRGKS KGESMQKSKNDEQHRHPLSLCKDNGHSTTSNVCVFMPYLHFETAERRLKMQEAIQRAE TLNFQPGFTRSPTRDEMLIRAHLASSTASLHVRRTLDQFFYPNIDTQTRDQDQVVYRY QTMGQGRERYGTEPKIFMVDQLWMWIMGTDLIVTCFAQRWEQPKNDPLNVLDGIIEDI NSKTREPVRSVYDLASIITNRCSGVFDRHRMGDDEYQFLDMFESSIGIATDRETVLFN KFNRASAQASDWLKNHRKLSRFARNSQTKKDVTNRDGDKQFEEDEDEVPLFVDNLLDI GQEIDLLAEAKDIRDELNMIRTVLEHQQNVLLDLQDIIFGIYQVQHRSQYDIKRRFKE QQRNIDMHLKDIERMDKQAERIYSSITDLLDLKQKHANAFEARFARDQAAGTTRQGKI MMADHSAAIPESDRGKERVVILGSGWGGYTLSRKLSSKSFSPVIISPRSYFVFTPLLT DAAGGSLDFSNIVEPVRDPHAKVDFIQAAARAVNLEKKTVLCESTVVTSGVTETPRTH ENERESEEGPDTTSMRPMQEARKWEKGDFFEVPYDKLVIAVGAVSKTFNTPGVRHNAM FFKDIGDARRVRRRVRECFELAVLPTTTPEMRKWLLHFAIVGAGPTGTELAASLRDFI YKDMTILYPALKDLPRITLYDVAPKVLSMFDESLSKYAMETMKKEGIDIKTSHHVEGL RWGEPGAEPPYEMDPKRCLTITTKEEGEVGIGMCVWVTGNAMNKFVNKALQDVETFPT ASTLLKDGTHPPPELTKDTTWHIKKAPKVGALLVDGQLRVQLENADGKIAVYQDVFAL GDNAMPETGAPPATAQATTQEAKWLATRLNKGDLQTSQPFSFHNMGTLAYIGDANALM QFPTEDDKPPKYLTGRMAWFVWNSAYLTMSMSWRNKLRIAFRWLLNNIFGRDVSRY AOR_1_606054 MLSAPVKSAKRISSLFSLGSSKEASIPSSPGSPGAPKASPDQQT QDGRYRSSSRSARLASNPISVDYSESRTPISPIPNDNFDLDAPLPPPPSLLAVNQDLA GSAPGSPDSRPQSRGRIVSGSRPSSSAGLFVPGTGPDSRPGTPSKRRSWIPGRARASS VDTRTAPTSPSLPSAWIAGLDQKILYDLEPLSRGEQIPELWNENGDTYVYLFPQNTGR PASFRVDSTVFAESPSLNFLARGTDPRTPGSIEQQTRNLSLASPVMGPASPPLTPQDR LPDNDNDSTSSRRMAFVEDDGQDEIQELHLYLPIPLNSDVSNPNSRISQEDTETLLLF RNLFAFLLGQSLIATPRSPSLFAIFMDVATLLSRFEFTNFDGSNFGETATTSFGNYCD ELHLADVRKSREKTIEAIVLGERLRFFPLYLEGFVHGVGKLDELKQLRSPKFGLISAA TQKRLERGFIDLDTRLRVLYGKLNDFDFPSAFAGAANSTTSAESKVIRFKAWKAAFMD MRRHTIQYYRQRYGSWPPKARSKKNQFEENGLNRRVLMELYSDFANLYDMLVDRSSLT TRTVDMGADEVAEADDLNTMTNRALRQVLSEYDRSTPPVQPPIPFDIPQFPSLQPLQR KPMDAKKEAKKNAKKLKDADINAVLMGSYTRESLKPTPFIESFMQFERRCGHGKTIND LIDLRCGQWIFLYCVIQSLPLLVVDVQDVKYTDGVEYFCCIAPRGGAPWIQNDGKVAR SWFGVAGGAGVVSLPSDVVINGVEGIYRRSHCWQVAEQWAEKDAILAPPTVEDPYDNE SSLSSPYQAQQSSAGSSAEHQQQQPTPLLAPGGNGLTPPPVIPRTNSPALRSRAEHRH SIYPGLEALPLPAGVAPIDPPARPISRFNPNMSFDDILKQVPNQKGKK AOR_1_608054 MESPEEVNLFRGWPNPALLPTDALAEASATVMASPTIRVPALMY GPDEGYQPLREHLAQWLTAFYQPRHPISSERICITGGASQNLACIFQVFTDPSYTRNV WMAAPTYFLACRIMDDAGFAGRLRAVPHDESGLDLTFLRQELVKAEEKAQAEQRLEPI YKLPRPWAKVYKHLIYATPTFSNPTTLTMSLADREGLVRLAREFDALVVTDDVYDFLQ WSPDPEQPLAQPDKAQIPRVVDVDRYLDGGPKDEWGNVVSNGSFSKLIGPGARTGWAE GTEKFAYGLSQTGSSRSGGAPSQFSAAIIAQLFPTGFIQTYVDQVLRPRYAERYYRLI SAVREHLLPLGVTLPSTSLEAVGGYFVWIQLPPPLQADDLATVALREYKVNVIAGNRF RVQGDPDTRRNSFNRSIRLCFAWEHEEKLAEGVRRLACAIRSALK AOR_1_610054 MASLHTTIMLFLAHVLLLLGLPAGMVGAVPLGQETDITTNLAAR AASEYWVGTIKRQGAVAFGNGTDYQVYRNVKDFGAKGDGSTDDTAAINQAISSGNRCG KGCDSSTVTPALVYFPPGTYVVSKPIVQYYYTQIVGDAVNLPVIKAAAGFAGMAVIDA DPYEDDGSNWYTNQNNFFRAIRNLVIDLTAMPQGSGAGIHWQVGQATSLQNIRFEMIK GGGDANKQQGIFMDNGSGGFMSDLTFNGGNYGMFLGNQQFTTRNLTFNDCNTAIFMNW NWAWTFKSLSINNCQVGLNMSNAPQNQTVGSVLILDSQLTNTPTGVVSAFTENSIPIG GGVLILDNVDFSGSKVAVAGITGNTILAGGSVVTNWVQGNGYLPGSAKQKREASVKVI TQTVTETVEVCTADYTDSPSAPTALPSSLGESRTAGLLPTLPLPNIPLLSGLLSGSQS SATQPAGVLSSEVPEPTATPSTPEEAEPSTEVQPTPQPSAPGSSSVVTGPASSSVAHA TNQCSVKTVTKTRLQTALPTHAKPSSLLNGGKVYERSKPLYTSYDASSFVSVKSAGAK GDGSTDDTAAIQKILNSAKEDQIVYFDHGAYIITDTIKVPKNVKITGEVWPVLMAYGQ KFGDEKNPIPMLQVGEVGETGSVEITDIALQTKGPAPGAILMQWNLAESSQGAAGMWD THFRIGGSAGTELQSDKCAKTPKQTTTPNKECIAAFMLMHITEKASAYIENSWFWVAD HELDLPDHNQINVYNGRGVYIESQGPVWLYGTASEHNQLYNYQVTNAKNVFMGLIQTE TPYYQANPNALTPFTPQTNWNDPDFSYCKTDGCRKAWGLRVQNTSDMYVYGAGLYSFF ENYGQTCLATESCQENMVEVDCSDVHIYGLSTKASTNMITSNSGAGLVPQDENRSNFC STIALFQQS AOR_1_612054 MDESHLRQSMSHHPSPFNSPQPPHSHIPSTLSYNEATTTALEPQ ASQHRTGNTSDEEGQPPPRYTRENDPFQLASKIKTDEEIRQIHPQANTARKRDSCGPG GLLRATKNPKKALDTAISSRQLQGFYHSQNENIERMLKPVEEHVRDAREISVNNQLKY KIAVYGSFAANVILSVLQLYGAIASGSLSLFTTMADAVFDPMSNLTLLLCNKAVNRVD PRKFPAGKARIETAGNICFCFLMTAVSFIIIAFSIRELVEGSQSETSDFHLPSVVAVI VAFCTKFALFVYCFALRHQVSQIRILWEDHRNDLFINGFGILTSVGGSKLRWWIDPMG AIILSVLVSCLWLYTAYHEFQLLIGVTADTKMQQLITYISMTHSPFITAIDTVRAYTS GPRLLVEVDVVMDPNDSLRATHDVAEELQMKLESLPDVERAYVHVDYETTHKPEHFLK KEL AOR_1_614054 MKQTGTILALAGLVSMAHGHGFVTSPKARMPGTAFQEACGQQMY NNQQADNYGNVQGELQIASSQNDYDAAKCNAWLCKGYKFDDNKDNVFQYTPGQNVDFT VDIRAPHTGVANVSVVDTASNTVIGEALKSWDVYASTESGVKETDKKFSVTLPSDLGS KCSEAGACVLQWYWFAESIDQTYESCIDFTMSGSGSGSSSGASSGASSAASTAAATAS STATGVAVTATPTTGNNVAAPSGFATSAKPSATSAPSSAASTVTIPTDGTAEEKLNWI ASLLQTLVKYIA AOR_1_616054 MKRILTSFSKRSGPTPEGPSSYAEDSPEGIVLREVTAFCEAAPS SAQGNEFVHLPAIVESAESSPNAAREAAHRIRKLLSDPASTPANVQYNAIMLIRILVD NPGHTFTRNIDAKFVATVKDLLRQTRDSNVQGFLRQTLDALEMQRGWDEDLAPLLQMW TREKTKLKRTNSGSTWRSQVSSQTSPQPQQPRPDYFGPRQAGTLPSPDELAARITEAK TSAKLLVQFVQSTPPAEMAENELIKEFSDRCRSASHTIQQYIHSTNPPPDEDTLLTLI EANDELSMSLSRHQRALLNARRALGQSDSQSPSLSETTPLGASSATPPPVPPRDTQNP YIPPAMPPAASSPSGISTPTNNSMGRSEYRSEDFQVQNPFADNFNTTTTGPPEGRTEG TANDSWYDPYQRPIQRA AOR_1_618054 MVRYAAQDIPAAKSARARGSYLRVSFKNTRETAQAINGMKLQRA LTFLDNVTNKLEAVPMRRFAGSTGRCAQGKQFGVSKARWPEKSAKFLIDLLKNAEANA DTKGLDTGNLVVKHIQVNQAPKGRRRTYRAHGRINPYMTNPCHIELILTEGEEVVQKG PVAKEAHLSSRQRGLQVRRAIQA AOR_1_620054 MPSALLIGEITHARKEWEELSSILTLTEFPSGTREDFIRNCKEG QYDDVLVIYRSNTSTKFTGPFDAELLAVLPKSLKYICHNGAGYDNIDVKGCTDKGIAV SSTPVAVNHATADVGIFLMIGALRQAYVPLSALRAGQWQGQTTLGRDPQGKVLGILGM GGIGREMANRAKAFGMKIQYHNRSRLSPELEGDATYVSFDELLASSDVLSLNLALNAS TRHIIGEKEFQKMKDGIVIVNTARGALIDEKALVAALDSGKVLSAGLDVYENEPVVEQ GLVNNPKVMLLPHIGTMTYETQKDMELLVLNNLRSAVEKGKMITLVPEQKNVF AOR_1_622054 MATVTDTVACQALVLHGAKDLRMGTKPVTAPTGSEVQVAIRATG LCGSDLHYYNHGRNGDFVVREPFCLGHESSGVVTAVGPEVTTLQVGDRVALEVGLPCR KCVLCKQGRYNICPEMKFRSSAKIFPHLDGTLMELTNHPAEMCHKLPDSVSFAGGALV EPLAVCLHAVRRSHPPSKEEVQLAESLGDQSAALIFGAGAIGLLLAGALATAENFSNI VVADIDPARLAIAESLNLGLKTALIPKADPAHPPPAKDAPHAEQTAYALQNAQRVAAT LKDTIGLTSGFSRIYECTGVPACVQAGIYAAAPGSVLVQIGMGNPIQTLPVGAAALRE VDVIGVFRYDGHAYPAAIALVASGKFNRVEELVVTHRLPLEQGERAFALAGKGVDETG RPVVKVVIES AOR_1_624054 MEQQNDISGRLVLITGASGGIGAACARQLAAKGVHLALTYSTNV SSTSSLAEELKSKHSDSYSLRVSIHKVDVSSADEIQRMFEEIDQQHNKRPDILVSNAG YGKRVPQVWDISLEEFDYTINVNLRASFILVKGVVEHMKSQRWGRIVFMSSIAGQGGG INGCHYAASKGGLTGMMKNLSTRLAEYNISVNDVAPAMIGETGMIPSAAAIPEVAAGI PLGRLGLPDEVANVVTMLVTTGYMTGQSLLLGGGLK AOR_1_626054 MEQEEQSSQPESTPATRPKSRSDHLKRVSRACLHCRQRKSKCDL DSNGNPGVPPCQRCLRDGRECILGSSNRGGRRIRKNKMKNFTPDTTLSGRRESIVESI SPTASDNRQSTNTSYPGPVVFVPPNPTTATSISVDDEDTASIGSVPRNPSDAWQCLTG IAKRGDDGPTPETNTDSLRTTHSAFSFGALQNGTVADFQPNSGIKAYRLVQSRSLDPG TVWQLVARYAENFHPYLPLVPRKYFNRSALDSFATNEKHLLTAVLTIASKDLVERPDI HEYCSKYMHELISGIAAGADCDVEAVEALLLLAEWEPQGLRPRIERVGRGEEDRAAWM HVGLALRSGYFLGLDRTSFRGDPSGDTETEARRRLAWTSCYISDRLISVRIGRAFWSR GPGPMTGLVSQDFPSLQPIKDGDEDYARIFQATLDLTQLYGNVHDVLYSGMRTSNQMM LMGDYVKYVDDFRLAILRWKSLWGSLSCSTPIQATLQLSYEYLRLYTNAFAFQAAISQ SMVSKLKNDQSQREHLRSTFNDVASMQDARFIYESVDAAKAYLTILVDLVHPEKHLHF MPLRFYLYGIYAAVFLYKARSFGVMPNGEETKVRDLVTRTTDVLKRASAGPDDIGSRY SRLLELLWRPKAATIASPAGTHQSSDFQVQSSTIPHRLPEQSNYMQFSPANDFSWLDL EAVGDYVSGDQLPGANTLAFDSFQNPDPYQTGQDRSQAWQPNWPGDMTNLLF AOR_1_628054 MSASLFSLSGKTAIVTGGTRGIGQAMAFALAEAGADIVLIQRDE SNTSTRDEIISRIGRKAWIHVAELSSRDAIKGVIPALTSQGLKPEILLNCAGIQRRHP SEQFPDEDWDEVIQVNLTSVFTLCREFGAYLLARDASEFPTGRRGSIINVASLLSFQG GITVPAYAASKGGISQLTKALSNEWVSKGINVNAIAPGYIATDMNTALINDSNRNAGI MARIPAGRWGSPDDFKGVIVFLASQASSYVSGEVICVDGGWMGR AOR_1_630054 MDDLRRHIIPRGYGTNATYVYEFSRGLGGVNVPRDVIITRIIYV SVLALAFIVFCGRIAQISHAYLRHITSLNSSKRQQTYWSVEESDTWTNIKKHLLYSPL GRKRHNREIQLSSAINVGTLPSRFQTILILLYLASQVAYCTILDYRVNEKKALVAELR GRSGTLAVLNMVPLFLLAGRNNPLIAILHISFDTYNCLHRWLGRIVVIESVVHTVAWA VNAVDEVSVSDMLKRLCDTPFFTWGLVGTVAMVFLSLHSPSPVRHAFYETFLHLHQLA ALLAYVGVWFHLDLDGLPQKPWAIAIAAIWIFDRAARFSRLLYLNISPRKGATKLVVQ ALPGEACKVTFHLPKHVHINPGSHVYTYIPSVSLWMSHPFSVAWVDPCSSVTNAAEPE KFAKSPTSTGSMSPSLLEKQPVVDLNDYMRESQEPTSVSLIVSARQGMTRKLYNKALI APNQILHLSGYIEGPYRSHVSNMGSYGTAVLFSAGAGITHHMLYVRDLIIRATEGRVA TQKVYLIWSVRSTEHLAWVQEWMDEILRLPGRRDILTIKLFVSKPKSSREIVSPSATV QMFPGRCRPHVVLDEVIPNRVGATIVSVCGPGAFADEVRAAARDNIGKGAVVDFVEEA FTW AOR_1_632054 MSLLGTINPNLNPARAVGAQGDAEGAAPVSGGEPMPIFEGNDSA RTSDTALDKLGKDESKYDEQIAEAEVTRLAQQLTRQSTRFSVSQNAENPFLETKEDST LNPLGPNFKAKNWMKNLLALTSRDPERHPRREAGVSFRNLSVHGYGSPTDYQKDVFNM VLQVGALFRAVTGTGKQKIQILRDFDGLVKSGEMLVVLGRPGSGCSTFLKTLAGEMNG IYMDDKSDLNYQGIPAKQMRRQFRGEAIYNAETDVHFPQLSVGDTLKFAALTRCPRNR FPGVSREQYATHMRDVVMAMLGLTHTINTRVGNDFVRGVSGGERKRVSIAEATLSGSP LQCWDNSTRGLDSANALEFCKTLNLMTKYAGATVAVAIYQASQSAYDVFDKVTVLYEG RQIYFGRTDEAKEFFTTMGFECPERQTTADFLTSLTSPSERIVKKGYEGKVPRTPDEF AAAWKNSEAYAKLIREIEEYNREFPLGGESVQKFVESRRAMQAKNQRVGSPYTVSIYE QVRLCMIRGFQRLKGDSSLTMSQLIGNFIMALIIGSVFYNLQHDTSSFYSRGALLFFA VLLNAFSSALEILTLYAQRPIVEKQARYAMYHPFAEAIASMLCDMPYKITNAIIFNIT LYFMTNLRREPGPFFVFLLFTFVTTMTMSMLFRTIAASSRTLSQALVPAAILILGLVI YTGFTIPTRNMLGWSRWMNYLDPIAYGFESLMVNEFHNTKWKCSSAELIPNYEGASLA NKICSTVGAVAGSEYVYGDDYLEQSFQYYESHKWRNLGIMFAFMVFFLATYLTATEYI SEAKSKGEVLLFRRGHYSRGAADVETHNEVSATEKTNESSDGAGAAIQRQEAIFHWQD VCYDIKIKGEPRRILDHVDGWVKPGTCTALMGVSGAGKTTLLDVLATRVTMGVVTGEM LVDGRLRDQSFQRKTGYVQQQDLHLHTTTVREALRFSAILRQPAHVSRQEKLDYVEEV IKLLGMEAYADAVVGVPGEGLNVEQRKRLTIGVELAAKPQLLLFLDEPTSGLDSQTSW SILDLIDTLTKHGQAILCTIHQPSAMLFQRFDRLLFLAKGGKTVYFGEIGERSSTLAS YFERNGAPKLPVEANPAEWMLEVIGAAPGSHSDIDWPAVWRESPEREAVRNHLAELKS TLSQKSVDSSHSDESSFKEFAAPFSVQLYECLVRVFSQYWRTPVYIYSKAVLCILTSL YIGFSFFHAENSRQGLQNQMFSIFMLMTIFGNLVQQIMPNFVTQRALYEARERPSKAY SWKAFMTANILVELPWNALMSVIIFVCWYYPIGLYRNAEPTDSVHERGALMWLLILSF LLFTSTFAHMMIAGIELAETGGNLANLLFSLCLIFCGVLATPETLPGFWIFMYRVSPF TYLVSGMLATGVGRTTAVCEKVEFLHLTPPANTTCYDYMSDYIGSFGGYLENDNATDS CSFCQISSTDTFLSAVSSYYEDRWRNFGIMWAFIVFNIAAAVFIYWLARVPKGSRSKN AOR_1_634054 MSSSELDISQLSDSERSALDTYIAVTGQEPSEAIPLLRRSQWNV QIKSKIAISKFFDGEGPDPVEEARAALNTPPPRPNRQTQNLMTDDLTANFSSAVRAAD PAPRVETQPEGQPVYRPPLLLALLFTPINLLYRLLYSSFRLFGALFPFLPRFFNTTAS SALHGTRLNTNGRRPLGPKDTAARFIREFEEEYGTNTLPFLENGYNMALEKAHRDLKF LLVVLLAPEHDDTNGWVRDTLLFREVIDFVNDPQNNIIVWGGNVQDSEAYQVANSLRC TKFPFAAAIVHTPNVSSTAMSVVSRISGTTSPSEFIEKLRTAISQHKEPLERIGATRA EQQASRSLREQQDSAYERSLAIDRERARQRREAEAARQREEQEAAERQAAEEKRLHDL EQWKRWRVQAIPDEPSADVKDAVRVSIRLPSGERVIRKFAPEADLEELYAYVECYDIL QESHEKPTDVEKPDGFEHQYGFRLVSPMPRAVYEVSAGGTIREKIGRGGNLLVEPIDD ESDEEEEEES AOR_1_636054 MASAGKPTLDDSRRATGSPKMKARGCAFNHDPHKVNTTYQSDSN NSRRRLNVDSPSFTPSLLSSNGSSPTTASVTAKKAATISPKAANAAPFQPRNITSRSN TSTPSGRAETMTPDWSVAEVQEFVPQGFDTAHMASLQGNSNGGVPSTSPFDPFVTASN PLSAASAVGPVQANPFAHDTAAAAAALGGAFFAGQSGFQQPVQYHLYAPIGPHSQNTL GYQRNVHDLFLPNDFREELQKKAAATLQTLPNTQLPAQVDYFHSLVPLDLNHQKNATI FGFPSWVYKAQSSKDGNFYALRRLEGFRLTNEKAIRSVQAWKRVCNGSVVTVHDAFTS RSFQDSSLIFVTDYHPLSKTLAEQHLGAGNRFQGRHNTHIPEQVLWGYMTQIANGLKA IHSNGLAARILDPSKVLVTGKNRIRLNACAIMDVVQYDTQRSIAELQRQDLVNFGQLI VTLGANTPSVMHNPTKAMEHFTRAYSPQLKNSVFWLLNGLQKDQDRNIDIFITGISSQ LMSTFDSALHLDDELTSDLSRELENGRLVRLVTKLNFVNERPEYEHDRQWSENGERYF LKMFRDYVFHQVDAQGDPVVDLGHVLSCLNKLDAGTDEKITLVSRDEQSCFVVSYKDI KKALESSFQALLKPTRRLH AOR_1_638054 MKVYLWTVAATATLSSALFIPTSQQALKDLDLNNIDVNDKAALD DYLLQLSLLDAASEIQNSRLDDILPPIFDTVDAEDYDYDYFDDDDYDDAEYFPLDITE LPPFSQLHPPHHPPSDKTIYELITESKYTTILAKLIKEDEELVHLLNSTEANHTFFAP TDDAFKKIPHHDDHKPPKELIRAFIKYHLVPGLWDARTVFHSHTLPTELESRALGDKL PQRLSVRAGWRGLTLNFYSRVVAPDIPGTNGLIHGISSVLIPPPTTKTLLDLVPTKFS TFDLAILKTNLTIPENTGKDTGKGHTIFAPSNTAFSKLGLKINAFLFSPYGQKYLLAL LKYHIVPDRTLYSDVIYTEEGEIRPFGVKGFTHLDLPTLLGDRRLSVDVARFGPYASL KVNGFQRVAVADALGRDGNVHIVDQVLVPPKKVVEGATEWDEWNEELTVEGLKERLAA WVEDDQDDYDEGMELWAHAFDL AOR_1_640054 MSERGSFRGGRGRGGGHDRSGGRGGHAKSGGASGGAQQQEKPKK ENILDLSKYMDKEVRVKFNGGREVVGTLKGFDQLMNLVLDDVKESMRDDEGNENTRSL GLIVARGTIIVLISPADGSEEIANPFVQAEE AOR_1_642054 MESRTATAEPSSKRPRSPSGDFPPIASKVPKTHSNHLQINYLAR QYPDNLPLVSIDDTMPAIIHLIGEYDGVLHRHESIAGNLGACPLGPILIKRFERLFDG PPRVLKSHGKDSPNITWLDVVEFAKNKPEQFNLEKTRNGVRVCQFYTKQCRVEISEED FVLIASGMPQKMIPPQPIIEDEEKELGALEILEKNLQQIIQVADQVSARARQLNHRLK NRRTAIVTRRENDLSLHSQSQSQSQSQQQQQQHRSISPVWRDANGSQHPLNGSSHSNT GSPSTGFVAVNTGRPGGEPTTEDNALSQQFMFSHSNTDNVTIINGTSIKGASPTTRAE LMKKFFTTQDRQSRSYEEGTGSQNRQSSRPRPRASDATEYNMYTPTAATVAIPNTPSS LLPPPKSHHHEKDDGGPFKMEMVARMEELQRGERILPPCDRCRRLHMDCLKNLTACMG CTKKHAKCSWKDVKAEELQESREPREPREPRPDPMARERPESLTPKESLPTTTAPAPM APSISSVPTPPSAAVVPEIDRHREIEYNVRRESAPTAGPIPGTPLGKEPSPRRAMSEI RGGSLSHDRHIGINRNTNPAAEDDDPDANQRLMQAILDTVDHHARAAAAAKEGGQPMT EREREQERDRDRKLVKA AOR_1_1760054 MPEKPHITAVFLGHLDHGKSTTADQLIYQYGRVSGNPIAEYGSM LSLSSDLLCAGARPHDNHSPQEAGPSYKYGWVIEKLRAERKRGITIDISLCTFETPKF VVTVIDAPGHRDYIKNTITGASQADCAILVTSATNGEFEAGVDQGGQSRQHLVLAYTL GVRQLIVAVNKMDTPRYTDDCLNEIVKETSDFIKKIGYNPKAVAFVPISGLYGDNLVE ESQNMPWFKGWTSETKYGVLKGKTLLDAIDALVTPSHRNATNKPLGLPIRDVKELTTA PTNITAEVVSIERNDEELHAGHAGEHVSVHIIEVEEEILPGYVAGDPNNDPPASVASF SAQVIILSHSGEISPGYTATVDCLTAHIPCRLSRILHKKDRRTGRPTEQSPDSIKVGD CAIVEMVSTKPICTVGVGTVQSVEFGTVEDESDEYDHENYSD AOR_1_646054 MDPSQVKIPPMKDLTVDNITENVIRINSLCEDERMKYVLERLVS HLHDFARETRLSSQEWMTGLMFLTEVGKICSDVRQEFILLSDILGLSILVDSIDHPKP PGSTEGTVLGPFHTHEAEVMPHGDSMSHDPKGEPLLVVCTLKDTNGNPISDVKIDIWE TDSTGHYDVQYADRNGPDGRCIMRSDDSGVFWFKAITPVPYPIPHDGPVGKLLKKLHR HPFRPSHMHFMFEKEGYDHLITALYLRNDPYETSDAVFGVKDSLVVDLGKAGPEYAKK YGVSEDHALLTYDFVLVSDAETSALRERNSKVALDKLGRKVKIVNGLPVPDLD AOR_1_648054 MVSEDDGTTPRKKRKITPPKAVPYMLRPLFDEVPLTADDPNDDV HITCVEYWNDNLYIGTSAAEILHFVCLPPDPSDNTNEPSFILASRLPIPFSKNASVTN RQQGIQQIVLLPSVNKACILCNGTATFYLLPELSPAFRENTKVNNCCWIGGLDQNASM DEPERPVVMVATQNKMMLVRIGDDARLVRNIEFPGCLTASRRGIITCAADARSYSLLD VEHQQKIPLFPMSFFDEALASGQVEDMPPASLSPSSSAESHAHGRSPSLNTLSGMLQP NARSASQDRSSSVTPELSSTSGTPTRSRSKERGDSTSPRTSSEHPSRDSQPSPREDPK PLPPLPKQSSTQLKPHILSPTSSEFLLVRGTDATEPGVGMFVNVDGDVVRGTITFHKY PESVVIDKGDENNLIGAPNDTREDLILAVIEADQDGKLRKFLEVQLWDVDPGNADNHK SWVEIPSVQSHVGLLHTLSPSQLEVGEMRELLQMVRLRTPSLSPHIPATDPRTQASIE QLQKEKELFESQEAIDAEGTKDTPDWEAERNADEAKFARGLGKTQSSLVLWSGNQIWR VVKNPLTMQLNDALQGAQESQGSDHSVLRRDVIMDIIKMVQEAEPKSEAEFLGLNYVK QKSSLLLFGDLVFMDPTVRDGATIDATEKALIAGELDPRVALLLVPLLRQEVLQGPQG IWVHGGLAEVSEVYLKRLDSVKASKEPDVFDSGVLNMIKRFLLAWQQKRGYGSITDDA YIFDSVDAALLHLLLEQDSNVPTEHRLSSRTRTELNRLVDNWKGNFDRAVALLENYKR LFLLSRLYQSQKMSRNVLKTWRRIIEGEEDSGNETTASGVETQMRRYLVKIKDAQLVE EYGAWLAQRNPSLGIQVFADNTSRVKLDPANVVRLLKERAPNAVQDYLEHLVFSKNYT QYADDLLSYYLDTVLSVLETSPAARSSLAESYSTYRALQPPKPTYMNFIHENTPSESW WQSRLRLLQLLGGGGSSQFSSVPSPKLTYSIPAVLARIEPFQNELVSESIILDGLQGR HREALHLLTHGLGDYDSAVRYCLFGGPRSTSSTNTSTEFADRSHQSELFGYLLDEFLH IQDPSECIERTSDLLARFASWFDVGEVLRLIPDNWSVDILSGFLAHVFRVLISETRET RIERALSASLNLRVGAEYIEGVEKVGGWLEDGEGLRCLKEANAPAVLPADDGDDFGDM VEPRGGD AOR_1_650054 MDSFALTEGIVPDSNNQDGHDAEKDTTGKLPEGANKFQRAIAAW RGIDLSNTIAKLDSTASDIVAQQRDALVQRKDLAQKTKDFRKLDDATKLAEFKGLLKA YQSFIDVLTNQGKASSNAFLQLYSSLSEAPDPYPLLEASVDSLVHSEETVPKLTSERN QLQSNVDRLTSQLDDAEKRLEEERTARKKLEDNQESKIKEIESSWSAVLSEKSNNWTA KEKSLEEKVENQERLIKELKASYEVSQRLGQEESSGDGHQQGGATAAELELVSADLEK TSIRLAEVEARNEQLRLELAQVVSHSQPEQTTSVEEDPAYLRLQSENSSLLRKLDAAR FDRESERHSWEAKISQSERHGAKVAAERDELRTRLDKVADYEDIRRELEMIKSIEFSA GDDDDARDSTDDAAIAANGTSKAGAKNSLEQLLMSRNKKLTDELTILRVSHRDLQGQL ETLREDLSTTKEELEKSQKLSTTLENDLLRVQEEAANAFPSSAMSVAGTYTSRHPYSS RRGGTSPTSSIISGFDHSSGSSNTMDAIRAGEPVGGGSGLLPMIQAQRDRFKKKNAEL EEELSKMYNSVKSLRQEVSSLQKDNLSLYEKTRYVSTYNRGQGGSSSASAYGNKPSAF SVHLSADTPSGLSLDRYQSAYEAQISPFAAFKGRESVRAYKRMSLPERVVFSLTRIIL ANRTSRNLFAGYCFAIHILLFAMLYMMSTMEIEKHSASLGAAAVAGGAGNIHSSGQQL NGDDWQQEGFHNGR AOR_1_652054 MAISSIILSHEEKRIREFYSIVYLLSSLGLRRGDRIKRGDRIDR GISVEQKIISVAEYRRNVADALAYISAYDKRPARVTAVALGIEEGRLVVWIAANQEVK PKVKDFLTSVLSRLDQIAQDPELECDGLVDFVLQFNWKGVKKYYERFGSEWASYYETL QSSGHSVLRELNHWVQETCPNPDKPEDMADLARKCYEERKRNGGVFHMLNEATKQGIM SSKVSEQLCNPLYKIRKHITMCLNLIDARMSLTEDFKHGAVVKPHYNSYIPE AOR_1_654054 MAARSSDPKDNSSLRFDFADWFRRTFFSSNGMALPKNDMPGLVR SCFSAYQDGKFEIFKRFVCQSQRDAGLFEKFHRQLLNLTMPMAMCELLLKSANSIRQD LHREIKVYNVPPGERMKIPLVRKKLNLDDIAHRMFSDSQRSKQLSQVLERFVPAPSKL IERLKYYQENVLTQIHPELRLVDYFDKSNRVQYFDKYDRYIATSKPSCYLCSQYMSHR LNYHLRKCDPNDIDLHWRLPDIQAAESSAHFKEQTDILRKITERVRRDVESFVLDRCS ESNESLMDDDISQSFSLSSETTTKSEDLSYGEADECPTPYRFPLGTEGDKPSGCDQYA GGEDEDEDEEDEVVFRGRQGAIFIT AOR_1_656054 MAQRPASSPYEQMVEQKDIEVVDVGGVGGILHHRRDFLNALVQL AAFEQGCDLAITAGYDHDSVVIAVAGSPDVLSMQAYVLKRGIETF AOR_1_658054 MSPAAHQIDDCYTIADFEIGKRLFTTHMAVQLVVASPEGREIGS LSQLDALITGYDFEEAIATLVKAGLDVPRTNLVCDETYEDVLYKIIGRTRLGDSKESF PTLLGQLPLLDLSTDGVDLTVTATTQRVANGLNLSPPGSEADNWKYTCRLWEDAQGTG EEPKVTEACISNFPTRFRITIQYCGVEASGEGRNKKTARHLAARRVCERLNLRLSL AOR_1_660054 MHNTQGSVPGDAVDTHFQIRDYQVEMVNKSLEGNLIVVLIWFLC PTVALCNQHVRTITAHVPPMWCRSFTSNDNVDHWGTVETWNIALASVKVAISTYQVLY DALVHRFVTMDRLSLIIFDEAHHCTDDHPASKIMREYYHTEPQSHDKQTPYILGLTAS PILSNISTLEAQMTMGNAISHLYRFCGELPAQPFVSNSPAFSYETDECGQVQAIVRLP SNLDPSLQTFKSLRKWAKKKWAKEDAALQAYKALFHARLINDHFLPLRLSDIIGKDLS PKSHYIISEQLDPWHDVSLLWSRGGELFSHELRIARPQREDIELFMILPVPLRIETRI PLFLGSGTEYKAVSIHQCHGTQLNMDYVNIIVPERDPAAMSKFLEAHSGRTSLAACLQ QRPTVPGSLGLLRHVTKTSRPLRIEVIDGHECQDPHRDGVNLRIRGKIHPLTRRRNFL YDPKIVTVTPTENQHSTSLVRQGLSELAADDYLVDRLPSIYAEAALLAPSIIHRIGVY LIAEKLRKQIFSNPQAARFERMDLLCIALSPTDDMHRHEFRSMAFIGDAIVKFLITRQ LFLHHTLWHEGLLTSVKDSIVSDTGLAAALCHSGFGSYLITTRFNGKRWRPSFVSTTL SKSGPTRRRYVGAATVADMVKALAGAAFLDNGLDQAFICAAAMIPKIKSWTTISLYDG TYSKSRPSKSLGASTIIDLEALLGYTFSDKSLAIESMTHPSCVGLYGTASYRRLSFLG AGIIELVVVRYLHRQKSFTSSKRLQSLKSAVTNNMFLAFLCLTFHWEKQHDIIEVDSM GVPSVIQTRGRVALYHFLRSQSDSLSDKVSSLVEDLTSQLHVIKRDLWENGIYPWARL SVFRGLKVFSDIVQSVFGAIYIDSLASLQQSEALAERLGILPLLEHFISHDVVTDHPK QPAPTQAQNLWQDSPGEGTAHVNQI AOR_1_662054 MGGSLGAAGSTRAGEVEASFLSLPNCDLGSEETRSLPYDRSSAT SERVYRCDRPKSQSRRGFKQKAHLINHMRTHKPVLCPRPGCTVQAATTKAIHRHVTVM HKLWAKNQWKIEGSFYCEICFTCFTRKDNLRKHYKRKHEDTAGTGTGK AOR_1_664054 MDINTSIDQVAHILGYQNEPQIHLIDALIAPRADREWLGDLGID LIRLCLSFHAYQTRIPPGDIAAGKEKLCTYAHLVSVAQRTAIDRMIPYNSPPGEERHI TVGRTMAAIIAAAHLDCGGSYRRTWQILEYIGFFTQEDNGVDPTRLQASINTDTHLPI LLSGEPDRRAETTDLLPDTAQVSKPKRSMDISFGKSGENQPKPMRGRHQLHNPIVSFL KEETMKCQARSIRTPREWYFSAEIEIAIQEAGLKQYDDVLKRLILASGSSLSVLVLKE AMQTWRSRTDQLHLQISTHSSKADTYTNICRIDQTITGLNLFRRYHISYLFKTCGGCE IPSLSGFVATPAYNASAIKRAGNPLKIAESELTTAMMKQVLPGLEPGSPEYKKGYNHV RNLRLLARRFHILQERFGNGILALIPYPQHFHHPGLELTDNMLSKVPESVFRDIVSIL DHSQGRYLRALGEAAGKVIKMMLYEPQEFCPPLQLEMRDNSYILQQPKDLQTILPLLN AOR_1_666054 MAFETMRSIYQGNLFDMHIPEVETEERNPKTILCNGRRINVSLN LYDALLSLRQIQSKSWYWIDALCINQSDTEEKSLQIQKMGRIYQSAELVLVWLGDCSS KLARGLPSLETLAKKTQNELPPLPKFKGPGGELQAAATTAVDLSCRQWFKRIWVLQEY LLARKVNFLYGNNEVSLGALLTACIWVSHDPGAAMTPELPMKAELREALAHTNDIPNF LLARQAIGQGRRLTLREWLRACRRRYAKDPRDFVFGGLSLICPESLKIDYQRLQLGDY PCVETQAPILPPRAGIHSQKDNRFTAEHPTATFKGPTSSSLIPGGLWDFLRADYAASE VEVLINVAACLLSQKEQHTLDLLSIAARRPWDDFDADVRNWFWPRAHPILPSWVPALG SRGSLEHSNLASTAEASDHAGTTFAAGILGQPGPSPKISRDGRTLFLEAAPLDTVENI LLDNTLMTDEYIDILIRFLETVGGMPRAHLPSGGTSFDAVAISLASSLFFSFPQSTKL NVTKGQNHEGISLSSVNEQHARVWLCEFIESEVRHWVTYLRMTREDYVLAHGVESSGA KWPEEASNERQKKLDSLISAYWQLNEKFDDLPWSRTADEGVAAATAATPSNEVTSNLW DLLETLQSAVDEKSFVWKRKLLISPEAQQYVTAFSLDLKRRSLFITQDGLIGMGPSWL RKGDRVMLVRDASVPYVFRHVDEELRHQLQTMEMREDLLRECSVERKYSLSRQLKRPT LERQISDLNLRISHLQSGTKDGWILIGESYIPGVMLGEVLERGGSEIFGRIAIV AOR_1_668054 MVLKKFFTNSLEELCTKEQLELLDSIDTLRLQGISHYISLPQII VCGDQSSGKSSVLEAISGVSFPVRSNLCTRFPTELVLRKSPQIGVSVSIVPHHSRTEA EQQSLSQFHEQLEGFDGLPRLIDNAKAIMGISTHGKAFSNDLLRVEVSGPDRPHLTIV DLPGLIHSETKQQSAADVKLVQDVVQSYMREPRSIILAVVSAKNDFANQIVLTLAREA DRTGSRTLGVITKPDTLTPGSETEAMFVSLAKNQQVSFRHGWHVLKNQDSEKSNGTLT ERDAEEEHFFAKGVWRDLPLSDVAIKPFRERLSKVLLGQIATELPSLIEEIQVQVGDC QRRLERLGSPRTTIAEQKYYLLHISQSFQNLVRTATDGTYNDPFFNSGQSTSGYSRRI RATIQNLNQEFTERITSGGHYRQITDGDESEAVSRQQVLVTREEYIQHIQNVLKHTRG KELPGTFNPLIIGELFREQSSQWEAIARGHVTTAWNIAKDFVRAVVLHIGDITTAKGL LREIVDPRFDQLLRDLQQRTSELLQPHQQGHPITYNHYFTENLQKARREAWGDGLSTI ICNFFGVSSLEATSLDGPSYNLRHLHDSILQSTESSMSRFAATEALECMLAYYKVALK RFIDGVAIEVIETNLIKPLADIFAPLLVFDMADDLATRIAGESIENKSLRDQLSRKLH ILRKGSETCRMFIGIRGLDIVEDDRPEELDNLEKVDTPQASIDDLYENEDPTFIDMSV NNTYLSPTIPDILSPVSQQAPPLVDEPTPAEIVTESGYDYIGDQPIGAPSIEQRDLKR SKKSKKGKTKKKSSRSDDWEL AOR_1_670054 MFPTPAIGQSKKLRASCVQSLISSRLSRDIFQSLCLEAPASRMP MSCVLDQCNQITSQEKALLRALLVKVFESDEQRQVGENIESVVFEVVDIVEPLLERGR TGFEDELRHFLQNAADLWGKVQRSSKWVTTISDGHLCAEAGRSNTGKGADLRGHPVLV LFPHFITPGEASPLHKGSMLQADSESIRQTPSKWLDVERTMPNEESAVILREVPRYNH NLSRSPRNEKSFTQHLNTRKRHESQTRQSRVSRGG AOR_1_672054 MTPKDKSKDKSFWSSIKALQAAAEDVIYHSKSFKTHEELQHKNT ELENQLKAAHSTVEDHKRQLEEERQKQQTLLTEKAHLSDFLEERVKGWMEKEKELLAQ LQKTREDAETSRDAQLHDLSRKVRNQDEQLRRVRTELGEKDTTIVGLQGRLAQSQQEV EELKRATQLEDFGPDLIQNIKELEVALRDMVQKYFYKDFPTDISQVYSPSLTLCFDTD L AOR_1_674054 MGSIEDFTSSTSGSTETISPLSDGALNDPIFSDDVIRYHLSLLK SGHGGEVVSDESAYAPIIPSSVLAYHANLGNGQDKPKIPQFGLLAGSRPLLQDLRPKR ASPIASLDKDPRLLFNVSHPSSVFICGSQGSGKSHTLSCLLENCLIPSKAGRLPNPLT GLVFHYDTFISDTVGSPCEAAFLSSHPDVHVRVLCSPTNIHTIRGYYSRFNIPVDPLQ LDQNHLNTKRMMDLMAIGQKDGQIPLYIHTVKRVLRELRILQQESGTLFNYQEFKDRI LRCGLTRDQLVPLKQRLDTLESFMPQEQVQMSRSKERKVTKSSIWDPVPGRLTIVDMS CPCISPETACSLFNICLGIFLEQDPTIGRVVALDEAHKYMNSSAEAQIFTETLLSAVR LQRHLGTRVIISTQEPTISTDLLNLCSVTIVHRFTSPEWLRTLQKHLAAAACNLFSSK SGFGNNGSEVEEPGDEKESPSAFDIIVRLRVGEALLFAPSAIVKVGTLEDGRVEFHRL GSDFLPIKVRERLTSDGGKSVLSV AOR_1_676054 MYFQSLAVASLFCLLAIVRSEAPKAPPRIDSLGTLLALKYNYLD PWNNGTAAVLSYEPLSNAEAHARCGAIGESLYPFQDTSELNRTELGYELDYLVHIQDL QPYSTLWVGSSGTSGHACTAYSQKDKAAIPLPCHRKLPTLCTSSAPPTKDKHREPVKS SRLSVSFDDYNITGYRDARSFRFLGIPFANPPVNELRFAPPQPYTGQKEIDATSLPAS CIQSQSGFGTLENSRISEDCLYLNIYTPVLPAQRGKNVTHRPVAVYFYGGGFTKGSTA MIDYDGGNFASRNDIVVVTVNYRVGALGWLATNKLTTGSYGTRDQILALKWVQQHIIT FGGDPSQVTIFGQSAGGQSVIAMLSSSAASGLFSGAVVQSAALDIPWYSRQVYTEIIT PKVAKAVGCDDSDELGMLSCLRSVPAAKYLDNSTEFMHASDEIAKAVGDDYLHTKKFQ VSSSPLMPIVDDHGSGIIDDQFHTLLATNLLPNAVPIMFTTVTDEAALYVDRYVPHIP LLGNTKVALGLFFNAVYPAGLTESLINSDAFPINSSDPDSLRNTGANALTHSKWFCPQ AYLLQHGARTVLPVVYTVQIQHGHVQTTIDTPKVCSPNTNYNATCHTSDVLPVWGTLN SKTQNVNPYYDSRDIRHSQILNDIFSSFFRTRNPNPVPELLKIRGPAYSSSFDVFGTS GYHIPEYRTDDDSLCSLDIAPGHIRNPAKSVGCDVFTKYGYPFQHAEESS AOR_1_678054 MAGPMLPMYFGLPDAHLFELPPDSHPLPSSPASRSQLSWDRPIN ISPALFDHTLDVKLPLLIATLYALTVCTLNYINRKRRNRPWPLSRSPLFHQFVLIHNV GLVLFSAWLTLGTYQTIKSTLMDQRNNPPLASAIHVLCKFDRKDELSYFLQRRVSESR SHLDLNDHRSEVVHPGVLEFDSLWDRGIDYYMWMFYMSKFYEIVDTMLLLVKGKKSSF LQTYHHAGVMLCTWAGVRYVSPPGLIGLLLNSVIHTIMYLYYTLTTFKVSIPGFLKRI LTGMQIAQFILGSILAWSYIFISYDASIWPPLASINNKREENRGNESRTSTLFHEDNS QLIPCLDNSGQVLALLLTTVYLIPLTFLFVRFFIRSYLSGSKRKLVKH AOR_1_680054 MERLYNSACKAFLHDGVDQPLHQECLAGTRLNTFDGIFLSVILL FGAALNRVMLDSRAFHSFYGPMYGKLTPQEQQRFCFHHVNVVLKGILLVCVSSSIVQV TIGGKEWTDPYYHHSSVTLWETSTFAGYIVVAVSLLDLIYDTSLRLVYMVHHVGVLLA VQGLVFLIVNAPVERAQEMRYLGIMSEIGIFWVLFSGMPGFTSHLTLILRRCFTHGDV RMRNLYYYAFYVNVSVTVVEVMSIFYLTYASRNRLPVAATIGIGALQVVFTYTKANKC QRIYAVYEEQLATLNKIRL AOR_1_682054 MASTLQSVVSVFANANYFKSALIGVSIAFQVLAFAFLIPSAFTL RIIQSTWATAVEVLAGLISLIGLWIHGGSGYHTYIIPQVGLTILLLVRQSLGLYIHQK QKVHSGYVLEYLDRSYTLATMALFALGWYHVTAGSLEFVGIRENYYNTLSHAVLLVLV MSEGLLLLSFAAGLWPNQRSLTPDYYDSVLLLACGLVMIVVLIVGYHADEDWHFFLGR LEHSSYTLMVLCGAAASLMISKRKGHHTKRNLIPALIIVVLGILFTSHHQEAMLGKKI HEVLGYTMVVAATFRIVEILVIPDWTVKASTDVQSNLPYVTPMVAVILSFVAFGSSRD LCQAIEGTGFMAPAYVAILYTCGLFYFCFLTALMHRIKDHWYPDGSDGKHEYSSLPEV QSDRFACNQNWD AOR_1_684054 MPNSWHGQHLACHPVIDGVRNLYGYQPSLAAGVFFSILFTILMI FHVVHCIRRKTWWCMVFAIGCLVEVLGWAARTWSAKCPYTKSAFLMQLATLVIAPTFF SAGIYLLLGYIIRIFGRKSSYFRSDCYFWFFTVCDLISLVVQAVGGALASAALSAHRD MSNGTHIMIAGIAFQMASTLAFATCMVDCVLRTIHRPRGTIPKSVSFLFAAMVLSVLC ICTRSIYRLAELSQGWTGYLITHEKYFIILDAVMMAIAVGIFVIFHPSLMVSAAANPP MGRRYRELAPINVK AOR_1_686054 MDDSLPSEVQSIDWAGVFTLTITLVQLILFIQTLQPGQIQQART YYLFQQLGRILGPAIGFALIQGNFQARLNWKLRGLPNTSELVRNILNDDKFSRTLPAP MQDVVRECYLYGFQFAALFSIFSTIAALPIVVLVREAYLD AOR_1_688054 MSQSVVVNPRPALKAKLTKEPFSIPNLKPYYKAWPTAVNPDYPG LKVALEARIKNLYPPKKAAKLIQDDYALLSSMWWPRATADRLQTCTFWFLWLFTWDDE IDQSTSDLFIHIHKANDFRKESLEYVKFCLGVGDDETAKWDFQNNPPNRPLIRSLDVI GAHLQKVYNHDQIMTFVNEIDYYMGCQQREQKRKLTGRLPIVAEYLETRMGTSAVTSM LALNEFADGNDIPRDIMTDPKMISLWYEVNMNMSLSNDLLSLRKEIKHGDIDSMVPVL VSARGLTVRQAVKETEAEINRNIERFDQIADALLEEIKLTHPEKVDEVASYIVGCRYN QMANFLWSLTTTRYGLGDMVRDAEGRIPIVIENVN AOR_1_1766054 MGESQEQVQCAIVYPRAGKADRLKEIMNGIIASMQENEPNALQY QVFFGSRPGGEPIMIVLEKYKNQEALHQHRQNPKLAELRRVATEESLFAHPPEIIPLS MLGQVQRP AOR_1_1768054 MIIPSFWTGTAIIGLVACAYVSYQCLLSPLARFPGPFAAKLSKG WRAYKTANGQWHRKLVDLHRKYGHVVRIAPNELSVGDPSSFRKIYKAGNGFNKAACYS VVQGNRPFDLTGERNEKVHSEQRKLVATAYSMSSMVHFESKVNVVIETVIHKLEARCR KTIDLGHWLQMWAFDVIGSVSFSQPFGYVESGDDEGVFKRIQNAMGSAAWLMHAGWLF RLHQKLIPICGNWLAVNDRNGYFFQVACREVSGRINRGGDDKDIIGQLLETQKIKPQL KDLDISFMMTSNVFAGSDSTSIAFQSIFYLLLTHPAAHDRLMRELREREEKGELSDPV SFQEAESWPYLQAIIYEAMRLYAPAAFVLDRVVPPEGMMIEDKFVPGNTVVGSSAWVI HRNPEIWGPDVDTFRPERWLDDRKDEYKRYFFAFGGGSRTCIGKNISWLEIGKLVPTL LRHFEMRLENGAVLKEEFCALVFLKGLKVHISRRRV AOR_1_1770054 MNSISALFSAGGFQWILLSLSLAFIVVYSLFYLAVGLYNLYFHP LARYPGPLLGRASSLWYARSLARGTVAQDTLKLHEKYGDVVRIAPDELSFIQPENWSA IYGHQLGKDYRELIKDPRYHDTVKPTPTILTGDWDEHTFYRKILSNSFSEKSLKDQEH ILHHFVDLFVQRLKETSAEGTRELNMTDQWNYLTFDVIGFLTYGEEFHCLTSSKLHDW IEAMLCVAILMSLGQAARHLPFPFDKIYKQWAIPSNVKRQVALHRDLTEGKLQNRLQH EPQHQDVMKRMIALYKKGDIPYSVLKEHANILTIGGSETTATLLAGATFHLGKNPPVL QKLATEIRTTFVNDGEITVARLSECKYLLATVEECLRIYPPSPANHTRMVPKEGIVLN DQHIPGGIGVGMPMYAAFRASSNFTYPDRFAPERWLGDPMYSKDKKGALQPFSFGPRN CLGRHLAYQEIKLALAKLVYHFDLELNPKCGDWDEQKNFTFWVKPPLWVNLHPVKS AOR_1_1772054 MDHFNLAGPESNTSITSLEWLGIKNSFTGSHWAHITGLSELHPT GFLCLIATLIIGIVHLTRGPKPTVLPVVNPPGTFELTANRVKKEWLVDARQIIRRGFE KFPGKPFNMIAADVGLTTVLPPEYASEIRNNPSLSFVAFMAHLFFSELPGFEPTREGM FDNDIGITVVHKYLTVNLARITEPLSREATAALKDIFTDNSEWHDANLKAINLALVAR LSSRIFLGEELCRNEEWLKITVNYTVDVMKAAERLRRVPGPLRRIVHWFLPEAQKCRD EVKRAGKVIRPVLEKRRREKATMESEGKEALQYNDAIEWFEQMAKSQGTSYDPEVVQL FLSTVAIHTTSDLLTVVMADLARNPEIIEPLREEISSVLRDGGWKKTSLTDMKLLDSV LKESLRLKPIAVVSMRRVAMDHLKLSDGTFLPKGTKMAVSSHRMWDPDVYENPEQWDG FRYVNLRETPGQDKHAQFVSTSERHLGFGHGKHACPGRFFASSELKVALCHILMKYDF ELAPGTVVQHRYSGASYYADPAIRVMLRRRNVALPSWFER AOR_1_1774054 METPAGKADRPRDHDSEQSQDNVVSWEGEDDPTNPLNWSPLAKW VHVAIISIGTFTIPLASSIFAPGVVELAHEFHEENQLLTTIVVSIFVLGLAFGPLLAA PISEMYGRWICYTVFNILYTIFTVACGVSTNISMLIVFRFFAGVTGSAPLTIGGGTVA DLFPMHQRGLALSFVTLGQAVAPAIGPVAGGFLTQNLGWRWVFWLLTIVNGTITICQI LFTRETYAMTILNRRAKRLRKTTVHSSVTHRSVNFAIFFYSLVRPCKLLLLSPISLIV ALCCAVIYGILYVLVTTFSPVFQDTYHFSIGISGLGYLGLGIGNLVGLWIFSMTSDRY MVAQANRFGSAKPEHRLPMMILSGPVIAAGLFWYGWSVQARIHWMMPIVGSGIVGLGN MFFFMPMVSYLVDSFPTYAASAIAANAVLRSIGGAVLPLAGQRMYDTLGFGWGNSILA FMALVFNPLLIAIYRYGEYIRTRWQVKL AOR_1_698054 MTAKVGINGFGRIGRIVFRNSFSHENTEVVMVNDPFIEVQYAAY MLKYDSTHGNFEYDVHIDGDSIVVNGKKVKFYAEKDPAKIPWKDAGAEYIIESTGVFT TVEKASAHLQGGAKKVIISAPSADAPMYVMGVNEKTYAGADVVSNASCTTNCLAPLTK VLHERFGVVEGLMTAVHAYTATQKLVDAPSKKDWRGGRAAAQNLIPSSTGAAKAVGKV IPELQGKVTGMSIRVPTSNVSVVDLTCRLEKGASYEEIITAIKEAAQGELKGILDYTE DDVVSSDMKGNPHSSIVDIKAGISLNPNFLKIVSWYDNEWGYSRRVLDLTAYIASVGK AOR_1_1776054 MASLTGAAAVLLAFILAYSTALTIYRLFFHPLARFPGPRLAAAT KWYEFYFDIIKSPGGQFFKELSRMHDVYGPIVRVNPDEIHVRDAAWFEVLYAPNPTKR NKYRPSAEMAGLTLGIHGTVDHDLHRRRRMAIAPMFNKQSILSAEHLIKQHIDELTDV FESYLGTNNPINLQTTFLAYTTDVLYHYMFDTDAGYQRDSGAAQQWRHSMDAVAQATP FLKQFPSLLSRVALIPLPMLIWVLKRIQPDVAGLLGTHQLMASIVSKYMASKPEEDQD ELIATKAVKPRTLFHAIEASSLPPHEKAPTRLAQEGLTVLFAGGETGSRLLAHTVYHL LKNPEILEKVRKEILDAAGDSNQLPDMKALEALPWLTASVRESLRLRAATTSRLPLVT EKPLAYADWVIPPNTPVSMSHGDILHNEDIFPDPMKFMPSRWFNASPQQNRLFVPFGK GTRMCVGMNFAYCEIYMSLAVILARFDLELYDTRWERDVHYTRDCFLGEPDPASPGIR VKVVADHKTFTRS AOR_1_702054 MAETWMALPLFNRQNSPESSRDVLSMASPGLLPIDPSPEHDETN KFGPFDLLDNLPGELQLPADLNPARVGPTTHLPDLTDRADPEPRWMQISDLEVVGPGA VTCPFPGCKSTLRFTGSRELRRHYKQHFKRFFCRYPHCPQAGPGLQGPHPSTKRGFAT RKDRARHEAKHDPRIQCPCLDERGERCSRMFSRLDNMRDHVRRIHNNSHYAGQETHGT ADAIPDIDIHHEIEARS AOR_1_1778054 MISMSVPRKKQEREISLLMHYVDDVFPHQFPIYHSQFVGKREWL LPLLSSTRSVYYATLCLSLLHKEKSSNLDQPGSVSFCQEERLRYYILALRETQQLLQQ LSPSSCPVYGDWKVHLQAATSLIPILVGGWNSMIKPIKHTSTLWTGLTLSDFHDLHTE GSLSYEDASALRFLTNALAVTGILSFISTGPTSALSDYRYLMDATCDMVQCDQFLGCE NWVMSAILDVGLLDRWKREEEGNRRLSFRELANRAKRLEDCLENGIRELSAKTSGSSD AVSSITRIYASSTLTYLHSVVSGLNPDLSEIQESVSRTIELLKELSNWHLLACLTWPL CVTGCMAAPDHEAFFHSLAISAEISPHSLRHTWIVLRILKDVGKVRGSLGEQILPTWE QILCIDGAPILLT AOR_1_706054 MSCDACRTLPPVISQGYTTKGTYKEVAGLNTYVTGPPNASTGLV VLYDIFGMAIQTLQGADFLATRLNSLVLVPDFFEGNYAQPEWFPADTEEKNNALTSFV SNEASIPRNVDTLLEITKQYNTLFPSVSKWAALGLCWGGKVAVLASGPGTPFVATAQV HPGRTDKTDAEKLTIPHIVLASKDEPAEEIQGYADVISTNGIGGHVETYSTMWHGWMG ARANLDSEESNAEYRRGYTQVADFFEKYLG AOR_1_708054 MAHGNQSTNETEDISPVNSIIRSKDQTDETKVDNNELYPVGNEC DKNDDAPYCSLSERRKISVMLIASFSGIISPISASIYYPALPTIAKDMHVSISLINLT IMTYLILQGISPSFTGSFSDVYGRRLAYMLCFITYIGANIGLALQSDYAALMVLRCVQ AAGSSGTIAIGSAVVADISTRAERGKYIAYATMGTTLGPALGPVLGGLLDHFLGWRWV FWFLVILGGFNFTLILVACPETCRAVVGNGSIPPAKWNRPLWVILRDSLRPQSHKAGK RVDYETLERSKTRPNPLTSVRIALEKEGGLILIYGALLYAGYMIILSTLTSQLESEYG FNSIQVGLCYLPMGIGSLTSRWSAGPLLDWNFKREAKRQNLPIVKNRQQDIRDFNIER TRLTITIPFVYAGCLFLLAYGWVMRFQTHLAVPLVLLFFSGHLTTGAFSTLSTLIVDI HRQSAATAVAANNLFRCLLAAGATAFAAPLIDRIGIGWTTTFIVGVWIVFSACLWAVY LWGHKWRDELRVKRSEGDGSPA AOR_1_710054 MSSNRAAYLLEAHKTPLEIQQAPYPTPDPGTIVVRNHAVAINPV DWKLQKFEIFPIKYPFILGEDVAGEVIAIGDGVTNFTIGQRVVGHCKNFTAGDNRYSG FQNFTVLSATLTAPLPPSISYEKAVVLPVSVSTAAAGLFQKDHLNLPHPSLSPQPTGQ TILIWGGSSSVGLSAVQLAHAAGVEVITTASQHNHALLKSLGVSKVYDYRSPTVVDDI VAALENKHVVGAYDCISEDKTQRACAEILERSNAARKVLVYTNDVLTPEGLPASVTAK GIFCLTVEDNEVGPAVWVEYLPKALECGRFKPLPEPLVVGTGLECVQMGIERNMAGLS ATKAVIRLV AOR_1_712054 MEFRGQCLIKGAASAELQFCPVEISFWGGVNPETGQVVDRHHPL CGQSIAGRVLAIPCGRGSCSGSTVMLKLLLNGCAPAALIFEKPEQILTLGVLVGKVLL DCPIPVVVLSSSDFSKICNRHFAAIDGETVLVSDALLPTPKLPADPVEGDFDLSDLDR SILAGEKGQAAKVALEIIRSFAIIQGARSLLDVSQAHIDACIYTGPASLLFAQKFQSM GAKFTLPTTLNSISIDQRRWKELNVDPKLASQAGTLANIYLSMGAQPSFTCAPYALDA APKAGQNIGWSESNAVVFANSVLGAQTQKYPDFIDVCIALTGRAPSAGCHLPEGRRPT MCIRVSDLGSINDSYYPLLGYVIGKLAQHNIPLVCGLEYLQPQLVDLKAFSAAFGTTA SAAMFHVKGVTPEASKFAELEQELPVIDLRHEELVDTWHMLNSAQDLSVDLVSLGNPH FSLEEFDALSKLCAGRKKADDVKVIITTGRDIYEKSKKAGYIDIIEEFGATIITDTCW CMLGEPVIPVTSRNIMTNSAKYAHHAPGMVKRGVHFGSLAECVEAGCTGTFVGVPPGQ ASDAGGQQTCQYRN AOR_1_714054 MATSIASHEKLVEFDLVQSHYKKVGDHEIRADFIIPHTECTGKR PLIVRFHGGCLVTGDSLSMEWFPQWLLDLAKKHNAVIASANYRLLPEATSLDIFEDVE DFWTWLHSSEVEELLSSCVNPTKLNLDRIITAGESAGGLLSFRILSENGDAQLSLASN VVVEKSG AOR_1_716054 MPEHGSINGRFLKTRFFGNSHRSICQKVQGMIYMFHRKASENPE IQNLYERCKQLARTIKSQEITQQSICPELRDYMPSKQTSDRLIQAYLRTFESVYRIVH VPSFLREYDQYWRNPQAASPAFIIMTLLMLAIGNSFCQSGDPGKDYVPRSTSSQWIYT AQTWLSSPFEKSRLNIASLQTQCLLLLARQTNDISSDLVWISAGALLRTAMHMGFHID PRHIDGISFFDAQLRRRIWNTILEIVVQSSMDAGGLPLIRCDDYDCEAPLNIDDAQME DAIPKAKPLEDFTETSLQIALRRSLSVRLQIAQFLNDFRRGTSYDEALQLSKSLISIY RESSALFDAFKNSGKCPTLFQSNLYNLMTQRFLLALHDPFAIKAKADPKLYYSHKEAL LVSMRILAPFSTSTSEDLDYTALLLTGSPSFRDVPTQAAAVVGDDLIERIKEQINTAV SAPYTSYPLSHGDSRRAVEKFVSCALARLESGETNIKGYLVSACFLAQIEAMECGQPI DEALSRTILAVLQTCSKILGDRVETLSPSKPGIELSTAINEESTLTKFDNQFNWSLAD DFVSCLLVLVGKQGALKFTDRIQDMEMDLGSWFPSLAYI AOR_1_718054 MSNGSAFFLPPEKAQGLANYPHARILPTNPTSRTIHVSGTSSRR GDGTWDGVTEHADGTWTLDIRQQTAAVLRNIDTIIRGATDGKGSIKNVVDATVFLTDL TGCYQGMNEEWNKVWPNREDAPARTTIGVKELPNPRLLVEIKCTAICEW AOR_1_720054 MTAQTFRQISNHNLQTFRHNYVSEISGSLGDLGTFLPIAIALAI NGTVSLSSTLIFSGLFNILTGLFFGIPLPVQPMKAIAAVAIARSFNNGTIAAAGIFVG AIIFIFSITGLLHWFADVIPIPVIKGIQVGAGLSLVIASCGNILSSLGWVGPSWADNR IWAIAAFVFLIITNVYRKVPYALAVFILGIIFAIIRSALVADLPSFTFWHPYTVVPTP GQWSVGALDAGIGQIPLTTLNSIVAVVHLAGDLIPNVRTPSITSVGLSVAAMNLVGCW FGAMPVCHGSGGLAAQYRFGARSGSSVILLGLLKLVIGIFFGESLVGLLKRFPSALLG VMVIAAGLELVSVGESLNTTGARDIMKANFGILGDTRQDISPMLSDADRKRRWTVMMV TVGLLVGFKNDAIGFLAGILCHLGYELPGLWEKVRTRWNEGRVRLQ AOR_1_722054 MSQSYSSSSSYFYSSSSSTDDGTAATGQRYATVSQTEPDGTTTV RTIRQDLGEPDVVDEKRYDQTGRLLTAGNSSAGGVRRITELDDEGGESYD AOR_1_724054 MAAPVLPLQQVKLPALPSTRLTPEQQYWKTFKNPLLIPSPANGP VNLITQPSAPSSASAFPSLTQPPDVFTVTTGARVQIYSIRTRKLLRTVTRFDDTVRGT DVRPDGRVFVAGDDTGALQVFDVNSRAILKSWREHKQPVWVSKFSPSDPTSLFTASDD RTVRLWDLPSENSVKTFVGHTDYVRSGAFMPGSLASSGLLVSGSYDRTVRLWDPRVES RSAMTFKMAAPIESVLPMPTGTTVLAAADNKIAVLDIVAGKPLHMIQSHQKTVTALAL ASNGERLLSGALDGHMKVFETTGWNMVSGSKYPSPILSLRAITSGPAQEDKHIAVGMQ SGLLSIKTRLSGQQKIKEKERRKEMQALLEGKLEEHDRKVAKQKKLRGSGWEKRFRGR DFIGEGVDIIIEGQDRKRKKEQPWEHDLRKARYSAALDQVLASGDKTAQLTLLTALRH RSALRASLQNRDEVTLQPVLQWVNKSIGEPRLVKLSVEVAMNVLDIYSGNLGQSATID KMVDRLHRRVRDEVEMAHQACQTKGMLDMLKAA AOR_1_726054 MEGLTPTQLTTFHEKGYLVLPNHLTTTEITSLLTETHHLLDTFP LDTHPLTQFTTGDDLDTNSKPHVGDTYFLTSGDKIRYFFEPDAISTTPDPTTGKPTLL KPKQQAVNKIGHSLHSLSEPFRAVSLCERNAAIARSLGFKDPRVLQSMVICKQPGIGG AVPPHRDSEFLYTDPPSAVGWWFALQDAGPGNATLGMYPGSHRGKAGGRIKRRFVRRV NGEGEVVGTEFVENDGPGLPRGKEEEEEEGQGPKEEDVEVLEVKAGSLVLIHGNVLHK SEKNTSERSRFAYTFHVIEGAEGWKYDERNWLQPPENGEGFSKLYQ AOR_1_728054 MAITLDGGIALVTGAASGIGKETVFALAQAGVEGVILADLNLSG AELVAQESTKKWATNSYFRTTAVQADVSDEAAVNNMVDVAVKEFGRIDYCVHAAGIGS ISGATTEHLKIDVYDQIMAVNARGTMLVLRAVSAVMAKQEPRMHQSARHGTSRSLGRG SIVVVSSVNGTMVAPGMLSYTASKHAVAGIAKTAAIDNIKNHIRVNVVAPFYTETPMF EASLKRVPELGAAIKAITPLKRAAAPEEVADPIVFLCSPAASYTNGATLIIDSGTTLT IPRTSL AOR_1_1780054 MNAARALLDEEHESLPPKPTDDNSYLLGRKNGHNVVIGYPGSGL YGPHNASHVATNMVRTFPISGLGCWLESVVERREPPMLRIRGMAFDWEMLLLVIRRGV MVRRGVLHYDADKFKDNGVFHITSHLNRSPSLLLTATQKLLSDHDSELGQMGNYISQD RDHLFISSYKHTGEGDCSKWDRAYLVKRLDRESDIPVVHYGLIASGSAVMRSAQRQDQ LRDEWDNKEWEPYAAITAVAYVKDLLRVIHPETVEGAANVVGSLNDVSRALDKGDLEV SQLTTSVDKIHEEQKNANILERLHLHDFNAEQSEASSESREVTGEWLLVLVGKRKCTG FSP AOR_1_730054 MKLSFLSLLSAAAGVAVAAPTESTKDHSVPFNNRALGPLKPNPF QGLKYKGDWQVGRYSVQASNIKTTSGNKLLFYPMTNITDVEVRGSVSVDGGKDKFNGK SVKLGCSVKNGNKKQTVPCDIQITGSNLVNQKFVSVKYTDTKALQTVDLDDLYLVDAL FFRIKSAGKDKELTEDITLLVDDFKYAIESR AOR_1_1782054 MTASSPSRSRSSETYFPAAPSSSSSCSPRVLTPPSPTLQQHNTL PSPIQPQLRILVTMGPSPSQNITVPTVPESRVVCPLELQPFAIARETLVDEVIARVNH YHIIRVNGTPASGKTTLMTLVANELLIRIGWATYLEQETGVHGRKWLTYPAYLLLDEA QQSLWDENLWTDLFKRLEPVTGPFIILFMSYGSPHRGFVGFGGEEHARTPINFAPEQQ ISLQPEESIGPQTLAPPRWRPVGLLLNEDEAEDVVERYASAALSSNMAVILTRELKQG LFACSNGHVGLLTSLTRMLQDTPEFYEHVRTGSTVDWTTARRILFRKPLVFFNSLKVS PFGRGLPPEEILQHPSAAAVFKVAITCDGLYKSQFRTENEELKQALKWIWQNGWLHAE KSYNDIRYVFASQIHRWFCHTLFTMRVPDNNIIYTTPLQLAIHAITKFQPSQLAMPPR SRAVEGNVLPLEDQYQKEFYRCLFPILDGHFVLSPEFVVKAGPKGGTIDFLIAEKKWG LELLRERDRLVEHMRRFEQHGQYYSMLKSGEMEQYIVLDFTNTAPKKSRPEYKKKLYH VVFTDNYRHVDVIDGSDLSVVQSFVLLEQSSSNV AOR_1_734054 MDPEALAQAKVAPGGIAGLLSGLESPMPNYRFDYLIRHAFELVS ELRSLEQRFLTIKEKKDAEGLTLLGSRHQGTVLALIKKVKEHEKQEALKAIDVISAAR ILQEKAMEYYLQLTADKDKTQIPTIGESWKGVPQEIHTIKGDIPMSSFEKEELDKADT ASNLYLAAGVISAVGAVMAAIPNFGAKIAAQGMQEEAAQAGRKGALSRALQERRQATN NLGWELVRLGKERSHLQARCDMCNAAIESSQQEIENAAAEEDWLRTKYTREQLYNRDD SAVAMLSRQTYQLAVEMANVAQRALHFEHSLRFPNTINPDSQPAKGLTDYWKQSPDGQ LAGEALYLDLKRMEMLHISIRQLDPRALLHLREQDTSDFDLPEVLFDMDFPGHYCRRN VYRIAPAGSQSAFYNQERSESKFHSGSIPIDSVAVSNGLHDTGTFTLDFNGQPRYGPF EGAGAISKWPLEFPSPFPQFDYHTITDFTSAIPLLMVEDDLLGRLQAQ AOR_1_736054 MIVERVEQLFALIQGQRILSHPGWSHVNFATLIPPVVVILGLAL IVDYGYMIYLHFQMPPGPFPLPMIGNTHLLPDQKPWIYFEQLAKEYNTPMVTFWTGRR PTIWICDAWAADELLNKRAAIYASRPRMVVFSELGAGQSNMVNMYYGDRWRLHRKLTH MGVGLQQVRNYRGFQNDESKVVALDLLREPREYVSHFERYATSVVSIIGFGRRVSAYT DPIITEVVAVMQRAAELNVPGKSFPMLMESFPFLAKFPNWMAPWKHGLGNGQGRGRPF FYALAEEAASGPEAKQCYARKLFEEVPKHNLTKMEISSLAGNLFGAGSDTSSSTLVTF VLACCAFPETLPKAWEELDRVVGPHRSPTFEDESDLPYVRAFVKEVLRWRSVAIIGGQ PHAPIKDDRYKGWLIPRNTWVQGNVWAIHHHEREFPEPDRFNPERYFEESPVHRPFPV DKGYMTFGWGRRVCSGQGLAEQGTFITIARLLWGFDIRKALDRHGNEVPVDIFDFTNG LNMRPNSFECRITPCNQEIRSTIEREGLQALQDLSQYDGETKYRMSTYYNPEKL AOR_1_1784054 MANLQYGFDLAAIGSLQAMPGFLKVFGYPDPGSEGGAPSAGVLY LGRLLLGFANGFLVTFSNIYTAEASLAHLRGVMVALFAYWVNIGSILGAAVDNKTKER MDRLSYRIPLACLYIVPTFLFVALFFVPESPRWLLHRGKAQAARQALEQLRGTSYATI RASSSGDDSSDEITPSLLELEWAEMVKGVEEEKREQGNVTALDMFRGIDLRRTILCYS MIGCQSASGVWFLIGYQTYFFTVSGITKAFEFSIMNTCFGFLGVNIGMYAIRNWLGRR AILMLGAIACGLCQLASAIAATVSPNSLPTGQALVAFTALFMFFYNGCVGAASYPVAT ELVSSRLRAWTVGTATSLGYLLAWLVNFCTPYFINPEHLNWGARYGYIWAGSNLACVV FFYFFIPEMKGRSLEELDEIFAARVAARKFRSYQCLIGEAARIAAVHAEGRKQNWEM AOR_1_738054 MESILLFLTISLVGLYLVGSHLSKKHSLGHLPPGPPRKPIIGNL TDLPSHDVCDWEYWLKHKDLYGPISSLSIFGDNIVILNDARFARDILEKRSSIWSSRP SWNFGKMAGWNKILGTLAYSDPSFKDMRKAIGHQIGSKTAASRFNAVQDLEVRRFLLR VLEDPDNLLQHIRKEAGAIVLKIAYGYTIEPHGHDPLVDLADEAMATFGLAILPGTWV VDFIPILKHVPTWFPGAQFARMAKQFRKSAAAFSDVPYAFVKRRLAQRDFEPSFLAGL IRKNEEQPGPGSYEETVIKWAAASFYGGGSDTTVSTMSSFFLVMAQYPHVQRKAQAGI DCVVGPDRLPSFQDRENLPYIYAMVKEILRWHPVLPMGTAHASVMDDTYEGYTFPKGT LMVPNVWAFTHDPSTYPDPHTFKPERFLSYEGHEPEANPYYLVFGFGRRVCPGRTLAD ANLYISIAQSVAAFTITKPIRDGKEVDLRAEYQSGAISHPVPYNVTITPRSPRYEELI RAVETEHPWEKSHSEELRLV AOR_1_740054 MASKPPRLSMINHAAGIYADMSVDGPAIGTLVLVIDRAKNLPNR KTMGKQNPYCAARLGKEAKKTETDLRGGQTPRWDQELRFTVHESPDYFRLKVTIFNDD KKTDLIGETWIDLKDLIIPGGSQSDHWHPLQFRGKYAGEVRIEMTYYDTRPEDEAVIE RRLHAAEKVNVQGKPSASSSSLNLRSSPAPISSSSSLSGPRQLKEVKRRPLPSGPPGS GSARPALPEKTASAPPAPTQSPPRPTPEHTHSTPPLPTHDYPHSTPSPVEYTRHSSRH PGPPEVPLDASAYGPPPGVASHSARTYESPDDFQREWSNPSHQAPAPPRRHLQEVPYH SHRERPDSYDTRSHARPRSGYGNAPPTDFRSSRHDRPTSRSGPEMYAPMSGATPPRPS SHHSNHHAFASQEQYVPNEIAHAQQVSRYRQRSPAGIRESQVEYGSHPVETELRYRPH SNSLVKETSPIRPPSSRESLPAEYATMQPRVEDEEEEGPPPPPPVHRSGLVQTSQQLV PSPTPSYQAYSPEFASPRTSNEINLSQPSHMQSDGGRMQDLPPHTNGLSMPPSLVAGF DPAIAEAEADRAEHERRQSRRRSELIEDIIMPPEPTSVIVPYPVEPSPPIMDDRRSLI SRGSAHSSETRLVPRRKSVSPRPPPLGERETSQIPFSPDSFDAFNPNAARAAVLRDPA PAYDTPAEAMDAARRSEREAARDPGPIIGDDGREIDPSDHLPTDTWAPEPERKTKKPG VVVRFKNVPRKRSPAPPPVREYASRSRPLVDGQHRRQSYVPDPAMRTSPGENRGRALS PYRHGRTMSSPNAAPSHRTSVSPSPRGHSPSSLYAPVNTGPPIPAKVPIAQPMNQNYP VMNGNGTPQGFPVAGSHPGMDALSRELNTIDIGSVGCSNQRALRRYVPKVPAGYAA AOR_1_742054 MPPKPKPRKENQPQEEPLPPCEFTFPCPATDDKANNPRRKVISH IFGRNKYATKRFPDHVWVHYCRQHYQRARYRVEWPVMQCELLMVVLGRMERWGGVKGW DVVLRKREVERLKGEDGGEGTSTSTSTSTTECDLITLSSGSGSSSACVGFTTDDGGEH GRRRKPNIVASPVSGWLLREVGSDKSFADLRDLVRRVREDMDSLRGRGVPARRVVFPD IELLPTFQSWVLAPAKKRRRSVRRDVGRGKKGGIGEKVSRVNGKGAVKRVHQ AOR_1_744054 MDFHLVPRGEDRNYTGFLTKTIVYTSSLVVFLASFGLTVSSIVV PKWISYRNDQIWYSYGLHRRCSSVTDACVSFPQQDDCSGRGRYFCSMWRSVGFLMSFA VVLEGMSIVAYLIILSGGKRLRESGWKVLSLLIVLSAVVQAASMSIVAYLYDHDTRFF PGWRLDESWIYCTISWCISLLCAAALIVAGRVLPSEGGYELIPDHS AOR_1_746054 MDINSLLSPQESNSQSGRSSPSAAPTSVSNTSIPSTGPPPKPLR KNRAGQTRQGMTSSPLAQHVFAPSHVSEPSPPAISPTVGPNVGSGSGTPPAADLPPPR QPSTPGMDTLADLASMQHHQPQRSNAPLLRSTESYESQLSPSTMYPHVSSVAHNTPTP RSSFDIAMSDGPREAARRNYMDTSLVPNAQRMATELFAQIQENPQSYDAHVNFVRLLH AGFVNHVYPPNNPDIHGDPRKYDLLKDMRTAREEMDKLFAMGEDLWAEWIQDESMLAQ TVDERIVVMELCQRSVEEEYGSTKLWSIYGEWVLYLYNSAHAEGAQHQWTEEDRMIGR EVFSWHMVLDVWQRGAEATRWRTPDSHLVWDRLLDLQVQDITRNPSQEKIGHVRNLFD IRLQTPHATWDQTFQAFSGFVSTYYNANYETIMADTAGRYATSCKEQYSAREEFEIRL RNATEAGDVTQEWTIFTEYIEWEISNNRRNHHSNFELVNAVYQRAVLRFPTDANMWED FVMFLIDESMHGNANTTTISTLERATRHCPCSGTLWSQYLLSSEREGQSFSKIADIKH KATSTGLLDVAGMEEVLKVHTAWCSYLRRRAFMPDSTDEDLDVAEVGIRSAIESVQEL GEKKYGRSYQGDPLFRLERIYIRYLSESGSWDSARETFKGLMGRRGNSYEFWLTYYEW ELISWSKFVQGEATVDAARRTPNPSFATAVLKQAIKRTDLDWPEKIMQTYIAHCEDYE DSDELQLAILETRKAMRAVRARRERDARELAAQHAQQAASSQQATHPEKRKREEEEAN VNGLPAKKARGEEETSAAEAEPAVLQRDRENATVVVKNLPHQISEHKVRQFFRHCGTI NSVKMFPGDGNSEVAVIEFNSRDEALVAQTRDQKSLDGFTIEVQIGTCSTLFVTNFPP EADENYIRGLFREYGEIIDVRFPSLKYNTHRRFCYVQFKTAEAAHSATKLDGTTVGKG LTLTAKISDPSRKQDRHGPIYEGREIHVSNVDFKASERDVQELFSKYGTVELVRLPRK VDGGSKGFGYVVFSNKEEATAALAMDGQEYRSRTLHVKISAPQSTKRSATTIVSQVGK SQSPAAVVNGSKESADADTPSRERAARSLGLMNIPDTVNDARIRALVEPYGKLIKIVL RPDHQGAIVEFADVNHAGRASLELEGQEIAPGRKLHVGTVPEMLKQSAENKDGRIQPS SKPKEKQGGFLQPTGPIKRPQQPGSRGGRRGGLGVKRAGPHGGHNGEKTMTTTMMTTD SAPSAEGGKTKKSNDDFRAMIQRGREE AOR_1_748054 MATLGALNPYGHLARSARTGITYIPRRTLTYTVPRRAQENGDNG NKEPQSKSSAFSSIKNFFFGGKSDAKPTVQRRTAPQKREGSLSADSIFAEDEATPKLI ASGRTPAARKQEAEPTEEEEVQTGVEHRNRANMQMVLDPRPEARIRWERKMVVREVRR RGRLSKPEQIMRTERESVSKSHWFKTSVKKLGPLARQIAGKNIDEAMLQMRFSKKKAA KDVLEHLKHAKNVAVVRSGMGLGAAEATIRKPITITLKSGERKTITDPTSIYIEQAWV NRGPYGVDYDHRARGQINLLRPPYTSLSVVLKEEKTRIREWKDREAVSQRKRKTQLWT QLPDRKISAQNQYYSW AOR_1_752054 MNIPSVFDDWLDINYVHNTAIAMTSKSPSDFHFNFTTVTGYFLQ DDPKTDPDNFDYVSSNFGLIPRSYDSDPEFDPEGRKTQWERFEYHIDKLNRDSGPETQ FKLLFLGRHGEGVHNVAERRYGTELWDCYWSLQNGDETGTWVDARLTPLGISQAETAN QAWRTQIQNNIPSPQSYYVSPLNRCLATASITFKDLGLPHTEPFRPVIKELLRETLGL HTCDSRSSKTAIAEEYPLYRFEEGFAEEDPLYDPELRESDFARDVRLRELLSDVFAHD ASTVVSLTAHSGAITSILEVVGHRRFALMTGAVIPVLVRGVRVEGPSPPVQVEPPTRA PVCPAGWV AOR_1_750054 MSADQLLYSMGHSSQGDEFFDFDNFFDIPSDYVDSNPTSVNSIS PKDFDLTYNDLDGSNWDSGLDMCTQFPFTDFVNHEPSFQEYFGDSANAEPVVDPNDIL QLPSTSPSEVFVGSEFDNAWLPGAHGYDDHYYSTIRHMVESQAAVDPSCSSKKEKRRE AAIALHLQRLQDAPLPETDMSSDSNTSFPSPQWSVSHDPACASPATTSLSDSTKSPTP PSADATPGGIELVLDLNMNTPANLPRKQKPRSRAQKENYIKVRKHGACEKHRKQHKRC NCLEIKGVRLNVNNPALSPTTAVLDATRQTSLPLHSPSHVRPRHVSLTKQTQPGVLPP TVKTPKTVVWKHRERDVRRSPQDNYSVTAPSPLDVYHGRHSPGSLSKPMNAANTGQLR AQVPQPYRSTTPLRGVDQTPSVPGRLMSPTQTLLVTTSKQQSVLERGICHSAANRVSS GSPQTITWRVRQVPNGNNEGSLRIQSTSVEMNSLPLQSVSTNRSSSGGSQQLRISQTM STVTSQQVSVGNYCTALSSYATTVIFKSGLAFAGFWQSIGSAVSSAGGMFGRLAVFAS KEHWFARKGMGLI AOR_1_1786054 MDEFFFRSGTMNTFRTFGLLALLAIFSVAQGANLALDQHSQTLE FPKARRLSAASLPPSLRVREIEMVALKREASFDFIHEVPGDDTEYVFTTTLNVASQHP ILALEALETDIDDMSCSDSTIQLSIDSPTRARALKQELEAVSDFVVVTSHEGCDLEGE RSIHHVTKTSVDLARQVFTLEKVKCDWHDASHSTSVSFSHRHRSRIQRRTYTLHQKRQ QEATSAPTTTRVVGEGSTPSISFPAVPTATTGLPSSVTKSLDKHYVNQKIFPPDTPAA DMFIPQGVTVTCKNCTLQGDIEITRGSFNISGNTIKDTIAFFDDGALEITSNGLFAQV ELGLSLSLSQSLASLNMSLPTIPLTPFEIPGVVAFGPIIQPDLSLSLNMVEEIGFSYG FNLSVPDNSNIKINMSEPGNSSISGFDKTKVHALEFESTSAPASLIFSVTFTPQILLG ISTAKGLVSGGVGAFVNLPKVSVNATQLSHVSEKCEPVADKGNEGSSLISVLDDVFDS LTHIAPSVDIDMGVLANMEVDVADFSERVGVQAVLASTSYPLPTACLKYDAKSHTYGT PSRTPSATATSGSTKGAADSSSDSDKQSGAARLLESLGILPLSILAASVIAVGCCGYG VLDMD AOR_1_754054 MIALKKCVPFACRPSAVSRGTPRSARHLSKTLQALPPWRRGLLT QSYARGPLEPPLLESTIGDHFAQIVSAYGDRTAVICKHQNDRVTYAGLDAKSNALARG LESVGVRTGDRVGVMLGNSMEFSIATYALFKLGAILVPINPSFNATQVVSALTHLEAT HMIVSTESNLPRKEPRSNIPILQHLVQDLHKSKLESALVPSLKHIIIVDNSSGRVDIS EYRSLTKFSSVTSAAKADEAALPYRDLSPHDVVNIQFTSGTTAMPKAACLTHRSVLNN GSQIGDRMRLTPEDIVCCPPPLFHCFGSVLGYMATATHGSAVVFPTESFNARAALTAV QEERCTALYGVPTMFIEELTLIDDGEVPNEGFGHLRTGIAAGSSVPAALMQRLHKVLN LTELTICYGMTETSPVSAMTTTDDPIDKRINTVGRLMPHVEAKIVNPADRSQILPVGV PGELAVSGYLLMKEYWGDPQRTAESMIADEKGKVWMHSGDEATISPDGYVTITGRIKD LIIRGGENIHPLEIENCILTHAGVMDVSVVGVPDEKYGEVVAAFIIPKEHQDEAAPLT EENIREWVRGRLSNHLVPKYVFNLEHTTIFPKTASGKIQKFKLKEDAIRTLKERNSLS AOR_1_756054 MLISQTKPEQNYSERESNSDTETLWSAVSERKTRRKIDLSVLPL LLFGMTVFQLDRMNIASALTGGFGKDIHIDQSTVNLGNQLMFLGIIVLEIPSNLILQK IGPDKWIPAQVLAFGTIATFQVFLRNRTGFLVVRSLLGLAEAGYIPASLYTLSIWYEQ TELAKRVGIFFFGMFGGNAISPLLGAGILKLDGKHGLKGWQWIFLIEGIFTITTAIII LLLLPQRESESEIESESPSKTHHSSPAYKSNIKKALLNYRRWPHFLLAPCVFSTWSPL TTYTPTIMMTLGFTRLQSNALTAIGATLALPLVFLFSYISDRTTKRGLTVIAAVTCYL IVLIVCRCLLPRVEGKWGKFGIWTVINAFAVCYHPVHNTWLQLNCRCSGERSIAIAMW VMASNAGLMGGSQIFQGRDSPLYLTGLVVMIALVAGALVLAIGQEVIYLRLNSRARRI GKSEVYVS AOR_1_758054 MSYNRLGESYGEDDHSHSPMMNPHQTNNRSPSPGRPLNAYQLSD VSYGPQERLHMPSSDLLAEQPTYSVERLPNSYGHNEAYEQHHQQSYPGYEYAVDPEAH HDAYYTQPYQPTVTPHDDYDLGQYPEHQHQHSYSDDRIPMLQQDNPFGPDPYSDEYQV EEQADGHTPSPAPIRRWKTVKEVQLFNGNLVLDCPIAPKLLNQVPHAEPPGRDEFTHM RYSAATCDPNDFFEERFTLRQKLFAKPRHTELFIVVTMYNEDDFLFARTLIGVFKNIE HMCSRTHSKTWGKDAWKKIVVCVISDGRAKINPRTRAVLAALGVYQDGIAKQQVNGKD VTAHIYEYTTQIALELKGTQVQIKGRSAVPVQMIFCLKEKNQKKINSHRWFFQAFGRV LDPNICVLLDAGTKPGKDSIYRLWKAFDVEPMCGGACGEIKVMLSHGKKLLNPLVAGQ NFEYKLSNILDKPMESAFGFISVLPGAFSAYRFVALQNDKNGQGPLERYFLGEKMHGA NAGIFTANMYLAEDRILCFEIVTKRNCRWLLQYVKSSTGETDVPDQMAEFILQRRRWL NGSFFAAVYAIAHFYQIWRSDHSAIRKFALLIEFFYQTINMLFAWFGIGNFFLVFHIL TTYLGQKDLLGTTGKVLGVVFEWLYLATLVTCFVLALGNRPGGSNKFYMTMVYFWIGI MIYLAFACIFVTVKSIQTEVQQDGFTFTDLFTNSTFFTIIVSLGSTYVMWFVASIIFL DPWHMFTSFIQYILLTPTYINVLNIYAFCNTHDITWGTKGDDKAEKLPSANLKPGGKV DVDIPQDDGDLNAQYDTELAKFAEKPPKEVQVISEEERQADYYKGFRSAVVLAWVFCN FALGAVVLSAAGLDRFDQSEKTSEDSNKRSTIYMAVVLWSVAGLSIFKFIGALWYLVV RMFRGV AOR_1_760054 MKSSVSALALLFLGAPQFSLAHPTGTENLNGTEGDSELEKPGYD VLASFPQPAGDLCDDQLKDVNVEFIPTGPGAFRIDNVPPACMTLATLFLDGPDSPDPI PLGSASIGFSGMDDDELQRLQAILDANTNH AOR_1_762054 MVSRQILGVLALALLCLFQSCGALPLESRVSLSASGSEAKLEPR LFGLGKIASLIGKLVGRSKGSGGSVGGSHRKEEPKKEEPKKEEPKKEEPPKEEKKEEP KKEEPKKEEPKKEEPKKEEPPKEEKKEEPKKEEPKKEEPKKEEPKKEEPPKEEKKEEP KKEEPKKEEKKEEAPKDGKAEDKKEEAPKQQSGQGQQPRQQPGDPTQGQQLGQGQSPA QGQPPVQGQAPVQGQAPVQGQTAVQGQLAAQEIAQQVPPQQQSAQQQQPGQGQPKAQD TTQQQGFQQQQPTQQQQPGQGQQAAETAQQQQSTQQQQPGQGQQPGQGQQPQSPTQQT FAPQQASTEPANVHVDNTPTRQDIYGSAVLGAGIGAIPASISAATLKNENELNREQNA HEGELNRQQSLDLANKQNNNNSGGATSPAPPAGPAPPADPAPPANPGNTGYGGAGDTG STGYGNTGNTGSPSYGNPSNAGSPSYGSAGNTANAGTVGTTGNVGNTGYGIPNTAGTT GSTGTVGTTGNAGYPGNTANAGYSASTNQKRGFPQIDQGVHREIQEVHDSCDKDIDGP SYLVTYYAISSDSIRLDDVPPSCIKLAEILSGQPELSSSGPVPTPIGSTSIEYHGLNE QEKESIMNSLHESHI AOR_1_764054 MTRAEPVTFTDGLPLPRLIAFDLDHTLWPFKVDADVCEPVEARD NNSCVVDRRGKSFAFYPAVSSILSSCKDRSIPLALASRSHAPDLALAMLEALHINLAS SDSTALNTPSVGARNYFDYMQIVSGTKTQHFTRIHHASGIAYEDILFFDDEARNLDVE TELGVTFCLISGGITRDEVDRGVRAWRKRKGIAQKTTDNRSSV AOR_1_766054 MLSEDSATLPYAERPLLVVGDLILDQFIRGTVKRISPEAPVPVV EQQEAAFYPGGAANVACNLAALGAAVVLVGAIGDDEEGRQLMRSLSQSKIDTSLIQVI PGRPTSLKTRIIAEQQQIVRVDREVTTPLGEQHVSRVLEAIQGCLAGSAGLVFSDYNK GFLSPSIVTAIIRQAQSLEKTVIADTKLQVLDHYRGVTALTPNINELQLSTGRLLCSP SDIDVAARELMDKIQAPVLLVTCGQNGIRLYDSDSQQRTHFPGHAETVADVSGAGDTV IAVFTWALTIRRFSVHQAAKLANDAGTLAVGKKGISTINVDELLSLVEVHSINGLDRE LGPSKNRTLEQLLSDIHAVRQSQPSAKIVFTNGCFDMLHAGHVSYLQRAKALGDLLLV GLNSDSSVRQIKGNRRPIVPEAQRVQTLAGLECVDFVVLFDQETPLHLIQAIKPDFLV KGSDYELHQVVGRDFVEANGGRVELLPSNQGISTSKIIQEIMNRYSE AOR_1_768054 MIGIGKGKLGKQSIVAQFAVTTSAVEDKALDENKPYAEFWMGAH PSLPSYDHSTGQSLQDVLRDNPHLLSTHVSQKFRTTLPFLFKVLSIREPLCIQAHPDR DLAHDLHARDPLTYPDSNHKPEMIVALTPFEALCGFRPLKEIDRFLSSVPPLRNLISD GTAMEVRSTCGSAGDRCDQKSAEIALKRAWSELLTAHPSRVRSCAEDLIRFATSRPSN ESFAVEHGNLTDLILQLSEHYPYDVGLFAVLFMNHVCLSPGEALFVRSNELHAYLSGD GIECMASSANVVRAGFSRKTKDVDTLISMLKYEYLPPFIVRTPTPYLRVAMSSQQSTS VLYESPAEEFNIIKTSLAPRSARANFQAFRGPTVLICTQGSGKIGVADHAELIECGYV FFVGAGAEIFIQSSSEEPLILFQSFCEIEECNPQL AOR_1_770054 MTATKAAPSGHQVNVLFVCLGNICRSPMAEGVFRNMAASHPLIN EIDSAGTGAYHTHEPPDSRTMSTLRQHGIKNYNHAARKVTKEDFLTFDYLMAMDKYNL RDLLDVRESVIASLSKSKKGTRAASGEAGAKVAEVRLFGDFGAGGKLHERVGGGEVVQ DPYYGGVNGFEEVYQQVVRFSKGFLDYLEKNQGGEDDN AOR_1_772054 MKTVAALRRCPLTHSYRPSPLVFDFLAPSTLRQSSRGYKSTAKT PFWGKSHDASPSPDTTPISPKPKYVLSQKQREFLDSALRVNQAGELAATLIYKAQTPQ VVRSHPHLRPLMKHMYDQEAGHFSTFNQMVAKHQVRPTAMYPIWEVAATFLGWSTGAM GREAAMACTEAVETEIGSHYNEQVREILSWQAEAERRGEELDDELKDMLATFRRIRDE ELEHLDHAVENDAKEARPYDPLVSVIRLGCRAAINISEKV AOR_1_774054 MADDLDAELLALAGDASDEEASSPPRQKDASPSASPPQSPEESS TMGRKGTAKPVRRGRKSRKDDEEDGEVSAAESHNSLDSASMVESESGSDSEGSDAGAE DDGPIFPYDKLYYSSKDKEEIMAMPEIQREQILSERAQQVDRHNQDLALRRLLASRER EEARQAKKNKRKASMANLEDGQRKSSRQKTTLGGRKVGETSDAIEAYKRQREQKGRRD ELRRREPASKDQTVRPRDRVSDEDAEGESDAEWDDGDRSPSLPKDDPPAELRDIQRAR VGRTNFAQVCFYPGFDDAISGCYARVNIGPNRETGQNEYRLCLIKKFTEGRPYSMEGP NGRSFVTKQYAVLAHGKAEREFPFVACSDSAITEAEFNRYRQTMAVEDCKMATKSTVA EKVVDINRLLNHKFTPEELTEKLRKQGSLDTKSTVFKRMETEKKLKLAKAAGDDAEVE RLESELASMSTPKLAFNATSSKPRADKPSEHERLLELNLRNQRLNTENVRRAQLEERK ASRKAAAAVARGEAQPNPFMRVRTHARTHYDANGNGTTLSETTTRDGTPATGSDTPSK ANTPNGSNPPSGSQKKTTKGGVATIRHRNMDDENIAALDLDLDIEI AOR_1_776054 MSTPSTPRTARSASPAANSPKMLTPGQKIKAMLAQFDSDSDSDS GKPQPQRSISKLTDTLKNSTVSDQPPSMDLDEEASDEDDDIIMPKGRMAARLQAQNDE NNESAFDRVSKSLRNAQQEKRDSKADGAMSEDDDDDDDLPVAGPRRKTNNRVVEEREE SDAEESPSRARAFSPLFVSSPTRGNDQEQDGAEDSEQDEVRPKANSRFLALVAQKRKE REEKERIENEKKAAKAKQREQFSSEILSGEDSEGDDESGRKLSQPARPARKASKKALE EMNRETQRISRSMQLVHQAQTKKKISKESFFARFNFMQPDQQNASGSAPDNSSTTADS QNSSDAEAQKNKNTPHTSPILGPSEKPSTGNDTNDASKEASTEFPTLEEMIAKAPQHS EQPIVGRMEEQTTDKKPHVAEEKKQKKVLTMPPVRVRLSREQVARNQRDDSDSDDLEI VTSPAKCRRYAAFENVSMRRHQESATMLKLKALAQLTSPPKKSGMNYAELSAHLLHQA RQQASKERMERIEELRAKGVIIETADERAALEDELENLVEKARKEANEIAKKEKAAAN GEGDDEDDDYEFSGSEEEADEADEDGDDDDEEEEEEGNEEGEKDGDLLDAEAGEDEES DDDELEVMSSEETSLPTQRRKRPTRVISDDEDEPPVPKTPAKMTNRIKVQSVERPHIP GLPSDDMTMSLTQAFAGTLGDNHSQAGSTIPHSLPDPVDGRQESDSQMIIKDSQEQRH ETPDVLAGYAQSEIRVSESPAPRGMSQFSQIPDPTQDAGFVLSPFDPSKRFMSTPAST VETVLINRNQSQNNSPTVERKSKHLRRGRTTELSAIEEQSEGDFEIDASAFDIMKANK KKSSVPFDKKKSKAKDVVEEAAEESDDEYAGLGGASDEDDDVEDAYDRQMIDDNSGET ADEKQLAALNALHQRNADEKQVAKLLKDITTGALRRRRGGDDEFDLDDSDDELLARRR EKQREFARMRKALMADEKIGEIAENPKKAAFFKAVEDRDMDDDGLDFLEPEEHPESQG ESSSQDVIPDSQPDTTTTGDSNKRKRPLEPSAEDINNRPPPHMRRKPASVMSKKPATL AEIRETLSFLTETPEYDSFHEDATVDDDEEQDKAIDGEAADEQPSNSQSTEEFAVPRH PRRTKGPVVDRLALLRQASSNSATSGSSNTRFAFQTGSGPDPIGFRPPLLRKTTTSSS STSSSSKSDTSRRVTKPASGASVAKKGAVNYYTAAREKERERELRARTRNTGTNITAL VNKHSSNRLGALGRTGQWD AOR_1_778054 MTQTHKRTLLLTLDAFETLFHPRPSVPEQYASAAHHFGLPKTAI TAERVLSAFKPVFKAQSQARPNYGRDDVIRGCYGGPRQWWGEIIRGTFSRVLAEHYHY NNITTDSDNNNSNSRSQVDLPDGLVGYLLDRFASKEGYALYDDVGPFFSHIRAVKENE GRLGPFERVVVGVVSNSDDRVPAVLKSLGLRVGDCRADEGVDSMRLSGFEERSSSEMT EGSGVNDGVSDIDLVITSYEAGVEKPSPRIFEVARRQAKALTRVEDLGGWTCVHVGDD VDKDYRAAVGAGWDGYFLARGDEARSADADNVIRSLVDLIPMLEAYR AOR_1_780054 MAGLTLPVVLLRAFLLLFVLHVPSITASELNFPPNANHIFNAIH SSMRQWGSSLHHNGMSFFLATVPKGTQLYHGNSSPDPVNGTEWLAFEPEHAMVFARPH HGPPPGKGPHKRSQLPLKLGPKESGEGQDKDQDKPGFLHTYTAAKDLRLLYLDGMSAA KTENGTLDSQDRILLRDALEGRPGMLEGERAELICRMARDNYQGRLDGVIRMEAGFEI ILCDFARDLNEIRVSQVKSDRMGPGPRGGKGKGGPDGGMLWYKAIAARYNGIGSNRVI LNYDNFVTAYVYGLDLFRSSGSNSNLLPRLNHLFADELGPIRNDLDHLILNQDPSDAS FNWQGVADMVVQRYAHELRYLASGQLSTLQALHEEIENSLGPFVDYSDRNAALEADRC SMQFVPAGAPSGGLASGAVRSVTRSICSTMIEAWNQTDYDTAVAQLQSLIDYLSWTTW KELSRCVETEWPGATLLGW AOR_1_782054 MKATILATIALAACSAASPLGSAPHARGLLGDSSKPCDCESDHD GSSPEVPSGPGSEGPAPVPMPVPVPVPGGEPNGPGGVPTVPGLPGIPGVPGVPGVPGV PGHPNHPEDPEDPEDPEDPEDPEDPEDPEDPEDPEGPSKGGCDDDGCHGTGHLIQDLG PQANDILTIVGEHTEELLLKLSPGVAGLLTGLGLPGLGQPVGHIIKSAATIGELIADL GDSVECLLTVIGQDGGFLLIELEPSIAGLLTGLGLPSIAQPVGSIVGTVGKHLKRDDG LLEDLAPVVNCALKVVGEDSKILLIALSKSVAELLIGLGLGQLAEPVGTVIQSAATVG DLVYDLGDPVECILTIIGEDGGALLVQLSPSIAGLLVGLGLPGVGIPVGQVVKTLGEA L AOR_1_784054 MAKSPQDLQIAILGAGMGGLTCALALAQEGFKNIDVYESASDLG FVGAGIQLAPNMARVLDRLGVWKGIEAEAVNIEETSVRVGATDAELAHVELQYIKDTY GYPHMVGHRSSLSNGLYQGCLRYPNIKFHFATSAGNVDSFGPRPSFTATPRDSSQAPY RVEADVLLGADGIKSNTRVAMMDKLGIQTGVKDTNQAAYRIMIHKDQIKDDPELLELI NGTKVTRWIGEKRHIIAYPVSNNTIYNLSTVQPDTNFAAATNATYTTRGSKKTMLEVF GDFCPMVQRMLSYVPEGEVCEWKLRVHEPLDTWTHESTALVGDACHPTLPHLAQGAAQ AIEDGAVIAIALSLLPDTTPASIAKALKVYEKVRKDRAYALVELAAASGRALHLGDGA AKEERDKQFAALKKGNGPVPDKWADADVQKIIYGFDCQQETRDKFNEYFA AOR_1_786054 MSARPYRSKRHRPCDQCRERKLGCQTDGGLPCVRCRSADLPCTF EHPPPKRPRRESSGHMGDHSGSVASSIDPESSFLPPSWEEPSNHPASHLPSRGASVNP AVHQPAFAPLEDVPTNGAVSPAVSGYLVTVGRSPTQFVQSLDQLEGFSAHLFGASAES DPWLLRHCSFDDAGVKCFYKVHFRNAGGVPTADKIPVHFMLAADDLATSAKQETSCRF SGDATREELNRLVPHDYGQRLVSLFIKYVWPALPLISRSQMGLTPSCSIPEPWALERT PVHLLAAVYASALPFAAHDDYLCVLQTYNAPPADRLWRMAYELISEEIHTPHLAVLQT ALLYLHRPLDEARASIADTPFVWSFVGTIVGLAESLGLHIECRMWGIPAWEKRLRRRL WWAIYAEDKWRSLLMGRPPYIHRSEWDVSELDGADFLYHTRGASSSSSGVHQPQDPVP FRYLVDLSGIAEQIYESFYTLRKSQYLSERFRVSHDTGRPLLEKLNDWYSSLPESFRL PNWSKSVSGLAPYPTSIHFAYLILVLFVYRALLRPMARSSSPPLIFDLDEIPTNPSAL DTAIDDSPVLDFLNMPEIESFPAVELSDHSTGETTLNSAERCASIVISFTRRLTSSDF TGFWYSWSRIGFATVSNFALLLLVQAPNAERAAKGKQLVDSWLRVLRCQSQSFPMMKL GLTRLDAMHWVGLGQTFVLPQHVQEVIQSSGN AOR_1_788054 MPDFLIDLLKRNKAPEPKQPLDALQDSASEMTLIEKAKARPCHV QKNKNRTTNTHFNPADLCFGSCCRN AOR_1_790054 MPTTTTQCMTLSRSTIQPLKSDHVIPKGVHESIRQVLHHEFLIT ERLLRANIREGLVLPIIGLIARFLPAPDLILTTPWTQLALVLLKTFICFICHLYVFEI VNQVLSVDEDIANKPHRPIPAGFLCIPGAYRRWLLSWAICPVIASHLAGPEAAGLFGA YQAWVYFCYVWPKINHWIFRNAFASIGAYNMFRLVDTIVHSEIPSFPVMPKHILLLFS LWVVTTVHMQEFHDAEGDKRMKRRTLPVVVGPKGERLLRAGTAMLVIGSGAVLLIATA SYIQGSSLPSQRWTVAGLVITGFLHNIFACIVGFRCVWHGGVAFDRKTYKRFYMLAAY TMICYLSFWQMTEKISISILA AOR_1_792054 MPPSSTPFRVPSSRRPNSSSRPSAGSQFASTPRFVLSQQTHSSN ARIHGKDDLIDDDGSQSTPIANTQAAKRDQDLRPTQRQKEIIEDSDDELEYNESRPSH NDITGSPDPDSSSPGDAGELEAEFEALFGPTTTRTKRRRASLDPQTPFTQRRKHDDDI IQTSSPEVLSPTKDRTRLQIQTPNQTYPEINPQQTTTTPQPSTPATIKPTVRNNPRFM LSASQAFPSTQPQSSARPTPFATPAPTSPPPKRKPTFVLPRSPSPSQAPEDPSAIPTP FSPSSHTLRRRGRARSSAPSYLPGGMAAEVRSWILELSTKREQMQMNYRNRTGAGLDL QRYLLVVRIADIRQSTLASSGPLAFVRGQPVTSLDDEEDASGHREGSGMKNILLLGTP RSQSAGVSSQHPDASRVPELVGGNVVGVHRGLVWELDLEDRLAGYGTHSDPERDGHGQ SGTTTKWLVCMEWDLISVQ AOR_1_794054 MPRPMHNTTSLPRNLRDELGIKDTYGDKKRRQNGPATRKDRRRE ERDQKKTKRAPVSKHGGRQRSNRRDDDYDDEDGFEGFDDGSGAESDPAGEEDVFAKLK AAKQAKQQPKPILKKAKAGKSAPEGDSAEEETIRPRQISKAVQEKLDEDEAEIAALEK KLGLKKGKKLPKSFEEDGLGDLLGDLDEGSADEGRKRRREADEWLRNKRMKAQGLAPE SDSEEDGSDLGSEEEMDDLDEDEDMFDDLDEEDDEESEEEKPVPKKRENPYVAPVAET NENRPQKYIPPSLRAASGSESESLVRLRRQAQGHLNKLSEANLVSILGEYEKLYREYP RKEVTTTLINLLFGLICERSALQDTFLILHAGFIAAVYKIVGTDFGAELVQKIVETFD ARGDERGSFEGKESLNLVSLLAQLYNFHVISSTLIFDYIRIFLQDITEENTELLLKII RNSGPQLRQDDPSSLKDIVLLIQPAVAKAGEASLSVRTKFMIDTITDLKNNKLKAGVG SSVTTEHITKMRKILGSLNNSRVIRATEPISISRDDIHNSSKKGKWWLVGASWREDPL VSARQELSNLPADQQNPAAAEEEDSDGEPDFVNLAKAHRMNTDVRRSIFVAIMSATDY QDAHVRLLKLRLKKAQEYEIPRVLTHCSMEEEAYNPYYTLIARRLCGESGRRLKVSFM FTLWNIFKRMGETGDMDEEDDADFDEDEQNQLPMKAIVNLAKMYGSLIADNTLNLGIL KTLNFAYLQPKSKTFVELLLISVIQQSQKQKRGKKHAKKSDDEKDEKALMEIFIRTRE TPQIVKGLIFFLRKVVAKSDVVSGKEQKLVKWGCNVAVDALKVVSKETAGFD AOR_1_796054 MAPHLGHEEFFSSLSDLLSKTSQKARGSVFLTQKPLIDTTASSE NASSSSRPSILIRATDGNTNAPNPKNNKVEKKTVSKVKLSTIVAPEDLEAFYTRYAEV CKAGMTGLKKRDRKKGKAKAKAAKA AOR_1_798054 MTDTSDAPLPQIRRSATLPSKLHPRSKRSVESLRPSENDLFYHP AAKVVHFAPRALAPIPSSTAPADFDYPVDTVETLPWRSPTERTVAFAPLRLEKVHGLT VFLKCGSVVHAILKNSQCWCIDGVSTFVLRIRPLTYYRIELPNETEEDRKSVAAMKEA LPQVLRYEVTPCPFKRGFTVEIPEEARVPRRKRAWRPKGRRESAPIISAYMLDKSPAR EIALTDSLSAGEDTDGNLTDDSCFTTKGSNSTVLETIPDDNEPSLPNKMPEITDLPRR SVSETQQSFQTLLARFEDTPEPPVEPKMPLSSSVDSFHTVASLSSSPAESDSGSTFPS ATSTDGTDLGLRESSNNQGHLENLKFEEVDFSAGRTVPEVKCEDCCSPRPPASPRASF SEEEFRRVPGALPDDQMSIASYAGTSKTTDSNPNLNSMSIEFRRRSKASRERELSPMP PQSALALTSPSDKENAASLIQKTCTVVLIPPIQLFIVLIHIAARIVLGPALTSAMGEL NHKYEYQVADPQEAVDDFDLPLAPDCPRKQSVSEANSWDLD AOR_1_800054 MPNFEPNAVIRGAQLTIVGTVRALRNPELFKHEHFRQAGFAIAV GIAIELLIQIPIIGVKFLLWILSWMADLESATWDDTLLESLDFLSKSVLQVPFLVMTL MRYITPTLDEIFMESIKWVDSTYVDKHKADDPKTLRAMYYPSLSMYSTKGSVGVSKPK GESALVFVRRYGRKVGMMLGVFLLSLLPIVGRFVMPAASFFSFQQMVGPAPAAAIFGT GLVLPKRYLVTFLHTYYSSRSLMRELLDPYFCRIKYTHEQKRRWFADREGVLFGFAFA FTIVLKTPFIGVLMYGIAQASTAYLVTKITDPPPVPAESEGFAESQVTWKNKHDFLQL SLENIDKINLAAQDKKDGDKADEPDALRRKFT AOR_1_802054 MGHKGIRTALKVDLDKPAWEQPGLHNRWHPDVPSCGKIANNEVV KIECLDWTGGQIKNNDSADDVKNVDLTQIHYLSGPFDIETAEPGDVLLVEIQDVQPFQ DQPWGFSGVFHKQNGGGFLDEIYPESAKAIWDFEGIFCSSRHIPHVRFAGLIHPGILG CAPSTEVLAEWNRREGELIAANTTSREVAKPPEPKSAHAGSAEASLKERIAKEGARTI PGRPEHGGNCDIKNLSRGSKVYLPVHVPGAKFSVGDLHFSQGDGEISFCGAIEMAGII TLKFTVMKGGMAKLGMKSPIFHPGPVEPQFGPGRYLTFEGFSVDENGKQHCLDATVAY RQTCLRVIEYLRRYGYSDYQIYLLLSCAPVQGHIAGIVDIPNACTTMGVPMDIFDFDI RPEAEVVKLDMGSCAFARN AOR_1_804054 MTSSKLTPTSSILIVGAGTWGCSTALHLARRGYKNVTVLDPHPV PSPIAAGNDINKIMEHREVKASETDPWSIAFSTCTRAALKGWKNDPVFQPYFHETGAI VSGHTASLIKHIQEHEIDSSDAEFIKLNTAEDFRKTMPPGILTGNFPGWKGWLNKTGA GWIHAKKAMFSAYTEAKRLGVTFITGSPEGDVVSLIYENGDVVGARTADGTVHRADHT ILSAGAGSDRLLDFKKQLRPTAWTLCHIRMTPDEAKKYRNLPVLFNVAKGFFMEPDED NHELKICDEHPGYCNFVPDPKHGGEVRSIPFAKHQIPLEAEARARDFLRDTMPHLADR PLSFARICWDADTVDRAFLIDRHPEYRSLLLAVGGSGNGAMQMPTIGGFIADALEGNL QKELKHALRWRPEIAAQRDWKDTQNRFGGPNKVMDFQKVGENEWTKIGDKSRL AOR_1_806054 MAPSDDILTDVPGTVYLVDTSGNLADAAHANDILLIPQPSCSAA DPLNWPKYKKYWTLCLISAYACVNSFGENNWGASWTTISDETGVSLENMNGGSALNYL MLGFFNIIWIPTAMKFGRKIVYILSLIFVGASGIWGAFYVGTGQYYVMTTISGMGTAA YQALIQLTIFDTFFAHERGRMIAIYIFFQQLGSILGLILGGYISDGIGWRWSMPIVAI ACGVLILLFIFTFDDTMFPRYRFSERTPSEALKGEQGYDASPETQSEKHRKEEPQMSV TPSNGAGEVDMPPRTYTQKIALVHYFKDDQTTWFQYFRRPFYLFAFPNIVLAGVQFAF GCTAGIVSFNTISEIMTEAPYNWSNGSTGLLFLAALIGSFFGMGIGSLSDWLVLFLAR RNKGYKEPEMRLWAYIFPLIFAALGYFIYGWGATAGAHWMTIAVAYAMECFDKISGEL VIVLAICSSVINFAISFTVQHFINATNYGWAFTFYGICVVLSMAMGVPMLIWGKSWRR RGKGRYEKFLAETGRQY AOR_1_808054 MADSQRRPRVFFDIQIGNEKTGRIALELFNDVVPKTAENFRALC TGEKGMGKQGKPLHFKGSIFHRVIKQFMIQGGDFTAFNGTGGESIYGEKFPDENFELK HDKPFLLSMANSGPGTNGSQFFITTVPTPHLDGKHVVFGEVINGKSVVRKVENMNTQA DKPVKDVTIVECGELTGQDYDDADKQTPDATGDPYEDFPDDHQGEELNAQVCFKIASE LKNFGNAAFKSGNLALGLEKYQKGLRYLHEFPEPDENDPKELDGQIKALRFALHSNSS LLANKLAQYGNGRSWATYALDTANAANAKDADKAKAYYRRAVASSGLKEEDEALKDLQ EAEKLAPGDAGITNEIAKVKKAIKDRQAKERATAQKFFS AOR_1_810054 MKAVIQRVKSASVTVDGQLVSKIGRGLLVLAGVGKGDTEKDADT LIQRILKAKLFPGDEDKQWKRNVQDIEGEILCVSQFTLYGQLKKGKQPDFHDAADVET ARKLYDYFFRRLGEAYKPERVKNGIFQAMMEVELKNDGPVGYDRNQHTVAEEGEIGEG RAVATGAEEYRN AOR_1_812054 MTSRKTQQEIDKTFKKVAEGIQSFEGIYEKIRSTSNPTQRDKLE ENLKREIKKLQRYRDQIKSWASGNEVKDKGPLLEQRRAIETCMEQFKAVEKEMKTKAY SKEGLSAASRLDPREKEKVETSDFLSSMVDELQQKIEAMEAEEETLHMQMKKGKKDVA RANRLADLQRITERHKWHVNKLELLLRSLQNGNVEVNQVLDLKESIKYYVEDGHNVDY SGEDETLYDDLNLDGETEAQFGMTGDNDRVSSQDTQSVQEEDLDLRPKPIKSESTAPR RPSAQMKSPLPVLATLHPSTSTSGTSGMKPAPPPTRLPGETLKYASAAAAAAASDKNG VGIAPLPPPPGASPAFPAASLASRPSSTASPSIASVQPVSKPTATTSIASEERSKTPA LSPNVTAASASNTVQSTPATKKAEASATKEPQPTVNGEASKEESREEESIYHLPPGLQ DLIHSFEVTKSRAAAQSSTNPPPSVQRLLTASLNTCPEPADAEKPRHYKPQNPYNTPL YYPQEPLAIFDDPRLYDTGRIDTDTLFYLFYYRQGTYQQFLAAKALKNQSWRFHKQYQ TWFQRHEEPKTITEEFEQGTYRFFDYESTWMNRRKADFKFVYKYLEDEL AOR_1_1788054 MPDSYSDIEIRIVQACTIAQGQKKPNISALARQFNVPYQRLRAR IHGRANLSSRPISTKTLDDSQEKALIRWIRQLDNLYSPPTAGMIEQSANQILQRNITD GQSRTVDKNWVYRFIKRLPEEFKLIQQKPKDKKRLDAEDIGVLQHWYDCLEAFIKNIP PKNIYNFDETGFQLRQGKTQKVVTTMPLRAARGNPSKEVGELISAIECIAADGFTLPP YFIFKGTYHLERWYDADIPEEYRISLSPKGYTTDKISFDWIQHFHRHTKHRISTKKEV RLLFFDGHESHLTYEFLQFCGLHYIIPYCFPPHTTHLVQPLDGQPFQVYKHFYRKRNN ELAQRGAEMDDKSDFLKEIHSIRTMTFKQRTIRDAFEKRGLYPLDSEKVMKSLREALE TAPELEIITTPSPPPSSSSPPSTIRGLRRSISKAQSFINNSPELDQSFVRRLDRVFQS SLETTELAAQLKDDLQQHLRYRKPQDRRKSQKRVKYHGPLTVYDAKRRIADRTEVERL QGLRQIRKTGALEYDKPPQPTNTGDLPSTEAGQVDREGPRLPYWIDTQGDVV AOR_1_814054 MLRYSSPMNPHAAACPPMEHSYSTSSEESMQSPMGYYDMYSMAS NDFELYHAQSNASSYSSSYPSSNYSYSSFGSYDSGSFEASSYDSGSYEFTTPPPIYCP PDLNPQFAPQYFSSPVQGTWPTPPAQVSPVEEIPYPPLETHYTPCETAKPVKPYVCEC GRAFTRPADLKRHESSVHNPVFQDCPVQGCIRKDSNGFPRRDHLIEHLRSYHHLNVPK RRAATKRVAKAA AOR_1_816054 MTTRPQAPPPHTTTTTLTPSTTPSQPPSPSPSTPTLTHKSNPNP NPNTLKQIHRIKSHPTLTPHQRRVYLTLLTIPAGRWTTYAALAKHLSSSARAIGTAMR TNPFAPDVPCHRVLSADGGLGGYMGAGPASGHANLERKRLMLEEEGVGRAGGGEGRWR ARGECFVGFSSL AOR_1_818054 MCDQIPKWNVVHRLEKRKLLIGINSVAALSILFFGYDQGMMAGV NNSKDYIDLMGFGYTEMKDGYLTPVVTDSLLQGGIVSVYYLGTLFGALLGGWIGDRIG RIKTIAAGALWAILGAALQCSAQNHNWMICSRFINGIGTGILNAIVPVWATETAEHTS RGQFIAIEFTLNIFGVVLAYWLEFGLSFIDGGRSPFRWRFPIAFQIIFLVLLFVVVWF FPESPRWLVKVGREQEARYILGRLRGSSDEDAVRAEAEFRDIQNVAEMEKSMNHSTSY LAMLFGYKTGKLHLGRRVQLVIWLQIMQEWVGIAGVTVYAPTIFSIAGFDSMKSQWIS GLNNVFYMFATLVCVFTLDRIGRRWTLYWGSIAQGIAMFLAGGFSRLAIDARADGNIS RANSFGAAAASMVFIFTSVFGATWLTVPWIYPAEIYPLAVRAKGNAWGVVGWSIGNGW LTLLCPVMFEAIGEKTLYVFAASNVITIPMVWALYPESNQRTLEDMDLLFAAETPWVW DAERTFARLKAENPGYIETANRKNSAVDPEMGKPTDAHEEHASSAS AOR_1_820054 MPSKSEITEFQMPGAVEIISTRPQKLIEIVEPDPTWPESFAIIA RRIADALGNRLLSIEHVGSTSVPGLPAKAVIDVDVLVADPTAEDSYVPALEAAGFQFL LREPGWYEHRLFGFNEPYANIHVFGPNSAEHVRHRLFRDWLRNHEDDRQRYVDTKRQA AAASRLAGETVNEYSDRKQSVIHEILQKAFKEHGYLNEQNSDK AOR_1_822054 MFRTLLPRAAPRAALRTARPQSVPSNFVAAPTLSFFSKRGYASE SGEHDLVIIGGGVAGYVAAIKAGQEGLKTVCIEKRGRLGGTCLNVGCIPSKSLLNNSH LYHQILHDTKKRGIEVGDVKLNLEQMMKAKDTSVEGLTKGIEFLLKKNGVDYVKGTGA LVDPNTVKVNLLEGGEQTLRGKNILIATGSEATPFPGLNIDEKRIITSTGALSLQEVP KKMVVIGGGIIGLEMASVWSRLGAEVTVVEFLNQIGGPGMDAEIAKQAQKILSKQGIK FKTGTKVTKGDDSGASVSISVESAKGGKEETLDADVVLVAIGRRPYTEGLGLENVGIE KDERGRLVIDQEYRTKVPHIRVVGDCTFGPMLAHKAEEEAVAAVEYITKGYGHVNYGV IPSVMYTHPEVAWVGQNEAEVKAAGIKYRVGTFPFSANSRAKTNLDTEGQVKFIADAE TDRVLGVHIIGPNAGEMVGEATLAVEYGASCEDIARTCHAHPTLSEAFKEAAMATYSK AIHF AOR_1_824054 MAGDPTTRAEIQQLRDSGAVADLEQRLQKRIQFGTAGLRGRMAA GFSCMNSLTVIQASQGLAKYLKDKHPDSASGGVVIGHDARHNSAKFAALAANAFISQQ IPVWFYSEPSVTPSVPFGVTHLKAAAGIMITASHNPAQDNGYKVYFKNGAQINTPIDV EIAQSIEENLAPWSGAWKDLQECEYLHADAYKTILPHYTKTVWDYANSTVSDWKQPRP FVYTPLHGVGGLVFPDLCQSVGITEFTPVPEQVEPNPDFPTVSFPNPEEAGALDLAMQ TADREGKTLIIAHDPDADRFAAAEKVDGSWFSFTGNHIGVLLASHLFDSLENRKDGKR IAVLNSTVSTGMLEKMATAKGIQFEEALTGFKWMGNIARCLEGEGYNVPYAFEEALGY MFPAVCHDKDGITAAMVFLAAQAKWQSQGLTPYMKLQQLFNEYGHYETLNNYFRSPNP ETTMALFRAIRNGPYRAEKTLGPFKILRWRDMTEGYDSGTTDNKPTLPVDKSSQMLTL WLDQDVRFTFRASGTEPKVKLYVESCGASREQAVDAVCNAFLAVLKEWVVPFAPSMTY SRQMPTSSGHVFQISE AOR_1_826054 MADTLKAAATPTPPSRKDTILSRRWIEGQIAEGKQVIVYDDRVL RVDAWIKFHPGGDKSIKHMVGKDATDEINALHSKEARQRMLAFQIGRIQGPWLNFLPP IQGGKFRPYTEATCTSDEDSSGQDLSTPPSPIFDSVDAKSGLRRRKSVSSDTSVSSAT SECEPKPFFLDARTQEEIVLDVTKYPSLDTESQESIKKKYRALDQRIRDEGLYNCNYF SYFIECCRYTLFAALSYIFLRSGWYATSGFFLGCFWHQLVFTAHDSGHMGITHNFHVD SVIGIIIADYLGGLSLGWWKRNHNVHHIVTNAPEHDPDIEHMPFFAISHRFLTSLRST YYERIMTFDAFANFMLRYQNYLYYPILLFGRFNLYRLSWEYLLCGQAPKKGPAWWHRW FEMAGQVFFWYWFGYAVVYRSIPDWSSRLIFILISHMVTAPLHVQITLSHFAMSTADL GVNESFPQKMLRTTMDVDCPTWLDFFHGGLQFQAIHHLYPRIPRHNLRRTQKLVMEFC RDTGIPYAVFTFYDGNKEVIGKLGDVAKQVRILDECRKSCAQQGVFSDHH AOR_1_828054 MANWPPLAPVPPPAVQSAIPPQEWELYIDAWILLLTLRIEASDA EFTEHASTDESVVTFLTSFYDQLASTGTPGLHTGPKTRILRKLCFLLTRRLLLDAPTS PPDLLGWKYLGSMCSCYPSSSALKKLLSEAWDKHEETISSSLEKAKTAMTKQLAMLSS AQAPRIIPDIRLLTILGSVIPACGQALMAGSDFLDTCCEAYQAHKRDDFRKVLVAVIY VGLTSLLKGPKPNLSLLLDQLFSLKASAGINAPTTKKEPTLLSDLICSSDLLVRLDRY LISHPQKRGQDLLSSLRAYQIESNVFHHRYQKQKKKLDKGKARATTDLPQAEDMHIHR MSLVTQIQDLFPDLGSGYIVRLLDVYDDNPETVIAHLLDDSIPPELRDLDKSEQLPTT NSTAPKHDPFPPRPTPPQISSPPVQPRKNVFDKDVDLADLAETDKLRFGRANPDQTAD DILADRSKHAVNKAAIMSALATFDSDDDERDDTYDVADVGGTVDAATTDTDADAKQKA DELDLTLFRTYKASPALFARDSATRRSQPRASLKRETGMTDEAIEGWAVMLARDSKRL AKLEDRLSLSVVGPGGTGLMQPEIKPTAYRRPGPRGDGESGSETDEPAGSGSRGRGDP GRGRGRGGRRGGGAGRGRGGNAGSGDGNTTAQRQRKEENKASRANHNRRQQRAKKVAR AGGMMG AOR_1_1790054 MSFQKPEKDFGEGPQPQQKVHKIRITLTSRKVASLEKVCSELIE RARSKSLHVKGPVRLPTKTLQISTRKTPNGEGSKTWDKYEMRIHKRLIDLLAPTETVK QIIINIEAGVEVEVTIAA AOR_1_832054 MVVCSFFQQGRCKFGERCKFEHPGQSSLGSGNRFGVLSGGGGGG GFGGRSAQQNQQPANYGVTADDIKTDLTAGKGRPEWVFSCYGPGKNAPKQLFGGAQRE QSFEELRLRHYEAAATGNVEQAVQEAQALYAEALKQMDVILNDLGGAVKYIVDGINEH PNRIDIIEGKTGPAASQGPSPFGQPSAFGQPAASGQTSGFGQPSALGQTFGKPSGFGQ PSTLGQPSGFGQPSTLGQPSGFGQPSTLGQSSGFGQPSTLGGQPAFGKPAFGQPSLGQ QNPAFGQPSSVGGSSFGASTNASPFGAISNQNQGAGVGFGQAASAVSPFAQAASQQPA APSGFGQPSTTPATTGGFGQPTQTPSPFGQPQPQPQSNPFGQPSTAPNPFGAPSQPQQ QQAQAAPSPFGQPAAGFAQPAQQQPPAPTATAGTGPPAIIKVEDPNQLSPIPPLSGQT VRDPMTKRLSTWKGQPVKYIDNNPCYLHPQDRQTYVRIFFPDGPPDQASLRDATGKSE EYTPEVTEQYEFFVKNGYFKDGVIPSVPPKTEWVSFDF AOR_1_834054 MAPWEDFDSVFSFNKNFTYDGKVIEQILSNRRALDNQLFADRLL GLLGVKAVTKVYPPKSNADLRTLFGHIVSSPLDIHHKQALIYYLLKDCRAANDYASQF SRRFHLPEKYRFFIEGLWNLDRLDFKRAIEYLTEPSIIPTFPDEILYVLTLPQLPKHD DSLVMAYYLTVSPPLASTKVQKAFFRILCRSSITEAFYFTRNYDDSLRQNYLAQLIEF VHSTEAGETRSKRAMELIGLPFDDQEEGWFEDCLLRGNAKGLHGAKDTVMMRRLATGK LENLSADLESLGGKKVDGLNWDILRQGIQPSQT AOR_1_836054 MSSIARGRPSRRSAPRRSYVMSETSEEEDPGNVTPTPSQHDVEE EDEDDSTPVPKKPSTRRRSSRRTTADAPAPTPSTARPARRSRRSTTAEQEQASSQVGE SNDEGNSVASVEEPESPSQNVAATKRRSTTSRRSRDSMTPKPERSSVEPSISPQSQAR SQRGSVPPLGDITEAAVNKSPSPEDTKSQISIINPHSTVLEKPMDIMMKARNLAHPTI PEEPSGPKSRMVIKTLILNNFKSYAGKQIVGPFHASFSSVVGPNGSGKSNVIDALLFV FGFRASKMRQGKISALIHNSADFPDLPFCEVEVHFQEVLDLPDGAHEIVPDSQLIISR KAFKNNTSKYYMNGKETNFTAVTTLLRDRGIDLDHKRFLILQGEVESIAQMKAKAANE HEDGLLEYLEDIIGTSKYKAPIDEAATELETLNDVCVEKNNRVQHVEKERNALEDKKD KALSYLNDENELTQKQSALYQIYIDECADNIRVTEEAILQMQELLNMELEKHEGNESG IKELEKAYKRGMREYENMEKEVQALAKEMAKYDKESVKFEEKKKFLVGKQKKLEKTIQ TARLAASECESLVEKHTSDITKKTKETTDLEKELKVEEDELSAIRESLKGKTQGLSDK ITAKQKSLEPWDEKINKKVSAVAVAQSELDILRERSNAGAVLLEEAQGKVSSIEETLA AKETDLEERKEQKAILEEEVAKLKHDLKKYAHREPDVRAHVSSARQKADEARASLAST QNRGSVLSGLMRLKESGRIEGFHGRLGNLGTIDEKYDVAISTACPALENMVVDTVEVG QQCIDYLRKNNLGRANFILLDRLPRRDMSSVFTPESVPRLFDLVKPKDPKFAPAFYSV MQNTLVAKDLEQANRIAYGARRWRVVTLDGQLIDTSGTMSGGGTRVARGAMSSKQVAD TSKEQVARLEGDLEEMERKFQAFQEKQRHIEAAMREKTEEIPRVETKIQKILIEIEST NRSLADAQRRVKELSAAHKPSKTDAAQAAALEKQIASLEEEIEDLRSQKGGIEEEIQT LQNKIMEVGGVRLRGQKARVDGLKEQIGMLAEEISNAEVGKSKNEKLIAKHQKTRGDA EEELNHITEDLDKLNEDVSNQANDASGWKQKVEEAQEALESKKGDLKTVKAELDEKVA ELNESRATEIEMRNKLEENQKALAENEKRGRYWHEKLSKLTLQNVSDLGNEEAPAELQ TYTKDELLEMNKESLKATIAALEEKTQNSPVDLSVIEEYRRRSAEHEARSADLNTALA SRDSAKARLDGLRSARLNGFMEGFSIISLRLKEMYQMITMGGNAELELVDSLDPFSEG ILFSVMPPKKSWKNIGNLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFRNVS IVASYIKERTKNAQFIVISLRNNMFELASRLVGVYKVNHKTKSVTIENKDYIKRQ AOR_1_838054 MDFILSLTHFCEVHGPTSIICSQVLPFSCSQCYPDRSDFSPDDT PATSHDTVSSHLQSPTYGKDTNSSKLHGKLPGKADFEKIEDHPYFIKPQANSAEAQQR LNPLGGGDGDTCASCSLTLPDNVSKQLPPGAPGTPKSDGKGKNGSPVLRSREVVYSCG SNHSETEDGAHDPHVHASYPESLHSSSVASDASCHTHILTYLSLRGPPNPADYALLRR SSIRTLSCELLPQGASSGPLCFGDSSAGYTIAFVFRLPDPMARGKRRSYALVALAGKD TGRAFRACPVIWRAFGRISAGIVKSAEKYQEEEKRREEQNKGPDRTNSRQYTPVSSFL TGRALDPDGQLRRPGQVKARNLSEIVGNQYIFAEIHANFVALLQQLGSMFGADPIFEE RFVCSTIRDGEDSRRPSIVGGGKPKASQKYEDSDLGMSTLDISSGPKPIPIAPRRSVV A AOR_1_840054 MKRKAEKQQAAAPAPMSAVAARKARQQQMQAAIAVVKPSQAESV QEPPSKKARRSPEQAAPTPPASGEPQGRTTRSSKRKAESLKVDKLIEKKEKTLSAEYT EQLPVRSSPQEQEDQSSSDEESEEQNPIVGENDAGIAPLRGDVDGYESPADTSGPIQE FPLSKTRLNKSNIIYSDEHTLCVRIKEKMSLVLLGHYDLWVKRGVVSVMGAKLHPSPR LYRVYAPSTHSLPVIKTSPSSAKRTFSVLYTSADDSFKRHLRPLHLEKQWSSAIKSLS QRGGRLKALICGPKASGKSTFSRYLLNHLLSPAPQTETNYCNTDGVAFLDLDPGQPEF SPMGQVYLAHLRSPVFGPPFSHPSLDSSQEGTIIRAHHIGATSPKEDPDHYVLAAMDL MDRYRALLASYPQCPLIINYPGWIFGLGLEVATWLVRSLGLSDVVYMSEKGPTEVVMP LGQAAQEAMAPLTILPSQPTDFVSRSSAQLRSMQMQSYFHMTRPTEISNPLWLEKPIS RTRPFHVHYAGPKQGIKGVMVMGSQIDPDLLQEVLDGSIVAVVAVESPQAILGQNDGP GLTINHPAQPIDADVTMDDSTDTPMEGVPLQDPINPFIDANIVRTPTENLPYLFVGSG SSNPLDPKASRCLGLALVRSIDVSSQRLELVTPIAGSAIRDALEQSHGIVLVRGQLDN PNWAISEDYYAARAEERRYRESLEKLKKNEATTDKEDSIIEPEQQARVSAILRDRIRR ASNVPWMTVIEDNSGHQREAAQREKSLWKLRKKAYPGSDSEGDW AOR_1_842054 MAPKSKEAVASDSESSRSNSPEVAEKAEVSSSSESENSSNESDS DNDSVASETQGKKKSSKFSSQAPQPYRAPSGFKAAKKQSPPSSSTTSLLSNLRSKQVY HITAPAFLPLSKVKEISLGKIMKGEPVMKHEGVEYGIPAESINQGDMGGKALLLYDSK SQTYYTTSTNDIRSYHVQELINLPERSEENDTVLEAAKEQIKPPRKQPKHLKMRFRPV GSEQGPPETIGSSSEESEGEQPTFKMPKESRKEKEDKKRKHHQTDGEGSQPSAEPRKK FRKEDGVEKAEKSKKSSKSKEEKKRKKSEKSA AOR_1_844054 MKPLLEDAKRRVDRRLSASRQSISSSRIFSSAFPDRLKDDHDAQ VDYTAPPRGAGSRDGQLPYMHQSIFSMIAAVGSKSDFHARFDESSDSEGEAEGQTQKQ PGKASLSNKKKFPLSPTLKPQSTLEGRGRRHRRSISDNKLLRSLKPSPKSSKGTETTV QTEPPTSDEMSPLASPRRARSATPRAAPILSRMLEAEALLDKKQSADQPSSSTKGETD GTSEQSCASPLSLRLKEMFGFEMPEKVLMEYACSLLQNILLQGYMYVTEGHICFYAYL PRKSAVTIRSGYLHKRGRKNPKYNRYWFSLKGDVLSYYTDPSSLYFPSGHVDLRYGIS ASLTEQKDKDKEVRDFQVTTDQRTYYFRADSSASAKEWVKALQKVIFRAHNEGDSVKI SFPIESIIDIEESPITDLAETFKIRVVESDESYAIDEYYFSFFESGRDAYNFVKGLIS EGPMKTSQLLPPPSEQTSPATRARGPRNRWSLNSDLSQSRGNGIFKTQRKRSASTGQT NSGPDGIGMSPRQRDLSDSFVNSFEQATDASAVLQSMIDTTESASQILNRSDVFQSPT IHTLRQRHPSGDRTGRRLSDGTARSTHPNAADANRNGQEMQYASSDSDQGTQHPSKVN SSAPTLNELVKAGAYPLQRAAGFAEYLRSRSRQMSNLLASESMGYIEKVSGMWAGGRR HYGETEGVLPDDQDVDPEDKEDGVKHGDRFRAHFALPSTERLQATYYAYLHRVLPLYG KIYISQKKLCFRSLIPGTRTKLILPLKDIENVEKEKGFRFGYQGLVIIIRGHEELFFE FNTADARDDCAVTVHQSLESMRFLVESGLLAEQEKDEIESAQAEHRMLQEARLDGAGE HDSHASVNESSELHPIFDDPRASIINFKPSESLRITCLTIGSRGDVQPYIALCKGLLA EGHKPKIATHAEFEPWVRQHGIDFAPVDGDPAELMRICVENGMFTYSFLKEASTKFRG WIDDLLSSAWASCQDSDLLIESPSAMAGIHIAEALRIPYFRAFTMPWSRTRAYPHAFA VPENKMGGAYNYITYVMFDTVFWKAIAGQVNRWRKKQLGLKATTLDKMQPNKVPFLYN YSPSVVAPPLDYPDWIRITGYWFLSEGGNWTPPTDLLDFIHRARSDGKKIVYIGFGSI VVSDPSALTRTVVESVLKADVRCILSKGWSDRLGDPASAKVEIPLPPEIFQIQAAPHD WLFSQIDAAAHHGGAGTTGASLRAGVPTIVKPFFGDQFFFGTRVEDLGVGICMKKLNV SVFSRALWEATHSERMIVKARELGAQIRSENGVDTAIQAIYRDLEYAKTLARQRSIVS STPFSPTPSAKTTAEQEEDDVDDSEEWTFVGDDTEIDVSRRLRDRAVSDADMLPEPVT SAS AOR_1_846054 MADKKTPTINPETNPRGIPVAPFVDNVTDYVSTRADVEPTLRSF QEMISKYQFMEVNTQRRAAGLREKIPDIKKTLEMVKFLKMRRDNNADPLETNFELNDT LYARATVDPADTEEVYLWLGANVMLAYPIGEAETMLTEKLSTAELSLANCDEDLEFLR EQITTMEVATARVYNWDVVQRRKDKADGKGDEDDDTAKGPSGA AOR_1_848054 MALTPTSISCCSHNTPYRPQGLDQESKFNEFLVWARLTVTSEVS SDCDTPSPVPEYAVQLVKQVNYGPLKSRRYFIPRRDLSSVELIEVSEQWLIEKNFEKL NSYKNFRGTLHNKFFELNLYRKDPINTHHWRANVARPSNEIDL AOR_1_850054 MPTVKTKCKVALVYYGDSFNLDYMLHEMGDPLGASRNGRTWEDA LEHLYFSQLGQSTKTQIEEHSRNQRLQLRDIGALKSFEKNVSDDLIRIFFEMCYPQCP IFDRADFQHNYEAGRVSPLVLQAVFFLALNHCSEELYKRAGFANRYLATFTCYQRAKT LYDTNYESDAIATLQAVYLLSFWWGSPMEQKDMWHWTGIACNRAQSLGLHQRKTYVGL SERNRKLWRRIWWTIYVHDISVTIMLGRTPHINDAYCSVEMLGEDDFEISDDDLINPD LFREPTRQSRLYFIYLAELYSRTSNCWLNIAGAKFNEPLALKSLDDLTSWKASLPREL KHRESTVSVEDGLWATLVNLSYFTVQILIRRNGFNDPDRMKVGSFVFEAAVQIVRILE DLVSSQLLPFALMRSAPAVFAAISVQIANMRGCPSHVVDVSKHRARLCMMIINKLQDH SPPLLWYYRLFVRLLRSMGCEIPDEESREASHHSDQRLHGLQSPTADFLYNHRFENSS HEIGPNDISNDSLTGNAALCDFSLSGMTASFVFSSFLNSDLIDGTSPDLGNHSLDPSL L AOR_1_852054 MLFNVKTTTDQLINWARQGSLWPLTFALACCGIEMMHVSMPRYD QDRLGIIFRASPRQADVMIVAGTVTNKMAPALRQLYDQMPDPKWVISMGSCANGGGYY YYSYSVVRGVDRVVPVDIYVPGCPPTPEALMYAIFQLQKKIRRTKVTRMWYRR AOR_1_854054 MAAYPRPDFQRPALNWTSLDGPWDFIFDDADNGLSQRWHQKGIP ANTITHAKRQIQVPYAFQTPASGIGLHEAHEVLWYERTLTDIRTADELAKRNRLVLRF GAVDYDCTVWVDGQMVGGHRGGHVPFEVDVTDAFGTQESDTADRRLTVRVRDSPSDLC QPRGKQYWGPVPESIFYTPTSGIWLSVWLESVPRMRLGSSSDGLVLRSDDINQGQLHA QVVVLDRPAATKCQVEIEASLGGIAVSRSRQDLPQDKDYVSLDVDMRGLDPESLRENV PFNVDGCWYNGIALWAPEHPNLYDLALRLYDASGILVDVVQTTTGMRSVTWKNGDGTF RLNGKPRFQTLVLDQGYWPETGMTPPSQEALKADIEMAKEMGINGCRKHQKVEDPVFF YWADRLGFLVWGEIANAYEFSDDYISRFNSEWIEAVKRDINHPSIVAWTPFNESWGYP SLKDNIEQRNHIRAVYYLTKTLDPSRPINDNCGWEHVSTDLTTYHDYSDHPALAATCS DFNNGILGQKSNRDMFVGPIPSASSQPLDPGTQHTPGAPVICSEFGGVNIIPAKGTAA GERDWGYTTASDPEDLLSRLENLVMAVVKGGHTCGLVYTQLCDIEQEVNGLYSYDRKE KVPAARVKAIMDAAHIYYYEHVAPK AOR_1_856054 MVQLITTTRILSALEAIPTSDRKDLNLPSNPSLDSPITHDQLIR LSRYFRSENATSNPDDARTLNSLLHGTKVYVPPPPPKPKPSPEYLALKARLLAAYEAD TYNQMTTSSNTPNGPSPIFSSSTPTVSALHDGDAHSDADTLTPGLVLNIFLSVVITGF SVYWALTSFSTPEVLTEAVSSVWDLDRGSRRGGGVSEAVKVLVSFGAAVAVGVAEVAI YAIYLGKVERARKKERGVKERKVVVGREVLGIGDEGESESMQRVDGEKEEIWGRGPNG GLRRRVRERWEEKGKEGDGN AOR_1_858054 METQQIPSIAVVTSSRAVISGRLTSATIVISRTSGKITAVFDSV IPASDFPEGTPYTDYSPYVLLPGLVDAHVHLNEPGRTEWEGFYTGTQAAAFGGVTTVI DMPLNAIPPTTTVAGFREKLNAAQGKCWVDVGFYGGIIPGNAGELKALVNQGVRGFKG FLIDSGVDEFPAVSSEDIRKAMAELADEPTTLMFHAEMVPPNTSSQADQPQIPEGPAE AYSTFLASRPSAYETCAVEEILSLAHLAPKLALHIVHLSAMEAIPLLKKARADGISIT AETCFHYLSLAAEEIRDGDTRHKCCPPIRSQLNQDALWAELERHAEDGVIKTVVSDHS PCTPDLKLLPSHIPGSCTSKGDAAIEKNQGSFSSAWGGISSVGLGLPILWTELSRRKG LTSAPEDTNTKRALQDIVRLCCANTAAQVGLERQKGDLVPGFDADICVFDDSAEWVVE PSTMLFRNKCSPYQGRTLRGMVRETWLRGEKVFSRDGGFSRKTPTGTLLLEKRV AOR_1_860054 MFVYRREDLPPEHEFPADLEKLGYFINEKDQIRKIADPTQEFQY KINKNARVNDMQREAMSECIRKTLASRLRELNLTILRLPLISKVNEAHVPILVSSNLS TASHIIVVFGEPVQDLGIWAYRSIGVDGINAGSAVSFVKAVLQSETNKEGTNTESAPK RGNTALVLANTGQLIWHCGARRPMTLPTWLAYPRPSAVDPPLMMTHRNKIPDNGCWED HISCVFNEILADRGRLVRHDAKISIVGIAEGGQGAIRHLSLNWEGWRGYISAVVLTNP LHSTDVELAVNDEMSGSFLAFISSRCRAYVISDAPKGCLVPGSRRYGCNCYSSGEMQH VECIMPRAWKDMLEWLNRAHADPTMYEAQLKLKEMNDSDLETLNTDGSNE AOR_1_862054 MSLFRSKRLDLSGFINARVIRDHTKRKVFEQHEPERQALRYIIR NTSLPQRTRAQAQLQLSQMHAYTRPTQIKNRCVAGGIARSVIRDFRIARYQFRQQALA GELPGVKKASW AOR_1_864054 MSLLGKKFPTPIAKPLGPFFAAGAVILYGINSLGNALANTGEFK NDPRNPNLKNSKH AOR_1_866054 MAASLNASVTSLQSSLQLLDSSISTLESGVSDFPRLCKVLQTTR HFELLPEPTLREAQQSLLDEITPSIGHLLSLASNHVEKLSRREQALRAKAELQEGRMY SSESRQTSSRSQNAYGDRQKANAAKAAEFRRLVQKKERLKYAVERLELQSKQRERQLR KSMAAQ AOR_1_868054 MLSRSPASRASRSCSHGLLRTIASKSSQISSQQLRGGATSFIAQ RYRCTNARYEFPLNSPVGFPRKTATGYIIGGLKNGRRYASTVPPADGNVNADRKDAIY DVIDKIEQNEMEMAELMDELSLLDDYWEALNLDGPELDHAFSETIGHRDQETLEARVQ EARQVFGDTLPDGLLNDTELRLYTQLYGEPIIRQEELELEATEDEKDADVLYREDGQG GWEAVDFEQAESEDEPPVVYDMEAGPVEDESIAMQRTREVAEQLGGEIMLEQFEEEAN PDDTPRLHPLTVEGKFSTDPSTVFLPKDTVTGPISIILSDYSNKHIADVAHRTFGGTR LPYSTTTPPPRAQMPQLPIPLDASQRHMTEMEANAYIAALFPGMYTSILSTLVEVRKR LGTEWLRGLISKEDGPHILDASAGGAGVLAWRDVIRAEWESMVPDHPRASPYPLGRST VVTGSDALRMRASLLLENTSFLPRLPDYVHIREKPTLDDERAPPKRKQYDIIVAPHSL LGIEEEFLRKEHVENLWNLLNPDGGVLILLEKGHQKGFEAIAGAREMILKRFVSSPGS TTYTNFTESPIEDSHIEKEPGMIVAPCTNHEKCPMFNTPGHSKGRKDYCHFQQRYIRP SFLQRILGAKDRNHEDVKFSYIAVQRGVDLRETQGIVQGSEATEAAFAGYEDLHDPAV EEMEAPDEATSSQTEASEQAKPENFHTLSLPRIVYPPMKRRGHVIFDFCTPAGKIERW TVPRSYSRRAYKDARKSNWGDLWALGAKTRIPRSLRLGDKHGEGKKERLARRAALRAD LAEEGELEQQSEGSDWPEVLIQQRKKGQTIPSWKKHADKKKVRQASKKHAAAKVAQDD FLV AOR_1_870054 MATWVYPPLPLQQLEREADSALARELEWLLRSLQDSLASLREGL HECAALLAPKEPGSTLVISSVRSEIVKGFVTRVGTKIVKGDIQLRLGSLAPARGSPTT RLCLSNSPGAPELVLHQLVSVRNLVNQSLDVVDVSTWTGDPLNASFIYGQLRLLYETL SEARQMLKGESDQVRGKWWETSALEDMFDPPLPLNLSFHLSIADSALVLYLRTLESST PTHTPTAFATDISLTGFNLRDRLFGTRHRGHDEVGDVFTWKGDEVKVREKIRVESQDP SLMAVMAKLTALQHEVMKWISALKVLMGNEDTDSEE AOR_1_1792054 MAYQLSVSISGPGTSERAHWGLVIHKPPSRVGDLLHVRVIDENT NLFAFENRSGHVIDDQNAWGLAKITMLDDLQRAKAISILFNERPPSNGGKDCQDWVLD ALVSLEVEELVPDGTTQTWTSRTGKQTKAIQHEVGVNWEALNGR AOR_1_872054 MAFSRVMLGFLGLFFTAGALLLMFLTLLGGARNSVPLNEIYFLQ VDTGNIPGAPSVSRWTFWNICAVGDNGKSDCGTSYPDFPFDPPSHRNFDTTTNIPAAF IGTNHYFLTSRFTFPFLIIALFFGVVSLFTGFLAMCTRIGSYLSSLMAWISLVFQIIT TSLMTAVFVQGRNKFNANGQTARLGAKSFGFMWTAVACLLLACIMYCLGGSVGGKETG YSGREHRRRGFFSSQRSNSVRSNKEANP AOR_1_874054 MSDGTNGAPERFAIGISFGNTSSSIARINPEGKPEVIANEEGDR QIPSVLSYIDGEEYHGTQAKAQLVRNSQNTVAYFRDYLGKDFKSIDATPCHNSAHPQP HESTVAFSIVDSTNETPSTVTVSEIATRHLRRLKQSASDYLGKEVNAAVITVPTDFSD AQREALTASAKAAGLEVLQLIHEPVAAALAYDARPEATVTDKLVVVADLGGTRSDAAV LACRGGMYSILATAHDYELGGASLDKIIIDHFAKEFIKKHKTDPRENARGLAKLKLEG EAARKTLSLGTNASLSIESLADGIDFGSTVNRTRYELLSGKTFAQFTGLIEQVIQKAG LDVLDIDEVIFAGGASHTPKIAQLARNIFSEKTKILAPSTFTGAINPSELAPRGAAIQ ASLIQEFEQEDIEQSIHPMVTATPHLKNAIGVEFTTGETVEFQPLLNTETALPARRVA QYNAPKAGGDVFIRVCEGVREIKVTKPEPKPKEEKPKTEEDEDDSDFDSDEDEEEEIR EIVWKTEKPIAELAVKGVKAGSKVELMVHVNADLGLQITAREVGGQNAVRGAVQAA AOR_1_876054 MASPLILLPGDEVPSEYLPSNNSAPLRLGAGLRLLSQPSSNPPS HVITATQPGLLSTDNKRNAVSILSTPNRRYLPTPGDLVIAQIHHSSPDYFHCMITPQA PQALLGQLSFEGATKKTRPMLKQGDLVYARVLSTGLGAGAEVELTCVNPATGRADGGL GPLTGGMVFDVSTGLAARLIKASSSSAEQQDGVAGLVVLDELGKKLEKAGGFEIAVGR NGKVWVDCANGGDYAVKATVAIGRCLSTIDEHELSSTDQRKLVTRILREMKIES AOR_1_878054 MGNGSSKKAEDGIRDHTQGDGSDLTPSRPKTLKAKKMETSKGQS DDAVTPKRKRKASKHGKPAVATLSPPVEEEPRPSKRKKLTESPTDNIEDGRNTEKAST TVTDNSPVKAQVNGSPSIETSNDATIQQGTVKKGKRKQVGKGLTGFFSQDEVQALENF KIEFCNSHGLSSHAFDAMVQHSEREKGIEFPCDSSITMKPEFWKTIYKILPDRDRRSV YRFMRRHFQNSDVKPHHWTHEQDEELIQLVARYGFKFAQIAKELGRSDDDVVQRWKNR LEHRTTMNRGAWSEEEIRSLQNALQAVWKNLKEKGHDVGRDIYEMDETLISWGHISNK LKNCRSRQQCADKWRKARKKVQQLRDKGNPDAVFDPVKESKKNRRKSRTGTPSSSQTP QTLHKSAEYVHSDDSDDEAAHQDGKTGESGKASIKESTSNDKSSSPDSESESTDDSRS GDTTEDVKSNAKRSERPKIKDQLQATEPKKSTKTTPQKRKQSTSSSSASDSEGSDSST GSDVPAHLQTSTNGMSKTSPVAPKNKDKVEKSSSEDDSSDSSDDGSSESDGESDESES DVTSSSGNEKGKSPKKTSNNIKQQPKKSSSEESSSADESESDDSDSAESEFESTPAMP KPSKSKKKSTKEAGAMKRRRVAELSSTSTSARSSVSRDIKMEVTSD AOR_1_880054 MISGIGPVASWRLSVIVAAVLTCLVHASPSVNVALQASFDSAPY LIELLETAAEENATAYFPLLDRIADGAFDDAVTDKEVYNRFLQVIHEDGHLRTPESLS SFKLSLAIRSASPRIAAHYQYYNASVQHSLMAAQDAACPVWVHSDGKQYCSSTMERAQ QDVSGDLHPRELPFDRVLGDMSLPPAVLYADVASPMFKDFHQTLSALVKEGQISYRVR YRPPQHWSARPLFVSGYGVELALKRTEYIVIDDRDAGQKEPSNGEANKAGDIEGDAPD DLRPLSSSEVSRLGVNTVSYVMDSANPLDTLVKLSQDFPKYSAKIAAHNATTKLLQDI RSSRLGMLPSGVNVMWINGVQMDPRKIDAYSLLDHLRRERKLIEKFRDLGISAQEAVD LLSHKLLGESLEQDAPQRYNYRDETEGGKVLIWLNDLEKDAKYDSWPSELGAFLRPTF PGQLPPVRRDAHNIVVPVDLTDTEDMNFVVKTIQVFVKRKIPVRFGLVPIASSPGSTA QLKVAHYLQETFGLASLIQYLEESLSSNKIASPDKTSFQAATKERKVRADRSALSLEE VLKHEELDEIVSRTVNYQNRLRITGGSSLIFINGVPIVRDDNWVQEMSNRVGKDLQSL QQGIMDNAFEEDAWLPKFFLSQAFDRRNPWVIPEDPKDIRVVDLAKVSASQKGGLGVL PRIASNKDNALDSVHMIVVGDFDSESGTKLLTAALESRQKHDEVEILFLHNPAHVPTA SGSIAVYQLLKESQNVDVTQVLADIGSSGVSLPAHKEAEIARFWALQQPLAGELGFAP GASGVVINGRAVGPIPNDYTVVPDDFDQLLRYELTKRIGPVSEAAKELEIDSKLSGPL AFAKLTSLATLSTISDVPEGIFESTPDVRMNLFDKWNDSHSVVTVSNSEDAAITIVAS IDPTSEVAQRWLPILKVLSELASVRLRLFLNAREEIQELPIKRFYRYVLDPEPSFAGD GAVLRPGASFSGVPVEALLTLGMDVPSSWLVAPQDSVHDLDNIKLSTLKAGSDVDAIY ALEHILIEGHSRDLTTKTPPRGVQLALGTQENPYFSDTIIMANLGYFQFKAQPGLWQI NLKPGRSERIFKLDSVGGMGYAPQLGDENNEVALLSFQGKTLFPRLSRKKGHESEDVL DTNPKPGSAMDYVSKGLNFASGILSSVGAGSKLSTTEKQADINIFSVASGHLYERMLN IMMVSVMRNTKHSVKFWFIEQFLSPSFKSFLPHLAKEYGFSYEMVTFKWPHWLRAQRE KQREIWGYKILFLDVLFPLSLDKVIFVDADQVVRTDMYDLVNLDLEGAPYGFTPMCDS RHEMEGFRFWKQGYWKNFLRGQPYHISALYVVDLSRFRALAAGDRLRGQYQMLSADPN SLSNLDQDLPNHMQHQIPIKSLPQEWLWCETWCSDESLGQARTIDLCNNPQTKEPKLD RARRQVPEWTEYDEEIAALVEKVALEQQQSEEAQPDDEGDEDDGVWTKDEL AOR_1_882054 MPSLLDLPLELLMQIVQETIPVGFEAAALSCKAMFAASAPFRAQ YTTRRKRFRHFKFSTKVEENSEGEEEPSLGDYWDEITKETGIKIVTTRGLLEQIALDP PVAQYIQSIDLRGHGDVDDDDEVIESLEVGVPRTLRDLVLASPFIEADGGDTNDWIGG IKESTIDADVFLLTLLPQVREVALHPRWDEADPSNERLWSVLSLITHRANHQEEFPNA PLSKLSVLQPTRDMGYEERSRLTPFVPLLAINSVSEVYLGSCIFKDDGYTGYAFDPVV KCYSTNLRKLCIESSVAGPEELSQLLSRIPNLEIFEFSHETKWHGCGYNWNVGAFLDT VQNICAKTLKELSVTTLTEWCNRGATLVDMTRFQKLEVLDLGVDMLCGPALAQTDRYV ASVS AOR_1_884054 MAHSQEHLDAPDGSALTWIFDHCLRYPGSYELPLRTMYALNCNP TRQPPPANRAPETAFCERPSHSPKSSVSSQDAPLDRAADFRALLTHQISRLPSQPCSL PPSFVTSFLRRCFTPELGDVDFPQALTGLDYLRDLEIRRRKEVKAALDRLQVKPDDLK EKAELGKKWPNVLTWIESNCAKNRTAEALYTQVYIGLRRWTLINEMLLEPHNKANCIA MLNTLFPPVTDATVNPTPQLTAKILKSQRDGFFRYIAAFDKPNGKDILQKVITQGAPE GEETGWPLVRDALDRYLRLTNEIIDDCAMVNDQSSLEVTVEAESQPRRKVDSGISFGS ADKFHAPSVHSRSGSEDMLDKPLPPAPKEAHQKTGGSALERLTRELRKLSDAGKVKSL KKMKSSSALAMRPENIPSHTPDNSSFFEIDDQKRKRLIWEATSRKRSHSKQPSNDSH AOR_1_886054 MPTDTTRYRSTFHVKSQPFTEKYLSESLTQNDYLVGWICALPLE AAAATALLDEEHPPLDQDPGDMNAYTLGRIGRHNIVIGCLPLGTIGESPATAVAKDML RSFPNIKIGFMVGIGGGAPGPASENPEDDIKLGDIVVSKPGPGHGGVIQYDYGKTLSE GEFVDIGYLNRPPNVLLTALTKLISRNELEGSSLPRQVADMGKKYPRKYQEWKYQGVE NDQLFVESFRHPDIDRSCKDIHCAESEDRLVMRDAVTREKLRKKHGVLCFEMEAAGLS NNFPCLVVRGICDYSDSHKNKRWQPYAAAVSAAFTKELLQFIPVVQLERAHRVSFMTK KMEKEFTKLNDNIKPLLQTQEREERRRVLNWLAPGYYESQQADAQRNLDHDAGRSFIE NEKFKAWSEGSKDVETLFCPGLPGAGKTTLASIVISKLRKAQEKQNSAVTFLYFSYNL QAEQTPIHMLRTLLRQLIDTLPSIPSEVTEFYCANQFPSLHETFKILSGVIQDYDNLY IVVDALDECLPEYLAGFLEAVKNLQYMGARFMLTSRYTNIIEREFRDMKKCSFLDIRA VNKDMETYITRNFDFSAFYKIPDELPQIDRFKQVVIGSARGMFILVRLFVNILKNKCT KGEIQEELENIEHGRTRDPIHEAYKKTSFMIEKSDHSEWAYRVISWVFYARRPLSVDE LSEALAAQTQRGNNCLDMNYAPPDIQIVISYCSGLIVANPSTEKIEFVHLTAYQYFEE NHHEHPWIAKSLKEISLTCLRYLSFGQFSSKEEHTSFLDYAVHFWAEHTGPVQADWEV RQTAKRFLQNPSLTSSADQMFPANEHTYISLSLLMDGSQESGATGLHLVARFGLVTQL RDLLKEIPVSEINSRDPYGQTPLVLAVRHGHWDIINIILDDSNVDPNLSDDDGRSPLS IASQLGYSSVVEKLLSTGETDLNLPAFDGWSPLMYASRGNHTEIIKLLLADKKIHANF TSPDGQTALKLAVEMGFTDVVRLLLESGRVETNPSDSTSRDTFMTALENGDKDIIYLL LEYGYTNAIETLTLPSSAGLVPTVGLRRRSSSLFTYYGSPETRRIFWMAVEKGFVDVV LLLLRTGKADPNLTDSAKKSALMLAVENKHKEVVEALLCVGSACTDAMAENGDTALFL AVVKRDVDITRILLEIGMANPDLVYDGPFKHPLSYAVENNYQDIVQILLSTGKADPIP GLQAAISMKQIATIRSFLGIAGVDPNTQDRVSGQTALMAAIQAQDLQFVQTLLENKMV DINAQNWAGGTALMLAVWFKDLDAVELLVGYGADPNPSLRSWDGVTLRDLSDQHGVKR LLSPKKVRTV AOR_1_888054 MSAQSSVEIIPPTVPQTSGGAKTKQPKASKKKPNPAKKDLLFRT FKAYRKQFHWGIAFMGASDSLVKHARRQHWATLQFGAERVLNPMTNDVLMERSGKRII VQNKQLLNPDKGGITLGAYAPAYGADPSLQSELMGIYESWRHQRNQAAAAAQAFITVY NPFDFPNLMIYIYTRGPDGVANGFAALRRVGANEGYHLDPCIAAPGAPKGISDLLAYA AMALLNQMNISYLSLGYEPLTILGDVTGLPSAIEKITRSLYRHTFQRLPIGGKKAYHD KFRPDPFLDSELYLVFPSGVPGLRHMLAMVHMANISIRKLVRSEAKSASHKESPRDDR AOR_1_890054 MQTLLILYYLVARHHCLLIMESQTIVMRYVVQDRLEALLRRRYP QGGYQVSIRRGICYLTLPQALSQDDIESCSEA AOR_1_892054 MSRGRSPSITPNQVIEGKYMNPKKLVKLLRNEYGQANFRVELRL DQYKIYVLDQERARSPGLTQEQINECRVWY AOR_1_894054 MDPICASSRVDQLLEEKIKARTNSLFKSRNDVAQLVVYLLSSSK DSCGRRILQTKQPIPINHVSELKRLQQEETTACVQVYTVAQLRSWTTLNISSELFHYL LEAQSVFPYFWKSVLTFGIRFVENEYAFPPFRAKSSQSVNGKVDEIAYVIRRVERNQR STSKGECPWSIRQTGVYQKLTYPHDGSPSSSVFILIAPSHTVENEVSLCLSGSEFEGE VMTPHFSVHERLISDSLGSWMDYMAWLESECKQKADRLIVWDVEDRDKHMTYFKVEDR QRLKQLRDYITDLIVVLQTAVNTIGRIGKSCQRHCKMSCAARDDCFCNSMIGEFVEYE TEARVYLERAKVLQERVQSTEQLVVDGQLTDLLSFEETRALKQLARASHEESHHLAEL AKRSAEDAAAVKMLSLVGLVYLPTTIVANFFSTEFVKINEQGGIYISPWVWILAVISV PLTVATIFLWILSVRYSDSSWFLKVTRIRHPPLSHREGEEADLERGRLRGRTESEVNQ GTMPFSLMRLSTYATTTTKAD AOR_1_896054 MYSLYYLIFLLACLFLLLSWNSRRIKNGPRPQSDSPKQYTPATS PPMSREGSHSSQDPFPSLASTLDRIRECTIKLAFIPRSIIDAEINEEAVRDILAEKKI GSRFRRAKLAKKIVQTAQKLFTILVMSKKVDYINTFLDRGIHDGDLPFKLDGNVLRTS QGQEVLVPEGWDYEDLKTLEANQWRVLVPVFHQGAHYDFPKQQIFPFVGKESEKSAEG GYGRVSCERIHADHHEFWEPPDSKSQGYRVAVKKILWREPHLFERERRFLKALGSAGP HPHLINLLCTFSFQEDNHLVFPYADENLREYWKRTRLPEWDSQNILWLLKQMVGIASG LSVIHKLNRAGKTSGKKLYGRHGDLRATNILWFKKRPGCTDANGILLIADLGLAKLHG FESRSNDVDAVFPLTYSPPRRPGERINRAFDIWGLGCLFLEFGTYAICGDQAIEEFSR LRGYDDPRGEFTTDCFYSIDHTTVRPSVNEWVLNLKKKPRCSPVFLDLLDLIMSQMIR VQAHERSSAQTIYRKLNVMYKKAQSDPQYLLGNYEMSLQQELDDPHEPSPEASPSARN ISHGSSSTYFTPNGHSLGDAVHNARVLHLNKLDSEMQSRLVLDSDDSWSMILASVLFS ILGF AOR_1_1794054 MYEACIGLIKSGKAYADNTIKEIMQDQRMKGIACACRDMSPRES LAHIEEMKSGSEEGLQWCIRAKISVDDTNKALQDPVIYRCNHEPHHRTGSTWKIYPTY DFCAPFLDSIEQVTHALRTNEYRDRNPQYHWMQEALGLRKVEIWDFSRLNFVRTVLSK RKLTAIVDKGVVWGWDDPRMPTVRGIRRRGCTIPALREFILKQGPSQNIVNMDWTRFW AINKKYIDPVAARYTAIPSLNAVTALIDGVEATTSAEKPKYKNPSLGTKKVIFSKEII ISQDDAQHFKENERVTLMNWGNAIVSRITTDPATGKVLTLNLKLDLESDVKLTEKKIT WLAKDSSNMVPIKLYTFDHLISKDKIEKDEDFASFLTNPSEFCTEAWADCNVTQLLRM TPSSLTVPAISGLTKHTVTVSRRLCSTSRQERGPESNT AOR_1_898054 MLGYKEREFSSLTKWFFSFMLLRRHFGAEWAMREKEFDHTEKLE AFAARKVDELDKYDKASEKMEEKKALVDSENITKQEFIANALIEAGSISHFS AOR_1_900054 MSGTDPIDPNARREVSKAIASSGSAAVGRMIDAANSSVEKRRRN KEARAKREVEKKAAKEGQPPYLVRLAHLSANSLAFSDVMDTDVLVDLVHEVQGQLWVE KVNETYRMDCICQWVLTFHLDKLPCQFDSTFYHGAFNAGMKMIFSDSTV AOR_1_902054 MAIAAAELKQSVTFFRQVSRASVPGRSSLAAISHGKLQKESFSP TPDLRRCLGHHGVYRKCVQAAQEAASQPIHASYGVNNNKYHTTPDNATKHEPTTTTTT PPIRSQISQAIKAMTQRRHISASSIKPENDLIRVPSRTSPRKSNMAGSLQCRVKLFFS RKSLPEERPLWQDAAG AOR_1_1796054 MPDSYSDIEIRIVQACTIAQGQKKPNISALARQFNVPYQRLRAR IHGRANLSSRPISTKTLDDSQEKALIRWIRQLDNLYSPPTAGMIEQSANQILQRNITD GQSRTVDKNWVYRFIKRLPEEFKLIQQKPKDKKRLDAEDIGVLQHWYDCLEAFIKNIP PKNIYNFDETGFQLRQGKTQKVVTTMPLRAARGNPSKEVGELISAIECIAADGFTLPP YFIFKGTYHLERWYDADIPEEYRISLSPKGYTTDKISFDWIQHFHRHTKHRISTKKEV RLLFFDGHESHLTYEFLQFCGLHYIIPYCFPPHTTHLVQPLDGQPFQVYKHFYRKRNN ELAQRGAEMDDKSDFLKEIHSIRTMTFKQRTIRDAFEKRGLYPLDSEKVMKSLREALE TAPELEIITTPSPPPSSSSPPSTIRGLRRSISKAQSFINNSPELDQSFVRRLDRVFQS SLETTELAAQLKDDLQQHLRYRKPQDRRKSQKRVKYHGPLTVYDAKRRIADRTEVERL QGLRQIRKTGALEYDKPPQPTNTGDLPSTEAGQVDREGPRLPYWIDTQGDVV AOR_1_904054 MPPKEPLRNALTFEKKNKDMLKLPKHAGIQKRPIPHAPIASPYA GSSVPKVVYISSNTPFMSAVKRVQKFLQQAEKRATASVNLSSSKKRDRERLAEIARGN ESLKKEEVFVKATGRAIEKALRVGKWFEGRESEYVVRVETGSVLVVDDVVEDEERKRK LKEKVKGGASQGSESAAKKQRRAASALAVAEEEELPETRTRWVNKVEVSIAFK AOR_1_906054 MTAVASPPSVQSGPRLGWYESGNGGQGALSSMNGDEVSRMFMPR KTIQRSNSSSSLGSTTSTSTVTSTPQNTNAGQSHIPESATWSSKKKPSRSLWPNSKSE PVSGVSNARSQAMPAFSSGPTASSAMSAIHHQPSSIVPSQHMLQPQQNGVRAGSAPSG EPPAVLTLVPLNGTFEKKQINVPYFPEVVRIGRQTNAKTVPTPANGFFDSKVLSRQHA EVWAEKGGRIFIRDVKSSNGTFVNGTRLSPENRESEPHELRENDQLELGIDIISEDQT TVVHHKVCCKVEYAGVYGTMPNILDLTLGDLDPASGGGLLPSPLSQPLSHMRGRSGSS LSNRSVQSTASSQFNALQQQRQMNYWNSPISIEQVVKRLTSEMKQAKQQSQDLRQTDE FLTNLTKSGHPEKERVKSSPADSTSSRQVNGRPKMPRLDSFSRFSDPPAPPPQQPLPE KPDALPRNGTDATSPLKRSDTEKPKIGAISSPVSRESSQILSLIEALSSAKRELDSQG ARVKELESLLLEERTARQSAEEKARSLELRAKDNDFIANHTTSDSHTINNQETSAEQL SPEETLVNGVSPEPSLNDSIPEEKSAATNNNDLQQRLEAMMEEMEEMRKQITVFRDRA EKAESETVETRKSLAEMIETLRREREERSEANESTVQTQDATDHSSPSSADEAKNHPV ADSETEPECTGTSPLSKEAEISDTTFATQPRKHDILQHSSPYASMLGVVLLGVGLMAY LNGWQKMDK AOR_1_908054 MPVVAGPPQAHGPSTFDKMKMGAMMGSTVGGIMGFIIGTVTIFQ YGAGPNGVMRTLGKYMLGSGATFGLFMSIGSVIRTEGPHNDAWLRARGPPMMLPRQSP LRPMRQ AOR_1_910054 MDVPEPEQTPFTAVTAQTSKLARKYQTLLDASTPFTAYRWIGTV VLLIIFFLRIILAQGWYIVAYTLGIYLLNLFLLFLQPKFDPSLTQDEGLEDGDAAASL PTKQDDEFRPFIRRLPEFKFWESATRAIAIGFVCSWFSVFDIPVFWPVLVVYWIILFV LTMRRQIQHMIKYRYVPFSFGKAKYGRS AOR_1_912054 MFARQCSRLVSSRTAVPLSSYLSRVRPYSSASGYEHILTSSPKP GVGLITLNRPKALNALSSPLFKEINDALSKYDEDKDIGAIIITGSEKAFAAGADIKEM APLTFSAAYSNNFIAPWSHLANNIRKPVIAAVSGYALGGGCELALMCDIIYCTSNATF GQPEIKLGVIPGAGGSQRLTRAVGKSKAMELILTGKNFSGKEAGEWGVAAKVVEGGKD ELLEEALKTAETIAGYSRVAVVAGKEVVNKSQELSLREGVEYERRLFHALFGSKDQKI GMTAFAEKKKPEWSNE AOR_1_914054 MASFKPQDAIDPADEHLPESRDGRQDSTASAAKIVHNAKAATDK EQQMTLLQGIKLYPKAVAWSVFISTCIVMEGYDISLVNNFYAFDQFNKKYGELTADGT YEVPARWQAGLSNGAYVGEIIGLFINGWASERFGYRPTMIACLILITAWTAIFFTAPN VQALLAAEILAGIPWGVFQTLSVTYASEVCPVALRGYLTTYVNFCWGLGQLIGIGVIM GMIDRTDEWAYRIPYGLQWMWPGPLLVGIFLAPESPWWLVRKGKTQEAKRALQRLTSA KRDTDFDPDETISMMVHTTALEAKITRGASYFDCFRGTDLRRTEIVCMVWAIQNLSGN SFSNYSTYFLKQAGLATNKSYAFAMGQYGINMVGVFGAWFLMTLGIGRRTLYLYGLCG LCVMLLVMGFLGLVPDSHRDQSALATGAMMLVWALFYQCTVGTVAYSLVAELSTRRLQ IKTVVLGRNLYNIVAIVCGVLTPYMLNPEAWNWSNFAGFFWGGICFLCVIYTYFRVPE PMGRSFAELDLLFERGVSARKFASTQVDVFDETIEDHVVKNYQTQKSATTDVAQLEKQ AGSS AOR_1_916054 MSSSSSDKQNPGLLGGVGDNLGKTVDGVTGTLGTAVGGLGKTVG GATEGLGKTVSGTSEGLGNTTQNVGQSAGGIFSNTEQKK AOR_1_916054 MSSSSSDKQNLMLTDVVAGLLGGVGDNLGKTVDGVTGTLGTAVG GLGKTVGGATEGLGKTVSGTSEGLGNTTQNVGQSAGGIFSNTEQKK AOR_1_918054 MGKDDEPRTYRYNEKPVYTNSNGCPVFDPQAAQRVGRNGPLLLQ DFHLIDLLAHFDRERIPERVVHAKGAGAYGEFEVTDDISDITVIDMLKTVGKKTKCFT RFSTVGGEKGSPDSARDPRGFAIKFYTDEGNWDWVFNNTPVFFLRDPAKFPIFIHTQK RNPQTNLKDATMFWDYLSTHHESVHQVMHLFSDRGTPYSYRHMNGYSGHTYKWIKPDG TFNYVQIHLKTDQGNKTMNNEEAGRLASENPDWHTQDLFNAIERGENPSWTVYVQTLS PEQAEKFRWNVFDLTKVWPQAEVPLRRFGKFTLNKNPQNYFAEVEQAAFSPSHMVPGV EASADPVLQSRLFSYPDTHRHRLGGNYEQIPVNCPMRAFAPWQRDGYMNVHGNYGANP NYPSTFRPYEYKPVKASQEHEKWSGSVVTEQLPVTSEDYVQANGLWQVLGRQPGQQDA LVHNISVHLCNAHERVRKATYEMFTRVNKDLGARLEKATEKNATHAKSARL AOR_1_920054 MIISNALLGVALLPSTAFGLGSVYFGSRGSSPLIPPQVPLAEPP ALSGTHEFTLRHIFRRGAYEQPDLHQRLDIKPYTRLRTVSEDGLEEGPAILDSPLVAL SRPISIERLADRRPSKIEAHLMAARSSGSAAVLSPSDWVMDTLAGPDVTHKESVLTFA QMTANDYIEEPGSEDWQDVHGHFNYSSSFGWRGDGLRGHIYADKANSTIIISLKGTSP ALFDGAGTTTNDKENDNLFFSCCCGQGGSYLWRQVCDCQTSAYKANLTCITEAMNDEN RYYRAALDLYSNVTELYPEANVWLTGHSLGGAMSSLLGLTYGLPVVTFEAVPEALPAA RLGLPSPPGYDPRHPQSRRYTGAYHFGHTADPVYMGTCNGVSSVCTWGGYAMESACHT GQMCVYDTVEDKGWRVGIGTHRIKAVISDVIKVYDKVPDCAAEEECYDCVLWKYFRSN GSEITTTSSTTSTTSSTPISTSTCKTPGWWGCLDESTTTTATTTSTSTSTSTCKTPGW FGCNDPTTTADATTVPSPTTTAPTMTSSTCKTPGWFGCNDPTTTSKVPQTAPPTLTHT CLTPGYVWGCWDSVTTSSHPITTPP AOR_1_922054 MSFDPTENGSGKPRLHTARSFPRMDTSETSPFIRSRSKTFQSVA TPEHVESDAHPLPLSPAEEDQETGPDLFEKTGTSELGIDDDGQGEEASMLSRGTEEQS EELPIELISLTDRFVNSLSAKVHSSPPTIEKISLLYQDFYVRAESHIATHISALASRI NRDPSPHPQPKSKNKRVETSRQMLTASEVTEKRIARKMLASKRVALEEAVERRACESI YDKIWRHKSTLDEVRDEKLRSKTATLLLVGINLNDLGVDVDTASIDEEKQKEADEGLS VARDYLNKMNEAKYPLGKLRHLAAAHKAIVDALTKLLPSSSSADEILPTLIYSLITSP PEGINVISNLQFIQRFRSSNKIDGETAYCLTNLEAAISFLENVELSELRADQVPEGQT KVSSNEPTSAIEKGDPRPPTKDEPASSFTTVTTCAEFSKSAGKESGSNTFPRPQQSAT TQQRLNNLFQPPSKVFGAANDAVRNTADQSLKNIGATLDSSFTFLFGRLKELQSSQHA GKDGGNPIVPKTLAEARRLVASPSSTDNNSPDQELKSTREAPNDRPHLRRIGSKAEDT FLGLVGGQRTPRDRSADSVRTQGSSKKTNAASITLRDESASGIPQSSPASSSSLAPTP LESMRNFGNTLNPLNHIPGMIRSFGRATPDGTGVLSTPSPSTKSPPISREMSSSMTTT PSKIDPPIQRFLQTAHASDLTIGDVTTLLEDYKRIAAVLLKQGTNPK AOR_1_924054 MGVGDYFEHYGGLPAPGGSHAPNDNGAKKDMFDTDVEGVDDSTI AATSVVGVEDLPYRFSPGPNARQQGAAANSLHQFQQTRRPFDFQWYDNFGDKAMKTAG FDSDEIDNESQLTSVVGDDEASDGTNESVVPIRKRLNDEPLSKRLQNFWNAGRKTYQQ PENQAHMESSKIPTFTRSIQDAKRTSHVLPATAPRKVTLPPNTSTTPRTRFSPPKPTL LEQLDLTPTRRTPGPRPQQSQRITSIETSDSVENVGLGFFSNSYGRRGSMQSITAFDV TNIDALNDDDDPINDPFSRRLSVQRINPDQHNPKKRHIEPDYPPDILYQKSFAELQAE PFDHIPAAAPSPNATQETQPDPEDRIPYLMNLSDADRRTYFSNLSMDEWEDCGDQLID QFTQMLTKMKDLRHARRKTAAVFEAEIKRRHESVEEQSSDLSRKLEGMRSGGAEVLRG RTP AOR_1_926054 MASVPSASAFSLPIPSWQQPQSARVARYESRKRKKVHDGWDTED DVFEGETTDAGSEVAPSGTSLILSPEEAHQYRIAGLSFDRELPGGHFPHGPAKDERAS NRGKDNVMKGLSSLTPPIYPPQSAAYQGNLRLQHIAVLSSILHRCLLNRDYVRAGRAW GLILREEFRGNPIDVRVEGRWGIGAEILLRRDRQLSDITSGSARSVRNGQKTEASKLC FTREGFEAAKQYYERLIIQYPFRKTAPDFTSSLHFYPAMFGLWVYVTQEESNAARQDI WNRQEVAPKEDPDDAASDPESSDGSSQETQSLVADIRAIELHEAQKIAARMDEVLVSP PYSDSPELLELRGMVSLWIGDLFMSSLAHRVEDNDGFDDNDLTAGEDFQNSIQARREQ RLADEKRQSEVEKAHDLFEKAKQRGKGMTSTLEDLHIDDNALFD AOR_1_928054 MGQSSSTHQRHNSSSNRLSFINRGHRDRDRDRNRDMNNDHNLEQ NGVFRPQRGADNGDTLPDQASSGWETWRPAEGQTSGIGHMGSIQEENGPQYEPTQREY RSAIFARMAARRQSTMSRLGSRILPNSVIRGLLNSEEETPAEGHAHRHGIVSRSIPRS EVAHSSSRFSPFSSLSSRGISRRRSARGPYFIPRSDPGLLSDTPHSPTFFDPTSDHTP ETTRSSWRRSARLHRVRNSLSGPITQMFGQPSTNMSDQNIGDLRHSMGPIPGDTPGTF LPQPGPMSSRMDFDEPHELDSVEPAIGNTRPTSPMSLQSGQSPSGLRHFPNLLRARPS RVLRREEQTPLSRVLQLAAAAIAAQLSGTTGPVMPNIQALGNEGLDNSLENFIQSLQH ATSAQTAADSQTTSGDNGAATPVNFLRVFRFANSDGARPSTTSNRSATATNAPESGSD GMNVENPVEGPEGRTVTLVVVGVRSVPSGNNLGNDQQNAGPGPGLDTLLRLPFLSPGS LSRNPDNGPNLTSRAEGRPRFIPSRHQTGGPSTTTNNEDIPLPVGHQSPSRRLSDTGS RGPLSSLPSIISESPPGPHPPPSTPAEPGLSAVSSGASTPSRRLSSASVMSPSTLPQL DEHRIMQPPAESADGNIPFNISRQRRRSDSEYVRHRDLGAGAIRRNGVVEPDNAPSPA GRSWLIYVVGTNLSENHPAFATPSLFTDNPTYEDMILLSSLLGPVKPPVATQEDLTSA GGVYRVVEYSGSLVAEALDGAGAIQIPNGDRCLICLGDYEAAEELRQLTKCAHVFHRD CIDQWLTTGRNSCPLCRGQGVAETSNADRTPENTSSA AOR_1_930054 MDAPIRLTVLISGNGSNLQTVIDQTAAGELSVNIVRVLSNRKDA FGLERARRADIPIHYHNLVRYKKQHPATPEGIQAAREEYDAELARLVLADSPEMVACL GFMHVLSPRFLEPLERAKVKIINLHPALPGAFNGAHAIERAHSAWLEGKIDKTGVMIH NVISEVDMGTPIVVREIPFVKGEDENLEHFEKKVHAVEWEVVIEGVKLTIDEIRKEKK HGSTEA AOR_1_932054 MANSLPPSQTPLNNFLLHPSQHLNEPSALSAYSILGPGQYPESV ALWHNPPTQPPSQSGLSVTAPPASFASVPKSQSLLQPIPDHKKHKRTRSGCFTCRARR IKCDETRPVCDRCRKGNRDCVYPTPGTSGSMASAGSRSGSKSKAPRPQSRGSDSSSHV EADDVHILEPIADEDEEEESSVASSSRLSPSAVPSGARTKLELRNKRSAQSLARRKAK QQSLAVLAASETSGSYREASSSPSTEASLRLDSSSVRSVSVGLNPYESITVPNTAHLP EDVRFYLSFHQGYMTPRHYFMRGDSDRFIHQSIIELALQYDPLLYAVVGFAAYHHCIQ TGKGKLYTFLKYYNMALKLLRKSLASSEEHSEATLITVLVLTTFEEFVGDWVNLIDHH QAAHALMRELLSPKSIITNELHGQIFPWYARFDVVAGILAGNEMVLGREWYIAKEDYD AQQATKYPGNADKQLNLAASINRRFGLEMASLYAKLSRGMIPIDEFIIQNDQLGQTLE RMREILEKFHNSEYAVWQYPDRQPLTEDDIVDPYIPGGMYRGPLWDVNVAWIDYYSTK TMFKYQSLLSVRQSSPSELQHLALEQCRLIEAIERWPEKENGYMFTYKNSIGMACLFA PKDSKHAMWGRKRLALLERNGYVMAPKFRQLLATVWQSPETDHWWLPNDEGYPDIIRE VRSMTEERSNNPRDNFRESVRNMRSLFWNISMDDSSSSSGSSPLGQEDR AOR_1_934054 MTGAKPQTNRSNSVSKRKKSSVEDSPLDQDGASTTITPEQPTKA KRRKKAQGLTPPDSSTTSTADNVSDTPKGNPASKKTAKKGPKPKVTAPPKRTPSAPWP DPFKKLSHTHRALNIVYTFCCTRKHFATTFENIKKAVQAQMGEELTVEEIARVRVLVP RAVRFEYVDEAKLEVMTVGDKEMAETYGRHGRYELDNGGDGNLEPPSQTKALLFEFID GDLKRENQRSKPGEDTKPMRKLKDEDLKMPVYSQKQMLALIEKRNKKFSDAVDAFLVQ CEDDGSDPVEKLERDKDAWVPVLPDDGDAVPVRKAPPQQIPKERKSMSEIIADIREMD WYTAQIVPEGHRVIDAQPAIHGELAFKLSQNLVNALYNTRGITQFYSHQAEAINHLYE GHNVIVSTSTSSGKSLIYQVPMLHELENDHDSRGLYIFPTKALAQDQRRSMKDLLQYM EGLQHTMVETFDGDTPMENRNIIRDEARIIFTNPDMLHITVLPQESAWRTFLKNLKFV VVDELHVYNGLFGSHVAFVMRRLRRICAAVGNRHVRFISCSATVANPEDHMKAIFGVE DVKLIDFDGSPSGRKEFICWNTPFKDPGDPTSGRGDSVAETARLFCQLILRGARVIAF CRIRKLCEVLLQAVRAEFQNLDRPEIGNLVMGYRGGYSPQDRRKIEKEMFEGKLMGIV ATNALELGVDIGSLDAVITLGFPYSISNLRQQSGRAGRRNKDSLSVLVGDRYPTDQYY MKNPEELFTKPNCELQVDLANELILEGHIQCAAFEMPIRPNEDSIYFGFYHCHERFRP QPSRCVSIRDTEDQHFAVIDTTNARNIVLEEVEASRAFFTIYEGGIFLHQGQTYLVKE LNPDSRFARVVRVHVDWNTMQRDYTDIDPIETDTIRQISPTTTSRAFYGAVRIHAVVY GFFKIDKRGRVLDAVAVDNPPIDILTKGMWLDVPKRALEILESRHLNIAAAIHAAEHA VLSLLPTFVISSPGDVRTECKVAKKELGKNLRVAVEARSREKENIQNILRPPARQRPA RLTFYDAKGGSCGSGIAGKAFDWTLYDDIKTQRNSW AOR_1_936054 MATDSGDDVGVKDDARVDPMDTRATADDSQASDNDNAERPVRHK LKETSITSAPNASTTGASTEQQQDGENSRSSSRGRKRSFDEDQPENLDEENGHRRKRS RDSKAEEDENITSLNAPEAEGEQTQTTNIARKILSPKKKRSRDQLDKDEPKAESTVED NKTSAENGASTTTAGEPEKKRHRDASQERGSAPLKSAFANTSAVSPFGSLGASKPKEE TSKPVATSSSAFAASSLAAFASSEQSPFGAIGGSNTSVFKSATTTEATKPAATGFAGA ASTSGFASLGSGFSGFSGGFGAAGLKGGLTSFAAPGGAGILGSSSKSKPFGAEEDEEE EEKEKEKEADTAPGEFEEDKTDERFFERPIQTGEEGEKTYFSSKAKLFQFSNGEWKER GIGTFKVNVKATDGKEDKKAARLIMRADGVLRVMLNTPLFKGMKVGDASGNEPKSKQI HLASLEEGRSVPTLLRMPSEDLAKELYHIVSDLLEHQ AOR_1_938054 MASVSHTHPHGDLRDDESILDDDVIEADDALDADDPLHDTDTTP LRGNIQAEASSSRGGNLSGNYLTSSIPGEDRRAAQNTIDETVWETVSRDLLAVWEKMR QVLWPKYLMGGMLQRGGGGIGGAAERGEATGFGSGGGLRNLVGRWPDADTVLQGGMSE GLRDWDLWGPLIFCLLLSFFLAMRAKGDQSDLVFSGVFCIVWIGEAVVTLQIKLLGGN ISFFQSVCIIGYTLFPLVIAALLSALGLPTIARIPVYLVLIAWSLAAGISILGGSGVL KNRVGIAVYPLFVFYIAIGCLCFIS AOR_1_940054 MDNRPASEYAQSAADQASAAATAQYTPQPEVRPNPQYTPQPEVR PAANISSSNTPQSDYGLNQPPPAARSPAYPDYLARPPQYHHAPNTQAGGAADPSIAAS SPTYPPPYSPYQPQGHEMAQYQGHPPPPPPQMYARPDWSHGYGQHQHGLPGPYTTPAT TVGPASPAATAGPRPGQVYSFVPIPGAQQHKRPRRRYEEIERMYKCGWNGCEKAYGTL NHLNAHVTMQSHGAKRTPEEFKEIRKEWKARKKEEEAQRKAAEERERAAAAQAAQANQ VDAPGPGDPAQAGQPPAYPGSVRPQLPPIGYQPADGQVPGQYGAPGGGMVYQGNGQMA YPPNYPHSPYGQSGQVYQQQGIEDPESVDVSEELDDSEPLVASEGLEDTLLEPEPSLK SRQGITRDDLLLSIAKAGTSPKTREELDGTMPVKVSGKVVEMELKWLKDPRALSDRVG RLLKADDVLLAVALVRTAQREHMECTVAWNHLMEYCMEKNNPKAALKFYNEMKKRGRM PNSVTYTIMLDGLSRVSRDTGVHPVKTALSIYKSIFAPNSTVTPNLIHTNAMLNVCAR QRDMDSLWRVAGELPEDGPGSPNCTTYSIILRAISDAAQADVVRMRHSQVEKILARKA QGVKEGKRIWSDIIYRWKKGQLEIDNLLVSAMANLLMEASTDRGCYDVFALIHQVTGI PILAKEPSDPSSKIQKKSRGIDLEEDVPFVDDSEKLYRPVEAEPEEVEQDGEEETFEN VFDPIVSPGTSSPSYISVGNRELSLILEACLSMTQEFGIGAGKAYWQHLTLEDTDYKI EPDGGTYHQYLRLLRLGHSSRLALDVIRNQMVPAQMTEGRSFRIAFACCLRDRKNINV FKNANGLLHLMETSLVLPFPQALGAYLDLVRILGDRPQLLMSLSGIDGNGKRPAGGLS ALGQQLRLNLQTTALEALRPCIAKLDKAMEQGLVSPVPVEGRGIRSNSSNEHAVSGKE ALKVLATVRGLIDDILMPANSKLLSKETRAQLKKESRDLRRYSNAKITNKYKDSLVSP TSEQVLAYKDQQVAEGSLDVD AOR_1_942054 MRFKSHLLLTTGASLSVALPSGLPSQGFDWDSIQYLLAFGDSYT YIQGTAGHQNYSFIGDQLNLEYDAQTLLTNKIVQNQTATAEGGPNWVEYLTGCGLEEG LTSPLSCTRQLWDFAFAGADISVEHTPLHHNFTVSLVNQVAQYRAYGHPVLKDIVEPS RALVAIWIGINDINDSAKYAVRFPTFYNELMDILFASVRVLHSLGYRSYLFMNLPPLD RTPSNQKTTSPSPNATQVAWFNKALAQHAGSFDKQYPDTNVLLFDANSVLNDMMDQPA RHGITNTTSFCPGYDQPDIEWNYRAYGCPMPLDTYFWFNSGHLTSHVHKLLAKALEST LK AOR_1_944054 MPTNTITLIISPYHVGLRDHRVGNGPHRIQSQGLIPTLKEQGLQ FRIHEIPSVDNFEGEIGRSFEILRRISVAVTEAVDNNTFPLILAGNCMSSAAVACGLK IHDLSFIYFDAHDDLDSPDVNENGYFDAMGLSMLRGESWKTLMGTVPNYKPFSYEDRF LYCGLRDQSDVQRQRVVEAGMKAVWGETARKVDFVGELRTQMEERSYGSALVHLDLDV LDESYGKVNDYPSPGGLTEEDLVACMDLVPRLSEPRSLTVCSFDPDVGDGDTIARIGI RAIRAFVGALLETGVLIRG AOR_1_946054 MTLGIRPPKELRDPFSRELQHSFSFHLLRLSTSPVYRSFDFRAV RFVGQALSQSLEVSKDSGSSAAFNRARQWLSHCVQHDQACQPPDTEFMPRRLVNVGSW DGSREPFLFEPTTPVIYACLSYCWGIDIDRVMKTTTDNIHSHYQRLELAQLPAAIQDA IAVCRALKIPNLWVDSLCIIQDDKVAWLHDASTMHDVYHNSHLTIAVMEPNSCKLRFL GKQQFGDHSWQRLFCPTLPDLPEDTSTELLMRPGKFKPRSDTERSSLDKRGWCLQESL LPNRRLCYDGKEMIWECLCRQVCECGHVVEPQIPRNTSKDYGKLGTTLKTHLPEAEPP FDRMNGFRFRHCSPSMMPYFGWRDLATDYSHRSLSKRYDALRAISALAKMVQKRLPEK DGLSDEYVAGLWKGELHFDLSWEVKPVDAHDVPPSTGLEDDNETSYRIPSWSWASVGK PITYSFTDAFRIWKYEPEVIDRWIFYCGAMASARAKLAELRALRASGKKRISTYEVEE QDDIYEEVDDEGYKKIIRNRLDEDDFVVDDNGEGYADDGREDWTVDYHDSESDDGDLP ANGKAAKRKREEDKQRKEKINNGISKYFNKGSGASAPKPKPVATAEDEAFMADLLGEV DTNVVSNHVPTQNVIKSETRRKVRVLSPPLSHRPRPQKKQTKDENSDPMSPIGQKPDL DLDNDDGPLPVADDDDVPMSDPMPSSPVSKAVERKTAITVKTEDPDEEDNDLMEIAEV TGQHEAKTTSVNMAGSRPPPKIKKEAYTTPANSSPVKAMPDVANASWNDVRNKLNVLS SPASETRTFGKLRAQDVVEDDGSLRMFWIDFTEVNGSLCLFGKVRNKQTGNYASAFVK VDNILRKLYFLPREYRHKHGRDTDEEVDMEDVYNEVDGMMSRLKVGMHKIKPCTRKYA FEMPGVPKETEYLKLLYPYDKPALPMETKGETFSHVFGTNTSLFEQFVLWKNIMGPCW LRFEGADFSAVNNASWCKFECQVSKPALISPVPDSENLEAPPLTLMSLAFRTQLNVKE NKQEILIASARVYENVSLTDTTPPEKLPCKTFTVMRPVGSSYPMHFEAETKRQRGTYI LERSEQFLLSKFLALFEKMDPDVLMGHQLQEVDLSILLNRLKEKKTPGWHRLGRLKRG DWPKNFNRGGGFFAERHLIAGRLMCDVANDMGKSLMMKCQSWSLTEMCNLYLGPGNVR QELDGEAALKTWATTKDGLMNFVNHCDTDTYFVAALVLRLQMLPLTKVLTNIAGNSWA RTLSGTRAERNEYILLHEFHRNKYICPDKYSAKLQKAEVKLQDGDDDDATDKKKKDKY KGGLVFEPEKGLYDRFVLVMDFNSLYPSIIQEYNICFTTVERTATAENENEEKVPEVP TSDQEQGILPRLIATLVGRRREVKKLMKDKRATPEQLALWDTKQLAFKLTANSMYGCL GYTQSRFYARPLAMLTTFKGREILRSTKELAESKQLRVIYGDTDSVMINTNMDTLSDA LKVGEEFKKSVNERYRLLEIDIDNIFRRLLLHAKKKYAAINMTEMDGKYVDKLEVKGL DMKRREYCALSKEVSQRLLNEVLSGEDQEIVLNRVHDYLRDLAGKMREFAVPVQKYVI YTKLSKRPEEYPNKETMPPVQVALRELARGKSVRPNDVISYIVTSGDSETSSLAPAKR SYTLQDVMKPDSGLNPDIEFYLLKQIFPPIERLCAPIPGTDAVRLAECLGLDVRKYQI NTSSGGNQQNTDIFPLESQIPDSVRFETAARLTLTCRSCKEKSVFEGLAASSHMCNAN GLFCPNTACQKQFTVLTIIAQLESQIRAQTSKYYEGWLVCDDSACGNRTRQMSVYGHR CLGPRGHAEGCLGRMSYEYSEKQLYNQLLYFAGLWDVDKARVAAEKEASGEKKDSVVA LASFNRARFETVKGVVDAYLKKCGRQWVEMDSLFRFMLP AOR_1_948054 MSVKRVLVIAGSDSSGGAGLEADQRVLAAHGCYALTATTGLTAQ NTLGVQDIFVVPAEFVKKQINAGLEDIGADAVKLGMLSSAETIDIIAETLVTHQVPSV VLDPVMVSTSGSKLLPEAAVEKLRTKLLPLTTVLTPNIPEAKLLLKDAGLDVPEPEGL PDVLQLVKQVKALGPKAVLLKGGHLPLTKDHKTARNQDEATTVIDVLYDGQDITLFET DFLLSRNTHGTGCSLASSIAANIALGKDLKRAVHSAVRFVEAGIKTSFDIGKGSGPIN HFHSVYTLPFAPGRFLEYALDRPDIRPVWQKFTEHEFVLGMGSGTLPVEKFKEYLVQD YLYLVQFARSNALAAYKAKNMESIAASAQIVLHIQRETALHLDYCTSFGLSKEEMEMT PETIACTAYSRYILDVGQSEDWLALQMALAPCLIGYGAIAQRLYTDKDTLRQGNRYWK WIENYVAEDYSEAVRLGSELLERHMREVSPSRMEELIQIFIRATELEIRFWDMGLGAR AOR_1_950054 MSYNGGYQRDQRDSYRSRNGGGGGYSNGYSNGNSNGYSGGGSGG GYGGGYGGGGYGGGYGGRGGGAGGGDRMSNLGAGLKKQEWDLDSLPKFEKSFYKEHPD VANRSQRDVDEFRKKFEMSVQGKNIPRPVETFDEAGFPQYVLSEVKAQGFERPTAIQS QGWPMALSGRDVVGIAETGSGKTLSYCLPAIVHINAQPLLAPGDGPIVLVLAPTRELA VQIQAEITKFGKSSRIRNTCVYGGVPKGPQIRDLSRGVEVCIATPGRLIDMLEAGRTN LRRVTYLVLDEADRMLDMGFEPQIRKIISQIRPDRQTCMWSATWPKEVRQLASDFLND YIQVNIGSMDLSANHRITQIVEVVSDFEKRDKMIKHLEKIMENRGNKCLIFTGTKRIA DEITRFLRQDGWPALSIHGDKQQQERDWVLNEFKTGKSPIMVATDVASRGIDVRDITH VLNYDYPNNSEDYVHRIGRTGRAGAKGTAITFFTTDNSKQARDLVTILTEAKQQIDPR LAEMVRYSGGGGGGRGGYGRWGGRGGGRGRGGNYTASNAAPLGGNRRW AOR_1_952054 MNKVDKILDQFTDPLTGSVHGAVFIAIDSSGKIIYHRAAGKASL DDENAPALQTDSLYWIASMTKLVTAIAVMQLVERGIVSLDEDVRTIVPELRDIQILED IRNDKDSSSESNQLHLKPVQGKITLRNLMCHTAGFVYDSSSPLLQKWSKSHGRTAYTF CGSMAGYHHPLLFEPDTSWGYGAGLDWAGRVIECVTNSTLEDYMQTHIWSKLGAVSTT FHPELHRDTLPPQMGMGYRVSVGQGTKSLKSGPIILKQPAQDDLGGIGLFSTPMDFVK LLSALLDGGYPLLTRESVDVLLQPQLSEASREAMPRPLGAQMRRVLGIKDAGDTQQAD HSLAGTVTLRDIAGRRRAGTVNWSGLPNLHWWIDRQNGIAATLFTQVMPLGDAAVTSL LIDLEESLYAALEESGGHMKTHVKL AOR_1_954054 MLFTTILASTLALSMGVSAAPRPAPPADTRYVQLRLWGEPSCSA LNQGELGVYGGALNQCQTFNNNTIVKSVRFEAKYSDTCTVALYDDVTCSSSPHEIQLE TCLSSDAQYRSYLVQCPGVPV AOR_1_956054 MSNPVPLRIAVLVNTPPNNEFWNDVNEAYRAAFQAVAPDAQIDM YDPVFQGNFPDPQHYDLIVLSGGKADASSSEPWVLGVLDFLRKTARESPNTKILGICW GHQAISRAFGGAVRAVPTGPIAGVEDVKLTDAGKKFFACAPGIESYRLPEFHVREVAK PGLGFVHLAENHEMFVNQENTVLSFQAHPEVQAALAKKMLLEEDDVYNGNLSQQELED HLKKLDQPTDGFEVLRRVIEWVEE AOR_1_1800054 MFLPNVHAESETEVLLKFIKENPLGILITGINSSSQNFLQCTHV PFVLDLPSTGGNESTAPRLRAHIAKQNPQVKAMLETLDGKPPGVLSLDRDVLVIFNGQ HDHYVTPKYYTETKPDTGKVVPTWNYSAVQIYGKLSLYYDSKTPDAGSFLAKQMHDLS EQCERNIMGFTGGERPQPWKVADAPGRYIESMLRNVVGINIEIGRIEGKFKMSQEMRR GDRDGVVRGFADLGGEAGEAISALVKERGELHDKKIEKLKAAKAG AOR_1_960054 MYKPAPPRPRKTNIIRSRNGCKSCRNRRTKCDEQKPMCGTCARL NKSCEYVQPVLKFQIITVQNPKGRLSTYDTPSKERKQRLAEDERLVIQAEQGNPRIGG YNIVRSLQTTERDIFYTTYWEDCCLPALHPMFYSATLLTADYPILNDAILALSSCNIS RLYSERKTSSTGLMGPLSPSLTHQTRSHLYYSSAIRKLTAMTASDCRHNAAVVFIVLV LFAHLESAMGNFQGFYCHVQGMMNFLVEWRGGAGDATIKPLLTSWMQTRIMCDSHRLN VKAVLQRFKNMRSEEEIPLVNNQGYAECVCLLCQEATKLDEWLLHLPPSEQPIYELND TSSTAIHFQSHDAALNYAYYVVARIMQCTGLLRELYSQTTPDHEDGCYKAEFWVQTLV QIAQGADMRTSLTRNSYTIGFSGLLLAGILRCQSLSVGLEIQNWLQTLQDLQPTEEGA FPVYQTLSVVKVINQQRMVGRDVFAVTQPVDDGGGHPKVTAYNSQSISSLLFHGRCRI RNCLFEECITLDN AOR_1_1802054 MGETIHNCCPDFKQLTIHQWSGIRNAEVDSEKFLNELRPNTLDS FEVLILSNLGPRTVRALNSHWNSLTELKLLSLGIEAIAELHLLTAPPALKVLTLQHST VGVYEEAYSRSLNRVADWIRSCKALQRLELIRFMKDDALLLAKVLPEESLRLSSLSVE GCRTHDAILFHEILHHQSSLQYLYLEGHEINQPEHNGSLVRSISQLNSLRELELEHIS DGFTTDHLKTLTPCLPHLERLRISGEYFRDDALDAFLCLHRLQSLIIDGPNSFTTQGL LSFITQLGPGNRGFALSIFKSANGTDITEEAQKPIRELLESKLDGAFLFETDPEGN AOR_1_962054 MVALSFSLAPEALYQLHDALTCLAKFYETVAIEAEFDLLRLSVL NSTKTAYAAFVFESDTFFESYSFDMPRGSRASRTGRPDRFCCQLYIKALLSVFKGRTR DKDTAVERCEVELHEHPDQTECRLAIKMFCGLGVIKSYKLTYEPTTVNHAVFDRTKTT NQWSIEPRFLREITDHFSPSAEQLDIYSENGKAVFTSFTTKITDGKEILKKPVHTSVA IDKKDFEYFLAEDNLHIAITLKDFKAVIAHAESAHSTITARYTRPTRPLQLAYDFGGV KTEFTLMTTGDPDSDIPDSSRAPELSARQTPAPAGVSRANVTSNTSHMPPPRARSIRP LTGTPGASVRGTDTNTQSQRPPPASIQFDSLFVPADDDRQWDVPNDEEEEAEDRLGWD ATGDQTFDESLAPRLRDIQPSMPHQDTSHEEDMGIPPTQRISQLQGLGLFD AOR_1_964054 MPLYAFGSNGSGQLGIGHDEDVSIPTRCLFEASEPEALISSEGN STNSIRRIAAGGNHTLLLFSDGAVYAAGCNDDGRCGAEPGDSLMKFWRVIVRDEVSGQ SCETFRDVSACWEGTFLVAAAGDRVFVLGSGAKGELGLGEGVVRATGPVPVKDFPPSG TEIVGVASGMGHTVVVLSDGEVYGWGAARKGQLGEAAKGRKVVWEPVRVEGLPFRATG AVCGREFTVVTGDKSKGEFVILGSADNRWNVLSDAPPALEVYRGIWASWHGVYVQRDG GVLAWGRNDRGQLPPVDLPVVSELAVGSEHALALLDGGTVVAFGWGEHGNCGPVADEQ GNVKGKYALIPLSMEGESQVVGVGAGCATSWVVMS AOR_1_966054 MADFGPRAPHGPDMSGTHNPLEDMDHHEKGAFDALIRPDDSYTP EGTYWADLPLLKKVKFVSSYDAKEAKRELGGIWEMMKQDPLSPVSYYFKNMVLPGAGL GLEGYVLFSIGNVKPLFQAAFKSCWKDHKICNAQWLNAIDYLEIIGIIVGQILVGIVG DWLGRRWGLIQDAAIMFIGLIMLTAAWGVTQNGWVICYAWSLFFYGIGVGGEYPMTAT SGMENAVGSGKVSTKEDRLHRGRKVTSAFLMQGWGQFFNQVILIILLLCFHHGSGNPP YSSVSAQWVYRVSFAIPAVGTLWLVYYRAYHMKAASKQLAAAKKKASVTGYDFNSLAL TFKYFGPRILATAGGWFANDVFFYGNKLFQSEFISVISPASKSIMPTWLWNLCNVGVS LVGYYLASFLIDNKLYGRKWMQMVGFLMCFVLFVVPAFHYKYYTSPEHIKEFQTMYFL SSFFNQFGPNSVTFLVAAEVFPTPIRATAHGLSAAAGKAGALLASVLYNYIDTQTKFY VVPWFGLAGMVLTYVFLPDTTGLDLKEQERRWQYIRDGREHEYHGPAVHPKHLSLWER LMGKGKLYDADADYKQKVEEYRAEWESAMAARIAEKEKGEELAMDTDESLLEGHVHSY FHRTSPMFRPMEQNAKSDNFALPPAAQEDDSTVSFNEKSEKST AOR_1_968054 MVEDYDCMYVHLYNNDPDLEQRNGGFPIQYLLVPSSAYSSDGHT TQKQKSPPSCMPREGMDSSSGFHEHKFTTWAVNKPPAWHLGTGPGSNNQSECPLRPRS PWHADKCGEPSLDMIMIVEWTLFPFALVKVDGTAF AOR_1_970054 MSWKLTKKLKETHLAPLTQTFTRSSSTSTIKAESGEETPVVSQA PTPTISTSNINGISASESLVSPPVAPVKPGILIVTLHEGRDFALSPQYQQIFNSHFQN NYAMRPSSSSSHSTHGQAASFVHNGRPQSTSGGINAAPTIHGRYSTKYLPYALLDFEK NQVFVDAVSGSPENPLWAGDNTAFKFDVSRKTELNVQLYLRNPAARPGAGRSEDIFLG AVKVHPRFEETQQFVEDPKLSKKDNQKAAAAHAAQERHLGQLGAEWLDLQFGTGSIKI GVKFVENKQQSLKLEDFELLKVVGKGSFGKVMQVMKKDTGRIYALKTIRKAHIISRSE VTHTLAERSVLAQINNPFIVPLKFSFQSPEKLYFVLAFVNGGELFHHLQREQRFDINR ARFYTAELLCALECLHGFKVIYRDLKPENILLDYTGHIALCDFGLCKLDMKDEDRTNT FCGTPEYLAPELLLGNGYTKSVDWWTLGVLLYEMLTGLPPFYDENTNDMYRKILQEPL TFPSTDIVPAAARDLLTRLLDRDPQRRLGANGAAEIKSHHFFANIDWRKLLQRKYEPS FRPNVVDARDTANFDREFTSEAPQDSYVEGPVLSQTMQQQFEGWSYNRPVAGLGDAGG SVRDPSFGSIPE AOR_1_972054 MGVIRKKTASRGTEAGTKYHCDICSVDVTSTVRVSCAHPTCHEY DLCVPCFAAGEKSKNHDPSSHPFQVIEQNSVPIFQEDWGADEELLLLEGADIYGLGSW ADIADHIGGYRTKEEVRDHYISTYIDSPNFPLPERADPDDTRLSDSISKEEFQFRKKH RIEERKEAAKAAPPTTPKQKPTASVPACHEVQGYMPGRLEFETEFMNDAEEAVQHMTF EPGAGETINGETDAEMELKMTVVDIYNSRLTARTERKKVLFEHNLLEYRKNTALEKKR TKEERDLLNKAKPFARMMNHDDFEEFNKGLEYEHNLRLAITQLQEWRQMGIGDLKGGE KYEQEKQQRAQRLVPQGSFDRFASTRPKQSQQPEGPSAASQLTTPELPLRLQKASGAN KAPEPVNQPMNDFDRAFASNGDGLTTPQPAKTKFVVQPLNGVIPWKLENDGAPDLHLL TKEEVEVCNVLHVQPKPYLVIKETLLKEAMKQGGSLKKKDARTICKIDATKTGRIYDF MVHSGWINKA AOR_1_974054 MTFKTPPPTPQHAKVTFPTPHVLQVTLSRPKDLNCINTAGHNEL HALWEWMDEEPSIRVGVLTGEGRAFCAGADLKEWNNQVNSAEGSKRQQPSSGFGGLSR RSGKKPIICAVNGICLGGGCEMIVNADMVIACEKAFFGFPEVQRGVVAIAGALPRVVR TIGRQRAMEMVLTGRRVTAVEAEKWGFVNEVLPTPEEVVTRALEIAGQIAANSPDAVI VSREGVKLGWEGVGAEEGSRWLIDGWQKRLNEGENIKEGLRAFVEKRQPKWVDSKL AOR_1_976054 MGKKAIQFGGGNIGRGFVAEFLHAAGYEVVFIDVMDSVINSLQQ TPSYDVTEVSEEGESTKTITNYRAINSKTHEADVVQEIASADVVTCAVGPNILKFIAP VIAKGIDARTEERPVAVIACENAIGATDTLHGYIKQHTNPDRLETLSERARFANSAID RIVPNQPPNSGLNVRIEKFYEWAVEKTPFGEWGHPDIPAIHWVDHLEPYIERKLFTVN TGHATTAYYAHKRGKKMIAEALEDPEIRETVHKVLEETASLIVSKHEISEQEQKEYVD KIVSRISNPYLEDNVERVGRAPLRKLSRKERFIGPASQLAERGQKFDALLGAIEMALR FQNVPGDEESSELARILKENSAEDATSQLTGLEKDHPLYSHVVERVSTVQQGSKSVL AOR_1_978054 MVNWSNILPTLTAFIAFILGMLCLFAGTKTNLLLDTDVFTIYTT SISNGTGMRDFYSIYVMSYCEGFLHAENRNLTGCSHPSLLFSFNATEALTKDAGNNTS LSSLGWPSSITDDLRTFGATSQSMGVFYCIGIGLAGLAVLERLWFVIAKGPRQTVVEV SSLMLSFTMLSIPSIIATVVALQFVSLINRHGEESGVTARYGHQFLGMTWAAVGLLLV GSTVSLLTVLVDRNRSADQYEPVAEPKTVAEDSDSVASNQKGD AOR_1_980054 MRLDVKRQLFARSERVKGIDFHPTEPWILTTLYSGHVYIWSYET QSIIKTFELTDVPVRAGRFIARKNWIVCGSDDFQLRVYNYNTSEKIASFEAHPDYIRS IAVHPTQPFVLTASDDMTIKLWDWEKGWKCVQVYEGHAHYVMGLSINPKDTNTFASAC LDRTVKIWSLGSPHANFTLEAHETKGVNYVDYYPQADKPYLLTTSDDKTVKIWDYTTK ALIATLEGHTSNVSFACYHPELPVIISGSEDGTIKIWHANTYRLEQSLSYGLERAWCV AYQRGKQGIAMGFDDGAVVVKMGREEPAVSMDGSGKVVWARHNEVVSTVIKGGDATIK DGAPISLPTKELGSCEVYPQTLSHSPNGRFVSVCGDGEYIIYTALAWRNKAFGQALDF AWGSKDNSNDYAIRESPTSVKIFRNFKEVSGGLDVGFQAEGLTDGVLLGVKGQGGIGM FDWETGNLVRRIEVDPKAVYWSESGELVTLACEDSFYVLRFSRENYINGLNEGEADED GVESAFEVVTDVNETVRTGQWVGDCFIYTNSTNRLNYLVGDQTYTISHFDQGMYVLGY LPRDGRVYLADKDVNVVSFGLSLSMVEYQTVVLRGDMDMAAELLKDIPQDQINKVARF LEGQGYKDMALEVATDPEHRFDLALSLNNLDIALEIAREANVEHKWKTVGDAALAGWN LELAQECFTNAKDVGSLLLLHTASGNKQGLRNLAEQASEAGLHNVAFSTLWSLGDIDA CTDLLVRTNRLAEAVLFTQTYKPSRAPELVVQWKQSLEQSGKTKIARLIGVSPGAPDA TADDDLFPEWDEYLRLEKEGVVPEPPSSESLIDVNDDEQAESAANGAPEVEAEA AOR_1_982054 MRSTFRLLANVKPARYLEPFAPTGITGLVTHPSPRPTLIYLYTT TLQKLKAFPESSVYRQSTEALTRHRLQIVESTKPPGYEAWLERVKKAIGTEPERFASL LRPDGTYAAVMRSDGSDNPRGEEWDGEALEPTSEGPARTPEEEARWHQAIEDSVKAEN ESDFQTHAMKWENEPALEAEQVAEIENQIGAGLIEEVIQVAEGELKLVDEMSKSKVWE ELEEQPAPGQWSYFERKDSA AOR_1_984054 MRASLLACSLLSLFSIPTLASPSDKVLESRDGRLVKRADDPVDP GTLSTTFNGVEVPPMKDLTPDNFEETIKDGYWFIKQFSPACPHCQKIAPTWQTLYEYY YTSDPLASSSSKPSDTKSLNSFHGFYNFHFASLNCQAYGDFCKKLDVKYFPQFSLYHN GEKVEEFTGKKSMEGLSEYVEDKLESIKPGSRPAKGVNLPKPGAKGVDTKAEPEVPAA KDKDPEAGAKAGEKHNEQVSAEDASSEKASTLKSKTKPKGGPANPQGISVPLTAESFQ KLVTTTQDPWFIKFYAPWCHHCQALAPNWAQMAREMQNVLNIGEVNCDAEPRLCKDAH VSAFPTMYFFRGGERVEYNGLRGLGDLVNYAKKAVDVGLGVQDVDATSFKELEEKEEV IFLYFYDHATTSEDFEALERLTLSLIGHGRIVKTNSAALAERFKISTWPRLLVVRDGR ANYYTPIAPKDMRDFRQILGWMRTVWLPIVPELTASNAREIMDGRYVVLGILSRGRSD EFLQSKRELKSAALEWMDKQVQLFQLERAELRDAKQLRIEEADDRNDQRALRAAKNMR ITIREDDKKQVGFAWVDGDFWERWLRNTYGIDVANGERVIINDHDNRRYWDSSSSGSP ILASRTSILETIPLVIANSPKLTPKSTVGTFESVFFSARSFIVNHPILFVLILIFSVV GATWLARARGRRAGRGGILGVTNGNNGFFHLDGKEGLLNGGSTGKVD AOR_1_986054 MFSQTLRRAAAQTAGAYRSPFAPKYTTPLNFHGVTVGAATKYAQ IAATFGASAGVFALFFFGEVPRVRNDILRQLPFFDQYFDRTIAPEDNPF AOR_1_1804054 MSSVSKSTPLDKTTLKGSVYADVDHDPVTTVLPNGDVPYTDHAM DADERVIVALGYKQEFKREFSLWTTFCVSFAVLGLLPSFASTIYYGMGYAGTAGMVWG WIIAMVFIQCVAMSMAELCSAMPTSGGLYYAAAVLAPPGYGPFAAWITGWSNWIGQIT AAPSVDFSLAAMILAAASIQNPDYVPTSWQTFLLTTLIMILHAAISSMPTKWVAQFNS WGSTFNMFALIAVIIAIPAGTKNEPKFTPSKEVWGTITNLTDFPDGVAVLMTFVGVIW TMSGYDSPFHLSEECSNANIASPRAIVMTSGVGGLMGWFLQLVVAYTVLDIEAIIDSD LGQPWASYLLQVMPQKAALGILALTIMIWKKVDSRTKTPVNAVIINAILGILMCLLIL AGDVAIGALFSIGAIAQFVAFAIPICIRVFFVGNRFRRGPWHLGPFGPYIGATGVLFV LLMVPILCLPSVTGDDLTPDLMNWTCLVWGAPMLAVTIWWVVDAHKWFKGPKVNVEHA IHPVEEEEPVVVDVGFDGGEEELDRSQG AOR_1_988054 MMDPRSHPSRPPSTSLPQGSTPLPTTISSMPMPQYTMQAQYPVS QPHTLPPLQPHHSQSPAPHSYMSQPPYRPDLSRYPTSTHDVYASSTAPIMPHTTVGSL PPSSFLSHPNPQAQPQPSQYPPPHSVLPPASSAQTYPQPIAPAPPRDRRPDFSGMPSG AFSYSDGKGSPWMNPDPVAGANGASPYGAKEPPRTQVVGSQGRRGILPSVPGRATPVT NGVNGTAKNTTIPAKDADGKFPCPHCNKTYLHAKHLKRHLLRHTGDRPYMCVLCKDTF SRSDILKRHFQKCSLRRGNPTGATHLSHPQAHLKRSQAANPAKPVQDEVSSTVSPATA LPGTAYGEGAVNGNGLASGRPGFTDQQPLGYPMSSVNGMNRGQPDDAFPAGQPHQRGP WLAAPKQNPYLAQPGTDVSQQLSVDRPSYEQVKPPVVQDPKRPVMPGPTPNHTGEIDW TSMFQPGANDGYINPVFPQSMASGQEPIHAHVDTERKFYPTTTGGPQEGGMNGLYLAS TTLAGDGTVQPARQ AOR_1_990054 MSTNRPFLANFLAAFRAQSTYKASAAGSQSATTSSSLSPTQISQ SARAIATKASNSGASSEAASTTHYHHHHHHHHHSSSAGASHSRPHSHTRSPLNQSSPA GDSPVPSSSATPPAPSSPPTPASSNPIPIANGPDRQRRGSDSSNGSGGFRDALGPEKW YIGGRTPGGEERFYRLGMVTKGGGRLGGSGRVGSIDQLSL AOR_1_992054 MTSLISEGYKRPPWLLRYRSSTVFIVATVWTSLFTDFYLYAMIV PVMPTALVDRAGVPYQDREYWVSVLLMCEAAVACICCPIFGYIVDNTPTRQFPYLLGL ILLGASMVILSIAHTVGLFIVARLLQGGATAMVTVAGLALLTDSVSFDNLGQVIGYLG SSVALGFLLGPLIGGILYEKSGYQAVFAMAFVIVGVDLLMRVAVIEKKVARRWLLEDS ETSQSGPHAGGYNTFGDASEPSDSESPKSRKPALFLVICQPRIMISSWALLVHGLLYS AFDATIPVFVETRFHWGPLGAGLTFLPSAVTAFFEPYFGYLSDRYGARKVTLTGFLVL SIPLVCLRFVESNTTSHIALLITLLTFIGLFMNLCVPALYVETQQVLEDMERERPGIF GKKGAVAQAFGVQTMAQFLGLFVGPLWGGFIEYRFGWKNMSWTLALLAGLTAVPMLWL SNGGDKVRREEEEERERERLLDGDGA AOR_1_994054 MSAVKAARYGKDNVRVYKVHKDEKTGVQTVYEMTVCVLLEGEIE TSYTKADNSVIVATDSIKNTIYITAKQNPVTPPELFGSILGTHFIEKYNHIHAAHVNI VCHRWTRMDIDGKPHPHSFIRDSEEKRNVQVDVVEGKGIDIKSSLSGLTVLKSTNSQF WGFLRDEYTTLKETWDRILSTDVDATWQWKNFSGLQEVRSHVPKFDATWATAREVTLK TFAEDNSASVQATMYKMAEQILARQQLIETVEYSLPNKHYFEIDLSWHKGLQNTGKNA EVFAPQSDPNGLIKCTVGRSSLKSKL AOR_1_996054 MSQTVGKTRLAYSRAWHHVDVGTDPRSLGRLASSIALFLMGKHK PIYDPSTDCGDYVVAVGCHDLKTTGKKRFQKKYYTHTTRPGSLRSMTMDKMFEKWGGG EVLRRAVKGMLPKNRLREKRLARLKTFEGLAHPYEENIVKLGNQSVIANLPEVKEAFK EAKTQA AOR_1_998054 MASVPSVQCFGKKKTATAVAHCKQGKGLIKVNGQPLSLVQPEIL RFKVYEPLLIVGADKFAGVDIRVRVSGGGHTSQVYAIRQAIAKSLVAYYQKYVDEHSK NQLKQALVQYDRTLLVADNRRTEPKKFGGRGARARYQKSYR AOR_1_1000054 MEEDTPCLANATSPLSPQDDTNHSTAKSPASPLSDISGSGLTAT VHALIRLIQCSCCSRPLRAPLRLPCGNTLCRSCLPPIHERTGITYPSSDDRKMGFTCH WGTDNGCAGEHCLGDCGADVLLSRLVDVFDAVLCNSSAGSEAPLGNECGFRVTWTGLR GDQPGTVAKSADAAGGLLEGMYDLVKYGRFDYDASDLKFEMHEPIDQENQRFERLKDA VQNELDCQVCYSLILDPLTTSCGHTFCRRCVAMALDHSDLCPACRRKLNMASTVKSEP TNKRISDIIETLYPEQVALRRDTSAQEVTALDDEAILPLFVSSLSLPTMPTFLHVFEA RYRLMMQRVMQSRGRRFGMVMFNRAGRFQQGLGRSQFMQYGTALVVDRYELLPDGRSL VVATGLYRFKVLSSYMLDMYYVGKIQRVDDISVIEEENREALETSVADASGEQPLESM STQQLFQLGLDFVRKQHRQAAPWLHPRVLLAYGDIPTEPSHFPWWFASVLPVWEEEKY TLLSTTSVRERLKITARWVRKLESREWTARSRPSITSAL AOR_1_1002054 MSSSFITVEKRHFESLLQSDPLFILGATNPKQHSSQENKSSANS DSVTISNSEYQGLLAVSTQFAKLKKSLLNGGLSQETLDILIYGSPPLSDNQNSSKVCT ESSLKCKKTPSPTRANLSNDHKRWESYDDDDEEEEEEEEEEEEGVLLASQDSDRDDYE DSSRSSESSIASTPQRTILLRGLPDRATHRDLVEAIKGGALLHVHLRTRERMASISFV EEANAQEFYQHAKSHGVCIAGKRVEISWNDRQFYLPPFVRAKINTGASRNLVLYNVHP NVTEWLIRKDLDHIHNLIVITVKFKNGNAYISTNSVHNALFARSCMMSRLTYKGMRIA FYPDECAEPLSKLTNGLKKESQVPSKKSVSALNRFQLLSLDGAEEDETDHDHGQVGLD SYRQNTALKVQY AOR_1_1004054 MASGYNSTFSTTSTQHRAAGDGRSYSSLDDGSVDGSWPGVASRS GRNARSESGGSATIGFRAAPSLAPSSGSGGPGSFSSELKSMKTSRSTTPRPDTTFRRR GSSNVEPDDLSSTEERQAAIRNKIAKEMKIKTGTENMLEALLAKNPKQTKEQRLKVES ELSSSNRKLAELHHELEEELLRAQAPSTPPRSRLSSLFQGSSMRSPSRSNVELDEGQL EDGEAEMESPTYVLAETLQALEIEGMSPDYYVERANSLVELFKRHPTLKYDLAWSVFG LRVQVMLLSDNKEVVAAGYRLTRYAIADRKSLQIIRSLHTDELVILSLVKESKASIER EQALKFVRAFLDVKDGVREISRAVVRTIVSVAEHYEDRLRNISIMTLAEILVKEPELI AYAGGFATLHDALAEGTFGASESLIASFLHVLDTPQSRKHLRGGTELEAVLAPFTDSL ADSVRNGRLKSAAKAISAMLKTWPGLVVLARNGGKPLQSLLESLHYPDSQARDLIMEL LFDALRIKPPSWSSSFLAGRRLTTYGRVSNLRSETDTKQYRGYYDDNESKFDLTAHFS TLILATLVDAGLSKALSELIEDEEDQSLRRKATLLLTEVLKLAQHSLPQDISSKLQVL PHLLPAAIKFDVDNHDVSMATIYQIESINRTLARSIGFSNGAGRYSVDVDISASLLSS DQSKDRLSPTMDETQFRNAILETHVLNTVNYLKWKWDLIHRIVEGPLTNPKRLDEAIK GSKFMKRLMGFYRPFKYRFSMLPNTKPNQRYVRTGCALMRTLVSIPEGTKYLAENKFL RQVAECLAQVDRMSGLTSSSPLFSREQMANTLSGGYFALLGTLTGDANGLAMMERWHM LNMFYHIIELRDRDDLIQTLLGNMDYTQDSHLRVMLSKALTTGSKDIRIFATKLLRKY AVGNVPLSPQMAIGNADWVVKLLVTQLYDPDVSVCQMAVKILEEACNHRDYLEFVVKC RPSLDHLGEIGAPLLLRFLSTSVGYHYLDGLDYITQEMDDWFLGRNDAYVGLVEAALS RAYVDQPRRGSFVPEDLVDLQDIGLVPPHFYRELARTAEGCKLLEQSGHFSEFAWTIR DFSLNEEDTEVLLKVKGCLWAVGNVGSMELGAPFLEPDIVERIVKIAESAEVLTMRGT AFFVLGLISRSRHGLRVLRDFGWDSAFDQKGNSLGLCLPTDFKKLFLVDFPSHSRNRE SKRISQDKFKEATTDPDSTNQKILKLIVDMGNTVLSKRAAADLHSIKSKQPERFHQPH LFRKTLSILESHHFRLPARRFALDLFDKSVMRRIVMEDDSDTDSEVASSQESG AOR_1_1006054 MLYPLPVFLAFALMLVSSTWGQIITHIPSKGGGVSYSFNVPENT ASDINDTIYLQLSAPSHTKWIALGQGERMAGGRIFLIYASPDGSITLSPRKAFGHLDV FYDPNIQAHLLEGSGIHDGVITANIRCDNCMHLDNGDSLMGSSSSWGWAICHGYPLVS SDVAVKIHKHDVHGSFTLNMTKAIGGNSTNPFLDMTYPRHDVTLFSKQHVIDDALLYR KRVAHGVMTPIAFVLMFPGFGLLLQIYPSRHTVLWMHAPMQIIAACVALIGLGFGVSV SMDLKLSNGYHPTIGYVLVGVVVLIQPVIGVVQHLHFLRSGGTTIYGVLHRWFGRLLS AIGIVNGGMGFYYAYQHTEDIPPIPPIIYGMVCGGVCILYVFVVMWRREKKKSQAVIA NLQTEFFQNRRDLEQASDKLDSARAKSVESSSISEKKW AOR_1_1008054 MGFTKEDAMIGIIGMGDMGKMYAQRLSMAGWRINACDKPDSFES LKSEFASQQGVTIYPNGHLVSRISDFIIYSVEAGVIDKVVAEYGPSTKVGAIVGGQTS CKAPELAAFEKHLPSDVEIVSCHSLHGPKVNPKAQPLVLIQHRASDESLRFVENVLSC FESKFVYLTGEMHDRITADTQAVTHAAFLSMGTAWRANNQFPWEISRWVGGIENVKIN ITLRIYSNKWHVYAGLAILNPSAKEQIRQYAESVTDLYKLMIEGRREELKQRVKAAGA AVFKEGTEGQDLLLKDEVLDRFSLSKGPREASPPNSHLSLLAIVDCWSKLGIVPYDHM ICSTPLFRLWLGVTEYLFRSPDLLEEALDTAVDDKTFRSDDLEFTFAARAWSDCVSFG DFESYRDRFERIQGYFAPRFPDAVKLGNEMMKTILEKTKSN AOR_1_1010054 MAPKQKTAAAKENVTLGPLAGDGKLVFGVARIFASFNDTFVHVT DLSGRETICRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGINALHIKIRATGGN GTKTPGPGAQSALRALARSGMRIGRIEDVTPTPSDSTRRKGGRRGRRL AOR_1_1012054 MASVTPSPTILVPHLPPKTLVGIDLITFTSTPNFHGIRDLPTGW HFLYTGATESLSLRSGGWFYVGDISAAGSTNDGALIPAPRGNLGPDVIIWKWDIDTET LAPLRACDDADKQEAMRHKANLAAVWQSGGLFRYRSRVPPSSQDRAQSRDVDDDENEE EGRQDWEGLTNRLSPRLLKRIIGDPEEDVDGRPRWMVTSASTAQKDSESIPGIPEPGQ DSDRLADVIGEQESEFSFLHIDLKKTWREGAIGRERTEAAQDRSWALGDLIQQVSNAD QGTSEIDEQLGEAQILGELQFTFLMLRRCDDIEGGFFDLDGEEGGEFLRKLLMKFRTS LYEVIEDAGTLVKEDFKALESWVKTEYDWELNHGAFVRRGMLQLEDGEQVEMDMPDDE DDEMGEYAPVVVDLGEGNTM AOR_1_1014054 MGSVAHSVAELDASVVKITRSTNLRPVPEPGSPEELSHSYCTDH MVTARWTVANGWETPEVKPFENLSIPPTASCLHYATECFEGMKVYRGYDGKLRLFRPD CNGERLLSSAQRASLPSFRYEELKVLIAKLMQIDGPRWLPKDQPGRFLYLRPTMIGSG PHLGVQTPKEALLFIIAVPWPDPSKLKKPEEGTKPGLKLLASTPDTIRAWPGGFGYAK LGANYGPSLVAHGKAQAIGFDQILWLFGQDRQVTEAGASNFFIVWENKETGKIELVTA PLENQLILPGVTRRSVLQLARTELSKPTGSLAPVEVVERDFTISEVEQAWKEGRIIEA FVCGTAFFVTPVKLIRNGDVDMDMLEAGAARGGYAVQIKSWLEAIMYGKDGKENDEWS YIIEGESEK AOR_1_1806054 MLAFEVGKVLESNGDKVSFLGSFNLPPHIKSRMHQLDWKERLLH LSYFLDLMTEAHARKLAAELQGATREQAMAKVMEDADQNRLFELALSPEALNKWATLA FALQSMAIDYDRSGSSTSMDVFYCISLAVVASSKKQWRNEHLRKWVDIARSEPRFHEV GGAHYTMLGPEHVFNFQKTLRAALDARGI AOR_1_1018054 MTLLVDQHRAALHGLEAQNNPRNWDLSCPRYIASGGEANVVKTY DIVSQLLSHYGVPAHVIVPGSIFNTKCPTYDKERNLGFTSVSACMPGIKVRITEGSNS ETVPVGVVGNLEISGPVVFKSHFNNVTATEESFLSDGWTKTGDKGSIDEIGYFTLQGC AKEVLVINGVKYNPRKIEPALDESKIPGLTPSFNR AOR_1_1020054 MSRSMLSSAARQLWRQRSPRTGVSAFPSSKPRSSISPSFSDSTP RRSISYAICESKTNRLTSPRRSLPAIVRLQQRCAFSSTSTRPATKVIQNPRTGEDGNP LTIEISPRAAERLREVTDPTSSPSVLKENPYHHLRITVTSGGCHGFQYMMSLEAASKI DPEEDTVFEAEYSPEEGSSEAAGQAKVVMDEPSLELLYGSTVDYTMELIGSQFKIVDN PRATSNCGCGTSFDVTD AOR_1_1022054 MSYEERANAHPNLGDESDVEEEALVNDYREQVNFDDSMSELDRT TSLGAGSQTQDLQAQLAAAATPLEYQATLETKFASYDNYCSLFHYILNSDGPVELEVP SYYWAWDVIDEFIYQFESFCRYRNRVARSGSNEEEAQLLRENPNTWGCYSVLNVLYSL IQRSQINEQLAAIKRGEDPMAFAGEYGSRPLYKMLGYFSIIGLLRVHCLLGDFSLALK TLDDIEMNKKAMFARVMAAHFTTYYYVGFSYMMMRRYGDAIRMFSHILVYVSRTKNFQ KGGNSYDAIAKKNDQMYALIAICVALHPTRLDDTIHSALREKYGEQLHRLQHGGPEAL PLFEELFRSACPKFISPTPPDFDNPSVNVDPVDHHTAIFMDEVKNTLYNPTIRSYLKL YTTMDLQKLAGFLEVEPEKLRSWLLVNKQRSRQVRWVEGGLLEGEPVAANDLDYALEN DLIHVSETKAGRRLVDWYLRNLARVY AOR_1_1024054 MTSEDHLPALAPGPRGPVNKQSFTLMKPRKNSTACLPCKQAKRK CTGRPAPCKACQNTDAECVFDETLDLRRKVAARRTLGELECYRGLLYSLLESLRSSDE DKVNHILETIRGSALLSNVANVVDAPADLSDASSDNSKPLGNTDDAIAQQERLAADAH SRITLEKLCDIPVFQVPVKPWTAVTDDDHLVSHLISLYFTWDHPLSQIVDQRVFLRHM REGNKNTEFCTPFLVNSILAIASTYSDFPEVFAIPGDVSSKGAHFFNEAELLWKAEEG RPSLANIQALALMSHVLKLKGKPDVGWLLLRQAVQLGQDFGFFQAPRTGHRKWRDTSV DMQSAGATAAWGLFILNSQISMECRKTANLKPPIFEPPGRDNSNDDIVWIPYPRSNHI GYEKKSALLREIMIQTVGFTELVVNMQDLLFDEAFDMNIGDLCRAVSAVYTRLETWLD NLPPPLKIDKEAVQVPQVLSLHIRYHHAIIQLFDFLMNHEDFAPMSHPSDVNQARLIR LQSAKQIADYLLLYHEAYGLRHVPGQMLEPANASTLILLAALDDCKDNLKEEFIEVCR FLVAFSKRFSLARDMLANIESTAESKGIKLSPEAGAVFDHRNLESSQWL AOR_1_1026054 MGSRLHVQEIKKGPPLPFKDDIRAFTREYAESLDAQDPLRHFRD EFIIPSKKDLKRKTLNANENIEDSSDPRSIYLCGNSLGLQPRNTRKYLEHYLRTWAIK GVTGHFTPHDDQLLPPFVDVDDAGAKLMAPIVGALESEVAVMGTLTANLHFLMASFYQ PTKEKYKIILEGKAFPSDHYAVESQIQHHNLDPKDAMVLIELENLDRPILDTEKILRV IDEHASSTALILLSGIQFYTGQYFDIEKITAYAHSKGIIIGWDCAHAAGNVELKLHDW NVDFAAWCNYKYLNSGPGGMAGLFVHENHGRVDMTKVGSKDEPFRPRLSGWWGDDKKT RFRMENRFVPQPGAAGFQLSNPSVLDMNAVAASLEIFNRTSMAEIRKKSLDLTGYLEH LLLKYPLDAAPEDKPFSIITPSNPAERGAQLSLRLGPGLLDNVLEVLEENGVVIDERK PDVIRVAPAPLYNTYADVWQFCQIFFDACQKAVRARK AOR_1_1028054 MATEPLNPVPPPQWAVALNSPAPQPSKAASRIPDPPGFSSSKVG GKNRTQQSTSTAASKSEDTDTLKLKKAWELAMAPSKQIPMNAIMMYMSGNSLQIFSIM MVFMLFKGPIQDLINTNTVFAKFDTESTRGKLLGVKAVYVLMQFVLLALGVWKVNAMG LLPTTRSDWLAWESERQPLERAYFALG AOR_1_1030054 MSSGGGDAKLFARGKVAELRQELHSGGKKDKNYSAKKIALKKIV ANMTMSNNDMVALFPDVIECMNLPSLEIKKMCFLFLVNYSRMKPDIALKALPILVNDM EDTNPLVRALALRTISYVHVREFVEATVQPVKRLMGDMDPYVRKTSAFCVAKLYEHDR KMVESSDLIDRLNHMLKDENPTVVSSVLASLNDIWGRSETISLTIDYTSASKLVSILP DCSEWGQTYILEALMSYVPQDSAEALLLAERVAPRLSHSNSAVVLTSIRVILYLMNYI ADERHVTSLAKKLSPPLVTLLSKPPEVQYLALRNAILILQKRPEVLRNDIRVFFCNYN DPIYVKVTKLELIFMLTTKENISVVLAELREYATEIDVHFVRKAVRAIGKLAIKIESA AKECIDTLLELVNAKIPYIVQEATVVIRNIFRKYPNQYESIIGRIIQNIDELDEPEAK AAIIWIIGQYADRIENSDGLLQDYLATFHDETVEVQLALLTATVKFFIQRPTKGQQLV PQVLKWCTEETDDPDLRDRGYMYWRLLSTDPATARQVVMGQKPPISAESEKLDSRTLE ELCLNVGTLATVYLKPVQQVFRSARTRRLQYSPALQKPRNDDGSNAWQYPVSPSSATP VTPTSAANGAAPAPGDMNAAVNAADSYFNSVGTQQMAALDLGGRGDGIGGGGAPQTQY IVSQNQQQVYQPQLAGGAATGELLLL AOR_1_1032054 MGGDLNLKKSWHPSLLRNQERVWSEEKRALEERKKVEQLRRERD EERQIQELQRLQEDSGKPRQHNRVDWMYQAPSSATGHYSEEMEGYLLGKRRIDGILLK HDDNKKLEKGADLMGAPAAAQPVVGTGNPRDMMTKVMADPLMEIRKREQAAYENAVKE AAVRGKVIARGEKEKERERERDRGHRRSRRYSDEEADSRRHRHRSHRHRSRSPASPER LSHRRRRDERDDRDRRDRDRDRERRSDRDRRDRDDRDYRSSRREDRDERHDRPSRRDS LRDRSPSPRADHRHSDRRRTDDRNNDYSRDHDRRDRPYRHNDRDRRDNRGPRDSYTRD RPNDAGAGDTNKAKELEEERKRKLAEMLNNADEMEDTRRQRIADVTAMEEKKREEDEK QRSEKGRFVAGLHRQLQEDNLDDRIKRSRGGLARMED AOR_1_1034054 MSSPPFTVKAVFEYSSSHEDDLTFSIGQIITVTAEEDAEWYYGE YTNESGSKTEGIFPKNFVEKYDPPAPPRPTRPSRPKKEADVAPPPAPVAVETPVESHP PPEPEVEEAPADEPRSVPNPPQSPPPPSEPSLSEVASPPKPAPAPAYQPSSPEAREPA PKASPKPPPPAVAEKPASSSFRDRIAAFNKPAAPPVAPFKPGGWSSGGSNTFIKKPFV APPPSKNAYVPPPREAPPKIYKREEDPDVQESVSREPPASESRPAPIETTENKEEDQP KPTSLKERIALLQKQQMEQAARHAEAAQKKEKPKKPPPKKRAESYEGAAPAEEPSAER PGSMESARDPSVDTVKPDALPVPQPPMSPPPAREPASDANDADDSAAADIEDAEETST SKEDYDERARAESRHVAQPQEQKGEESEKDGRDDNEEEEEEEEEEIDPEVKRRMELRE RMAKMSGGMGMMGFFGPPGGMPLPGAAPRKPKATTEPERSNRDVEPTSPAAAPPVPVM ALPGMNTTKPAAPPTVEKEEEEAPTTPLAEQHPAEEVPDVEEVVPEEPPRPVSSDRAP APQERSAPPLPPLETRPVPPPVPQGQPLSPPPVPELPPPVKNSTSGDPGEESDDELSV HAQNLSLNAAATDRSASPPSVPAPPIPDHVDSRRLSTYDATSPKSPTFSSEKRFSRQP PPIPANPPIPSQTRPPPPPPPGDLRRRSTADSRVSAASQLRQTGEEVEGEVTEYDGDY DTDIASGAKFKDALKAHGRDSSIDEDTITDDHSLQSPRSPHETRLPPPPPPSAPRAVP PPPPVQPPRSAGRASMESPRGPPPPPPPPHRELSYGDDDEYDPYRYTTPQHGLPSPRA PPVPAERRSVVPPVAQPENVDDSDDMYEASPVQSPPLPPVPTSPERRSSVAPPPPPSM PPPSAAPQPPSTSRSNRASLDVPRGPSNLRRSMDINRPSVDQGYIAMDVDLAEHTLWW AQPNNPPPAFQNRKDVLFEFEDSGPANRSGSSTVTKEVYILFIDYSQTIITVNFDARN PSDATLEQRHEAPPLQPRQDQLENAHLQIGTRIATAVNGIQNTTVADGTPFGLVQHLL SPITDALHPVGTRAYGALVYSNLANASVQQNDEIRAGDIVSFRNTRFQGHRGTMHQKY SAEVGKPDHVGIVVDWDGTKKKIRAWEQGRESKKVKVESFKLNDLRSGECKVWRVMPR NWVGWGK AOR_1_1034054 MSSPPFTVKAVFEYSSSHEDDLTFSIGQIITVTAEEDAEWYYGE YTNESGSKTEGIFPKNFVEKYDPPAPPRPTRPSRPKKEADVAPPPAPVAVETPVESHP PPEPEVEEAPADEPRSVPNPPQSPPPPSEPSLSEVASPPKPAPAPAYQPSSPEAREPA PKASPKPPPPAVAEKPASSSFRDRIAAFNKPAAPPVAPFKPGGWSSGGSNTFIKKPFV APPPSKNAYVPPPREAPPKIYKREEDPDVQESVSREPPASESRPAPIETTENKEEDQP KPTSLKERIALLQKQQMEQAARHAEAAQKKEKPKKPPPKKRAESYEGAAPAEEPSAER PGSMESARDPSVDTVKPDALPVPQPPMSPPPAREPASDANDADDSAAADIEDAEETST SKEDYDERARAESRHVAQPQEQKGEESEKDGRDDNEEEEEEEEEEIDPEVKRRMELRE RMAKMSGGMGMMGFFGPPGGMPLPGAAPRKPKATTEPERSNRDVEPTSPAAAPPVPVM ALPGMNTTKPAAPPTVEKEEEEAPTTPLAEQHPAEEVPDVEEVVPEEPPRPVSSDRAP APQERSAPPLPPLETRPVPPPVPQGQPLSPPPVPEPRPVPPPVKNSTSGDPGEESDDE LSVHAQNLSLNAAATDRSASPPSVPAPPIPDHVDSRRLSTYDATSPKSPTFSSEKRFS RQPPPIPANPPIPSQTRPPPPPPPGDLRRRSTADSRVSAASQLRQTGEEVEGEVTEYD GDYDTDIASGAKFKDALKAHGRDSSIDEDTITDDHSLQSPRSPHETRLPPPPPPSAPR AVPPPPPVQPPRSAGRASMESPRGPPPPPPPPHRELSYGDDDEYDPYRYTTPQHGLPS PRAPPVPAERRSVVPPVAQPENVDDSDDMYEASPVQSPPLPPVPTSPERRSSVAPPPP PSMPPPSAAPQPPSTSRSNRASLDVPRGPSNLRRSMDINRPSVDQGYIAMDVDLAEHT LWWAQPNNPPPAFQNRKDVLFEFEDSGPANRSGSSTVTKEVYILFIDYSQTIITVNFD ARNPSDATLEQRHEAPPLQPRQDQLENAHLQIGTRIATAVNGIQNTTVADGTPFGLVQ HLLSPITDALHPVGTRAYGALVYSNLANASVQQNDEIRAGDIVSFRNTRFQGHRGTMH QKYSAEVGKPDHVGIVVDWDGTKKKIRAWEQGRESKKVKVESFKLNDLRSGECKVWRV MPRNWVGWGK AOR_1_1036054 MSTSRSHLKTSLPSAHAASTQAPSSMRRAPPKSTVSLQQKGQPA NKPDADPRHLAIALHHAHRIQAQKDTESLILDRILELVTFPSSPSADPASPSPEDAQA FKSALIPFQPADYDNLIQERNIEGLCGYGLCPREHRKDDSRGAYRITWGAKGSGPGGR GRDMNIVPREKLEMWCSDECAERALYIRVQLAEEPVWERRADDARGKNLLLLEEGRAT TRGGKGSSNLAGDMSKLSVRDSAQSRELALERGDANPALRAGRVDVQIQEKDNLAYEH VTAPEMRPGDDKGGSIEGYVPQEF AOR_1_1038054 MVKVLLTGGSGFIAAHIIDILLQRGYETVVTVRSEEKGQKILDA HPSTPKEKLSYVIVKDVAEEGAFDEAVKSNPPFDYVLHTASPFHYNVSDPVKDFLDPA IKGTTGILKAIKAYAPTVKRVVVTSSFAAIVNVKEHPKVYSEENWNPVTWEEAMDPSQ TYRASKTFAEKAAWDFVEKEKPNFDIATINPPLVLGPVVPYLNSLDAVNTSNSRISNL VRGNNKDGLLPTGTFLWVDVHDVALAHVRAIEVSEAGGQRFFLVSGSYANKDLADIIR DAYPQLEEKLPPKDSASDMPANVYGYNNKKSIEVLGIQYRSLKESVVDTVKSLLQVGA AOR_1_1040054 MLKQPLSEKASPSLIVNHTPEEEPPRRRSCPLNAMATARLSPTA NLLRKSRLFALPQALKPPQDPPTSKVVFESDTATLPHPTRASIVTPRSSLARGDWGLK RSLPAKSTSAKSSRPVVRVNALDTFEHVTDFESAADHTVTLEKFQELHMPLSLPSKVN YATSIVPRHQSPFESYVDNTDTSKGLEETGAKQFRHSGPWLAGQTEAEFSAYLKKVRS NKPELLQKLRQLFSEKRTAERRKQAQDNGEDLEALEPVKVTEEEFQTYLKSLRTDPFS LGPVVFELLDLPSPPAVPSDRIGHKYYQSPGTKLSSAEYAVSGPPKTHPSAGLSYTRS HALIYNHPKFGPQAYQRPVEARILRPKGRFKGRTSKAIAGVGGIAVEDLNAMTFVEQG SPPGLAYFDVSIPGGAKYWVTPIRASVDSEGRIGLASYRASATAKAPYNIEDYKKPSL TTISDVARGDQRVVPRLDRHKPRFRPSGEPQHTTEDIAKNLMKTLSSS AOR_1_1042054 MAQAGPITDVTQRLFVELKSKNEETRARAAYELYDNVLAISRDW PPEKFLEFYNAVSQRIAQLVVTGSDAHERIGGLLALDRLIDFDGVDAAQKTTRFASYL RSALRSNDNGVLEYAAKALGRLAKPGGALTAELVESEIQSALEWLQSERQESRRVAAV LVIRELAKGSPTLLYGFVPQIFELIWVALRDPKVVIRETASEAVRECFEIIAARDSQV RKSWFARIYEEALQGLKSNNVDWIHGSLLVLKELLLKGAMFMNEHYRNACEIVLRLKD HRDQKIRAQVVLTIPILACYAPVDFTETYLHRFMVYLQAQLKRDKERNEAFIAIGKIA NAVGVAIAQYLDGIIVYIREGLAMKARNRAGVNEAPMFECISMLSLAVGPALNNYMES LLDPIFACGLSESLTQALFDMAHFIPQIKPTIQEKLLDMLSLILKGAPFRPLGCPESR LPPIPSFAKDFAPQELHSDAEIALALHTLGSFDFSGHILNEFVRDVAINYVENDNSEI RKASALTCCQLFVHDPIINQTSSHSIQVVSEVIDKLLTVGVGDPDSEIRRTVLWSLDR KFDRHLARPENIRCLFLAVNDEVFSVREAAICIIGRLSSVNPAYVFPPLRKLLVNLLT GLGFASTARQKEESAQLISLFVSNATKLIRSYVDPMVTTLLPKATDTNPGVASTTLKA IGELANVGGGEMKLYLPQLMPVILDSLQDLSSHAKREAALRTLGQLASNSGYVIDPYL EYPHLLAVLINIIKTEQTGSLRKETIKLLGILGALDPYKYQQISETAPDIHHINEVQT VSDVALIMQGLTPSNEEYYPTVVINTLMQNILRENSLAQYHSAVIDAIVTIFKTLGLK CVPFLGQIIPGFIAVIRGSPPSRLESYFNQMAILVNIVRQHIRAFLPEIIEVVRDFWD ASYQVQATILLLVEAIAKSLEGEFKKYMAGLIPMMLDTLEKDNTPRRQPSERILHTFL IFGTSGEEYMHLIVPSIVRLFDRTQNPQSIRKTAIDSLTKLSRQVNVSDFASLMVHSL SRVVAGNDRMLRQAAMDCICALIFQLGQDFSHYIHLLNKVLKRHQITHVNYHILVTKL QKGDSLPQDLNPDENYAALADDTNFAEIGQKKMVVNQQHLKNAWDASQKSTREDWQEW IRRFSVELLKESPSPALRACASLAGIYQPLAKDLFNAAFVSCWTELYDQYQEELVRSI EKALTSPNIPPEILQVLLNLAEFMEHDDKALPIDIRTLGKYAAKCHAFAKALHYKELE FEQDQNSGAVEALITINNQLQQSDAAIGILRKAQAYRDVELKETWFEKLQRWEEALAA YKRREKIDPDSFGVTMGKMRCLHALGEWKILSDLAQEKWNQASLEHRRAIAPLAAAAA WGRGQWELMDSYLGVMKEQSPDRSFFGAILAIHRNQFEEATMYIEKARNGLDTELSAL LGESYNRAYNVVVRVQMLAELEEIITYKQNIGDPEKQDSMRQTWNKRLLGCQQNVEVW QRMLKVRALVTSPRENLDMWIKFANLCRKSNRMGLAERSLASLETVVSDNNGTRAVAP PEVTYARLKFSWATGRQREALQMLKEFTTSLTEDFTRFNALMISQSEHNGINGVNGIQ DTNHGDIMGLRERIGDVAKFRRLLAKSYLRQGEWQTSLQKGDWKPEHVREVLNAYSAA TKYNRDSYKAWHSWALANFEVVTTIASQASKDGATLAMVPGHIVTEHVIPAIRGFLRS ISLSSTSSLQDTLRLLTLWFTHGGDQEVNAVVTEGFTAVNIDTWLAVTPQLIARINQP NIRVRSAVHRLLAEVGKVHPQALVYPLTVAMKSNVARRSQSASSIMDSMRQHSAKLVE QADLVSHELIRVAVLWHELWHEGLEEASRLYFGDHNVEGMFATLAPLHEMLDKGAETL REVSFAQAFGRDLAEAKHYCMLYRETEEIGDLNQAWDLYYTVFRKISRQLPQLSTLDL KYVSPKLKDCVDLDLAVPGTYQSGRPIIRIMSFDPILHVLQTKKRPRRMTLKGSDGNS YMYALKGHEDIRQDERVMQLFGLVNTLLDNDSESFKRHLSVQRFPAIPLSQSSGILGW VSNSDTLHALIKEYRESRRILLNIEHRIMLQMAPDYDNLTLMQKVEVFGYAMDNTTGK DLYRVLWLKSKSSEAWLERRTNYTRSLGVMSMVGYILGLGDRHPSNLLLDRITGRVVH IDFGDCFEVAMHREKYPERVPFRLTRMLTFAMEVSNIEGSYRITCEAVMRVLRENKDS LMAVLEAFIHDPLINWRLGIRESPDRMPFSSERRQSIIGNINSEQGVQPSNFSRHRRP SILEGGILDAQEGIPNEAREAQNARALQVLARVKEKLTGRDFKPSEELNVSDQVDKLL AQATSVENICQHWIGWCSFW AOR_1_1044054 MGHAQTVFSALFLAVGGFLFGYDSGIITSTISLATFKDYFGNPS GTVTGGVVSSFQGGAIAGTMVNMVFADKIGRKYSILVGAIISCLGCAIQAGAVAMSML IVGRFIAGVAVGMLTATIPMYASELSEPQWRASLSGLLQWMLSWGYLVAQWLGYGCSF NTTEFSWRFPLAFQCIPGIILVVGIWFLNESPRWLMEKDRQEEARQVLMSLRKGKDPE LIELEFQEIRDVILADRAMGEITWRSIISKPSWRRRLLLGCGVQAFGPLSGTNVINYY GPTIYNILGIDNHTSLMIIGISGALSVVYCTIGLYLLDKVGRIRPLLVSAAGLAAALL VNAVQAQYMDQSNTNQLRSMVAMNFVFSFFYTPLGIISWVYPAEIFPVEVRALGNAIT TFTNWTVNLVFAQFSPNALDSVGFKYFYLFFVLNLIAFVCYWFFYPETKGRTLEQMDE LFGDQIVPHALQDPEGAAAAMEKERALTDHVEFGENKSQV AOR_1_1046054 MEFTRCGIHGFHEVIGIDTDEIRFFWALHADKEYARQTAYRVVV STDRDNLQSQGVCWDSGRVESDAQRNIKCTPNQPFQSTTFHYWKVTVWDENDIPCESP VNEFYTSYPRSSGLLPPYSMNQTYMPHSSLIFRTWFEDEPNRWKAVWVGDGGDKPIYL RKSIQLARQPSRVVVFASGLGHFNLTVNGKAASDHVLDPGWTNYHRSVQYVGYDLTSQ WQQGENVLGAHVGNGFYAGDQGDRFFWPTYEDNTFVRYGNELCFFAEVHLHYADGSHE TIVSDPTWKVRKSATTLANIYASENLDRRLYPTGWDSPGFDDADWSPAKPLTGPRGKL SYQSQPPVVLHNTFHPIKRQVTRPGVIVYDLGQNSSIMVQLEVSGPSASEVIVRCAET LGDNGEVFMPDPLFKEFEHNVYSKIILTGEGRETWTPDFCFTSARYVQVEGVSDSDSD NLPTIHSLSAQHVSSAARQLGHLKTDKEDVNALINACYWTFASNIFSYHTDCPQIEKF GWLEVTSLLAPATQYIRDMEAVYTKILDDIIDAQEPNGLVPTMAPEIRYMCGPLHDTI TWGCAVCFLPELIKRYYGSTHVYSKIYQPCVRYMEYMKTKERKGGLIEHGLGDWGYDI AFGNHQANIETAVYYRCLCNVAMMAKELGFTEDVALYEAWAARIYDVYNSHLLVSDKT EYPYAFYTSLDNPGVHDRTMVNQALALQFGLVPAEYRSDVIQAFVAAVEESGPRIRAG EIGLKYVWSTFAEAEVDRPDLVLAMARQEEHPSYMRFIRRGETTLSEFWQDACRSKCH DMLGTIYEWFYAAVLGVQPIGDAYRTWTLRPPFRSEFDFVEGEVDCPYGLIRVCFDRK KTEGTSAQLEVTVPTSTVCTLQLPSNGSLAQIQRNGGQELKKVTGSEVTLMPGVYKCV IWP AOR_1_1048054 MEPSSERDPDGHAQVHPVVREALRISLSAKEYKILHDHAVRRAP VKVQSKLPSPSRYEAIVRSKNKYNEAALRASIRVFLVSGALFKFVDWALARIRGDLSK KKAQTSFLRSPNFRLSASLSLVLLFHRLLHRFFTRLRANLRTDEAQPFRNRNPRVSKA LTSRYAPAVGASLALFGLGICPQNQLRLTAAIWMATRSLEFLFNAIDEKGWLENRPAW FGSWLLMPLSCAQLFHAFIFDREATPKWLGNVIFRLSPGYIPDRPESLPAEFSWPGKE DVVDSLATIANLRWPAFVSPILHPGDLNTLPSAVKSISPITGPAHPSISGLSCALLHS SSPSCSTAFLHNILLSVPRLARFVTTVTLALSVLKFKKLMANPITSINNLSKKIITLT AVLSASVGSAWGSICLWNSLFPRSVLPTKRFFLSGALAGMPFAFLANSRSVFTYFFRA AVDSAWKTGVKRGLWKGWKGGDLFILVLSWALMNSILESRPNAVQGRGVRKALAWMNG EGFVDPVEAAAKRKLKKTKKAETEH AOR_1_1050054 MAATKLKIGCAGLGRMGKRHALNFLQRTPRAELVAASSPDDAEL EWAKVHLEPYGVKLYKNYDDMLRHEGLEAVVVASATAVHAEQAIKAIDAEKHVLCEKP LSTSVEISQSVLDAAAKKPHLKVMCGFSRRFDASYRDAFNKMSAGSIGSPSVMRSQTC DKLDPSGFFVAYAQFSGGIFVDCSIHDIDLALWFFGQDSKVKSVSAVGITAVEPDLRK HNDRDNAVGLVEFHNGKIAYFYASRMMAAGQEDTTEIIGTQGKLAVNTQPALNLVSIY DSTGIRREIPQHYYDRFEYAFVTEANEFTACCLENTPVPLRLDGAVQAVRIGAALQES LITGEKIFFDEEGNRVDKSRL AOR_1_1052054 MAPVAVAPETPVFNTKRDGQALEETSDAIDTVNVLKQNIKQDDK DIYAESEFDKNKDKTKFRQYEDACDRVKNFYKEQHTKQTVAYNLKARHAFHSKTRAEM TIWEAMEKLNTLIDESDPDTSLSQIEHLLQSAEAIRRDGKPRWMQLTGLIHDLGKLLY FFDAQGQWDVVGDTFPVGLAFDDRIIYGTESFKENPDYGHDVYGTKFGIYSPGCGLDK VMLSWGHDEYLYHVVKDQSTLPDEALAIIRYHSFYPWHNAGAYQELMNDHDKEMLKAV KAFNPYDLYSKSDDVPTVDELKPYYLELIDEFFPNKVIKW AOR_1_1054054 MAVADTRFKLNTGAEIPALGLGTWQSQPGEVEKAVSHAISVGYR HIDGAFCYQNEEEVGKGIRDALASGKVKREDLFVTTKLWCTYHSRVEEALEKSLKNLG LDYIDLYLMHWPLAMNPNGNHPLFPKHEDGSRDIDHSHSHVQTWKNMEKLLATGKVKA IGVSNYSVRYLEQLLPEATVVPAVNQIENHPSLPQQEIVDFCKKKGIHITAYSPLGST GSPLFTAEPIVEVAKKKGVTPATVLLSWHIARGSSVLAKSVTPSRIEDNRKLVQLDES DMATIAKYTDDLAARKAFQRFVYPPFGVDFGFPDKS AOR_1_1056054 MRVGLSSEDLRSFCRARSSGPAFKTVEPQVDHSSERQKAQQDRK KEKRRLKKKQLKEEKKRKTEQAKKGKTIDSPLDSGEVNIGNTQHPFSNNATISSKPVV DEAPPSYSGLAAGSLETSNADTSSSHTVRPSSQKGEEHEEQNEPSSIYDETGPVICHL HGRNICQFNFSCCVHKPTIDCNCPPKWSCCCAHHSGDCCNCVFASGSSYLDEHASEAP SDGFRQTSEQETKEKNVVDVGVGSSEASSEHHTIATEILPNRFDPLSEHLLHCLEASE FSDSQIILRSSKDIFMPIVFKTHRVVISRSRFMADVLGSTIQKERPNEIVAVGNENFC MIKGFEYALQHLYGRPLLTAGQLRLATLSTYGYSENNVGKIQFSLMAAMVDFALCYAA SGAFFQQEAVIETGIRLAIELIDWETVECILYFGVCTTRPVVTLDQTGDYDAAEELQR WAPRLVSSVLQFVTRHMGMDFTLYTKAQSKTLPNRIPESLHGVPGPVITSPRSYTPRQ GENPNRDVEVLSAMLIYLPYKQLGQMLEAMEAQNILSSDLAQAVVAERETRRLQALRA LAKQGVKDELSATDEVRELGYRETVTIGEVQGPGITLNREWIGLAVVEETVATPRVKR VVKKHKKHKRKR AOR_1_1058054 MPLPIPSASNCSEFRIVPPTNITMNRFRKAKKEKTKEDVEAIEN THNLLTSKLSKRSKKDEPEEKPELDLSNALPSNDDFRTSLLMPKLSARFSMLREQDDP ASIIGKASDDSVLFPKRASRLNLFGHNPNLLTDIDEVSTDGSRPSFNLGRDSFASAGD GYGTDDDRSQKESIMSRARRTEGNNLFGGRQKVYKIPAKASSNVSVAADAGQMGGRAV YDHDLSLSAFQRLRLKEKEERAAAEEVHQDTSAAESEDALSSISSAKRTTFSSTASGP TANGRTSTSASSIDEQSFAVSPSQESPAAFSKPSVPAMAPERGSVKSRRLYGQGLAQS VQNQQNSTLHRLESLSRQRAGTPEMPRLNRSYSRSATSLRDRIQRLPLAEPAIATRRP TSPAPSSRAPTQQLGETNAKEQRPHDAPHGVLPPLSPPASEREEGAPLMASLQPEDHG KATALGLFNKPRTPYDESQFSQRQLQMHEGRSTPPLSRPPLPGRAISQESTGRPRGLS NTSYRPRAGSATSHYSEAQHPGNRSAAPSVYESPRRHMNGTFFANMSPSDSEDEEAEA LLELANGVIADDFVHPALRSETPQKPSTPTGGEAQEEQSPLPEVRFSDLGDLKPIAEN GTPEKTVNDGNAVPEKPDSPTLGPSGLGLSGLVRTHLRHDSDKSSIFPPPSPGLPSRL MDDEKHKETPMKESNTDDSVSQEHEIPRRNIDPSELLNGSSWQGELVARHRRQASTDT QREREEFENELAERRRKVQEKLKGMAENESRSASPVSGCQTPDYTQVKPGNAFSLLKS KSGKHNLFSRQDQRNPKMLGLGNASTPTLASDDQWREEEERPSFSFGKHSNSSSPHVS SERSIRSRMFGRSSQEDSRESSRSRGASPHSSFRSMRDRSTSDASGRSKSRTRRERDD LGTLEESDPYEQSAFPDFDQRGLTSVASSARPSVEVNDTYMYDRCSSAASGRYRSGSR SGTPSFVERPLHPPALNPQMIGAPPRPSPIAPYSANATPPLYDMSSDPSSSSASTSTT SLPQRAPGHTGGLQKRPIDKYQISEPTFVSTTSNVPTVGLPPGASLSNGMTTPPVPPM NPRRRRQTTTQTILGAIRGDKYESQYAQSHAAEEYSTFSDEGERRPRTRNRLRKTSSE GGDLNAKARHQLMTGPPPAMPAYPPPQVPMEGGMF AOR_1_1060054 MQVLLLGGHGKVALHLTPLLLNRGWNVTSVIRNPEHENEILARG KGTKGKLNILLSSLDDIKSDEDAKKIIDTVSPDYVVWSAGAGGKGGPERTFAIDERAA KHFISASFASPRVTKFLLVSWLGSRRVRPSWMSDEGWEAIQNVFYNVLPAYAKAKLEA DEYLTAFAARRKQSQASPFQAICLRPGTLTDEPATGKVQFGKTEGRGNVTREDVAIVA DRLLARSDTNGWYDLINGDEPIDDAVERVVREKVDVVEGEDVDAMVKRFFP AOR_1_1062054 MPVSSQYPPVDIPNVDLWTFLFERKDRTFPDDNIIYQDADTQRF YTYKTLKDAALAFGQGLKAIYDWRKGDVLALFTPNSIDTPVVMWGAHWAGGVVSPANP AYTTEELAFQLKNSGAKAVITQVPQLSVVREAAKQANIPEDRIILIGDKRDPEARLKH FTSIRNISGATRYRKTKINPDKDLSFLVYSSGTTGVPKGVMLSHRNIVANSLQLAAGE AGHLTWNGGADGKGDRVLAFLPFFHIYGLTCLVHQTLYQGYRLVVMERFDIEKWCAHV QNYRITFSYVVPPVVLLLSKHPIVDKYDLSSLRMMNSGAAPLTRELVEAVYARIKCGI KQGYGLSETSPTTHTQPWEEWRTSIGSVGKLLPNMEAKYMTMPEDESEPREVSVGEVG ELYMKGPNIFQGYHNNPAATADCLTDGWFRTGDVGYQDKNGNFYITDRVKELIKYKGF QVAPAELEGILVDHEAIDDVAVIGIESEAHGTEVPLAFVVRSAKSKASGASAEQEAAN IIKWLDGKVAYHKRLRGGVRFVDAIPKSVSGKILRRVLKAQAKEAAAAPKAKL AOR_1_1064054 MAPKKPSTTAEVALVPLKNCLVNLPPSLVALLVNANTAAQNVIV ELQYRPTSGKASGNSTQRSCYLGWTGMPSKRRLAPVVGKDGINSGPSSREQDISTVEM DTTFGRVLGLTEGQRVGIFIHLDPPVAHTINIEPLTPEDWEIIELHATFLELNLLSQI RALPNPTYSTAQPDHMHPLALHLSPTSTANIVITSLTPAPSDTSPFAKIAPDAEVIVA PKYFDGESEDDTNKGLRVWVEPEILASNELRGASWACITIVQPSGLKPPLDPQQQLNQ AEQKSNEAGAPSTKLVAKVLPWVDAPHSEHLAMSTLLCSALGAEGMVGGIVRVEAAPP PLQKSAVKSIKVYPFMADTSKKKDGLKFGADTAAAKDALAERIKVIYGSPGSEKGLLS GPLTDGMVLPKADNQTTVSAFDGAIIRFDPPLKAASEPTFGWLQGSEQKLSLEVQSEI PRPSDQGSSPMPTEDTIPSTVPEMVGIDKIIRQSLDNLTKSSSILLTGGLGSGKTKLS HLLAHRLRKDHLFNVKYFSCRKLVTDETRISNIKETLNRLFMSASWCSRLGGQSVVIL DDLDKLCPVETELQVGGDNGRSRQNSEVICSMVREYCSMNSSVVLLATAQSKESLNNV IIGGHVAREIIHIRAPDKEGRRKVLEQLTSQDKGASITMNGHAREASASTQGSWLDPS NPDSRPSSAGADGFVLSRDIDFLELAGKTDGYMPGDLVLLVSRARNEALIRSVQELSG TSKAITLGADDFENAIKGFTPASLRNVTLTSSTTTFAGIGGLHETRQMLLETLQYPTK YAPIFAQCPLRLRSGLLLYGFPGCGKTLLASAVAGECGLNFISVKGPEILNKYIGASE KSVRDLFERAQAARPCVLFFDEFDSIAPKRGHDSTGVTDRVVNQLLTQMDGAEGLSGV YVLAATSRPDLIDPALLRPGRLDKSLLCDMPNHTDRADIIKAVSSKLVMSDEVVARLD EVAARTEGFSGADLQAVVYNAHLEAVHDALGDHNADKPAAKSNTKQSTSTSTKSFIQF LYSTSEQANGTVSMPPPAAVAAKLDAIKSARRRQRQIAQGTTNAATPAANETTQEEPR QEIIIKWEHMERSLNTTRSSLSAAERRRLQAIYREFVVGRNGEMPNGESSQEIGGRTS LM AOR_1_1066054 MALLQYHAPVDYAAQLDAFKDFLTHFKTFESASTSAATEAIEDL HIDGDRTSDEYDFMDDAEDENGAQREGSGRRRREPKLKYMQMLQDVADRERTNILIEL DDLATFEKSLPEDTDLKLVESVQKNTKRYVDVLSQAADAVMPKETKEITFKDDVLDVI MSQREKRNEAMTMAMEADMDAAAAPSIFPPELTRRYTLNFKPITPSGSSSERESKALA VRNVRAEYLGGLITVRGITTRVSDVKPAVQINAYTCDRCGSEVFQPITTKSYLPMTEC MSDECKQNNSKGQLFLSTRASKFVPFQEVKIQEMADQVPVGHIPRTMTVHCHGSLTRQ LNPGDVVDIAGIFLPTPYTGFRAIRAGLLTDTYMEAQHITQHKKSYNELAMDSRTLRK IEQHQKSGNMYEYLARSIAPEIYGHLDVKKALLLLLIGGVTKEMGDGMHIRGDINICL MGDPGVAKSQLLKYIAKVAPRGVYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLA DNGICCIDEFDKMDDSDRTAIHEVMEQQTISISKAGITTTLNARTSILAAANPLYGRY NPRVSPVENINLPAALLSRFDVMFLILDTPSRDSDEELAHHVTYVHMHNKHPENEDAG VMFTPSEVRQYIAKARTYRPVVPASVSDYMVGAYVRMRKQQKVDESEKKQFAHVTPRT LLGVVRLSQALARLRFSEEVVPEDVDEALRLVEVSKASLSNDGQGTADQTPSSKIYHL IRSMWESGAAAVGEDGELNMRRIRERVLAKGFTEDQLTMTIDEYDQLGIWQVNGNGTR LMFVGGDAEMDM AOR_1_1068054 MQTLSILTTLLLATSSLVLANPTKPVCGTCNPLSGQNNCDITTS CINTGTRFHCACRAGYKASKDNNDITKQFRLNMPNYQFLVFTPESTVCNTLCDNPYGA SPNLCAEVPIQNRCEV AOR_1_1070054 MEVHHITTSPKILFSKVRKIVPPMLEKFHKGQHGRVAVIGGSLD YTGAPYFSSMASARLGCDMSHVICERSAATVIKSYSPNLMVHPILPSSESVKDPNSID APNLASPIVAMLSRLHALVVGPGLGRDGVTLKVVTEVLKEARSRSIPFVLDADGLLLV TEQPDLVKGYKDCILTPNVNEFSRLAKALNIEVPSIAQIESDGGDKTSRETEACEKLS QALGGVTIIQKGPHDVISNGVTSLVNDIVGGLKRSGGQGDTLTGSLGTLLAWRAAYHN GLWDSGEKDNQKEAQSKQDIQAELESSDKRMSPATTLLLAAWAGSGITRECSRRAFEA KGRSMQASDLTDEVHESFLELIGEPEQSKMRL AOR_1_1072054 MSFLDSVLSSIETGKPSPLPPVTTTQSAPVSSSTAKSEARRPST TPRDVTERTSNAAGTKRKAEELLQRPQKPLTQTSIKQPLSRPMAAAAAPKPRPTSTPA ARPAIKSTISTSSASQKAAPVSSKPPPKGSFAEIMAKAKELQQKAPTQAGMFKHQAVP KEKLSKMERKKRAMEAQAKGKDARSAKKPGATSGPATGSKVGDVKPARKREPEELSYK GTARPTPSAVPEYRGTAGLPARSNPTDRKAQARSSKRSRMDEYLGTDEEDEGEYANDY DDYYSDASSDMEGGFNDVEEEEAAALAAARKEDEEEWRAELAAKQAKLERQRKLSTLA SRRR AOR_1_1074054 MKHLAAYLLLALAGNSTPSVEDIKSVLSSVGIDADEERLQKVIS ELEGKDLQQLITEGSEKLATVPSGGAGAAAPAAGGAAAGGDAPAAEEKEEEKEESDED MGFGLFD AOR_1_1076054 MADTEYNAEEAAAIKAKRTFRKFSYRGIDLDQLLDLSSEQLRDV VHARARRRFNRGLKRKPMGLIKKLRKAKQEAKPNEKPDLVKTHLRDMIIVPEMIGSVI GIYSGKEFNQIEVKPEMVGHYLGEFSISYKPVKHGRPGIGATHSSRFIPLK AOR_1_1078054 MSWERTFSSQRSSEKLYRMQSGKECQRKDWKFAHAHECAIFKNL NPRILPSNARALLRMIVRTAHKKYTNGELELFSQLETHISEIRDQSPEQWERIALSSK AVKAYSGTDMKEETISAFGAKLELNSFNFTNILSDRIGLYLHPYAALINHSCNYNAAV TFDSDNLYIKATRPIQKGDQIFISYIDATNPVKLRRSELRERYYFDCHCAKCAKDLTE PEHSFLGPETQDDLSALEGAEIEAYELLNECSSAVETDPKKTAKRLRSSIKFLRKVGA WPVTEQPLVSLRDELIASLLADQDIGSGFVQAAVRYLRVDPVVYGDERHPVRQLHAYA LARVAVSLDYGEDGDSTGLLGLVDVRMEPVLLAWSVLSRLVELEDEACTVPSFKTMVR WLFDDVNERFKGDKKKPEDRGDDIRREWEKIELLVDRALEKGY AOR_1_1080054 MVLTTRCGQATASLLRQRCLAESRRSTLALRPFSSQTTAHSAAS SLRLQQKTPSPWRPQQLRSFSSAISRLASESTNAPSAESYLASGIVKPGRNLVDVKKV LVIGSGGLSIGQAGEFDYSGSQALKALKEAGVESVLINPNIATIQTDHKLADEVYYLP VTPEYVTYVIERERPDAIFLSFGGQTALNLGVQMNRMGIFERYGVRVLGTSIKTLETS EDRDLFAKALNEINIPIAESIAVNTVDEALKAAEEVGYPIIVRSAYALGGLGSGFANN PEELRNLASRSLTLAPQILVEKSLRGWKEVEYEVVRDADNNCITVCNMENFDPLGIHT GDSIVVAPSQTLSDEEYHMLRTAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNAR LSRSSALASKATGYPLAYTAAKIGLGHTLPELPNAVTKTTTANFEPSLDYIVTKIPRW DLSKFQHVNRDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPRFVGFQGDKFENLDEV LQNPTDRRWLAVGQAMLHENYSVDKVHELTKIDKWFLYKLQNIVDCHNELKEIGSLFG IQKETMLKAKKLGFSDKQISLLVGASEDDVRARRKSFGITPWVKKIDTLAAEFPADTN YLYTTYNATSHDVTFDDHGIIILGSGVYRIGSSVEFDWCAVNATLSLRNMGKKTVMIN YNPETYSTDFDTADKLYFEELSYERVMDIYELETASGVVVSVGGQLPQNIALRLQETG GANVLGTDPVDIDKAEDRHKFSSILDSIGVDQPAWKELTSVADAERFAESVGYPVLVR PSYVLSGAAMNVIYSQDELKEKLLNASAVSPDHPVVITKFIEGAQEIDVDAVASNGKL LLHAVSEHVEPAGVHSGDATLVLPPAYLDESVMGRVKEIAEKVAKAWNITGPFNMQII KADQEGAQPELKVIECNLRASRSFPFVSKVLGTNFIDTATKALVGRDVPEPVDLMTEK RDYVATKVPQFSWTRLAGADPFLGVEMSSTGEIACFGKDLVEAYWASLQSTMNFRVPE PGEGILLGGDIKNPALAKIVEYLQPLGYKFFAASASVKDHIESTAKESVSVQVIEFPK KDKRALREVFEKYNIRGTFNLAKTRGKTLLDEDYVMRRNAVDFGVPLFMETKTALLFA QAMSEKLPRAEGMPSEVRSWSEFAGGKLL AOR_1_1082054 MAHHNDDSAIPAHIPAFAKPLAPYIKSRQEALRIRQVLTSYLRS QITFADDNPDYPNAHARSHLSLCVPQDAVVDVKHIPPELTGLRKEYLLALKANVNARK EYRLVSERYTSGKTQGQPSGGVNAHRVDPNSELRAYLELLRDRRQHAKLQVFQRYLEE LKNRDIIKPEDFDRIGNRSQQSALQPDLEEDQNGCASEIGIEDLMHNLERAVIRARYQ LEREKRLLEELKAQHDTEDGSENDGIASGAKVTALQKTRDVLVQWVEEKLGSVGNNED GPVQELPPEEIEKSANLLEDQKAQIAEQYAAYVDARKRLLDAASRACQPIGTSSVQPA SRPTEKRKTTPEETPTLEPVDVLSFADEQLLPLSKCQRALALQKFYLTGTLAKEKSTT LRILNRLRDESRLLPEYPMPARQPRFKHATAAINARYATTPVEPAKPDEVVALAEAWA FASEAAGTSERENVEHNLEDGIEAAQDAEQALQEVYGILNQDLEEGLRNKVEQDEAES SRSHARPRAKGPWAKLDGQIGVTE AOR_1_1808054 MAPELASVPISTPRSRPRAATAMQPATTPPAISSRRPSQVMSPP ALPLAPVAYTGSSGPGGNDHGSVRSASGPLRHPKPLTPSDIHSMLEQEQEAMVNRLSR ELSLLRQQTASVASTTSSTSTTLNEPSDTLQASYLSSSAHSTVSRRHRSSSSLSSSYI PAVQGSRTGSGAGITPSRDVPLTSSRPGRSREPSFTSPRQSEGTLPLFPASAQQPQSD QFSNFVSGPHPYPHRNSLSQQRSRTTSISRPDEIARQRGELEILKRENEGLRRRVRDL ELVLRKHREREPDATDQVASGTIAAITDVLDNASVTKVDGTN AOR_1_1084054 MSERSTVHVSGIAPATSEKEVRDFFSFCGKITSISVTPVSGESG ASKSATVTFEKEAAAKTALLLDQTQLGGSAVHVEAAQTLDDIAGSQAASAGEARDENH HEISQEDKPKSRIFAEYLAHGYALSDNAIQKAIALDQKHGFSNRFTSALSNFDQKYKA TDRARGIDESYKISDKAATGWHGLHSYFEKALGTPSGQKLRDFYVQTDKQVRDIHAEA RRLADLKSGKTTHEGEAPAAGAEASGAVPAAAAPAAPAAPAAPAEPAPAASQPAGTAA PAEPKA AOR_1_1088054 MSAPNSGRQSPPPEKQTGAQQQDPVASGHTQHGIHGDSKGASED TKLHGLESNPKHPLEDIEAKKFEKGTGN AOR_1_1090054 MDVTALRDRIQSTLDPNADNRRQAEIDLKYAETQPGFINALLDI LQGEQNNAVQLSAGVYLKNRITRGWSSVEENPQRTPIPEGEKPGFRERLIPALVSTPP NVRAQLVPLLQKILQHDFPEHWPSFLDITLQLLGTNDASSVYAGLQCLLAICRVYRFK AGEKREEFDKIVEHTFPQLLNIGLKLVDEESLEAAEMLRIVVKSYKHAIYFELSPFLQ THQATVDWCTLFLRIIAKDPPANSMLESKEERELNHWWKCKKWSYANLNRLFIRYGNP TTMTKSSTPDYTQYAKNFIATFAPEILKGYLQEIDKWVSKGQWLSNPALAYTLVYMEE CVKPKAMWDHLKPHMDNLIAHFIFPILCQSDEDIELFQTDPSEYLHRKLNYYEEVSAP DVAATNFLVALTKNRKKQTFSILTFVNGVVSKYEAAPDDQKLPREKEGALRMIGSLAS VILGKKSPIADQVEYFFVRHVFPEFRSPHGFLRARACDTLEKFEQLDFQDPNNLMIIY RNILESMTDPELPVRVEAALALQPLIRHDIIRTSMQQNIPQIMQQLLKLANEVDVDAL ANVMEDFVEVFSAELTPFAVALSEQLRDTYMRIVGELLERNAAKGDDEYGDFLDDKSI TALGVLQTIGTLILTLESTPDVLLHLETILMPVISITLENKLYDLYNEIFEIIDSCTF ASKSISPTMWQAFELIHKTFKAGAELYLEDMLPALDNYVAYGSQMMVQNPAYLAAVVS MVEDIFRDEKVGGVDRICGCKLAETVMLNLRGGIDQYIPLFIELPMRVLDADEAKTKS YRIHLIEMVINAIYYNPVLSLQVLEAKGWTNKFFSAWFSNIDNFRRVHDKKLSIAAIS SLLTLNAGDVPASVQQGWPRLLQGVTRLFQTLPAALKNREDATKESDFTFDDEDDEGD EDNDWDGEIEWTDQDETEGGPEGDVQDESAAYLDFLNKEAQKFGSFADDDEDDLDEES LLETPLDKIEPYGLFKHVFMGLQQEQPQLYENLTKILNAEEQQVLQAVFHEADAKALA AANAEAAAAGIQTNGN AOR_1_1092054 MAQAEALAATLAELNLNAQGLSGSAFDDRLAEEESGQYQNRGPR PRARQNAEDLIKELEADFLTPSSQFSPEWLNRLQRRWDVSTDYKDLFEVAPTQTRTIV RFDREGLEGRVTGYHEVTVPATSANAKNSTSLLRRPAGRADFVRGAAGFFPFAPGGLD GVEAIAEMESEAQTADSSRPGGKPSGLDRIINFGAEGGLLEVAPGFSRGLKFEVAKSK EAAENDQEVEHVLQQEEADLPVEKDDTASDVGGVKIDDDELSGDEEDIDSLLPVEFPA LEPRAPLLSGVQKKGGREWAHVVDVNKDIPNFSELVPDMAREWPFELDTFQKEAVYHL ESGDSVFVAAHTSAGKTVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFRTTFDDV GILTGDVQINPEASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDLERGVVWE EVIIMLPEHVTLILLSATVPNTYEFASWVGRTKKKDIYVISTAKRPVPLEHYLWAGKD KFKIVDSNKRFLEGGWKEADNIISGRDKIKAQKAAEAQAQSQAQRGGQQGRGRGQAPG RGGPRGNSQRGGAQRGGGAQRGRGQPATRGTGNIARTGRGGGRTTAAQDKTVWVQLVQ HLRKENLLPGCIFVFSKKRCEENADSLSNQDFCNASEKSLTHMFIEKSLTRLKPEDRT LPQILRLRDLLSRGIAVHHGGLLPIMKEIVEILFAKSLVKVLFATETFAMGLNLPTRT VVFSGFRKHDGKGFRDLLPGEYTQMAGRAGRRGLDTVGYVIITSTGKDEAPPAGALKR MILGEPTKLRSQFRLTYNMILNLLRVEALRIEEMIKRSFSENATQALLPEHEKQVQLS EASLAKIKREPCDICDIDLVACHNAAMEYGKLTSELHVGLLASPVGKRLFMPKRLVVY RKDGYRTAGIIVREGVGGGPTPTVQILEIGKLGGRRHPSDILPFLPKFRHLFQTLPTR GADMTLKVCKIPLSDLECLTNTMVKLTGPTWYLNIKKEAIKFADKELHKYCGSWTSTA WDEIDWTRIKELQVRDILEKRQAQATIAESCKCLQCPDFLKHFEMQHDEWQVKENISQ LKQLMSDQNLQLLPDYEQRIQVLKELGFVDEQSRVQLKGKVACEIHSADELVLTELVL ENVLAEYEPEEIVALLSAFVFQEKTENVPTLTPRLEKGKEAIVKISEKVNDFQIQYQV IQSSEDSNDFASQPRFGLAEVVYEWAKGMSFNRITDLTDVMEGTIVRTITRLDETCRE VKNAAKLVGDPSLYTKMQQAQELIKRDVIFAASLYM AOR_1_1094054 MTTDSALSYQSTESLILPKKRRFFPFKIPIFHPQLHNYISTADP DRIYVVVDRVIYSIHISSQKRETLAVIPFGPRCLAAGYGWIAVGGEHNGECAFIKISD RQVRVREDPSTSQPSDIDSALPIDLGAPTRTSQSWLSGDEPDSAQDADQMQLPDVQLH KFGGDIVNSVTIHRLPGDGKGLADEDIVILSNNDKSVTVYSLTRSKVLKVLNHPACMN YAVVSPDSTILAAVGDETRAYFYDVTRDFNTTVLTESGEKLTGWNWDPLRSIEMDIGT RIDDGCCFTIAFSQFSRLCAIGSQSGVITVFDVKTLRDITHEPNEKSSIICQFNSSRL CCNGGAVRCMAFAPEPWDLLVWLEDKGRAGIADVRQGFLRRQIIHLDKDDPEIEEVRT DPILDDSVGLELEIDGRFSPGSGADAGQRTTLGSIDTPPNEFGGEASENPPLRDALLH DLTDRERLIVEFLNTARWTSRLEEGLTDRRTRANAHPHPAPRLRFQGSTDVSNRTSRP TSPLRHGDSLQDTSRDGTSAQPGTSDRRHNARRQASVILSQDNPEARNRTPEAGSSNI ETQPSITLSWTASPSEIQSIVSDTRQRAADSSSDHSSSGNETGTQYHGHGTISDPVPG TGIQRDRTTQLVPDTNLYVVNETHNRNHPRYRQQILGIDNTRSPRWIRSILNELPDRS LGVGQRYQEPGTAAGIGWGADGRTLYVATVDGIFEYQLNIADRKTFPVVNYR AOR_1_1096054 MLPSRLTRALPRTAFTRAAGLRVPSTSFRRWNSTEGGEEKVKGQ VIGIDLGTTNSAVAVMEGKTPRIIENAEGARTTPSVVGFAQDGERLVGIAAKRQAVVN PENTLFATKRLIGRKFTDAEVQRDIKEVPYKIVQHDNGDAWVEARGQKYSPSQIGGFI LNKMKETAENYLSKPVKNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTAAAL AYGLEKEADRVVAVYDLGGGTFDISVLEIQKGVFEVKSTNGDTHLGGEDFDINLVRHI VQEFKKESGLDLSGDRMAIQRIREAAEKAKIELSSSLQTEINLPFITADASGAKHINL KMTRSNLESLVDPLISRTVEPVRKALKDANLQASEIQDVILVGGMTRMPKVTESVKSI FGREPAKSVNPDEAVAIGAAIQGAVLAGEVTDVLLLDVTPLSLGIETLGGVFTRLINR NTTIPTKKSQTFSTAADYQTAVEIKVFQGERELVKDNKLLGNFQLVGIPPAHRGVPQI EVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSDNEIQSMVEDAEKYGAQDKERK AAIEAANRADSVLNDTEKALKEFEDRLDKAEAEQIREKIAALREFVVKNQSGEGTATA EELKQKTDELQNASLTLFDKMHKANAEQQQSQSGEANQGENKA AOR_1_1098054 MLSIMTVAAPPVAPVHENGINGSGPGHHHVNMSLPRFHPIAMNP SQPVHPEQMMPNHSHFRPFPPPHPMQEAGPPGPAPHPPSHIDQIDARLRQLEHEEAAR MAARSHLLAIRKREDEEFRRMTESAEAEEEELRRQRKRLKRESMGLGYNASMDSPPLR PTPPRRLSETNAATTLAFFKQQSPPEPRTIPPPPVQAPPSHPPPQHMPHDPTAGTIRR KQKYTIKNVEAWGERHGRPAAHDPSGRALWKRPSDGSLVYLTCPVSGCGKADFVTLHG FMCHLTKKHKDRSLGSQSRALEVCGIVYDPNAPLPPVSTVQRASTEESRGDSAPTDPE GYPQEMDYSSASDDEESRKNPVKTEAADRTLPVPTVPFPHPEEPSKARKLNNSTKQSI SSIIDRDPEDEPRERLVSIPPRPVEALSRASPEQKPSIPNEAEVSRPDERNQEPNESK ETTESK AOR_1_1810054 MEELTTFLTSIGEEVEDAEEECFLLFAQDIPSANLGFVDSRATS VDVTIHGQDYTINQSPTLLSSSRAGGTTGAVLWKITPLFAEWITTQSNPFWTTTILTP TSTVLELGCGISGLIALALSPKITHYIATDQEYVHRLLRSNLETNAPSQHPRSKAGSH RKPSKHGPNNNKTAQKPTPNITFTTLDWETDDPALLKDSIANNDGNTGREDRGFDVLI SCDCIYNEALIAPFVRTCADVCRLRAVYGAEKELGEGEMRPTVCVIAQQQRSPEVFEA WLRETMRVFRVWRVGDEVLGGKLASGSGYLVHVLVLKDGDL AOR_1_1102054 MSLQNAHLQPRISKKAPFSVEVPGVEAKPGETVPRRLPAAKDGL ILKPVEDVATTYDVFRRSARVFGNAKAVASRRLIKTHEENKKVKKVIDGVEKEVDKKW TYFEMSGYSYKSFVEYEQMALQLGAGLKKLGLETGDKIHLYGATSENWLAMSHGAASQ SLTIVTAYDTLGEEGLKHSLVQTSSVAMFCDPSLIPSVANVLKDVKSIKHIIWNSHFA PKQADLERLKTEYEDINVISFEDLRTLGEQNPVEPVPPSPEDLCCIMYTSGSTGPPKG VPLTHANVIAATAGINTIVGPYIGPSDALLTYLPQSHILEFMFENLCLIWGGTMGYGN PRTLSDASMRNCKGDIREFKPTILVGVPAVWESVKKGVLNNLNKNNLIVRGMFWGAMA AKNFLMTTGFPGHSVGSSLLDAVVFKKLKDATGGRLRIMMNGGGPISKDTQKFLSMAI APMISGYGLTETSAMGALNDPMAWNPDALGEIPASIEVKLVDFADAGYFTKSNPPQGE IFIRGGSVSKGYWDNEEETKAAYTEDGWFMTGDIGEFDKNGHLKIIDRKKNLVKTQNG EYIALEKLESVYRSSPLVGNICVYAAQDQDKPIAIIVPVEAALKKLAHENGIEGDTLE TLVHNEKLKGIVLKQLQTAGRAGGLKGIEIINGVVLSDEEWSPQNGYMTAAQKLQRKK IVGHFQKEIDQAYGKK AOR_1_1104054 MPPHKIFLIPEILEQILLRTPPQTLLTTAQRTSQTWHNLITTSP RLQETLFFKPQLQPPNPRTKTTNRTLNPLLPHKIWPHLFRKRLASQTTTTTNHGYTLP PADPVEEELYLRPNASWRRMLVQQPPTSSISVFVMHRSWISCDEDISPVQVFTADVEF LTLGHLHWSAFVGCLLPLERVACFWDFGDYHLVKDVEWRREMELAVERFGDVCDLVVF SGGNWDLWGVLMGVTGC AOR_1_1106054 MSLWSSYRSLSPKTRALFGVGVMAWASIGLWVLPEVEGAMGMAP TKQEQEELDRKMAIRISRVDKDGH AOR_1_1108054 MPEFRHHRVPPLQPPTGISPMHSQSTTVSGGAGLLGRNDSYHGT YTLQRSTFPSLSSLSDMSRMSQYTTTPRVLESTIDRTSQNLLYGQPGNMLMEPVQRMP PPMTDAPPFGETNIIHQIVCGNQTIKTEIQAKIHKGFFQVDEKWTCYRRNYFSVSCSF SLHPWTHAPLYLKYDQGTERVSQFAMSISAIVNQQFTEVRELVQHTPKRDKQSERKPG KVILQPSQPPPLVLSHSSGSGGSQHTFGLASQSVGMSLDYNGSYNSPPQPSQPPTQHT FERIQFQKATANNGKRRAQQQYYNLVVDLYAEVAESQWIKIARKLSHPMVVRGRSPGH YKDGRRDSSTSMGPDGGSGGSGDGSGGAVLHPSIGAVARSHLALMSYDSSQRGGPHYG RADYSQIATSGHSPLSGSPHISSSSSSGFDIGVLGDSMDPMDPIKSTSSIDSYHGSSD GILDGRKPESQFRHQVRPYEYDPVSKPSEEPSTTFHEPYDPMVSMISSGQSEPPHYIK HPPRMASHMYHHPSTGSSYDPIYSARSNDSSHHSRFQGSQSLCA AOR_1_1110054 MNHSATLLHNSFQMDGPITTDSDYTGGQRPFSGPISFPMDDEDH SRRGSAVGHPLAQPVSIPATNGLRYNTQQSALQDVPFATSPTILMSPTDSCSGLLSIA GHIPKLYD AOR_1_1112054 MSKLANRADWADDEEFDDPSALPAQQVTTNKDGTKTVVSYRFND EGKKVKVTRRIKTTVVREHVNPQVAERRSWAKFGLEKGHAPGPSFDTTSVGENIAFRP SINWKAQAAEAEKNGGEKGSMKDQLKDKKVKCRICSGEHFTARCPFKDTMAPVDEPTA GGAGEDDEAAAGAVGTGSGSYVPPHLRKGAAGGGERMGGKFEKDDLATLRVTNVSELA EEGELRDLFERFGRVTRVFLARDRETQRAKGFAFISFADRSDAARACEKMDGFGYRHL ILRVEFAKRTT AOR_1_1114054 MFGRKDQDLEKGPQVAKTALDTSSDGAVPGETFVYGDSLYAKLQ RLAGKINIEQRGIERVPPDEQTDTSYFNIGSMWLAANMVVSSFAIGVLGKSLFALGFV DAILVNLFFNLLGIMTVGFFSCFGPPFGLRQMVLSRFWFGYWGTKFIACLNVLACIGW SAANAIVGAQLLHAVNTDVPGFAGVLIIAFCTFIITFAGYKVVHMYEYWSWVPTFIVF MIVFGMFAHSGDFVNIPMGVGKSELGSCLSFGSTVYGFATGWTSYAADYTVYQPRDRS RRKIFFSAWAGLIVPLIFTQFLGIAIMTATSLNDGDNKYQAGYTASGNGGLLAAVLDP LGGFGKFCLVILALSIVANNCPNIYSVSLTLQVLSRYTQRVPRFIWVFLGSCASVAIA IPGYSHFETILENFMNIIAYWLAIYSGISLTDHFVFKRGFGGYRVEIYDKPNKLPPGI AAAVAFCFGIAGMVTGMSQSWWIGPIAKHAGALPSGGDVGFELAFAFASVSYIPLRMA ELKVFGR AOR_1_1116054 MASPQKIRTTLTDLLKIQHPILLAGMNVAAGPKLAAAVTNAGGL GVIGGVGYTPEMLREQIAELKSYLNDKNAGFGVDLLLPQVGGNARKTNYDYTKGKLNE LVDIIIESGARLFVSAVGVPPKHVVEKLHGAGILCMNMIGHPKHVQKALDVGVDIICA QGGEGGGHTGDVPTTVLIPTVAKLCQGKKSSLTGQPVQVVAAGGLFNGNSVAAALMLG ASAVWIGTRFILSDEAGAPVAHQEAVRTAGFEDNIRTIIFTGRPLRVRKNAYITNWEE NRQEEIKQLTSKGIIPVEHDMENLPDDVDDETLDNARPFLMGKVAAVVNEKKSAKAIV DELVNDAADLLQKGHKMLAKL AOR_1_1118054 MNPCNPPLHRAFIALGSNVGERVEMIEKACTEMERANIRVKRTS SLFETAPMYVLDQDPFMNGVCEVETSLGPLELLDTIQSIEIGLGRKKLIDKGPRSIDL DILLYDEQIFSHDRLNIPHQLMLERDFVLRPLCQLIPHERPPFPGHSTTYLSHLKSLP PPEPTPHATTYISKNFPPLHSTNPNRPTHIMAILNLTPDSFSDGGKHSPTDLTTLTNT VRTFIASGATIIDIGGESTRPGSAPVGEAEELARVIPAIRHIRTSIPEAANIAISIDT YRARVAEEACAAGADIINDVSAGLLDPNMLPTMARTGKAVILMHMRGTPSTMTQLTDY PNGVIEDVSAELLERISAAEAAGIRRWRMILDPGLGFAKNQPHDLTILRDLQKFRTGV QGLEYFPWLMGPSRKRFIGRLTGVEKASERNWGTAATVTASVAGGADIVRVHDVKEMW QVAKVADAIYRVDE AOR_1_1120054 MSATKAESQKIFEKLKLKPANKICFDCGSKNPTWSSVPFGIYLC LDCSAHHRNLGVHISFVRSTNLDQWQWEQLRLMKVGGNESATKYFQSHGGSAALASKD TKVKYTCNAAVKYKEELKRRAAQDAEQFPEEVVITDVPAGTPSNGSSTPAGDAEDDFF SSWDKPSIKRPSNPPSRTGTPPVVSRTASPFLNAGANGSRSKSPLSASEKESAPAPAA IRASTTVRKTTTASTAKKGSLLGAKKAPKLGAKKIGAAEAIDFEEAERKAKEEAERIE KLGYDPEAEKAEADAKAKSTTTGATPIAAPTPISPSASRKSHERNSSDVERLGMGIGR LAFGQTAGSKPAAPKKLGFGSVAPARSAADEEELNQTKSRFGNQKGISSDEFFGRDRF DPSAQAEAKERLRQFDGATAISSNSYFGRPEDDFPAADDGYGDLETAAKDFVRRFGMT AGDDLENLTQLVGDSAVKLQGAIRNYMNS AOR_1_1122054 MSAYLLPRPSLHRASSALLLRPGFPRTVELTRCYATHSDLGGGS GPSSTSKRRNVTVLSDDGRYEWGELTGREKVARATQQSFNFVIILAGAALTGGVFYLL YSEVFSPNSRTWQYEKAVERILDDSRCTDILGDRREIKAYGESTSNKWARNRPIAATI EKDRLGREHLRMNFHVEGPRNQGVVHVHMIKPLDKNEWEYQLLALDVKGHSRVILEQA REKPGVGQALKIFGIQWR AOR_1_1124054 MVKITGFTTRDVRFPTSLDKTGSDAMNAAGDYSAAYCIIYTDSP HAGHGMTFTIGRGNEIVCAAISLLAPLVVGKDLDELTADWGKTWRHLVSDSQLRWIGP EKGVIHLALGAVVNALWDLWAKTLGKPVWRIVAEMTPEEFVRCIDFRYITDAITPEEA IALLKEVESGKEERIKEAEQSRAVPAYTTSAGWLGYSEDKLKALLKETVQQGYRHFKL KVGGNIEDDKRRLRIAREAIGYDKGNILMVDANQVWSVPEAISWMHELAEFKPWFIEE PTSPDDILGHAAIKKALENTPHGPIGVATGEMCQNRVIFKQLLQAGALTVLQADACRV GGVNEVLAILLLARKFGVPIVPHSGGVGLPEYTQHLSTIDYVVVSGKKSVLEYVDHLH EHFVHPSSVKDGYYVTPLEPGYSVEMKPESMDEFAFPGEQGKSWWTTDAAKTILEGPR I AOR_1_1126054 MYNPYQAPGLYGRPPDFGAYPGAPPGMAPPPGMSAPGTTPPPGM QQANAQQPGRPAGFPANFQPPPNMPNINFSAPVIRLGTSGPSKSATPDTNKEREAPGR RAGLGSSNLETQRQNVRDAMMQLQPPTRDEIVRTLFVGGITEGVGGDEGIEKILRSAG NLRRWIRATDADEKPCKFGFAEYEDPESLGTAVEVLKDVEVPLKRQTPSEGGDKEDNE IEKSQLLVVVDEGSLDYLEQYEASRGEVDPAERQSRLDAARSTLSSVLSELFHPSSPT QKEDVSAIDREGDTAMKDAEGQDGASAEVVTIPITVEDELSDIPPEMRETVAKEIAAF RERSNRRDIERLKREEEIESMERARNSGSRISRLASPPPTAPSGPAAGANGIPLGPRD RSMPNAPSGPKGFGVQIPKDYQKGVAFVNGGSVNGAPFYIDREDEDSDASDEELERRR QERKDAEAEKQFLDQERRWLNRERSRTAALEREKKRDKEEEAKLQEVREEADKHFGEW NDDAEASRKAHDYYADRGAWLRSRAAFRAREVSMDEADRAAEERERARSVQQREQARG MADDFLARQAEELETRTQAPREPQRFKLSLGAAAQKAQAATSRRTVAEVEGLLEDEEE PEATARRPLIPIKFDSAAEAAGLSEEERAQAARQLAAEIPTEKEGLWNWEVKWEFVDE NVVSEQLKPFVEKKIVEYLGVQEQMLVDVVEEHVRKHGPPQELVEQLEEALDEEAEVL VRKLWRMIIFFSESEKRGLSG AOR_1_1128054 MAHNPNPGNFANRPHDEVEDIARKGGQSSHQGGFASTDEDKQRD IASKGGHASSGSFRPGDPRAREAGHKGGLASGGSFEPGDERAREAGHKGGKATGHPEE AOR_1_1130054 MSSAEERVNAMRGYKATLSNPRVSDEAKQNAQAMLDQLGGDQPS HDLYTESGEQNKDPMRVNAGLKAAAHNPNVSDEARRSAAERVSENPEE AOR_1_1132054 MPAFSQATDLSAWKELQEHHTAVGRNIVLKEAFEKDPQRFEKFS RTFKNTVDNSDILFDFSKNFLTEETLSLLVKLAKEANVEELRDAMFKGEHINFTEDRA VYHAALRNVSNEPMQVDGKSVVEDVNSVLEHMKEFSEQVRSGEWKGYTDKKIDTIINI GIGGSDLGPVMVTEALKPYGAPGMKLHFVSNIDGTHIAEALKDSNPETTLFLIASKTF TTAETTTNANSAKKWFLETAKDESHIAKHFVALSTNEAEVTKFGIDKKNMFGFESWVG GRYSVWSAIGLSVALYIGYDNFHQFLAGAQAMDKHFREAPLEQNIPAIGGLLSVWYSD FFGAQTHLVAPFDQYLHRFPAYLQQLSMESNGKAITRSGEYVKYTTGPILFGEPATNA QHSFFQLLHQGTKLIPSDFIMAAESHNPVEGGKHQRMLASNFLAQSEALMVGKTPEQV KTEGAPDNLVPHKTFLGNRPTTSILAQKITPSTLGALIAYYEHLTFTEGAVWNINSFD QWGVELGKVLAKKIQQELETSGAGAGHDASTSGLLAAFKQKANLA AOR_1_1134054 MPFVKSGLVQLFNAISYYGLMSSFAVQVAAGGNSASASQGGYFH GQPMPVTCLNRTIDSGEHITDSLGKLQYIPFPTCKETSLPLALRYGVTETVNCTIDRV SDELYHLLEYYVHSDVPMNCRVPTAPLLPPTSSDSDDKAHEGEAVGTELSALSEEGPP YTPITFALQGTLQRSHLHIWTDMNVLMHNIVSTPKKNKRKTKKVAPGYAVAGTAYSVP EFELSVLNSKKKVSDEEKEAAAVAEAAREPWTAGHGTKVIREQPLTFTFHVSWVEGGG GIGWPSRGSAASELTGGTGFFSKLFFFVLAASLGAAMALYWERARRRGWRGDGILGVP SRGKGSVGVVYGNGGKSNGYGGYSASNVSMTGNGGGYGYGGFSAGKKD AOR_1_1136054 MEMRSLTRCLRSRPTSLLYKQQPSLLATQYMNRSSIRSYASKPS GPTKAQTPTATQAPSDFDEILSKLNINNRESAAEGSLRNRPSEDPLSLSRAVGMSAET ENYRTPVRRVELKLGPTLGRQVHVEPEKGTDLASALRILQATCTANKVRYQANGQKFH IRRGQVRKNLRMERWRKLFKFSFQKTVSRIEKMRAQGW AOR_1_1138054 MSSITIATLPRMSRDALSALLLSTSTPSKLAIVDVRDSDHVGGH IFSSTWVPSSSLDVRLPELIRTLKDKEKVVFHCALSQQRGPSAALRYARERERVLGVE ESQKQEVYVLEGGFVQWQEKYGKDTRLTEAYVEDIWQEY AOR_1_1140054 MALNENPSLANQSAPVKKFKCLRCKKDTFKSAIGLAKHQDALGH HNVVCLVCNKEFGTEKGRDDHQRSSHDRRRVPRKKKKAVPNLVTPAQGSSTQQEQQQG NQQVQQQEQWQHEHEQQQQQVQLVVDKGVKRTAIAFSHTSSTTLHDHVGKTEPVSGFL NEVRLYYNGNLFMTLTPAEQELVYADLLTKCHSPVRLHKQGYTMSSLAQGNQVNSKKA AVARNHFLETPVLNLYGARRAVVIDCEMVQVRRWQREVAFLSAVDFLTGEVLINNYVR PTGKVTDWTTRISGITPAAMAEAVARGQALNGWQSARQELYKYIDSQTILIGHALNSD LDVLGIYHSRVVDSVILASEAVFGLSSAFKRLYSLKTLSEVFLKLQIQSDNHPHVCLE DTLATRDVVLSFLRNPEGLGVWAGNAKANYDAEQKQREARRRQKKNEKAKIAAKQQQA TAKQPSTSCGPQGNASLLLLSEESSDIEGCDGYDDSETLRWEDIAEACGWPHPDTGYD PWSD AOR_1_1142054 MNLRLLTLALAAVAAASPVDIQERQLSGGNELRDGSCKPITFIF ARASTEPGLLGISTGPAVCNGLKMAKAGQVACQGVGPKYTADLASNALPENTSPAAIQ EAQDLFQQAVTKCPDTQIVAGGYSQGTAVMDDSIKRLPDNVKEKIKGVVLFGYTRNAQ EHGQIANFPKDKVKVYCAVGDMVCDGTLIVGPAHFTYLGNTGEATQFLLGKLSASSSS SSSSGSSDTSSASTSAAADSSSSSSSSSSPFGNLGNLFGGL AOR_1_1144054 MAAGQQAVSFNEIIKADRKKRKNEELANSILGKNRRTSAPGAGN KAQNATQGSLASRIGVAKRSAPAPSKPKKAIRTPSAPARVTASNAKPGKKRRPDEDRL MSALNPASGQATVRDGPVGLSIKGAGSGPFVVVGSNFAPGTTAADIQSALEPITGNIL RCWVTSQHPTVTAEVTFSEKWAAESAIANFHNQRADGRILSMRMKSTGAGSQGQDLFE RSTGTKNSFNDLREQENRKRMLNRGADSAVQDGSYGFGGQNQATARRALTQLIRNHPN RSLVLKPSQRWSSTYETRQWSTPLARTLADAIKVTGPIPIAAFMRQVLTSPEGGYYTT RPAGDGEVFGKKGDFVTSPEISQVFGELVGIWTIAEWMAQGRKSSGVQLMEVGPGKGT LMDDMLRTFRNFKSFTSSIEAIYLVEASPTLREVQKQRLCGDATMEETEIGHTSTCKY FNVPVIWVEDIRLLPHEEDKSPFIIAHEFFDALPIHAFESVPPSPENQPPQSQDTIMT PTGPTKLHKPLKPANTPQWRELMVTLNPKAIDENLPNEPEFKLTHAKASTPSSLVIPE ISPRYRALKSQPGSTIEISPESRIYASDFARRIGGASQPPRTKARNASTQPAAPAKRV PSGAALIMDYGTMDTIPVNSLRGIQHHRKVPPLSAPGQVDVSADVDFTALAEAALEGS EGVEVHGPVEQGDFLRTMGIAERMQQLLKHEKDEEKRKTLESGWQRLVEKGGGGMGKI YKFMAIVPENEGKRRPVGFGGGIEL AOR_1_1146054 MATDTQGCSSNRRRQAFSFSVTLRPSIARRARIVRPPVPPPSCE ESVHYPVFNPLDPRHNPAVVTSSWNRGGYYTSRNTNLESPVSWVQSLSKRSLRRARSG LIALRAGVQRRTSIFGTNRTVNIPRAWPSSNATEGSSDQQDDASFPSTISEASTEEDS DFGTHLHRTECNDASSLSCDVDKCLDNWPFSVTITDLNPFQELTGGYWPPGLFLTEAA HSIFPRDSTEGNSGLGLQISRELTTGDYIQLDDFFMNESDSEPEDSLPRLSRSSKSLP EPGCSQITGAEVQPSHSTILTPLSNNLLSPALPRDPSINGNTSQTMSPLPSPSLPGPP TSDGQPSGRFYASRTPQVSNAALVVESRGLIDRVSAYSNDSISRTEDEVEDQVLGPAI RHESSPDLQTEPTQSDRQSITEDAQAQDIPESGTNDNLSSDPVCTVRTSSLDLADATS AMQHDDHLTPSRPSGDTGGLGCSDFSGEQTPWSARPSEEVTVTDRTSVSNNLWTPIEN GDLASLLSFTDEYFFVDGKTRGHPDRGDNPTKAERSIISDGSLYNGLGHDRSPSAGTL DVPEVIGPGRPIPIQPPRPYRVERDASDESVDEYLFTYPMYQRRYFP AOR_1_1148054 MSSKSRWADEDPEAEAINAQRKREKEEKRRAKAEKQRQLEQQAE EAARQREAANNDTEAPPKKRRRLSNDPDTTADVQVGSAKPQENTSNILQFPTQEWGPS RHVDNFERLNHIEEGSYGWVSRAKDITTGEIVALKKLKMDNSPDGFPVTGLREIQTLL EARHPNIVLLREIVIGNKMDDVFLVMDFLEHDLKTLLDDMREPFLPSEIKTLLSQVLS GLDFLHSQWIMHRDLKTSNLLMNNRGEIKIADFGMARYYGDPPPKLTQLVVTLWYRSP ELLLGAEKYGTEIDMWSIGCIFGELLTKEPLLQGKNEVDQVSKIFALTGPPTPQTWPG FRSLPNAKSLRLPQTSAPSGNPPLLPRSKFPFLTNAGLQLLSSLLALNPSSRPTTQEC LSHPYFREDPRPKPKEMFPTFPSKAGMEKRRRRQTPEAPKRGQEAPRLDFASVFGGQS SGDGGETGAGFTLRLG AOR_1_1150054 MIEPFQTTFAVPMTCEGCVKDISSTLNKLDGINKVDASLKDQLV FIEGTAPPSSIVSAIQATGRDAILRGSGTSNSSAVCILETHANSVPNKIRGLARMVQV SSNMTLVDLTINGLAPGKYWATVRETGDISQGAASTGGIWEALKATVLGSEAAKEPRG VFGTVDVDEKGRGNVFLDRPLAVWEMIGRSMVVSKSKEGPFRNEDPDTLVGVIARSAG VWDNDKMVCSCSGKNVWQERQEQVSQGMV AOR_1_1152054 MSIKQEIETWVQALEHYDRQEYDEALRVFDQIADTSKIFFNCGV IYATLGEHERAVECYQRAVSLDQYLAIAYFQEGVSNFLLGDFEEALANFNDTLLYLRG NTSIDYEQLGLKFRLYSCEVLFNRGLCYIYLQQLGPGIQDLEYAAKEQVTPDHSVIND AIRERAEGYTVFSIPVGVLYRPNEAKVKNLKTKDYLGKARLIAASDRSGAPLQPGDIV RAQSGLDNRAPPESLYAASKLVQRNITRSRQHSEPPLNRNLFPPTPPPDADKSSVGSP PSSSGMNGRPGSIRAARPPRLDLDRPGAHPPGCRVDTTPEKPRIGTTRTASEPRGPPQ LHHRSSQGEFTVYREMGHRRGASDAGFPAPSKKMYGEEVYSGYSRPTVVVNGGRRAMP RQRERYIDEEEEYASEAEEGGADGDFEIVESRRRTRSPNRGSRRANSRRPEVRRFRVK VHAVEDTRYIIIGPTIGFSEFEMKIRDKFGFRGLLKIRMQDEGDMITMVDQEDLDLLF SSARETAIREGSEMGKMEIWVEERSMI AOR_1_1154054 MISPVTKLALLAVISGVCGHAVVEDPPPRKTGPAHEAACGSAVV DVLESDIAGPIENAVQETDADYNCNAFLCRGYQFEDNTDNVQVLKAGEVLYFHINLIA GHHPGYAVSSCPLLALLLKFNGLYSRKNVSIVNTATNEIIGEPLRSWDNWPDHLSGPP RDDSTY AOR_1_1156054 MRVTAILTLATIAIASPTKVLNSRNELARRQATEGCSIGYCTQN GGTTGGAAGDTVTVTDLASLTEAAESETPLTIIVSGNIEGSAKIRVASDKTIYGETGS SITGVGFYIRQVSNVIMRNLKIGQVLADNGDAIGIDESTNVWVDHCDLSGDLSAGKDD LDGLLDITHAAEWVTVSNTYLHDHWKASLVGHSDSNADEDTGHLHITYANNYWYNINS RAPSIRFGTVHIINNYWDSLLGTGVNCRMDAQVLIQSSAFSNCPDEAIFFADSDYTGY AVVDDVDLGGSTNSVPEGTLTASSLPYDAIEALGSAQIAATIPETAGQKL AOR_1_1158054 MGWFSDDSDQADAYNQVTQSPHKAELSHELLGAAAAYEAQKAYE KHCSENGKPDSHAEAKELMAGFAGAFLDRVIETKGLDYIDKKKAGHEAQNHLDELVAD DNY AOR_1_1812054 MITYRIMLDLRDPDNFSMYTFNDHSAYGAIELVQNMMLDFEEAS GKWQQQWAVIEALAWLLSGDFLSPMVMIDDGDLFRETTILLEQMFLTMLAELEKEGQL EAHSDVHNIGLIMGLIAGEANTLRSDGFINIKNSKAKSYHGQNFIPYLLAYASKGNIS LRGPSNIDEIIAEGEELSEQENVELPTAQKDPWKWGTAFKVYKRNAVAPYGGRSRIAI GGDCLDITTYSSAERKKASFTKKDPISADMIKKIKEGLVL AOR_1_1160054 MGTSTPYFELSWSISSTIGIFALIACLLVANLTRLSPLLAVPLV VSTACALANGLCYYAFYSNYALKNRVVAGVFADLFWLVQEAGLSFYSYQILVRALQNW SRMIYLLIFWILMAAIVGIRVAIMTSRAQGLLHGSDTLQYLVAHLHMGYFIAIALLEI LSSGLLIKLFRDTHRSTLEFMSTSNILQQLIRTTEMRLATLALIGTGRSITYSFQTAS QEVTSLAGQFDRFLYTMECLFPFIMLIDILASKIHRDCGLSDVQRTHDERITSDLTTR RCNCQKSSAEPSADSLRRAYSAESSPREMTARINEMG AOR_1_1162054 MTDLTPTLNAILLERQSPPIPIKTYNKTSPADEFLKEAYRINKH ITSLTTYLQKIRPQYLSLTKPPRHKPSSPKSGEEAAAASPLSDSDRDQIDTSTSLVLH DLSNSISTLSSAETLRHETATSILRKKYGRSVAGRVLAKWASGSGALGDGESEEGKSE EQVREEEGVRVMQVVRGSVVWFLRRGLEDVVSLQRGLVERRIERVTERERSVLFKGGS GGSSARGTAAGGGTGGVGSGGGFAVDDTVGLKGAGMDESEVRAIEEELSAEQLQLFEA ENDAMVRYYEDTLSKVQNAEKSLLEISSLQQTLVSHLSTQEEYISQLVTDAESTQTNI GRGNKELKRASERRSAAQAVFWGTVGLCTSLIVWDLIF AOR_1_1164054 MSPPSTSASSSSTARNRLASLSAQIMGSASPSVFSTSVVPAAPE DPLFGLAQAFRNDPSDKKVDLVIGAYRDDNAKPWILPVVRKAGDLIRNDPSINNEYLP IKGLPEFTSAAQKVILGSDSPAIREQRVATFQTISGTGAVHLGALFLAKFHPANPKPT VYLSNPTWANHNQIFTNVGLSLATYPYFDAKTKGLNFDGMLNGIREAPAGSVILLHAC AHNPTGVDLTQDQWKQLAVVMRERRHFPFFDTAYQGFASGDLNRDAWAVRYFIEQGFE LCVAQSFAKNFGLYGQRAGAFHFVSAPGATAKNDIANVASQLAILQRSEISNPPAYGA QIASRILNDATLFAEWEEDLRTMSGRIAEMRKGLRERLEAKGTPGTWNHVTDQIGMFS FTGLSEAQVKLLREKWHIYMTKNGRISMAGLNTHNIDYFAEAVDSVVRETS AOR_1_1166054 MANPKPKFRVVIVGGSIAGLTLAHCLLRNNIDFVVLEAHSEIAP QVGASIGIIPNGARILDQLGLFDDILATTEPLRESIYWTGEGNLIVRNDTPQLIQKRH GYPIAFIDRQVVLKVLYDHLAEHQDRVLTGKKVVKVEDLHGKVKVHCEDHSVFDGDFV VGADGVRSIVRQQMWDYMDSKGLEREALRERNAMTSEYNCVFGISTAVPGLDPGSGHR TFGEGFSFLTLIGKEGRVYWFFFTKMDRVYSASEIPRFNQSLIDEHVAPYLQKPISDT VPFAALYERAITRTFLSLEEAEYKHWAIDRWVCIGDSAHKMTPNLGQGGNSAIESAAS LANTLASIIEASREPRVSVKDLNDYLQPWQKKRQDRVKDVFKSAHGLTRLEALATRKD KCIALYLFPYISSYLADAASKSIVGATKLDCVPLPPRSLQCTMPFTNFHPPRDEAIWR RALWTLPLIGIYAVGKATALPLILNTRPYLYTLFKKGAWTAGNGEVLSLTKPLYHNLF LDNLFRPFITCFLPSITESDPNSRIQMLSFMTDLGSIYGIWLLESYRNAHSWTNALLP LAAGTALQLKGIWMIAPFYYALEYLITPLSTLLSGSSSSSSNKEINAVTTESLVLSTL AGYYTTTFANFFAPSLQSRQWFNAMWQIFPVTIPLLQIPFSLAKRWVSPSSPAQDKID QKQEQRKRMQTIRYAYGAFALISGLTFIYGRFTAPPGVSFWRLFVPGLQDHLAPVTSF SDGIARFLQYDELLSMASAYVWLGLRFRELKQAGARFSWTRAAGAFVTSLLALGPGAT FALGWGWREELLHQVAEEL AOR_1_1168054 MKDATKQLENQNIADHSSGEEYLQTGPHAPVLLSGLSRNVDMRD LVASLPTRQLADKLVLRCLESDEPALMFIHPPTFQCQYRKFWQSPCAVSPAWLALLYG VLSCGAWIEKTMNPVMVESELPDLFHYLREKCAVSIAKSDLTAPGCYKVEAALMYMGI EYLGSNNSKTGVSILLGIISRLAIMMGYHRSTHLYHPPLRPFEVEMRRRCWLLLSVTD SIVALQSGLPRVIYQGLGDFTRPRNLLYEDLDPAMSILPPSRPETETPSRIMYMLALD DMLSVANEITDITSKGAITPERTIGLDQELKTTRDRLPGALRMPLLTKAQEAQSDITI MQHTLEMIYQRSRCILHRQYLVSPQPTDIYRAFRWACVDAARCVLEYQCELFQDVLRS PGNRQRVWFGASRSVSDCLTAAMVICLDVINESKAAQPFSESTRTELIQLLHKTYLSL KDTPRPSVGIAKAAERVATMLYQMGHAVTEGELRCSQPAAAVASQLPNSQLADHTAAP EEGASFPYTAFEDFLNGDNPLELFDWGLWDWEVQQFNSPLVEIIC AOR_1_1170054 MSLRDMKLVFRPDGFDEDFVRGAITELLRALDFSHSDGEVVHTY VHSANMLLGVYDNNIMQSLAERELTSPVSRKAVSPTRTIYLSRLMRPREGPMLLSDFG EARIGPGLHGGDIMPLEYRAPETLLYVGWSYPVDIWSVGLTVFFEYSNDYQFAHAIKM LTVF AOR_1_1172054 MVYMLQQAKATVSKICQLPEWDLTPVPSASPSPPRAGEAGGARE TSTDTLAHVEPLESAYTSRKRRREE AOR_1_1814054 MEFAKAYMGDQSLPVNDLLKEHQSDEGAALEVRLAVPNEILYDS VRSIAALSSDTVYFTPGKEKNMPPQTVLTYLLPLMDHLKPSKGVITSEDVDELKVDLM FKFQEMMETLEFTGAEPRELLQLTILWLDAIARAGYSVYGECRSRTVIEAYLIPALAI ANTGVDYSNYQLL AOR_1_1174054 MLSFLPLPGPFSSPVGTVGFYLGLGVLLSILYLFAVIIYNVYFH PLAKFPGPKSYAATRIPYFQALLGGQIGQAIKDLHQKYGEVVRIAPNELSFIDGEAWK AIYGTRPGHKQKPKDVRYYPPTAGGVPSIVISNDEDHSRFRRTLSHAFSETSLRVQEP LVNSYIDLLIQRLHEHCEAGNKPLDMVAWYNFTTFDIIGDLAFGEPFNCLQNSAYHKW VSMIFSNIRYGTYGNLARRFPGSKFLLRLITPTRIANGRNWHIELTKEKVKDRLAKSN DRMDFYGHILKQKDTERAMTFDEMVTNGSTLIVAGSETTATLLSAVTFYLLKNERVLS KLQQEIRASFESEKDITVTGCNQLEYLNAVLTEGLRIFPPTPTGLPRIVDADGDMIAG KWVPGGTIVSIPHLAAFHSASNFTEPESFIPERFLGDPRFANDSKTVLQPFSFGPRNC IGRNLANAEMRLILARVLYNFDLELDERSENWSRQETYILWNKPGLYVRLRPRVGI AOR_1_1818054 MPLPITDVDFAFGVCRMDDPKHLEVLDLEHSDPPEMQRLTGSGS EIDFALVIQGFNIWSEVSRWVSNARNQAGSTSLTESPETINSFWTRSLRALEDWRAIQ PPQFHYSLAGSHLQAFISRKQGERYCLVNLIYFLTTIFLYRECVPLLHRDAQETASSE TAFSREAVFVNWPKDSANRLSESALSTIRMMDELTSQGLNLHVPFTCYCVFNAVTILS YIRRWPATNLQSESALESFNWGFEWLSKASQIWEVAAVWKSTLTKLESSYNYPHAESR NFPRSEAEIESLSDLAIPAVGQELNRRSCRPGIDQNTESSFSIAARPDTAVLDDISRW GPSASYSDLPWLSIDGIPLDYELMVDALVDFPHGRTAY AOR_1_1820054 MATSTKICLTPANSGVFSTPGLSWASARKVSEVLQHDMENHHIY LNEIEFHDHIVHFMLTIWALGASPETIQVQYEREDHRQRRAFPRDEAIILSFSDKKEF MKHMYQEEQYSNYLAFFQRVIADKGVPAVINEYVFGGDELAESLLSRLFAGLVHPIIH LGFAIEFQQPAIVAQALAQASVHQDYLADAFYKPATVAAQSHHTSKSLVEIMDEMRTN QAIRDAAIHGDTDVFENGILSRASEEVIKYCSQWSVSEDQIDDKLVEMINTAIYWTAT AQNPQKELKLDFFFIHAVNLSIFFKAFMDLPYLSRANKARLLEMKGRMDLLIWASRKM PDPQPNDICTYPIRQGWPEVFAKSYLHPSDDGHLAKFVRTVAMAEELCRPYEAAGKRL PVSGDMWLQIGNIAVDSVGVIFKDLWVRGSGFPESWEKFRPRK AOR_1_1178054 MPRLGRVDSLTTLARLVQLDYQTPHLVSDIRASTQYSSGWSVVP SNNSRDLSWVGKARFHLESAQIQLPSGISVTPLTTALVNLDQSELTNFNVTGNLVNVD DTNSISLNTSDIALISCDQSAYPGNLDASETVRNVVTSSHRASAILLYSSEVHHCNYT AGPNANGYINVFTLVNPTLAKLVTKLSHSSTGNGSTSIKPDMSFTMSGTPPTSGDSGG ATDSPNTAMIILYSITGIITALFLAIIITGAVRAHRHPERYGPRYTAGRPRQSRTKGM ARAMLDTIPIVKFGNQQDPKLDAVKGDVEMGSDDETGRQPDATPETTTVQETNPQATA ALPATNNTTTESNTEQEAKPTESTDHPNFSCPICTDDFVKGQDLRVLPCNHQFHPECI DPWLVNVSGTCPLCRIDLNPAQPEGENENQEGENNTETQQEGTAEPATEESHHRHRRL TSFMHGTLNARRMREATVEERLAALRSVREENRNSIENEEDRQRRGRLTSRLRDRFRI RTRRHGDDGEQQPPASTAT AOR_1_1180054 MPPPTSLVPAAPPSAEDDQFAASIWRKRFEKRPLSQHPMIAILD VEYNELTQSWKRFQENLPLADHVEFQERPQTSQDVRVVVRNVQTRWLSHPRQQVFSRS MTLCDTFLETIEPHAVLLTTLPKNESYRSLFYGVLQSIIKASASYPRIMEGVIRALVK VNQSICLPPGSHGLAFTTDSITSIAKFYSLTFFFLGELMDWYVRRSKCRLLECLHQDV YLNFRYLIWSIRSSAIHIIGGLIDGMDMDDPKYEKKRKIMQSSDLYLWEETRLSQVGL QKWDRRLIAQSAMTRQLIWEIQHDAAERLQLRAESHLLLLQMLDLTSQRLRSVTQQNS GIACLTTTVAQDIETSRYKWSSGPKHKYTRVELQLASKHLQDFFRNDDQVTDLDSDVD VIAEDSVVECLQQWATNVHSQVLAIGGSPTTAFPSPVALISACYATFARKAKLPVISH FCSLPTEERSGMTLFEQGLISLAYSLIRQLIDYLPPVLEGHAACNLNTERFSALNGTM TSWKEVLSLIDMLLYLAPPVLVCVIDGLDVLGDSSTDGPIRSLVRTLSTHTRHQTAPT ADGSQNQDVLLKVLFTVAGRPNTLVETLSENRLILSESNQVSQLASTDGALNPDVGIV MMNA AOR_1_1182054 MTVPNGTHKTVPSRLFQIETPNLEDFKKICSQTTDKSTYPLAAS IDHNIPIYDARTLDLQNTSLTTTQQDEWYHILSTGPGILVLKGMYDPTQYADTLNTTN QAFTSIITRERASSTKKGDHFAASGKNDRIWNSFSKHALEDPSSFINYYSNPWLRLVS ETWLGPAYRVTAQVNVVKPGGAAQDSHRDYHLGFQDLQTCASFPRNIQLASQHLTLQG AVAHSDMPLQSGPTRFLPFSQTYEPGYLAWRREDFRAFFQEKYVALPLEFGDGLFFNP AVFHAAGANETEPTPDGGFHRKANLLQISSGLGKAMESIDVVPIVERCWGTLLERFKH AGEVDRGLENFVKAVADGYPFPTNLDKRPPAPNGMAPESEQEIIVRGLREGWGTERAV EELRKMRADSWA AOR_1_1822054 MPRKTKTSQQQQNQDKDPLKQNPHIRTTPTHIFFHSGPLSNWHP STPPFPGHRALTLCLPDLDALGIPHPSLQSAVTRLISSWSFTCGEQWMMAMKGWLFED IPGLDSGVDISDEEFEGVRAVALGISEPLPECIREKAIWDSTVASVLRTRQPRVQKAL GRCAEGFREDVWEFASEVIVIAGCVARAEVDDALREVYLASGGRRFVEGSVRDRVWGV GLRWDSGEIEDEGNWRGRNWLGRCHDEAARVVRASFE AOR_1_1824054 MRLLRTRTLELVEFTPDRIPPYAILSHTWGEEEVLFTNMQNRTG QKRSAWSKVQGACAQARADGFDYIWIDTCCIDKSSSAELSEAINSMFTWYANAEVCYA YLSDVTMREGHSGAEVNTAEFEQSRWFTRGWTLQELLAPSEVVFFSRDWVHFGERSSL ANWLMDITRIDEAVLRNKRNACHGLLGGKLPRPEDMAYCLMGIFSVNMPMLYGEGGEK AFLRLQEEIMKQSDDQTIFAWTNKRAPEYSLGGLLATTPAHFEDSQDIIAYQQWEPTP PYAMTNRGLRIDLPLHDIMQGRRGRDFIALLRCGVSQDIKGQTGYKFLAICLTRLSLF DNRYARRDVGLLSRESVFSSIQTIYVPQTIFDADTQGPLGHVIFNISGFPHPSQYRLI RIASPTVDKDIEKIHLGLTSPPTENENWLVFSSLNPTGTHGTILAFLIWQDPNKNKPQ IVVIVGSSPDFGLGFDATRYSDSDSKRFNFDELQILVGEKSNLQPMGQKVKVGDVIVS VAARENTKAFPRHYSLDVSIEEQQEQTTSALNKFLKVLR AOR_1_1826054 MVTYRLGKQLISLDLPDTTKKEVDFTDTSFFTTSPHRHLPTPAQ VRAMSKDIDTSSQPTPIKFRNLNLIVKFGLYVTIVEALNLWMVKKVFHDKVPVPGLFG WRVDDEGYVFIYMELIEGPTLEECWNRLCNIEKRAISDQLSRIAETLRQLEQDPSDQF IGSINRECHLDYVFLNQLITGPFPSIKEFNDWFTYPSHGLLPDNGEIKFTHAELEQRN IIVSSFTPVQIVIVN AOR_1_1828054 MAPRTIYLISTRSSKTQRAHFAIWVPSAQQPTSGSLINVVGAPM IGFAHEFKRCYEPAGSTEPYEIWPIGEVDSAHIFDWPEGGCNIRTDPKGDLEIAAAQV PAPGVSRDTMAPVDHTTNRRCQEWTMDYVRYLVAKGYIGAEAIEIVQSKRDSPTHGLV CDEWLRSWGMDVEERSDDVGIRSLAS AOR_1_1186054 MENDQGDLQQWSIATTASVTVLAFVTVCLRLLARYERKQKLWWD DYMIIFSMLWNLVVVGFIYAMIKEGMGLHADTIPTSNVVMIAKFLVVAEILYVFNLVW TKLSILLMYYRIFRFPYFKTWAYIIGTFVILWVICITFLFIFICVPVEKLWYPQIPGR CINQVGTWIANAVSTIATDIVILLLLIPQVWKLQLRLSEKIAVSIAFSLGFFVVFASA YRFSVLFTYTATDSSYTLAPTVGWTAIEMSAGIVSACLPTLRPALRYMARKLGLHGRL PALFQSTTGQMSKTSIPATGPSRTEDSTATIIQHSERPKRHSFYHLPDETDSAGEQGH SDTSFRPDYAKTFTNVLGPRVSGRLSGDEIPLNGIRVDREFVQTSKR AOR_1_1188054 MAATQTIQVPHLGGIKAGYALSNDHYDPSKPTCVLINSMCMTVS LYHDQFNNKELTDAMNLLAIEPLGHGATSCPSEHFTYWDSAIMALQVMDHFGIQKAFA LGTSQGGWMVTRMALLAPDRILGLMPLGTSMDYESADSRSKGCWDPAASLTAFYDKWT SPLATPDFVVDDVWCGLVGGIGFGAAATAEKSAFWTQTLKEVYKGDEGRKKVRMALNC LLERDGLLLRLRDIKCPVYWLQGTEDTPFGTVVPAEQIKLFTASPEAKLVMIEGGAHY LNATNPKEVNEALLEMVTKYQ AOR_1_1830054 MHAYSDTLTDGMIRSEHTQLNGVPVPKSVLVTGGAGFIGGWFVR HLLQTYGDRYAVTCFDNLDYCASVNKFKAVSQLSNFHFVRGNVCTPKDVENALRNYHI DSIVHFAARSHVDTSLNDSLSFTQTNVIGTQVLLEVAREQGSIRRFIHVSTDEVYGEN DAQNPTAFTEEQSLHPTNPYSASKAATEMIIQAYRKSFHIPLIIVRCNNVFGPRQYPE KLIPRFITLLNQRRRMPIHGDGRTSRAFLWAGDAAEALDVIFHKGTDGETYNISSNDH LQSDNWIEFVADRPVNDDMYWTDDSKLRALGWTQRTNFDEALRATVDWYCRDSEGFWP NYEGLGSTFFDGDVIAEDDYI AOR_1_1192054 MSRIINTEELIRNAPFELSKADKVVLTTTEEDFVPHTWEDIQEI IVSAGGDTSQLKRTPTYLPDYIFWTREIQATFGSVTNFLVKTRLHWGKEANHADIRIP YRHYSVPFADQSDYRILRNDWPYAMPSGMVHLVVWLKTPIPVDAEGDPTTESRRLVAD FIDRTFWMHMS AOR_1_1194054 MAPIHLIATLRPAAGKEQLLREVLRQTVDRVADIEIGCLTFLLT ETRGDDVVVVFKVIERWLNLEALEQHHGRDWLQQMYQTFKDNELLDGTERIEHLTLIA GFVAR AOR_1_1196054 MTSHTGWRSSSAFVTLVVCVAIFTDIFLYGLVVPVLPFALKVRI GLPENDIQFWASAFLAIYGGSIFLGSLLFGWIGDHSRTRKGPFLLGLIAVGGATLLTA LTTSLPLFLVARVLQGLSTSSVFTIGYCLLLDTVGSEHIGSALGFTSMSLSSLGLLAG PIIGGLIYDLASYVAVFAPAFALIIIEIILCGFLQPLPPGYKHEHHPSSEQSPLLHHR TEGQGQSSPPTLLILLRSPRFLIAMIGMCMLNTFMTALDAVLPIFLQDLFHYTSSQIA IVFLSNSLPLMILSPLAGYFVDRIGPFRPAILGFVLTAPSLMLLGLIHQNTIFSSVLL RLFLFWFGCGVSLAMPALMTEISMATEAVEKRHPGVFGARGAYSQAYGLSNAAFAAGT LAGPLYAGYIRKWAGWGAMTVSMGVLSLVAVGLVVGFTGRRGGWSGCGREDV AOR_1_1198054 MEETFQLRWGILVNCPTIDVIYIATPHSHHFQNAMLALEAGKHV LCEKNFTVNAGQAKKLSTVAEKKQRFLMEGLWTRFLPVSVEVRQFLQAGAIGTVTRVF ADNGLGMDPYSDFLPGDLMVVKELAGGALLDREFKCPPVKLSTTLMTDGILISWRLFN PLGTPGHAQDKSSPNSDPLYHDGIRRIRSRRDNYYPYEIRP AOR_1_1832054 MDMRTNTIHTTCTTIIGTELANIEDVLTLNNLSGKGKYTRLCEQ WLEQFMRGNNGRALVVSSCTSALEMAAILADIQAGDEVIVPSYTYVTTVNAFALRGAV PVFVDLDDATMNIDANLIERAITPKTRAIIPIHYGGVACDMDKIMKVAKRHQLFVCED AAMACTSTYKGQILGTIGNIGCISFQEKKNFTAGGQGGALLVNDPVLAERAEILYDHG TNRSRFMRGEVDSYQWLDLGLNATLSELQAAFLYAQLQAADGINARRRHIWDHYFVSL LPLVRKRYITLPRVPDDTTQHANVFYIRVIDPAQREDLIRHMARAKVQVHPQFMPLHL SPFGRSHGHFHGEDRVTSLASSQILLLPVHLALSDEAQEVVIREMFAFWGETAEGSV AOR_1_1202054 MSTRPGLAEKRLSAHRFQQIPDYSGDGMANPNDVTIEIPLNPVP SRGQTGARKTSINPTSPDPNLYEPPGESGAEEKAALVTGPGRRKRVDSARARSVDDPE DGTLTRMGRIYQAIFNFSIITRYLIYVSPLALLIAIPIIVGATVRQDTRIGGVPLHWF FTWIEVVWLSLWVCKLVAHFLPYVFQFLVGIVSSGTRKYALILQSLQFPIATVLWAVV SLVTFLPIMTLNPVKKAENDTGTKSWEKALKNILFALLVCSLIFLAEKAIVQLISISY HRKQFDKKIKESKRNVTLLGELYDASRSMFPMYCKEFREEDAAMTDIIASKVKGMPRS GSAPLRLIREVGQNVGRIGDKVTAAFGDVAQELTGKEVFNPNSARSIVTLALERKRSS EALARRIWMSFVIEGREALYFEDIAEVLGAGKEAEAEECFQILDRDGNGDISLDEMIL TVGEIGRGRKALNHSMHDVDQAIHVLDNLLMTIAFGISVLVFVSFVTSGFGTVIAAGA TSLLSLSFVFATTAQEVLGSCIFLFVKHPFDVGDRVEIDSKPYTVPNVVLNTLWIDNF TRSNAMHETLTIPIKFGTSFSDIELLRQEMELFVRDKENSRDFQPDVSIDVAGVGDMD KLELAVTICHKSNWAIESVRAARRSKFMCALVAAIRKIPIRAPGAADEEPAAEDNNDD KPDGGDNNGPGLNTEKMAVSGLTTTDSLHDPTMPYGDSRSTGFDLGRDSGSLQRRGGG ASASSHSQGTFVDSSHSTGDGEGHDAESFRSPAASPRKDQLSVPYGTLNREPSTGRRK ANSTQSTQSTQSTQSTYPTATGGVPILAAPAPPRHATTAPAPPPSAPLPPAPPATEYR PYSAHYYEDSPYDSTQRYELPSMPQPSEQEPYGEYPSPQMNQSPTGEQRTSNERMPGA FVSHTSQYGANHNNNTR AOR_1_1834054 MSLSRSLRAAETLLSRTKAPIRPNIRTITTASNRQQQQQPPKPP TPSANSNNKPTPPIQPTNPQTQPTPQIAKILSPTPSHPTTPNISKTGLADKPLELETT LEEKIDWTRSFHGLSAAPFPKEAADILLAETDPEEVEIKPDGILYLPEIKYRRILNRA FGPGGWGLVPRSESIVTPKTVTREYALVCNGRLVSVARGEQDYFSPDGIPTATEGCRS NALVRCCKDLGIASELWDPRWIRKYKAQYTREVFVEHVVNKRKSKIWVRKDDEVSYPW KELK AOR_1_1206054 MSPKEQNPRVVVDVDDDEPDEWDKRIFSTGCSVEQDKMNDCYFA KKDWRACKKEMEAFRECWKRKGNDERTQTKDA AOR_1_1208054 MTSAGPNTTGKRVNVLVYTGIGTTVDSVRHCLYTLRRLLAPHYA VIPVTADMLIKEPWTLTCALLVIPGGADLGYCRALNGPGNRRIEQFVRRGGAYLGFCA GGYYGCKRCEFEVGDKTYEVIGDRELAFFPGICRGCAFPGFVYHSEAGARAAVLKVSK DALNVGIVPESFRSYYNGGGVFVDAPSYADKGVEVLASYAEELNVDSGSGAAAVVYCK VGEGAAVLTGPHPEFAAVNLDKSAGGPEYGKMVDALAADDRARTDFLKACLTKLGLQV TQNTTTVPSLSSLHISSLDPADTSSILSSLQELITTDGEHQYLKDENDTFRIEKPEAW NMESLQEALPDDSKEDSGKAEEGIVDYNAIVKHLVIHEDVPLSKMTPYFNHHAFYSNL RQYQSQMREGAREFGSSIVYGEVITSTNTILEKNPKLLRNLPNGFTATATTQVAGRGR GSNVWVSPAGALMFSTVVRHPMEKMQSAPVVFIQYLAAMAVVQGIKSYDKGFEEMPVK MKWPNDIYALDPENADKKRYTKICGILVNSHYSAGEYTSVVGVGVNATNASPTTSLNA LAAHFLGNKTAPITLEKLLARILTTFEELHTRFLRTGFDKTFEDMYYSDWLHMHQVVT LEEEGGAKARIKGITRDYGLLLAEELGWDDRPTGRIWQLQSDSNSFDFLRGLVRRKV AOR_1_1210054 MFGFSWFKSSKEEETSQQPTWNPNTLTMEQPTSPAAPNQQQVVT EQPASQEQMNMSLRGGGGGGVCCGICAGLACFECCEICC AOR_1_1212054 MIPLLILAALAVVFRLVWSIFTSLRHAQNARKWHCGAIPTYPGD ILGINTLKEVLRADKEKLIPVLSAQRVETMTAREGRYVSTFRLRQMGRESIFTSDPKN MQAILATQFKEFELGSLRRNSLHPLLGSGIFSTDGEAWSRSRSLLRPQFTRDQVSDLD LEERHVQKAMAGMLADPATKWTPEIDIQSIFFRLTIDSATEFLFGDSVESQTAALSGS RIIEDKFPSYFDRGQWYAAQRARFEKLYWIVNNKESRETDRFVHAYVDRFIDAALAAV KEGKIDPEKRNSDHYVFLHGLTTATQDPVELRSQLLNILLAGRDTTASLLSWCVLLLA RHPDIFQKLRNTILADFGDYRNPRNITFSSLKSCRYLHYFMNEVLRLYPIVPGNRRVA LKDTTLPRGGGPDGSEPVYVRKGQPVVYSVFVTHRRKDIWGADAEVFNPDRWEDLKVG WEYLPFNGGPRICIGQQFALTEAGYVLVRLLQRFDQIVDARPEREIRFNATLTSAPWE NVIVRLREGA AOR_1_1214054 MSPVQVPKPNTDAMGRDSPVPKGLNKDVGLVYKRAVQVMVTVIV IFFAPLSFTYFFDKTHTSNLSDKALAVLISPEFAYGAGSGTMSDVNGSQMIVYLRNLT AMFPHTIAGSIAITVGLVQFNTTLQFKYPVIHRWLGRLYALCAVIISWSSMPYIADAM PTKEVFSGDPFAYILSSLSISVPITMACAIYAIWNGDIGTHREFMVLNYAFMLSAPIP RVQWITLGRLWEENKYIVNLYSSIFSGPFLVAASIFYLRQRHVRPSNPLLTSLNARLT AAASGFLGLLFLLTKGPSITGGSHPKAFWLALVPQLTFYMTLFTAFARAAKRRGDMRS YTAWVTYQNGLISAPLWSVFVMYMARDRMGCSEESLGMITVSGGVNQGLFISFMVYVF ATSNLRNPAIRSVKSR AOR_1_1216054 MRIGNLIVAASAASLVHAYPTRDIKKRGSGFTWVGVSESGAEFG SSIPGTLGTDYTWPDTSKIQVLRDDGMNVFRIPFLMERLAPNQMTGSLDATYLKDLKS TVQAVTDSGAYVVLDPHNYGRYSGSIISSTSDFKTFWKTVAGEFASNEKVIFDTNNEY HDMEQSLVLSLNQAAIDGIRAAGATTQYIFVEGNSYSGAWKWADTNDNLSQLTDPQDK IVYEMHQYLDSDGSGTSETCASSTIGKERLQTATEWLKTNNKKGFLGEFAGGVNEQCE QAVEGLLSYMSDNSDVWMGAEWWSAGPWWGSYMYSMEPTDGTAYSTYLPILKKYFVDG AGASTSSSATSAAPSTAAASTSTSVSASTSSASSTTISAVESSSTSSVAEAPSTTSGV VTATPTPSHPAPQPTSNSSSASSGAPTSSAPTTLATSPACGYQTTVTVTASRSTAAPS SSAGAVAHYYQCGGINYSGPTTCESGYTCVKQNPYYSQCL AOR_1_1218054 MCIALISTAHPSYSLIVIDNRDEYLRRPTAPADWWPGLNSNILG GRDLARATQGTWMGITKEGKIAVLTNYREDTSEKATGTQSRGAIVNGWLTVAPEPRES TRDFAQRMVASATARNVGGFSLVCGYVNEPLAVISNRSSNIDQVTWLATEKGQTVGLS NTHFDDRSWPKIIDGEKLMIEAIQAHVGAGENEDGLIDRLLGLLSKNTLPNLSEGATA EDYLPHFRKSIFIPKLGVKEVHARSADGVAVPCAEEKATGAKQGDELDQSYLHGAYGT QKQTVILVSKDGRVRYFERTLYDNEVNAIPLGNGDRSYEFQVNQ AOR_1_1220054 MFGIIADLLSSIITILFPIFASFKALRSANPSQLAPWLMYWVVL SAILMAESWTVWILGWLPFYSWIRLFFFSYLVLPQTQGARILYQTYVDPFLAQHEREI EEFIGRSHERAKALGLQYFYQGLDWVRENVFHLPAQQAAAPPPATGPAAYAQSLLSRF NVPTAAGGNATTPAQGNDWLSAIGSAVASMTSTGKTPEARAEELSASGSFLPRDMAGM SHDEKAKYLSNQQDMLEVLRNALAKEQQNLHGRDDDLAYGSSLRKNRSDNSFDHIEPE DIRNQSTSSNWTSGVDYAVRAAEELARSRGSH AOR_1_1222054 MERLQQIVAHLQPSNLSTESILNTSKGFANHVVDQASQVDWSNL PSKTAQYVSENPKSLLWGAVQVGTFLCPGVVTGPLMHVAGFTGAGPAAGSAAAWAQSH MAPVARQGVFAYVQSTAMKGYGRTVVEGVARGMVLAPRAAAGAWRYFRG AOR_1_1224054 MSSIPPKSGLQPQGQSTSNQAASQSTAPATSGNPSSQPAATKSY ASATKKSATDSTAAPVTVGGSSQHGKSTSVSPVSGKPMQQPQTPGVTIVNGAPAPSSA QGDHSRKPSVTITSAGTSGYIPNGGPSSRPNSLQFGFAANQPASPNMGNPAVLANQAQ AGLGVNPTANPRVTSPQTSPSPIPQPASSGGRPPPSSYQAQGNVPNFGSFGDAGDNQT RPSQAPLGPGPQSTHLRRESSQSTHSDMSNHMGSGPGRGGYHHQGGRGRGYSQSNYQG QMAYSPGPSFRTPNQPRGGMNPQYHPPNQARPMPPFPNSPQANRSPALATAHPATPQM STVPMGPPQPYGAYPPHMAHQSVRTHSYNRTPRRGGLPKRKPGPRVIPSPNIPPPHPS ISLPPPNLAPESGQFEHYLTLMKSNQGPYFDPNYGYYQQYPMQQFMAPPSSPQPRPGM PYTPQPPYMQGQYPPQQPPQAMSRSPSQVSNDRPGSSLGHGQPPAGPPASGHAHTASR PSNSPAPGVQNFVIPSSKKSAIVIKDPGSGAVKTFEKAPASPARATPSPVKLATPTAT PPPRSSSGADHARTDSKAAKTDEEKKQELKDAVRQKIEQDEAEARRKKEAAETEVTRQ KEEEEAARKKQEEETARKQKEEEEAAQKKAADEEAARKALEDLSLKDKAEEAKPAAEE SSKPADPTPAPADEDEIDYDAIERELAEIEAKEAAAEAAYYAKKQAEKEEKERKEKEE REAYEANMKKAEAEAEAIEEARMKKREAGEQDTSNKDLFASLKKGGWAATEAGEPAES GTATPASDASMGPPAKPASAGKREKPAALKLETNKAVEPPQPSAAMKSLHSARLIEDL SQVTYPDSIVSPNPALNASAPADRKFHYNKEFLLQFQSVFKEKPSVDWDARVRETVGD SDSSRPQSARTPMMGGRNTSRGGISQGFQMGNFGQAASRHSLPPGSTSEQRFALANAA RTASMNNPFGSFGRGPMPMGSPALSRTNSANPMMPGSPRPGSNRSGTRTGSKREKHQA KKEEEMAKSMPLTAGKEVAALQVSTTGWKPRSVGQAAQPSAAAPGTGYLAPDVVQRKV KAALNKMTPENFDRISGQILEIVSQSKDESDGRTLRQVIQLTFEKATDEAHWASIYAK FCKRMLESMSPEIKDENIRDKNGNVVVGGSLFRKYLLNRCQEEFERGWKVNLPPKPEG QTEEAAMLSDEYYKAAAAKRRGLGLVKFIGELYKLGMLTERIMHECLKKLVDYEGVPD EAEVESLTSLLRTIGASLDASEKGHTFMDVYFQRINNMVQIQGLPSRLKFMLMDIIDL RNAKWKSKDADKGPKTIQQIREEAARAQQEAEMERLRQQANRGGRPNMGRGDARSYSG YGNQAPPQDYASSKVGSDDLRRLRTTRNTSQPMSFGPSSMLGSRSSSGRRNLGPGGNL VRGSDDSAASSRTGTPPAGKKEDKEAASSINAFSALASLEDRDNMATSPPSNPTSPLL AKSQPAVERRPSKTPSKDGEEAS AOR_1_1226054 MSSTPKSSLDSEERASNASEPSSQNSRISSTADSPRRKSIQFNF GGTEPQSQHRRSTSAVGGRRPQQQEAQDKEQKATGRGHSPPPPQTYERGVSFNTFDNP DAADFSLTLNYKHKGYQCTRRSRTFLCGTDQNDYSDFALEWLIDELMDDGDEIVCLRA VEKDSTIASDAAIEEGKYRQEAEKLFEQVIQKNSQDEKAISLVLELAVGKVQDIIQRM IRIYEPSVLIVGTRGRNLGGVQGLLPGSVSKYCLQQSPIPVIVVRPSTKREKKKKKRL ADPTRRNYNHILELSEQRGSKLFDASSSTDSHVSKLPGEEAAVAAALGLPQSYANSRS SLSMSERSSVSHDENDSPSPNVDSLGTSHSPAAGTPESTESESTTDDEPGPQIKDAPE GSSSETASTEEAKAVDATSTDESELINSNQSTPRPGSSKLNIPVIVTEDMTEKGTDGQ AOR_1_1228054 MGSSSFPTTSSTTTTSTPSPLTSSYHTSSPSAYFTSLARRRQQK KMSITQTSYLAHAARKKLTREASRADHDLRLLVGHANLLDTLMLELADAEQEQERWFN QTVHGVTKGSPSEPRHIQWAESVVEDPEENWDAEDVSDSDLSDDSDLEENDYEPAYTP VRRRAPSPVAIVREKEIEEDYDSDSDSDFEYDDAEDLEELALTRSPSRQTPPELSSDS DEESEEESMPPSPPQPTLDTFSEKQAEATELPLSGNGFEVGYYVSNHSQNTIIEAY AOR_1_1838054 MGIRRLQFLERDSKPLSDGAPWYEFLETTDSDVDASISWDEDQR LCSGLESTRKIWSSPELLNLNPWNIHNVHGNRRLNHVKLDAKVRGLIVCCSRGNAIGI YGFTGISKAFESFVELMNQRAQNSQTYWFYFPINNGELITAAWIRKFKDCNSLAWPPA LAFKTSLGRDVIFGTHPPIDLNHVYEYQPLVKDGDGAISGIVHNGLDPESKRITEIGV TCNPGCQVEAPGQEPQFGHYKCPEIRGRSTSITTWYMTKAPLEGLYKVRVCRDLEKPH RPCIGLLLYYTKERVESLGQVRWDRDLTYDVLAPINLEIGNINGKDYIKGVQRDTACT QLDSEVGVWQKIPQHGTLVWWFGNLGDKVALYNDPIEGCFEMPCLGLVKLDVVTPFCE AKYQAIEACMRYLGKPENAELEFMYAITSFGTKGRAWKYERGMDYLTALFGPESLFNI LRCCIPRLCLVEGENRNSMGFRPDT AOR_1_1230054 MPTPLDLLYAIVEEALPPTIVFVIMSPSSRDRLLPSIQALLHSC ARATILASEVQFEEDLSTSQDQTLAVLISLDLEIKRLLRHILQPIKLSIPLFTSALSG STWALDLFERRLQLYRLSSYGRQYVSNSWTPLIETLQQYTMNLQILTGTSDSDSLDAV SDDRSLQRLFESQNNDVQQELEAQIRYEGQQWDGSLDTPGYLDVQQEYPPSTYATPPS SVIDGDIHDDLPAIPGPPLQAPNHLSKEQHYQAAVEHGREKERAKIYETLKTVWLPER EAPEKRWSHLVRAIKLDSVDGVNLLLNLGMDVNQRCENNLPLCLAAKHGRDRIVEILL ERGAEIEKRSDYGSTALLSAAGAGHVSTIALLLNRKANTEARSTSPFHMGYTPLMRAV KSGHMRAIQVLVEGRACVATQSDAGESLLHVALQDGRKEIIEEVFRLKPPIGIADRNG NTELHVAATQGLVDASRRLVGQMRSLVRTANHKQEIPLHCAVIAGRRELVKLLLSEGA SVEWPDKNGKTPLHLAVEAEYQEIVRLLLNANASPCTVDRDGKTPIHYAVDLSNKDIV QLLAENMPEDRNEETPLHYAVKSLNVEIVRVLLLHKAKANCKDRAGKVSLEYVMELPL SDEETAIHLIQEFLRSHEKGQSFTRKYGFPALSQAAREGRVPVLQTFCQHDPGLANEI PPADSGFEPPLHEAIKMGHSKSTEMLCRLPDIDKNILDHEGNTPLHQAIKNRRASPGL LAMLIRYGADKDRPHATTGLPPLHFAVQLQSLNEVKELLTAKADPEKRIEGEQCSCCK DDERHADMDSHCVLQAIPVQNRTHDYPLIKQALSQAILRSDRPRSVSAHGNTKRTKRS LYRIGPHIYIAA AOR_1_1232054 MSELPSIRWGIITTGLISSWFVEDLVTPQETPKVKHIVQAIGSS ALEKGQQFAAKYCPQSTPTIYASYEEVYNDPNVDVVYIGTPHSFHKRNCLDAIRAGKP ILCEKAFTLNAAEAREVFAAAAEKNVYVHEAMWLRHRPLVHELRRLLYEEKVIGDVFR TIVDFALDVDIPSLPPTSRYRDPALGAGSLLDLGVYALTWAMLTLDPKSPGASELPQV LATQTHLHGVEVTTGVLLRYLSSGRQGIVSSTTMMPGDPVHIARIQGTKGYIDVEGPA ASMPLSFTVYEKNAAEK AOR_1_1234054 MADTEKPNASDNKPHHHLNTDVEHSSGENQSAKEANDPNVVNFD GPDDLENPHELVDCEQNGSNCHRVDDDNALGDVVQVNNNVMHRDKDVWGEDADEFKSE RWFGLRPYWDFVPHSGGPRRYPAQLLVTTEASYVVARFCQRSKAVENRDVNGYIPIMR AGPVDSNGVKIAVTPV AOR_1_1236054 MDSSQIARSEEYYELGTHSRQITTVSPDAQLWFNRGLQWSYAFN HEEAVRCFEKATVYAPSCAMAWWGIAYALAKAALSRAIQLARKADPVERALCDALSAR FPSLEIPSDLTPLDHAYANAMRSVYESNRDDLDVVALFAEALVCTRARDLWDLDTGKP TSNCTTEARAVLESAMAKDSGKTHPAFCHMYIHLMEMSPFPELALSAADTLRHLVPDG SHLMHMATHIDIACGDYCRAMHSNHDAMLADNRYFSNNESSSVFYIMYRAHNVYAKLY AAIMLGYQAEALSAAKHLWEILTPEVLSVSSPPMADWAESYLGALAHVLVRFGRWDDI LQLELPSDRELFCSTIAMLLYARGIALGVLDRIDEAETALAQFEVARAAVPATRLNSL PSKEVDVLRIASAMLKGELEYRKGNYDLAFSNLRKAVQLEDSLPYTDTPPWMQPVRHA LGALLLEQNNIAEAEVVYREDLGFSDTLPRRRARLNNVWGLHGLYECFVRSGKTSEAL LIRTQRDAALLKADVPITASCYCRLSTRSDEDSCCRISG AOR_1_1238054 MGLHPMPLDATGIARLAHGVKSEGIAASTKIGYIVLIVWHRSYR ALTQRLENMERLISESMHLPTSQMRREYLHNVAGQFTRQTTGLSLGPTQSPLTINLCS HEDRSMSLDMKDRNGERLCIGSCSILSPEGIRWINALVGDESFSSLLSGLKIPRKAPL GNFEGRVNHPLPSNDMIKTCFKDFATTFNNALHLFEDDYLDGILQRHLDGQSFLDATS YAAFNIVLAQSLRKFDNMRPDAAEKCFENAFSILPVMMMQSPHKASIATMLFMTMYLV YTSRSHPSATVLGAAIQSILMAGYHHPLPPQDSSTALHEKILLYHAFILDQDLAMYHS KPPSLTSDLISCLPEEDPEDGRNTLRFDDGSTMNWLREQVILANIQNKVYDKLRSPRA SAQSPEQLYVAVMELDEELQSWRQNIPDMARPQTPLTGLDDMRLMPLTVLHFCYFQLL ISIHSVVFSKAVPLWNHYEESDLVISSVALCVSAARASISLLNYHDQGHPFTIYLVYH IAWNVDILLISILENKTAPQAREDLDLLGTVIRFFEKHDPNCESAVPYHITRLYYQVA LRAVNNATAASQNAPQESSAGPLATAKNNEDSSSFPYSNHGDSMDTGTATPVSGLNGS PNPLLYSWGMQLSFLPELWQDPHLAMLDDGLAEHSPDV AOR_1_1240054 MLTLESETYDPTEPFWKVVKINQALHLTKAKTLSAAEQLVETER DSAIDKLGRELKNHVLDSAHYEALQDYVMPVTVETTTDELPNITQEDVAELRQQENWL DNDIFQPNNHEQACESHALFGGSWR AOR_1_1242054 MRFSITAVAIMAGLSASGSAFVMDTYSDTNCGDSVQSGVNTWDN TCATWPKGFKSFKITTWGGNRQLAYFFAPDNCGSLPGAIRHGYVDSTTKDFKLGQCYH FDGASANAIASYWN AOR_1_1842054 MPTAHTVTVHPSQYTPGARAFKVSTSDAPLKDLNAESRHVLIIG GGVSGLLVAWMLLDKGIRVTILAKEWARTWDFGEPRITSQIAGALWEMPPGGCGLTEI ESLGAGWATVDHYREWAMQSYNFYMKYAEVSNEHEKGGHSFGLSVAKLHQFFYEDVIT SCNTRLPRSEHYEKYAAVNTRIGDVEVYPDKDAIAQRFNKSFINLSYGGKEFQSGYTH KAPIINTDKALAYLMALIQRKGATLETREVKDLRQTGQRLLIDYKADAIVNATGLGAR DLIKDDDVYPVRGAIRRVENTRHSKFRHLNDAYLVPAQIGPGGLPSKTVFIVPRNDDI LYVGSIIQPHNGNMNLTPESPEVQQMWDRAGEFMPSLNHAGFVNHFPFTQGLRPFTKK NVKVRADEDCGFPLVHNYGHGGSGWTLGVGTAQCAVHIVETLIYDQDKAGLLHVVDLQ TDWSGSTKEKFSNAIRGTLGASEKAELLEKIRASSFPQPYKNRLTNVVKSWSAELIKA SAKVGNQTLTKVGDRLIDDKTGLLEVVGLSDWSDEEKDKFRNTINGTLGPSDKNELLA KIQVTTSLRSDKVRLTEVVEMWSAELLKASAKATNGAIYPIGDTVYKARL AOR_1_1844054 MLQRGANIDCKDKNDCTPLIYAASNGDEDLVRLLLEKGATLENE DYTASTALICAAKNGHEGVVKLLLEKGAFVNRMDCGDCTSLTYAAMEGHEGVARLLLE KGANPEYGDTKGYTPLIWAAKKGHERIFRLLVEKGANIEHGDDSGCTPLAYASSRGHE GIVRLLLENGANPGHGEFIDRIIDCTPLLIATLQGHEHVARLLLDNGADIEFSLLLER GADMNCEDEDSNTPLIHAGKNGDKVVVKLLLEKGPPDLERKNWHRMTALACADANEY AOR_1_1246054 MPPYPEPQADSSPLRLHHHYFYIIIWALVASIILYIPGGLSFVD ALLLASGAATQTGLNPIDLKDLHISQQITLWLVPMVTNVVFLHTLLVLIRIYWFRKRF KSAIREAKAVCHSQRQRMNQALDYEARRPIGLNRTITDTTDTTTTAFDSSDRDDEEQP LLGTSPRTQPAAIGPSSPRITFYESDRDLEARQKRRSSFSRRRSFSEAVNEAFPRTDS PNTPVLPSLMWQHSIASYSDWDEDQKEELGGIEYRALKTLMVILVGYFLAFHLLGIIL FIPWIMTDSKYGGMVKDMGLNRPWWAVFTAGSAFHDLGYTLSPDSMASFRNAAFPLLV MTFLVVIGNTGFPCMLRLIIWLISKFTTYGSPLDEELHYLLEHPRRCFTMLFPGSETW RLAGVLLLLNALDLFVFYTLQEVSSTSNPFSPGLRLVDGLFQIASTRTAGFSITSLGT LHPAVQVSFVVMMYISAFPIAIAIRKTNVYEEKSLGIYDDEDKPNPHGLAAHIQRQLG FDLWYVMLGFFLISVTEGKRIQQTHGRDFAFSLFPLLFEIVSAYGTVGLSLGYPKTET SLSAQFNPMSKLIIIAMQVRGRHRGLPHALDHAILLPCDVHQDQHGEWWWKRWLKRKS SNISNFFSHGDANEDIERLAL AOR_1_1248054 MAESLASIIKLSLPLANEDLGRLIRLIEKHGPFENTLTVTFAQE HVQSILLEKPNLQTITVQKVSQGQTSDDTLTKTTQKAGRVKVHGLPFRDRQP AOR_1_1846054 MVDRQAECIPVFVIVPKIGVIKQAYMSWRIGIVGTRVNTAKILL CTSQVVDTDIVRKYGLGTQNDVTPSRGIVVIADEGGQCLETEAWIPVAALGRAHDIKG IIRFGDRFQLGPVVMNSGDEPFNEFASQISRSLYTYEGKRRNATSTHGIGIPPTFLNG LNEWILMHYPEQDMNRVNCHLLGISINGTMARDERTMSRYNVANVTTVMTLIERILPV DGYTFTLLVPYLGQKSLYIEALQRLSQRTGVAFEHLPKVLTIDTAQGHEADVVLVDWT VTNSERRSDLGFLQENRRVNLGLTRAKGCLLSVGNEDIASGKLSNHL AOR_1_1250054 MIKQGLDAPGRDPFLLHYAGHGVERDGKPIFTSKNGRNLSAMFL LALVEGDSDVLLPDSEIDIVFIFDSCFSHVATRNMVNTERIVEVLAATSPNSALANAA QGRASFTGKLWNEIMYRKVQGHKSVELAVLMDTLITKSPQVILSYELLVGVHSLWLEL PGGSVSTAVPPLVVEPQYFAVFSMLPNLYPHLHLPH AOR_1_1252054 MSTPKSDTCSPHQALARGMGFKNHHERLWWATFGPLLEKLLALC NYPVSLEYQHLSFIYHHLLPYLGPYPTVENGFAWKTAYSPDGTPAEVSLNFDGPKKTV RMDHVPISQWSGTPKDPFCQNVALELTKSLADTLPDFTWDWFNHFVQTMFIPEPATDV VLAREPPNFRRMAMQSVNGCDLLTTGVRVKPVFNALWKSIETGIPHDKLLFDSIRNNT ELFGAYLPALQVIEDYCQSDRAKEFQTRGCFLSFDATSIKDARLKVYLHGPQTAYMKV EDAFTLGGRLSNPNIQTGVKELRKLWYAVLNLPSDFPESEDLPATDDLYQGWLVNYEL RPNNPVPEPKVYIPVAINNKDQDSIVQGLQEFFDRHESMDVRDYRDIFKTLFLDAKNP TGIHHFITFSYKAHPYVTCYYKPHLEPVPVKELEESDVKGLSK AOR_1_1850054 MSRLLERTLDRFTGLLEYQPTYPFAAPTWVYLVGAILIQQLATR WYRYYKSWVNVPVVGGHGIIGSWIAAFWWTARARSLVNEGYQKHGDFAFQVSTPTRWE VFICNDEMVREYRNFTDERFSANAVTAELFEAKYTVPGAAEGVHKVPVPIVAKALTWQ RTRAATKTDPYFEEFVKELQHAFDAETKFENEDWNDLCCFATGTRIVAHLTAKSLVGY PLSRDTELINLFAEYGNAVPTSGFFIAMFPQILKPFAAKFCSAPKISARLDRIVMDEL RKREANPRSEPQDITDWIMFWSRTYPGTYTDQDIARSVVSAVFGAIHTTTQVRPTQET KMILVDQLTLKLRSWSTA AOR_1_1254054 MDGFGSSQAPAAYREVEWIADVFVIGMGIGWVINYVGMVYGSLK GRTYGMAIMPLCCNVAWEIVYGLIYPSKTLYEQGVFLSGLTINLGVIYTAIKFGPKEW THAPLVMHNLPLIFMLGILGFLTGHLALAAEIGPALAYNWGAAFCQLLLSVGGLCQLI SRGSTRGASYTLWLSRFLGSFSVVISAWLRYKYWPQAFSWLGKPLILWCLFAWLVVDG SYGVCFYYVKRYERRIGHHSDRKTV AOR_1_1852054 MDSVLSYVFLLLAMTSFYMMYISLFNNGFFNLLSHQLATRALPG ESDIALLSEYTGLKAFDGILESIVIFFWPISQGHHVGLSLTGLSFSGGMVGIWMIVVV YICRTRSFTRGMVITLIVGIAQQAVGPGIVIPCYFALTSRARPPNKNLHLTGTYSTSN HGLVVSMIMSYIFPLVIMSLPAPAMISPHFKQQVIAAWQGWKEASARRQVLSVYHFGF ACSCLCHMAWLSAFVASKIQSLSQSSNFWYLCPYGVAFPLLNQPAQRLGALEAGLFTF LQWDYCVAAAATMVWSTDRYIQECHRAELEIDKFRLILRLLGWILIDGPSATAVRLIW ESEGPSYLQNPN AOR_1_1256054 MDKLTATLAKVNYPSEVENGSMLLVVTLVILFLWFIIPSPVKRS NVSVPTVTLFNPYLPEFLSRVWFNSTAATVIYKGYRQHKDRAFRLLKPDGDIIVLSNK YVEELRQLPLTTLNALEAVFEDHVGKYTTILNDSHLHTEVIQKRLTPAISRFIPRIID ELDHGFAVEMPECEDKWALIRPYEVFLRLVARAGARVFVGPEICRTEKWLTASIDFTK NIFMTITLLRPIPSFLHPIIGPMLPSSRSLDTQLRYVQDELLGPEIVKRRQRQASGDP DYEKPDDFLQWMIDLAQNDKEGDPGNIAHRLLGLTSMAVVHTSAMSITHGLYDLITMS QWLEPLRQEIQEAMPDWKSSSYSSLVSLRRLDSFLKESQRFNPPGELSFHRVVKKDLV FSDGLRLPKGTHICMASGPIGMDTKYVSDPTTFDAFRYVDGDKAQSQFVHTSATSMHF GLGRYACPGRFFATFVLKAILSRFLVEYEFRFGPDQVGRPKNMLLGDKIVPNTSVDVY VRKRTGSRSTA AOR_1_1258054 MSTTNEPAEPIPTGILATAKQAWGDLFKWKQRVVVTNEYGETRT EWQEPDPIVNPISLFAQLGARDWLFFLVGLTAWTADAFDFHALSIQQVKLAKYYNRSK TEISTAITLTLLLRSVGAAFFGLAGDKFGRKWPMVLNMIVLGVLQIATIYSHTFQQFL AVRSLFGLFMGGVYGNAIAMALEHCPVNARGLMSGILQQGYSLGYVFAACANLGVGGA TDSWKTVFWAAAGISIGVGIIRIFFPESKQFLEAKKAGKKSMSAGAFWKETKQMLGQE WKMCVYAIILMTWFNYYSHTSQDSYTTFMLTQKGMENAGASRASILMKTGACVGGTII GYLSQFFGRRRAIIVSALISGILIPAWILPEGERALSATGFFMQFFVQGAWGVIPIHL NELSPPAFRSSFPGITYQVGNMISSPSAQIVNAVAEKTFITGSTGKPAPAYGPTMGVA TAIIATGIMVTTAFGPEKRGRRFETAVAGVEQSEPQKVLDEEKGDMTEQKATEEKVEK VEKI AOR_1_1260054 MILLSYLLTYLLCALTCSARAIHNGRSLIPRAGSLEQVTDFGDN PSNVKMYIYVPTNLASNPGIIVAIHYCTGTAQAYYQGSPYAQLAETHGFIVIYPESPY EGTCWDVSSQATLTHNGGGNSNSIANMVTWTTKQYNADSSKVFVTGTSSGAMMTNVMA ATYPNLFAAGVAYAGVPAGCFLSTADQPDAWNSTCAQGQSITTPEHWASIAEAMYPDY SGSRPKMQIYHGNVDTTLYPQNYEETCKQWAGVFGYNYDAPESTESNTPEANWSRTTW GPNLQGILAGGVGHNIQIHGDEDMKWFGFTN AOR_1_1262054 MTGGPSYRHIRSNGNAITKVHREAQDELQIVDRLLEPQTIVHFL ECCNGAPLPNGKQSTLPRLASEEIHSLSTSPGNDPNSEPILQRVLKRIGSTEDSDRLC LVGKNIHSAKSRAWEGIIPLSEQRWHEKELDHFANFQVACQYLSSVLAVFEYLNKMRV AQNLRDTFNLIYGHWEEYDAVLNEQRRQLDQEPVSVAKRWTEFIKAHYEMMTERAHRW VISHVNTLRAPLLEGLLSHRPMNEDVVDRVQWKMTDALHVLMEISAVADYTIMIPMHG YKGYTSPPIETGIPPGLRSPDYEKRHKEYHQRLKLVSRMTMFQNIGTASRGVREGMGS PESLHRTALQQIESQNKVRREARGEPIEPVPREPWISNSLARLESSEDPVGTFGLAIY RLTYQQNETEWAEFLQKFETHAADWGRGQTGSNAIKPSLKLHWLDGKALGIPEGDLDA AKKHFNDHAISSFEDRDRVNDSTFLVIDDDCVASYMGDSYSAATEFIPSGDHSGFALA VDAHYDPKEGIERADESPGYYGQMRILGNLIWGELYAMLSSQSALLEDLWPLAINHPN GVYTGPTVPLQVKCWKVQNRMRSTLIHKVVEYAEAKLNGTASTQQPPPVPPSGIARTE ADATLRAYLLREFARDLRRQGNVQHAVLAEEAINLQPGEDPDWERIRQRLDAEPEAEN ESESNMRDRRDPGDQCAPQ AOR_1_1264054 MAQEHHLDALVVGAGFGGIYTLYSLVKEGLNVKAIDTAGDVGGT WYWNRYPGALSDTWSHLYRFLFDQEFLQTYPWKRWYLTQPEIMQYLRDVVERYHLRKH MQFNTKMQRAEWNDETKIWEVQCETGDVFHVRYLFTALGLLVKANYPDIPGMDTFKGE MNHTSAWNPDVELENKRVGVIGVGSSGVQVVTAIADKVKSLHVFVRRPQYTVPSGNRD VTPKERALVNKNYPALIADARTSVFAMGTPEPKRTFMSLSPEDREELLEQQWNIGNGF QFMFGGFSDIATNEVANEEVCRFLRKKIASIVKDPQKRDVLTPKELYGRRPLCDAGFY EIFNKENVFAVDIKKSPITEVTPSGICTADGTTHELDVIIFATGFDAVDGTYAMVDIR GRDGKNLYDMWKPSGPSTYVGMSVHGFPNLLLVNGPHMAFANIPTSGETNTEFIMDLV RRAEKISKQTGRQCEIEALEEAERAWTARSRSSIAGTMFEKVPSWILGNNVTGKAEGV AFYFGGLGTFRAYLAEIKANGFEGFKSPLGHSSRETRL AOR_1_1266054 MIASALFYLGLVASIALSSPINKTESRPTATIDAGVVAGTTTSV SSSTVTVNQFLGIPFGAPPVRFSPPQPPAPWSSVYDASKYKPDCIQQFNYPEAARNRS IAVFNTPPPRGGEESEDCLHLNVFAPESAAEGSKAVLFWIYGGSFSIGASSLPIYDGT SLAANQDVVVVTSNYRTNVFGFPGSPDLPTSEWNLGLLDQRLALNWVRRNIAVFGGDP KKITIVGESAGSMSVDALIKNPPDPVPFHAAIMESGVAAMMAVSAGSDWKALVNATKC QNTNELECVRAIPAKRLKEIIERNMLTFSPIPDGITWPKNSYTSRLNSTHDSSEIARV PLLIGSNADEGQTFVAGQNATVARALLSEILGNNSTLLQAVLKQYPLGTPGIKTERDR VAKILTELAFQCPSQRVANESSSAGIDTWLYYFNATFPNANPLFGSGAFHSAEVDLVF GTYKQDGATKAQKDLSQLMQKTWADFAKNPSAGPGWETVPQVGVFGDGPKTEGDGVTQ GLFQTVDAEEIDWRCQLYEALYEALDAGKQ AOR_1_1854054 MHLDPVEETSYIDGEVREYPQPTTSPYKAPVVTLIIGRDEYIIP EFYLRQFPQLRRSPKAFEQGYNPSLKFVSSIDVDEDIGHTLVHYLYTGEYETLRDGPD PSIPRRTIEYRRSAFAYLAARKYGLGGLETHAKHYIEVFDRDVSTPDILRIARSIYSK LPRDETWFQDYIRVKMEDEFEADEAVFKREWFLNGIGRDASFDRLLVQIMAEIYSDKL ATVNFRRLENGRQIVHSMANGDAVRISEETIPEEPEEEPAFEDIVPEVEPSHGYDGST EDEGTGEYSGRRQQPESEPVSEDWPLTPSPPPSASSPHPTDETIAVDDQPSFPKPEPN SHTEPDEQPKDDGWGAWAPLRKRGKNKKKNKKLKIVEPEAESRAEPAPAERWPEVRHA TSDESYGFGPPTPLSPVYEEEAPLPLRPASASLLEGPSY AOR_1_1270054 MMLFEQRKKKVLELAEQTNDALKTIQEVGQKLNVTSDTVKKVIE TIKIAANSFGDKAVYASAFTGTVGAVGIAANMIATYQGVGELRAIAGHLKSMSETQRA ELALAGGAAFAENIYVMLKSKIEKSDPELDWFFVYHPDTDWTYHFEEKLRTKGLLGRN FIGIVHDLDALVAFMSSVRDVYGTRHPRRRPPFFHLVIPAYEPIVIPTPLLIPEKLHP FRIEGDIHRGTYLVWMNLPGVDEKAVQNIGVFQPPRSIWDNIMVQVGLVQAPPPRFLG ASAQKIEQPQVPQLPEPTPVAAITAPEPEKGEITSLKASLPDRILTENAGDIGRIV AOR_1_1856054 MASTAFPTLAKKVQLSDGTTYGYVAVPPSTPDHVSFLLLHGYPF SSYDWRHQIAGLQEAGYGLIAPDLLGYGDTDKPRDLKAYRNKTMSTHIVEILDREGID KVVLVGHDWGVGLASRLATYHRSRFYGLVTIAVAYIEPDAICETTKSIIGYETFGYWK WHNTDEAAQDCNNHPASVFTLLYPHDPSIWKSDFAPTDKAAEFVRSGRINPLPSWFPL DEYTIHDRIFAKGGYAGPLSWYKAAIAGVNAEDEAAIPKEDGLSCFFEEGAPEGRIEE VEDGHWVQLEQPEVVNRLLVGFAEEVGGK AOR_1_1272054 MFNLGTAIVTALSLLMAGVANGAPAEAASMADINPVTLYQDMEY NGNSQELREAGVCYPFPENTPWFHNISSMNIRPGYICDAYMGFACNQMLKAGMQGEHR NLENENVSNMIESMKCRPN AOR_1_1274054 MPIDRFRIRKHQTMSVLRAVTAFFIWLGLVQAKLVRETLEFTWG VGSPDDVPRQMILTNGKYPGPDLVFDEDDDVEIHVINHMPFNTTVHWHGQSMESAPWS DGVPGLSQAPIQPNSSFVYKFKASPAGTFWYHSHFKNVMQDGHKPDTPRPYSMIAQDA TEVAQMQHAEANSNLVLITDWTHFTAKEYFQAEIDSGLNLFCVDSILVNGKGSVYCPG AEYMQSLIGPQIALVLEGTNLTDRGCLVPSLHNVQGSWPNQKPDAVPSSMHNNCTPSD GGVPIIEVDAKDGWASLNFIGAQAQKGTTFSVDNHPMWIYEVDGQFVEPRQYEMVGMY NGARYSALVKLNQTPGDYAIRITDNGGDQVISGYAILSYRAANTTENGTRPQAQIGPT TKGYIDYAGQNTSASVRHLNYTTNLPAFNVPLPPAFADLTLKTNMTRVNSSYQWSIGN GVLYEPEVTADTPLMFEKQPLDVIPSNFTLQTLNNTWVDIIIQIISDPEMDPIHPPHP IHKHGNRAYIIGDGMGVFNWSTVYEGMLERPDLFYLNKPALRDTFVTNTLTAALDGGV WIAIRYHVSGPFPSLLHCHITTHQEGGMALALLDGIDVWSELPTAAEVVRLQNADGPV G AOR_1_1860054 MASKTAFLVLDLQKGVTGQILDDSTPKRESYIDRLASPSAVTSL TYTLAIPRRNESFLPASTPEGDESVELHPAVAPHENDIVTTKRRVSAFVGSDLDVVLR SSRIENLVVVGLITSGAVLSTVRQAADLDYGLTVLEDLCLDRDQEVHDVLMKKVIAKQ ADVVGSEEWLARL AOR_1_1276054 MTTNPPPGAEVLLPPAQPPAPHPLVGRTITLHPIQESHTQGLWN IVGGTTDPKKTAVWTYLPEGPYPEDTYTDFKTSIQKKTTSKDPFFYTIFDNRTQKPQG WITLMSIVPEHLRVEIGHVLFAPELQRTTGATEAVYLLLRYAFEELGYRRVEWKCNAL NEGSKRAARRLGFVFEGVFREHMVVKGRNRDTAWFSLLKGEWERGVKGGLEGWLHPGN FDESGKQRRGLEDIREGVLGSL AOR_1_1278054 MKVTRLAVLNTLATLTVAWLPTTDKTITSSNGTDLFKASHGKIR GVNLGSQFVFEPWIATKAWSELGCEGQESEFDCVMKLGQDAANKAFAKHWDSWITKED IKEIRSYGLNTIRIPVGYWMNEDLIYHDSEYFPHGGFAYLEKLCGWASDAGLYIIIDL HGAPGAQVAKNAFTGQFADTPGFYVDFQYQRALEFLEWMTIKVHTLHNFRNVGMLEVV NEPVQNPQVTTTLRSNYYPNAFHSIRKVEGALSIDRKDYLHIQMMDGAWGAGDPHEHL TDDYYAAYDNHRYLKWDPRVEVSKDSYIKTSCNDNVATNWPAIIGEWSLGVPDNVQET ADWKPYSNLDFYQKWFAAQVQNYEQHQGWIFWTWKTQLDEYRWSYRDGVKAGVIPTDL NAVFREDVCKGRSS AOR_1_1280054 MMRVISQSVVPRDITDEFTNAASRLRTGQLVKDEYFTLFEAVGA LEIMDSKMDSGYLGPGQTDVQALEDDYDTTRELAPEQVIGIMDELLCHEMAWHMGHPL SQTLFTSLYLDKLLWPIPKTLEEARFCRPGSARNKEEPGMVHVVLRAYCLALIKCCDF VHARVINEYFYEEEDFVTQLYNRSLLSQFDQEYFRNLLDRAISWVDEQGDSVDGKLKE AIKCRLVFRRDFLLSLDQDLDIMQSRSASHFSSCLSQLDPITESVSLGRPVPEAFSWK IQRKLASTVPPRPMVKISFEDALAHLKRLCQDAIDLLEVLDYSGPHNLKVAVWTLLSR KPQPSVYIRSLVQSMIMSNTMVLGAVPVKKFLYDELAEIVLPSSTLLQANTDETEMPT DPRFQIASHMDAFVKRFAQPFVDIFRSACLNRCRIRRTAEDLDEQLRTLNNEPPLMLQ NGDATYSYPLSSWAYHQKLNQFRLIIQLGFELSIYSPEELPGMYWYLSHICSTHLGHI DRIRTFTVAAAKRNLTALAGKKRDAVERHAALQNTLRLLERLTTQIVAVDAFAISLHA LYVLLARHEVLPTAAAAQAYSSERLRYELRMKPFIPITLPELVPFDEYRREAILEGDS DEAVLERATKAISEARKAWEATLANGAFIRDPQGQTNQTLAIEEDWKKDVKNTMRACI GASIAIETVKKALAARRASTNAVNLQPTVEVIQILLIISNVLSYNMNAGASYTLLGMT ERMCMSLGLHVETPGFSQNVTASRRRVWWAMAFQNSHFSLAYDRPSITMVSQPEIPYD RKSMPGHRSYFESLCRIISVVLEMLRGLMLSHHSHLRYHEIREYKQRIERILADTTPH LRYRERCVTLAEHIERTELRLHSSYYISVMCRVSLDPDAPLDDQRRAVVREDCITNLM NTIEAFIELHSLHSHCSRSWVSLQRTIASAFLLVANNNDHIHPRTWELTEKLEAVIAE HVTGDGNVNHNTRTDSARHLASSLRALREVSAAFYSRKKKKKKKKQASALAPEAISPK TVLTSPASVAATASSPYAARYSVSSSEDGHIDNILNQVSDVMLFPTLNMGTS AOR_1_1282054 MAYWKAAGLTYNRYLAVAARAVRRSLKETPRLAAERRGQMDLRF AKWENGKQGEVRSLGEANQEAAVAHAEK AOR_1_1284054 MSSSKRALNRPKKRTLVRWDDNLNELLLLTVQSVCNNQSIKIPW SEVASTMKNNVTEGAIVQHLAKLRTRRVDAGKEVPPPLRRGGVGSSNKSSGNVPTRTA SGSKRNLRAPLSAGSEEDEGLEMNFHDDASSDEDYIDKGRRRSRPKKQLHKPQPREVI PIKSEDEDMDGSNDGFGELLVPGAEFLQYPNEQEPHSEPTSSPVSDNATSKLVTLRYR QPVGNMFSGFPSTYAQSVAAALSAYDNTPYQAPYQQLLEPNLNMENQYMLGYNPIAGM SAVVPEDAVTNLTSLGENQDFHNTSYVGYQHPAYYHSTDDSVGGVLYGENYQFLHGNY IEPNEDLIMETQDNLVTKIE AOR_1_1286054 MQRALSSRTSVLSAASKRAPFYRSSGFNLQQQRFAHKELKFGVE ARAQLLKGVDTLAKAVTSTLGPKGRNVLIDTPYGSPKITKDGVTVAKAVQLQDKFENL GARLLQDVASKTNELAGDGTTTATCLARAIFSETVKNVAAGCNPMDLRRGIQAAVEAA VDYLQQNKRDITTGEEIAQVATISANGDTHVGKLISTAMERVGKEGVITVKEGKTLED ELEVTEGMRFDRGYTSPYFITDTKSQKVEFEKPLILLSEKKISAVQDIIPALEASTTL RRPLVIIAEDIEGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDLGVLTNGTVF TDELDIKLEKLTPDMLGSTGSITITKEDTIILNGEGTKDSIAQRCEQIRGVMADPTTS EYEKEKLQERLAKLSGGVAVIKVGGSSEVEVGEKKDRVVDALNATRAAVEEGILPGGG TALLKAAANGLDNVKPANFDQQLGVSIIKNAITRPARQIVENAGLEGSVIVGKLTEEH AKDFNRGFDSSKGEYVDMISKGIVDPLKVVRTALVDASGVASLLGTTEVAIVDAPEEK APAAPGGMGGMGGMGGMGGGMF AOR_1_1288054 MAISSDTNSERSIQLENEVDVVAVPAIGADPQRAWIGDDFQRPW LISELTRYIPNVRVLLLDHGPLDRQDDLDSLAHHLLKQIHAERQHTSGRRPILFICHG TGGLVAKAALAIAAHSTSNLASILTSCYGIAFMATPHQGSSYLSAPEYAKSIRRLMQL KYHVPHSIREVLKPRHPRLLQLSNQFRSISADIKIWTFLETVDSTLTVADSGTVSTVE MHVPITSIRSGVLDLEHEKVIPLATDHVGVASFKGQELTTRISFIKELQPIVAMAVQL SKLPDAPLRVSREVMVQVNGFFEDTARGVSDETPLKLWSTKTPLREYLKEGPAICLTD RLKQTGRISSGSIDDSSISDFDSRPSSAAMADTSFAMRDGVVAEASAIQSETVSSRPS IRRTRSFVAAASPRIHVTEAAADSYFNVPQEEATSDIQSDTVSSEDPGHRDSVADDRK ETTAGSSSPSNEGQPNVLASLSSKYRNFLPLPPPTRERLQEVRAELPRRAPRFDRPEP GSEKLLWIHVPYTHTGWVPPVLSKACNDQQRPEFFKQFINDKNWYSQIIRARHLEPHA RFVRPTCIHSRLSDSLPISPPGEPRDPQLALYLPYLHWDTYWNLLQRRKVIEKRLQQG RSKPVPEYISESHVETRLIWKFLGAEPPIHIRRTLDQYGYPNLRSTIARDDDQMLWKR TRKVVDLSHELGSSIPLQDNPDSSKTFVDGKVLMVDQLWLWIVDEKTVVTFFPKQEAT TAEDKLYEQANLHNSIYNELNGDLARRFETAGDLAALIVLHAVTILLDRTLDHDLQIL RIFEESISILTESTTKSFKRFRTRGFIARPADYNRTLEGKTMTASERDERDRRVANQN REDLSTLLELRDIIDELGTILKLLEQQTATVKIMAQYFEDKGYGKVFIESALSRLEDY RTQVTDMRENAHLAQKAVENLPDLKQKQANVDESRITRWQAEVAQNQSQSVMVFTIFT VIFLPLSFFTSLFGVNVREWSGEETNPDWAYMLAISGPTSAAIILIALSMAFSERLRD SVVKAHIIGVGIITDFFLLPVKGVFKLGAVASPAKPAPGQSESTGRLDRYLGNRRYNK QFEDDIWKRHEDRVISPLPAVHVSDMEGMMGFDLSEKGGRWQQSVNGA AOR_1_1290054 MSDYRSDITRGDRYRSDLLTTTGLEGNTAESWPWIEGKAMTATS GTGNAPAPDASGNNEHVSIIQKMVSATCGSVLTNLLVTPLDVVRVRLQSQSAIKNTSP FNHHTAQTLKNMPPNLGVTACCREVFWIGQNTQVCMVGPGAGAIGAPSPVIADCAVEE VQRKTFTSTLDGLRKIARNEGVWTLWRGLSPTMMMSIPANIIYFAGYDWLRTDDRSPL KRLLPDAYVAFISGSVARVAAASAISPIEMFRTRLQATPGTGAGHFRATLEGLHHMTK TQGYGSLWRGLTLTMWRDVPFSGLYWWGYEEVKKHLIEARHKAHDRLFPTGPPSVGHQ HEDDMHTPTFLESFIAGAASGSVAAFVTTPFDVGKTRQQVFRHMGDEKSSVPRGSLHP EQLSLPRFLMHIFREEGTAGLFRGWVARCLKVAPACAIMISTYEVGKKMARGVNERRH PVEEHSDSV AOR_1_1292054 MEDNAELESFRRQWREEVTRRTKQAKPSTPRPISTTGPSSIVRP SQFPPTRHEASLRKEDDEEGGTPFGSSEIIQGVSNLSIANDEDVFHSHGTRKEPKSAL EHFERAVERESEGKLGDSLHHYRKAYKLDSAVDKTYRDKHFARAWKKPAQAPTANIPS KDQQNQGENEILPTPELIASFAHLPIARPEPLFEGDPAPPCPIADVPSEVIVEILKHV ALMDPAAFSRVSLVCKRFAWHFAHEQHIWKRLCQGPEFGFKSMHYAFDCDLHGHPEHT LSPSSPYTPFPSGTSVQVPKPLTSWSDVFRMFPRIRFTGIYISTVNYTRAGATSFYQN ITWNSPIHIVTYYRYLRFYPDGTVIALLTTVEPQELVPHISIENVLEARASHKHHRRQ HLDAGKTVAGATEPIPAVAMGALKDGRRGRWRLADPFPTSETGARAETGLPTVHGGKD LSADAFDPRDVIIETESVSKSSINVLHLSLRSAAAHKSSNPPKNTKLIWKGYWSYNRL TDDWAEYGLRNDRVFVFRRVRGWGMK AOR_1_1862054 MPERVKTVFFEDTDETPASGKEKTTTAKENHETGSIKRKNPSKE DDKKKKKKRKHGEATDTSQQQIPAAAENKPEPPAQNSEKEKDTSKNNVSVASDRPRHK KKDQNHKPRKDTKTNFNSLKEKAKTLYEIRKKLPIFPHADDIRQNLRKNDVMLLIGET GSGKSTQIPQFLFNEQWCRPTKTKVTQEDGSQKEIEVGGCIAITQPRRVAAISLARRV AEEMGTPLGSSSPASKVGYSVRFDTSTSPSTRVKFLTEGMLLQEMLRDPSLTKYSAIV VDEVHERGVNVDLLLGFLRNLVSGKREGRGGVPLKVVVMSATADMESLLGFFQEGYRG SQTGVDAQNSTKTVTNGSEKKDDEIAVCHIKGRQFPVKTIYSPEPVHDFVDAALKVIF NIHYKEPLPGDILVFLTGQETVEALEKLVNEYAVGMDPALPKIQVLPLFAALPQAAQQ RVFVPAPPRTRKIILATNIAETSVTVSGVRFVVDCGKAKVKQFRSRLGLDSLLVKPIS KSAAIQRKGRAGREAPGQCYRLFTEKDYLELDEVNTPEILRCDLSQALLNMKARGVDD VMGFPFLTRPPRESLEKALLQLLSIDALEESGKISPVGLHIAKLPLTPTLGRVLLAAS EYGEDCLSDVIDIISCLSVETIFLNTTSEEKKEEAEIARRDLYRREGDHLTMLATVRS YAAENTDRRAWAERHMVSHRAMQAVMDVRKQLTTQCRQAKLLSNPSDTRGPSTSSITR EPSPIHILQSFLRGFATNTARLVPDGSYRTVVGNQTVAIHPSSVLFGKKVEAIMYNEY VFTNRSYARGVSAVQMDWVGEALAGQ AOR_1_1296054 MALRLPPQVPRRQRSYSTSQPPQPPTLEAPPRLSHLPDNESTEW VLFSPSQPSADARTHTTSTERTPRTVAPSRLSDFGSFGTPTRSAWGPDNDDADEPLEE SLDEDGTELDSLDDGLHAFRAPSLNEDSSAKWDQGTPAVLPAHDGLGSFEASALPVQD QLWQHEQYNPHRRPTLGSRRFSNVQRQLDSVEEHEAIDMDRERWQRIEKWRMDQSRVL LQEIEKETRKRRHSRASRLGDPVGSQARVAGIDSTLEMPREDASPPSTASDGDENESL WRKITRKVIRDLIGIDDSVLFALFGESLPESERGASAGTDDTLRMNETLNLELDSVPD DHDNWQTKLLQRIARELGILVNQLCEHPGAFTSYLNMSDEIPNQYAGMPLGPLPEESD SQSRSVSAAPTASQEPATNADSVLSPQFSPTLRDPAGREHAAQWGIEDDDLNRDSTPD SLSESVRLQQEKEYWERELDVMMVFRYIRNRFSQRGSNADTYQPPARRPPQDASRRAA IIRQHHPLVARAHSRSQAQVRRQSQHSTNQSGPTGISSPILRQHFRRPSSSCGQSAKL SAISSRRTLTGSSRNYWDIGGSVDSGSAIAPVGGVGSWGDV AOR_1_1298054 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKKANVD DFPLCVHMVSNEYEQLSSEALEAARICANKYLVKITGKEGFHMRVRVHPFHVIRINKM LSCAGADRLQTGMRGAFGKPQGTVARVNIGQIILSVRTRDSNRAAAIEALRRSMYKFP GRQKIVVSKNWGFTPVRREDYIQLRNEGKLKQDGAYVQFLRGHGLVENNMKRFPDAYE SQA AOR_1_1300054 MAPKSKKNADSINSRLALVMKSGKVTLGYKSTIKTLRSGKAKLV IIAANTPPLRKSELEYYAMLAKAPVHHFSGNNIELGTACGKLFRTSTMAVLDAGDSDI LTSQ AOR_1_1302054 MSTTPRKPGTPGGSTKSSPAENHTVNGTPSRSHTRSPSTTTNGI SRSPSLRGSGPVSARAAARKPGRSNLSTSSVPKVNRDPSEEEARAQNAALIEELREQL QKAETASEQYQKQLGVLQMRLDEAVSEQGKLEDQAHERDSRIEALNGEIRDHVRQIRD LEQAHELERNAMLQEKEQQASREEEMQATIQRLKDSLAQRERINSDADKNVSRSSSFR NRSSPDVDGQFAPSSQIERSPSRSNSTLLLQKDKLIESLRLELAESQIKLVEMENKGG GRQRELEKELLEARMANARLMEDNESYQLLLSEKTLNGDFTKGDFMREAHPEAEETKE SGSGLGSLADELESVDARADNDNNDNNRKLEAEIKALKDQNKALTLYIERIISRLLQH DGFETILDKNENDPPKRASTDKELPPTPSDKEDAQSFLQRARSVVAGPTQRPQRSRPS SMMPPPPTSAVSVPATPHENPETAPSIPMNRVQARGHRRTRSEQTDVAAAAVVGQIYR GRNSGGPMSPTLMGPGSRTSVLSGASYMSGMSGSNRAPSLSSQHDRSQMSSSNSVTSE PPGDTASTGATSSSPRSSNGMTNYTGAVMTQSKLRPLRLVSETKAADDEEARKKANRG SWIPWFNRPNTGDSA AOR_1_1304054 MPGSMSLFSVNAVLLMSADDGSRIFAKYYSPPHPPAGAAPNSTD YPGANPYPTVKEQKAFEQGLLEKTNKQTSDVILYDNRIVVFKMESDVMLYVVGSADEN EVLLYNVVLSLRDALGILFKGATDKRTIVENYDLVALAIDEIIDDGIILETDPVLISS RVSRAPQADAPNLKSIDLSEQGLLNAWELGKRRLAEGLRQM AOR_1_1306054 MKQRFSSLDVKVISQELASEIVNLRVSNIYDLSSRIFLFKLAKP DHRKQLIVDSGFRCHVTQYSRATASMPSPFVTRMRKFLRSRRITSVKQIGTDRIIDIS FSDGMYHMFLEFFAGGNIIITDREHNILALYRQVSVSEGEEARVGIQYTVTNKQNYHG IPEITLDRIRETLEKAKALFAREDGAPKKSKKKNADVLRKALSQGFPEYPPLLLDHAF VTKEVDPTTPLDKVLQDESLLQEVNGVLQEAQNENTRLSTQESHPGYIVAKEDNRSVS QSANENEKPSETGNLLYEDFHPFKPRQFEGKPGISILEFPSLNATVDEYFSSIETQKL ESRLTEREEAAKRKLEAVRQEHEKKIGALKEQQELHIRKASAIEDNVYRVQEAMDAVN GLIAQGMDWVEIARLIEMEQSRGNPVARIIKLPLKLHENTITLLLGEAGDEQDEGDEL FSSDESEESEDEQDNGESQQPPSVLTIDIDLGISPWANAKQYYEQKKQAAVKEQRTAQ SSTKALKSHEKKVTEDLKRGMKKEKQTLRQTRQPFWFEKFLFFISSEGYLVLGGRDAM QSELLYRRHLKKGDIFVHADLEGARPMIVKNRSKDPTAPIPPSTLSQAGNLCVATSSA WDSKAVMSAWWVQASQITKTAEVGGLLPMGDFLVKGEKNFLAPSQLVLGFGVTFQISK DSLKNHKTHFVDEPEAPEATREGGHEQAGESTQRSEQQQETEEAHKPSLDPKEQAEEQ SSDSENEQDNADSLPARNPLQRGPSESPHTEAAQEGEKDTGSDGEEVADEPEEQADAL DEEEAETASADAPAEDESEHRLSATARQSPRKGKSPDSSEAGQQAPGKNSTAKATNAK QAPPPTRGKKGKAKKAAAKYADQDDEDRELALHLLGANKGKASKAAAAAEAKANREKE AEAQKKRRQAQHERAAEAERRRQALLEEGGDDYDEETAAAEAADLEWIPALIGTPRPE DEILAAIPVCAPWSALSRYRYKVKLQPGTVKKGKAVKEILGRWVAETTTGKVKKEYAE EAGISIADAEKLRAREGDVIKAWKETEIINSVPVGKVRIMLGAGGGGGDSKGKGKGGG SGNKGGKGGKGGKKK AOR_1_1308054 MSSKEKTRILSVRRLNKEGLGNKSLSEWWDSERSNKTAEAAAIE EAALLLRTSNIPVAFPTETVYGLGADATRSDAVQGIYKAKQRPSDNPLIVHIDSLEML ERLLNPQESGSTSASDSPRNTIPSVYQSLIARFWPGPLTILLPNPSGSLLAKEVTSKL TTFGARMPSSPLARLLIHVADRPLAAPSANASTKPSPTAAEHVYHDLQGRIELILDGG SCGVGVESTVVDGLCDPPAILRPGGIGIEEIRTCAGWENVQLGYHDGTLDVKEIPRAP GMKYRHYSPKARVVLFEAGSKEQSVTKHIRKDLEDTAIGAHMIGIVRTKHWKRGLGLL SDEEIEKTLKPLPSLIDGLAGFSVPITGNPGRSPAFKEAFDCHLGPDVESIARGLFAA LRAMDEMEVDVIYVEGISDQQGDRAAAVMNRLRKAAGAELRV AOR_1_1310054 MCKSDLHDFLHGLPKCEHHVHLEGCLAPDLIFELAKRNNVSLPN EPAYESIEALSHRYGHFTSLDDFLRFYFIGMSVLHHESDFADLAWAYFQKAHADGVHH AEVFFDPQVHRDRGIPYETIVSGFVAGCQRAERELGLTTRLILCFVRHLPVDNAARVY QEALDQEHFDNEVVHGLGWSSTEVGPPKDMFRELYSSASAKGIRLTAHAGEEGDPSYI SAALELGAQRIDHGIRLVEDPVLMEKVVRDRIMLTVCPISNLQLRCVESIAHVPIRKF LDAGVMFSINSDDPAYFGGYILDNYCAVQEAFQLTVDEWRVIAENSIKGSWIGEERKT ELLKRIDDHVQRHVAAV AOR_1_1312054 MRPTIPSSLTLIGCRAVLRPQHNSIRRGFRQSPATTILHSPSRS IPAAKTTNYIYQRRTMSSDSASASGRYKLVFFVPHSHLEACKEAIFATGAGTFPGGKY TKCCFQMPGQGQFLPSDEANPAIGAAGALETVEEMKVEVMCFGRSIMLQAVDALIKAH PYEEVAYEVYKLEEV AOR_1_1314054 MASKFFPAVPRVGRQFFQRAPKTQCRPFSAGPQRCSDSLSVHRN KPTNNPSIPFTFNEQNQRLIDEILKRYPPQYKKAAVMPLLDLGQRQHGFTSISVMNEV ARLLEMPPMRVYEVATFYTMYNREPVGKYFVQLCTTTPCQLGGCGSTKILEAIQEHLG ITPGHTTEDGLFTLLEVECLGACVNAPMVQINDDYYEDLTPESMKTLLTALKESATAT DAGKTVQIPAPGPMSGRNTCENSAGLTNLKNPVWDPETMMRKDGALDQPQQQ AOR_1_1316054 MATRITLNAILSYLEELTLSTTVEIPESPKKAQNRSKHVLVIGG GVGGLMTAWILLDKGYRVTVVSKEWASLAKPLTSQIAGALWEYPPGGCGITVIETPLF GCSTLEQYWEWPLQSFEFYRLMANRDELIGDGLERAAGAGKFGAKMKTLFQFFQHPIE DESCVHGRDDRHCDKYFEMKTLDESVDSPFRDQLKINYHCMADANGGKNHAAPMIDTD VAMAFLKRLVQSKGAVLETREIIGDLRLHEQELLSEYHADIIVNASGIGARELATDSQ IFPVRGAVKKIRRPEGYPADHAFLLPAQMNHDGYGSVSKTVFIVPRNDDTLVIGSITQ CNNWQLNLSPDSTEVKAMWERTTEFLPVFEDADHEAYRANTCRIVHNYSHGGSGWTLA IGCARTCVRLIEEILDTGRSANAEVCRL AOR_1_1864054 MARGKRPASSTPSVRTTNRRRTQPTDAGQASSSSTPIIENQTVG MDPELPEVIRSEPHFGKRRLSTQLALNLPPLHKLSDIYRSITARALELNLGEFLQHIG SKPLRIVTACSGTESPLLALELVQDNLRKHFNRDFKFRHLFSAEIVPYKQRYIDNNFH PRLLFRDVTQLKDRVAQTAYGSLEKVPRNPDMLIAGFSCVDFSSLNNKRKTLDGSGES GGTFWGILGYAKRYRPRIVVLENVRTAPWGKIAEAWGGIDYFACHAEVDTKAYYLPQT RERGYMLCVDRQRMREHGLEETAMADWVKILSQFKRPASSPAGMFLMDPDDRRLEQIE NDMTARIASHTVYNWERYQVRHQNYRMNMGLGHRRPFTRSQEDGSSQMPDFTWQPWLR SMPERVWDTLDANFLRKLVEGYDMNHKERCIELSQGIDREVDTRAYGIVGCITPSGIP YLTIRGGPLCGLESLSLQGLPLDRLILARETQAELQQLAGNAMSSTVVGAAILSALIV GHKVLDKGSQQPRPKKEVPRHKRFELCHDHELVSGSINVDEATDVTISDIQAQAASSA RYCVCERQTAIKRDILRCKLCDHTACSDCVGNPTHDYQRASELVRTQPLDFMSRLRSS LPARLVISGILQEHYDVFKTGISIDCSPSVWKKFLGAVALLMSDEFRFVDIKRSSVWT VTYEGKYGVLSLVVSDFWSCWSLHAKPSEDEPVVCLIREIFSKPIARMTPYPNSLLEG EWEILSPISSKCALMFSGSDHRIESFEAKCGIQVKGFPESKVWTQITVDGSDDDIKAL GVDVRGTYDLLPHCGTASGCLHKKPPVGNSPAIYFFLDPSKLDEPMYDSFVFSLEHER TLGYASRSTIAEVSHKWRSSSLGSEPEYANVYYRKSIKLQAVTLASYIPDSPIICSNL KPLTSMAISNRDCRSANITLLSFEAEATAIDSFWKKGPWEVANPVEAPALLGDISWLF QKAVGFSAFQDWISVNDGKSSSKSNSPVCGVCVPAKPRIIWGRNTRGQIKAYEDPHDA ALYERQVKLRPPPFLVFRRVDEEDNGHMRVTLNVQMLLHQAYERLLDTNVSDNASFFW RVVPNSYDSRNLVFPDFSLVSNRNNAQSAQPPNFKLDLRPEQLRSLSWMIHRESLDIE PFIEEEVEEALLPMLMWRAEGKVCMEKTVRGGVLADDVGYGKTAITLGLIDTQYSQQT EPESIDGFIPTNATLIVVPKIMIKQWQSEITKFLKNTYRVLVIDGLAALGRKMDRDIQ QADIVLASWAVFNSQNHYEKLQQFTGMPRVPPKAGRNFDDWFVKAHAVMMEHVQLLVD QGPRTVLESIQARRQEVKDNEANSIYIPSKRLRGNQYSDVHQGQDPGIEAGAQHVDSS SEDELSESSEEEDPEVIRARVDKLLKLQPRTTAPTTSEKEKDDESGSEDSEDEEAPTA SRSGKAQGSGKMGQGVKRKRAPVKTSKVWDDRKEFGINNTAQQTWTTVKLPLLHAFSF NRLVIDEFTFANPERLVPLLKLQARSKWVLSGTPPLNDFADVNTIAPFLGIHLGIDDD DIKSQNARLKMIRKQRSEAESFQALRAPRSEEWHRRRHDVAQRFLDEFTRKNVAEIDE IPSSEHIVLIQQSPAETVIYLELCKQLMTYNRFRRRGGRKGIRGDREDRLDEVIGSSK TSEEALLKRCSSLALQGRWHNGVPEELTCSSLIETRLKQLEGLKEELMEKTKQAAWVY CACDIRHEKFHEFIESIKAHDFGDKAVTEKIYPLVKSAILTSQADDWELFFSDPAQVA EDSFDTEMRDETEVKEDNVDASEEVSSRGTPPALNGNLKLTKNGEQASVQATKRGVKG TKSGKQGGKKEKAGELPVKPTRISEFKLMLRTVTSGLRKLILEWVARERALRFLKAVH LVQTGSEIAECSSCHTTPEAANHINILGSCGHALCSQCAMKTVQKEECNVEGCRGSGK EFNVMDASTLKCDERDRSAKYGGSKLDKMIEIIQAVPTGDRILLFIQFPELIDVTSKA LELAKIKYIAIIATDRRAAQKVQHFQEAGGFGENKVLILNLGSEMAAGLNLQCANHVI FLSPMFAQTQYDYDSSMTQAIGRARRYGQTKHVYIYHLLARMTIDTTLFQERHGKVLV ERNGEATLAAREEVEEWEIVKGEAMSVVVDNAF AOR_1_1318054 MDLLNMGNLSDFQTHIQTAYQARQRLQNLQREGTLDVDGATLDI SAIVAVAYYGCIPKITTDPAVLGRIEASVQVLRDHLDKGYHVYGVNTGFGGSADSRTD RVVALQSGLSQLLQAGVLVASDKDTNVDLERQIRLDSHAVPVPWVRAAMLVRCNSNAR GHSAVTLSVIKSILQLLESHITPVVPLRGSISASGDLIPLSYIAGAIEGNPDVYVHVQ KSHRSRIISSRDALLSAGMEPQVLGPKEGLGLVNGTSFSAALSSLVMYETHQLVVLVQ AISAVALEALMGNAESFHPFISAIRPHDGQMECSRNILSFLQGSRLAQGIQSVKTHTR QGLMQDRYALRCVPQWIGPQLEDLLLAHKQVTVELNSTTDNPLIDPETGDILHGGNFQ AVSVTSAMEKTRSCLQMLGRLLFSQSTELVDPSLNNGLPTNLVADDPSLSFTMKGVDI SMASYMAELAYLANPVSSHVQAAEMRNQSINSMAFVSSRYTMQAVEIVSLMCACSLYI GCQALDLRVLHLTYLDNIKPQLHLLTSNLFSSYLSDKELETLTESLWENISKSWSTTT RQGIPERVQVAVKNAIPTLLDTLKEKRGPGLSDLNRWETQAADLLNKTYQNTADTFFN QQNTEEFLGAGAKILYRTVRQELTVPFHLGFVEHPTVNNETLNGRSKKTIGSWISIIY EAIRDDKESKDVPTLN AOR_1_1320054 MAPPERAGKSVFLGNIPYNLTEEQVKDILSSAGTVTKFRLMMNP ETGKPKGYGFADFADADAAASAVRNLNDYEVMGRKIRVDWPHNNEKDSIPPDYSQTTQ VPGQDGQMGAQQSSAPLPPLPPGVDLPPHLDCPNAISQTLSSLPPNQLLDVLSQMKSL VMADPARATELLRQAPQLAYAIFQALLLMNLVDYSTLGTVVEQAAQPQSAAAAPPAAQ PFQPFSAVPGPVSTPPQPQQQMPGQDELLQQVLSMPQSAIDALPPMERSQIMLLRQQL MQGAMR AOR_1_1322054 MARNPREQWERLQVILQNRGSRGGFGFGGFPSGGGRGGLGLSGA LILLGVGGWAISNSLFNVDGGHRAIKYSRIGGVQKEIYSEGTHIRIPWIETPVIYDVR AKPRNIASLTGTKDLQMVNITCRVLSRPRVDALPQIYRTLGQDFDERVLPSIVNEVLK SVVAQFNASQLITQRENVARMVRDSLARRAARFNIALDDVSLTHLTFSPEFTAAVEAK QVAQQEAQRAAFLVDKARQEKQAFIVRAQGEARSAELIGDAIKKSKSYIELRKIENAR QIAQILQENGGKNKLYLDSQGLGLNVNANVEDSK AOR_1_1324054 MTDPTTEIDVDLDVQEILLAASQHDIPKLRQLIRSNQTIANPVN VKDPETGYAPLHAAIAACEPDDEEPNGVQTNGEQGDEQKSVEEKGSATVRFLLQEGAI WNDLDNNNETPGCVARRLGLTELYEQLVDAGVRAELLLNRLDGYEELEDDDEEEEEGQ EEQTGTEEVEVEGESAPQLVEATTTTETAMETGPDVTNSRYLDSNLTFQNDRLLDQDQ NGVMMAWETDIMAKSAKKLLPTSGLRVLNVGHGMGIVDGFIQEQSPAEHHIIEAHPEV VAEMKRKGWGEKPGVTIHEGRWQDILPDLVGQGVMFDAIYYDTFAESYGDFREFFSEQ VIGLLEQEGKWSFFNGMGADRQISYDVYQKVAEMDLMDAGFDVEWEEIALPKLDNEWD GVRRAYWQIESYRLPLCKYMD AOR_1_1326054 MSSPASTRRRGRPSRAEASSPRVTDAQTTPRASRRLRGEAAVPS SPPAETPDVRMDEPSSPVRASSTVDQDETTPRGNRTAVGESSPIRYMSSSSPTRARSL QPGRPDIPSSSSGALFVSDRTTTGGQRNVVSRRNDLHSGGFGSTPSRRRRVFVDANGI PAADGEPQSDATFSNIHPGTSEADALGGSSTRVIWGTNISIQDSMSAFKNFLYNFATK YRLWAEGATEDETRRLGDTAEEREYINMLNTMRQLGVTSLNLDAKNLKAYPLTLKLWH QLHAYPQEIIPLMDQTIKDVMVELAIKEMERLRTQNQRNQSHSRNLSSAPAVPSSDAL SETGRMPQTEIPDLVGEVETKAFKVLPFGLDSSVNMRDLDPADMDKLVSIKGLVIRAT PIIPDMKEAFFRCQACNHGVQVDIDRGKIAEPTICPRPACRQRNSMEIIHNRCIFADK QVIKLQETPDSVPDGQTPHSVSLCVYDELVDVCKAGDRVEVTGIFRCNPVRVNPRQRT QKALFKTYIDVLHVQKIDRKKLGIDVTTIEQELSEQAAGDSEQVRKITAEEEEKIRRT ATRPDVYELLSRSLAPSVYEMDDVKKGILLQMFGGTNKSFQKGGNPRYRGDINVLLCG DPSTSKSQLLRYVHKIAPRGVYTSGKGSSAVGLTAYVTRDPDTRQMVLESGALVLSDG GICCIDEFDKMNESTRSVLHEVMEQQTVSIAKAGIITTLNARTSILASANPIGSRYNP NLPVPQNIDLPPTLLSRFDLVYLVLDRVDEQEDRRLAKHLVNMYLEDKPENASDEEVL PIEFLTAYITYAKTKVHPVLTPAAGKALSDAYVNMRKLGDDIRSSDRRITATTRQLES MIRLSEAHARMRLSLEVTAADVEEAVRLIRSAIKQAATDSRTGLIDMGLLTEGTSASE RRQREALKRGVLAVIDELSGGGATPRWGDVYRALTEQSSGEVDGGQFTEAVRTLETEG YVNVLGEGARRSIRRVGGRLL AOR_1_1328054 MAQDLGSALEHAGGAGQKSEGIAINTFLASLATAIVIFAVEFLL FLLLKSKLTRIYQPRTYLVPDRERTEPSPPGLFRWIVPVFRTSSTEFIQKCGLDAYFF LRYLRMLLKIFVPLGCIILPVLLPLNKAGGKDQHYKNGTETGGTWNVTGLDQLAWGNV TPENTSRYWGHLIMAIITIVYHRLRASATTVLVTAIPERWLTLDALDSLFDVFPGGVR NIWINRNFDDLNEKVKLRDALALKLEAAETDLVIKCKKAQLKQAKAEAKKSGVKARKT AKEEQQDTDRKASLMAMDAGISSGDPHQAHTLAQVLHHDGSEDHTAPGKRRRLNPFDP AKEAAGAVGHGVGKLGKSVLEGFKKVEGGIDGKLARSGGFVPDASITHRDGSRSLDYP RSESDSVDVADCSQARTNGTTSGVPDAAGSKSKRPFWKSTGSSNSKLSHRSEPDEFPL TQRESSSIDGERNDAPIEEEKSERDKRRTRTEGEQLEGEEYPVAYNEDFDNEDFGEPL WKKYIRPKDRDTMRLPIFGLSWMPSLPLIGKKVDTIDYCRKEVARLNLEIEVDQQTPE KFPLMNSAFIQFNHQVAAHMACQAVSHHVPKQMAPRIVEISPDDVIWDNMSIRWWERY LRTFGIMAIVCAMVVGWAFPVAFTGLLSQLSYLEEAFTWLKWISKLPEWVISAVQGIL PALFLAILMAVLPLILRFLCRTQGVHTGMAVELTVQNYYFAFLFVQLFLVVAISSSFS TIISNVTNVTSWPQLLAENIPLSSNYFFSYMILQAMSVSAGALVQVVNLVSWFILGPL LDTTARTKWARTTNLNQMQWGTFFPVYTTLASIGLIYCVISPLILVFNVITFGLFWFV YRYNTLYVTKFRFDTGGLLFPKAINQLFTGIYFMEVCLIGLFFLVRDVQGTVACKGQA ICMIVVLILTVGYQLLLNDAFGPLIRYLPITLEDEAVRRDEEFERAQRVRLGLLQDDE LNSDKGQQSGHEEHRGRQTDRATHDIELKDIEASMERGTEAQARRPSLGPKRQSWTDR SSRGRSKYFGANSDSSVPTVQQIREKVAEDAEAQGAPTNQVGPALFAGIHDELEDLTP EERDQLVQRAFQHDALRAKRPVIWIPRDDLGVSDDEVYRTQRFSKHIWISNEYQALDG KCRTIFSRSPPDFSEVDLIQL AOR_1_1866054 MRFGEHLRSSMIKEYYWYYIAYDELKRALRTDFVAEPVPSYAKR DRKPWTEEDEKHFVSLLEGELEKVFNFQRMKSEEIVRRIQSSEKEVNDVVSRLESATA SGSRRQSVRSNIHPPSDEDFLVLEQVLSDIIADVHDLAKFTQLNYTGFQKIIKKHDKE TGWHLKPVFAARLKAKPFFKDNYDAFVVKLSKLYDLVRTKGNPVKGDSSAGGTQQNFV RQTTKYWVHPNNITELKLIILKHLPVLVFNPQKEFEEEDTAITSIYYDNPDTWELYQG RLKKTEGAEAIRLRWYGGMKSDQIFVERKTHREDWTGEKSVKARFSLKEKNVNAYLAG ELTTDTIFDKMRKEGKKSEEEINNLEQLAQEIQYRVITRQLKPVTRTFYHRTAFQLPG DARVRISLDTELTMVREDNLDGHRRSGDNWRRMDIGVDFPFSQLPPEDVERFPYAVLE VKLQTQAGQEPPQWIRDLTASHLVEAVPKFSKFIHGTATLFPDRINLLPFWMPQMDVD IRKPAMRRFGIERPLASTSLPANETPEDEYDSDEDELDDRQGLTNGDRRGPRNDLFAD SDGNTLDIEERIAAQPLPGDEDYPLYDSDEEDTIDADELEEARRVGGMHYYRQLAKYY AQQTGSAVVSGLIALIPRPRPTNLPPPEQRGIAVMGNKRTVKRFIAPKGKRIHVPVRV EPKVYFAAERTFLSWLEFSILLGTIAATLLNFGNDYITFASSWAFTVLAALALLYSLV LYIWRVDKIRKRRDVKRVYYEKWGPTVVGVGLAVVLLVNFILRARQAGFTERDDYPGN GQGSDSGEL AOR_1_1332054 MGALRWLSIAATASTALALNPEGLISAPRRSEAIPNPSGDVAVF SQSQYSFKTHKTTSQWNVLDLKSGDIKLLTNDSDVSEIVWLGSDDSTVLYVNGTNADI PGGVELWVSDISDFANGYKAASLPASFSGFKVVTTDSGDVRYVAYAESWANGTAYNEE LVAKPLSSARIYDSIYVRHWDYYLTTRFNAVFSGTLKKSEGKGKATYKADGDLKNLVS PVKNAESPYPPFGGASDYDLSPDGKWVAFKSKAHDIPRANYTTAYIFLVPHDGSKTAV PINGPDSPGTPEGVKGDAGSPVFSPDSKKIAYWQMADESYEADHRTLYVYTVGSEETI PSLAADWDRSLDSVKWADDDNLIIGVEDAGRSRLFSIPADAGDDYKPKNFTDGGVVSA YYQLPDSTYLVTSTAIWTSWNVYIASPEKGVIKTLATANKIDPELKGLGPEIVDEFYY EGNWTKIQAFVIYPENFDKSKSYPLLYYIHGGPQSSWLDSWSTRWNPKVFADQGYVVV APNPTGSSGFGDALQDAIQNQWGGYPYEDLVKGWEYVNENFDFIDTDNGVAAGASYGG FMINWIQGSDLGRKFKALVSHDGTFVADAKVSTEELWFMQHEFNGTFWDNRENYRRWD PSAPERILKFSTPMLIIHSDLDYRLPVSEGLSLFNILQERGVPSRFLNFPDENHWVQN KENSLVWHQQVLGWLNKYSGVEESNEDAVSLDNTVIPVVDYNP AOR_1_1334054 MADSKGRKQATLGYVRDSQLTLGRFFGSNADPKEAPKKQTTLSF SGKKDKAQKTAAKSATPHDSSSTDGQASDGVGSESTTEADIAETKPEPAQEDGYKDSN DLKRKESEEEASDSDVQPAQKRRRRTSRSGEGTPSPKKKTKTPSPKRSKAKKDVKPEE TEPPAVVKKASGEETPEEDKSEDEALSASEDEEEKPEVMKKTMEKVQATLKASGTEPY PDWKPGTPVPYAALCTTFSLIEMTTKRLVILAHCSLFLRQVLRLTPQDLLPTVQLMIN KLAADYAGVELGIGESLIMKAIGESTGRSLAVIKADQHEIGDLGLVAAKSRSNQPTMF KPKPLTVRGVHEGLLGIAKVQGHGSQDKKISGIKKLLSAADPETAGKGSKGVDITKNK GGPSEAKYIVRFLEGKLRLGLAEKTVLVALAQAVVTHEAALKGEKAPSPEKLAEGEAI LKTVYSELPAYEIIIPAMLKNGLSKLHEACKLQPGIPIKPMLAKPTKSITEVLDRFEG KEFTCEYKYDGERAQIHYVAPDATHNYPEAQHTLQKDGKGLAAIFSRNSEDLSKKYPD VLAKLDSWIKDGVKSFVLDCETVAWDTVNKKVLPFQQLMTRKRKDVKAEDVKVKVCVF AFDLLFLNGEPTVKKSLRERRELLHESFQVTEGEFQFAQFGNTNVLDEIQELLDDSVK ASCEGLMVKMLDTDESGYEPSKRSRNWLKVKKDYLSGVGDSLDLVVLGAYYGRGKRTS VYGAFLLAAYNANTQTYESICNIGTGFSEANLEELHKELSPLVIDRPKPFYTHSTVPK DQPDVWFEPRLVWEVKTADLTLSPRYQAAADEFVGTTGGGKGVSLRFPRFIKARGDKK PEQATTTRQVAEMYRKQEAVAKENAGKKGVDDDFEY AOR_1_1336054 MEYSRQEAFRKLREPCVELSSVGLRYRGHQSSANDVFRALKPVQ SVLKELSNKGSLDEKLAEYAFFPLSHIFNETQRISANCLELAVDCLRILIADGWRQRI SPQMGKQLMILLTLITGGPPSKANSNQKTQSKPAELSIAGFDCLSAIFDVMEGPVAQK TIYNEIGTATVVDQTVYVLLEGILDSTSDDLCISAAKALQALYYRITDRVVLASIMPR TVSTLTKVIKPTTQVRRSYKLLSACIKALTHMLKVVLNDRVASVAPEKPAQSQEGGDG LVLDESWLTATATQIKLALANVIQVRRHDRPEVQASLLELCSMVVEDCRSTLQESLPL VVETMVVLSETGDDESPNNAYTILMHLATAYVEVLDSLKNSLHAWITSFPRTMQSNDE TSKQWALKQISTAFQILSQIQSGSDILTTGLASGLCDSVSAAINNSNNALQPLNPETS GSQSLEVLHHGNQSRSFPPVLLEHRSQQQTLKDLQSMILRLNRSESGSDITRLIINRV HQETGNSMVAPLWLALECLKGGAQLTSLDEFISSDFIEPSSLFSTRANMIEELYYISL PVLSESLPDESKDWRISALALEVVALQSQQLHEAFRPELMDALYPVLQLLSSSNPNIQ RHAMVCLNILTEVCNYESTSTMIIENVDYLVNSVALKLNTFDVSPYPPQVLFMMVKLC GARLIPYLDDLVDSIFGILNMYHGYPKLVEMMFKTLAAIVEEGTKSPSFLAIGDGTDS ERAGHRKKQYKRLQISTLAEDLANRKAKRAKHLDDFPEADEHISHPERPWTTKPDKPA EPEEDIGTLLNKGIEESDEPLPAPREPEDQEKPVSKSHNLLLHIIKSIPSHLSSPSPY LRRSLLSILIQVSPILSEHENSFLPIINDVWPSVAARISLPSSLKSSSSSTALMARED SNNGSKNRPDDEFNFKEEIYVTTTACQAIESMCKSTGDFMATRIETEFPRWERLYRRV WETVRQDAEKAIQRRAKSQTTEKNTDILLSLSLGLSQSLSLNIAGGPSGARAFTPHHS LWRALLSLFMTLLTHVRLPLSMGDQICEFLAAWIARFAGPDYYSFRSSSKQEIPSSLR SEIDTVNNAIEAMETWNVDLTWFIFQQQKAQVRNITPQRKTHSSPLMSEINEDPLQTW SSPGNKLKFAELVF AOR_1_1338054 MATVNIRRDVTDPFYRYKMERLQSKIEGKGNGIKTVVVNLNSVA QSLARPPAYVIKYFGFELGAQANAKPTDDRWIINGAHDAAKLQDYLDGFISKFVLCKK CKNPETDVIIKDDKIILDCKACGQRSDVDSRLKLSTFILRNETSGKGGKKNKADKKTR REQRNKKNETANGENGSPGDSNSDNGDAENGDVGMEAGSDDELTRRIKTEAETIEAEE ADEVQWSVDVSEEAVRARAKELPDDLKRSLVIEDADEDGADGPSAYDELGSWVQDTAK EKGGVAKLSDVDIYVKAKEYGIESKHKTLAVLAQTMFDNDIAKQIPGRAGLLKKMITS ERHEKAFLGGIERFVGKDHPELIGQIPAILLRLFEEDIIDEETLKAWGSKASKKYVDI STSKKVRKAAAPFLEWLETAESEEEESDDE AOR_1_1340054 MPLNLSLYLVTDSTPAILKGRDLCTVVEEALKGGVTIVQYRDKK SDTGEQIQTAKKLHQITQKYGVPLLINDRVDVALAAGVEGVHLGQDDMAIEQAKQLLP KDAIIGITAASIEEAQKAIDAGADYLGIGTMFATPTKTNTKHIIGTAGTQAILDAISD TGRSVGTVSIGGINLSNVQRVLYQSRAPRKELDGVAIVSAIIAADDPKAAAAEFVKRI ATPPPFVRAPAAPQIREVAALQEEVPKIVQKVVQAHPLVHNMINFVVANFVANVALSM GASPIMAPHGDEAVDLAQFDGGLVVNMGTLTSESVPNYVKAIKAYNERGNPVVYDPVG APATHIRRGAVKQLMAGGYFDLIKGNEGEIRQVFGSSGVIQRGVDSGPSRLDGQAKAI LVRDLARREHNLVLLTGAVDYLSDGERVIAVENGHELLGQVTGTGCAVGTVSGCFLTG HPSDRLLAVLSGILMYEIAAENAASKEYVRGPGSFVPAFLDELYAIRQAALKGDHSWF TGRAKIQMIDL AOR_1_1342054 MATITRAARIRNPALFICDIQEKFRPVIYEFSKLIPTTTKLLKA ANTLQIPTYVTTQNRSKLGDTVSELQPHLSSPNVKANVDKTLFSMITPEIEAKLPKTT AGETPLDAIIVGIETHICVTQTTLDMLERGHRVYVIVDGVSSVNSEERGIALARLRDA GAVVTSSESILFEILGDAGHGGFRTVSGLVKEMKEETKGALEVFSKI AOR_1_1344054 MVLAKVADIHLYRSDMDAQYPFASRDDIWRVFEELKELHATQFE QAERIARLERRRDEDAKLKSVWGPLSPFPTSVAGTIPTEPIFHSPADAFKGFDQGQHH GVGGTMGIESEEEPRRGTSRANSVRFDESAIHSYYGQASRSTSELPLRTGSGMGSHPL TERSLSHRSDGRLSSSGHSHHSARTNSMGLETTSRMMGSSVGGSPLIPPPGLFLLGPV PCIIRCWLTTNFSNDSLLYAAVCSGSYKSSLGYPMIRKLGLEDLVTQEEDLQFIKLPM YLPEASIHQSSSRPGSPVPQLPALTVRFLVRDIDSNDPSIQIVLGSDILRSHNAELLF SQDKIIMVDDERNKISIPLVRPEDDSVFKFLRTASDASRTTDTTQSLQASDQPDTNGH SVGVIGPPRSVSRQSRSASASARDSTDEPEESRKTSLDNQELPRSAKSTSVSKPAVVA GSPPEGPMKVEPAGVWGSWKRDTRLDSNASTAGKTSRTRPMKVLRPTKSASRTSSAMT VPTSSSNDAVPMSSQPASSRASPDETRTGKPWVSNPIGGASAFGWLNSSQPTRAVTNP K AOR_1_1346054 MATEEEALYKPYDQFILFGDSITQMSSDPHMGFGLFAALQDAYS RRLDVINRGFGGYTSGHAIKVFPKFFPTPEKATVRFMTIFFGANDACLPGSPQHVPLD VYKENLTRIIQHPATVAQNPHILLLTPPPVNEYQLQGFDESKGNAHPSRTAAFTKEYA EAVREVGASLGVPVVDVWKAFMSAVGWKEGEPLPGSRDLPNLDQFARFFTDGLHLTAD GYRVLFDAIMETIRAKWPEEEPTAMDMVHPAWPEAPR AOR_1_1348054 MSSHKRTEDLGEVLSTPSIPSPDSKDLSSLEKEDSECIEKAEVS PTQFDDISSSLSPSHREYLFKRHGTLDLDPMPSASDADPYNWPTWKKLTNLLLVAFHA CMATFTSSITPAYEDISIDLGVSLQRASYLTSLQIAILGGAPLFWKPLSNRYGRRPIF LLSTILSLVCNVGCAKSPTYASLAACRALTAFFISPAAAIGSAVVAETFFKKERARYM GIWTLMVTLGIPVGALIFGFVANRAGYRWIFWVLAITNGVQFILYIFFGPETRYIGGS TDDTSSGFKAQYLSFRRIDPTPLTFKEFVHPLTMFKRPSVVVPAVVYAMVFLFGSVMI TVEVPQLLQEKFALNTEQLGLQFIGVIIGTVLGEQIGGSISDYWMNRRARRIRKAPEP EFRLWLSYPGIILTIIGVIVFLVCTQQAPEGHWTVKPIVGTGVAAFGNQVVTTVMVTY AVDCHPDDPGSVGVFITFVRQIWGFIGPFWFPDMFANVGVAASSGVASAMIFVCSLLP TIAVHAMGRKWA AOR_1_1350054 MQLFSLKLEGARTLTGRSFIPAQPQTLRASSPMPLIVCIHGASY DSKYFDIDFQHSIFTLGETLQIPVVAFDRPGYGGSTAYPDPKVKNEDLERRGTTFVQE QGKYLNSTVLPAVWKEFGSGASSMVVLAHSIGGMIAIVAAAEPKEYPLAGLVVSGIGC KSHMHESLNSSRRNGGYSRENSGSDQIDEQRTHIRFNPKVKDRLMLNFPPKANEVLLV DPKITSYTEVLNNPVPLGELHDVRTTWQSYWCSYAERVTVPFLYAVGDQDGFWDSSEE GTMQFTEVFRTKSPKVENVVIPMAPHCMEMSLQGTAWLLRCLGFAIECSMGQGKKDLC AISQSTD AOR_1_1352054 MPRSVPSNFTSLSQEGGQAPSEDDRQRSLSRTAPPSPSAVRRQH SYLSEAHTGYQSLQPPLEIGETTSLLGKDRGNSRGVRRSYTNLSNGSGPDTSFRHNLL AGSFRRSRHHSRAHSQALRVSRRESIDTDRPESLAASAKDGLSSSFMDDRTWYDQFTS TDWVHDSIADGERLRQLRARKDVRGRLLAWFDGAQGWVLVALIGCITAAIAYFVDITE DFVFDLKEGFCTTRWFSSRQECCVDNPVCSAWWSWSKMLTFSSTDNQWTDFGMYVAWV VILSVISCFLTLLTKTVVPSSVSLTTLDENLGAASSQGTRHTDAAADSPNSDASPRTP FSAIPTRPDMVYYSAAGSGVAEVKVINSGFVLHGYLGFKTLVIKTVALIFSVSSGLSL GKEGPYVHIATCVGNICCRLFAKYNRNDGKRREVLSASAASGVAVAFGAPIGGVLFSL EEVSYYFPPKTLFRTFFCCIAATLSLKFLNPYGTGKIVLFEVRYLNDWEIFELVIFIL LGVLGGALGALFIKASSLWARSFRRIPIIKRWPMLEVVLVAVVTGLVSFWNRYTKLAV SELLFELASPCDHESSSPTSLCPNEDGIVDIIRYLLVAFVIKSLLTIVTFGIKVPAGI YVPSMVVGGLLGRIVGHVAQYFVVKYPSFPLFGSSCPAVSGMESCVTPGVYAMIAAGA TMCGVTRLSVTLAVILFELTGSLAHVLPFSLAILCAKWTADAIEPRSIYDLLTDMNSY PFLDNKIQVVSDAELGDLVRPVRKSRIIDISDSPFVPATELRSKLQTLLMAGELDSGL PILRHDVLSGLIPAPDLEYALDNLEDEENTLCLMTLDTMSVVSDSDDEEAIRVDFNRY IDPAPIALDIHSPVDLVYQCFAKLGLRYLCVLQNGQYAGLVHKKAFVKFMKENE AOR_1_1354054 MPPTNPLTLIVATTPIPTREKTLLGIGLNGTLPWPRIKADMSFF ARVTTRPPRPGTTNAMIMGRKTYDSVPKSLRPLGKRINVIVTRDVEGVSKRVAEELKE KRAKMAAAAAAATSAGENKEEGPITDAIVSSGLEAALEDVEEKFKGGLGSVFVIGGAE IYATALGLGGDRPVRIVMTNVEKKGVDGEKAVFECDTFFPIDEELLMEKGWRKVSAEE VTEWVGEPVSGEWKDEGEVRIQMVGYERVN AOR_1_1356054 MLLTQSLFFAFACWQATPALAASLPPSHQELDYTLDLNVTENDL AARDLERRKDLPITMCPILHIGDYPYCPYSNGEAAIDAWLPKIAEQIKELSDYNTCTR ISGEEEEFNWRFESHTEDSRWCDTSASLETIQGAIRKTMRDRAYWICEQECFRMSHAG SWRGSLLVGRKDTWDEKQYCGPSLYDLKFATGDKCISGGKKDL AOR_1_1358054 MDSQPTSKALHYRINTNISQLLQRFENIMATATVESTSHTSTAV ETYQLDVESTALVRAAEDILSLTRTMKETWLFGKLNTLGEDESDVKRREELERDADAI QRAIEEGGLLKPAK AOR_1_1360054 MTTAHRPTFDPAQGKEALRGPAYHQRLLPAHTHLKTRQLGQGGE GEAQQRDLRAELLQAEAAHFAKKRGIPVNEPTVESAAPKHQIEGGPSGSDSVGTEDED PEAKRRRILEETRDIDADSDGSEDDSSEEESDDEEDEAAELMRELEKIKKERQEQKEK EERERAAEEEEKREYDIAKGNPLLNAQDFNLKRRWDDDVVFKNQARGTEDKRGKEFVN DLLRSDFHKRFMGKYVR AOR_1_1362054 MNKEAPLSIAERDFILNALREDVRLDGRQADQFRPLNVSFGEEY GHVKVQLGKTSLIVRISSEVTKPHDDRPFDGIFTIALELTAMGSPAWENGRQGDLETY VSNVLDRVIRHSNALDTESLCVLKGVSCWNVRADVHITNYDGNLIDAACIGVMAGLQH FRRPDAVVKDGQVIVYGVDERVPVALNITHKPLSVTFHTFDEGKRVIVDATRKEEQAS EADVVMGLNNAGDVCYLSKFSGSPVSAMVFVNKSSVALEKVKEINGIIDKALQADLAK RAKGGLIEESRATNDR AOR_1_1364054 MASGRPPGHHPAAGRDDDLLQLEDTTPMYNPGQGPPVNDDHLLR QYNIDDSDYPQARPSVSYDQFVGGQAPPHSGAHATASAHPPPQSGAYIGDPYMGAASV SRTYSQTSGLDNYRRYSVDEYDDGYYHVDDHDDYMHSDHRVQEANQRNSILGLGGGLM GRAKHMLGMGQEYSDMNLPLTEAGARAARVDSTEHAEGAIPPSQKKSRKSDFKFGFGR KKVDPSTLGPRMIVLNNPPANAVHKFVDNHVSTAKYNIITFVPKFLYEQFSKYANLFF LFTAVLQQIPNVSPTNRYTTIGPLLIVLLVSAIKELVEDYKRRSSDKSLNYSKTQVLK GSAFHETKWIDVAVGDIVRVESEQPFPADLVLLASSEPEGLCYIETANLDGETNLKIK QAIPETAHLVSPADLSRLSGRVRSEQPNSSLYTYEATLTMHAGGGEKELPLAPDQLLL RGATLRNTPWVHGIVVFTGHETKLMRNATATPIKRTAVERMVNVQILMLVSILIALSV ISSVGDLIIRQTAADKLTYLDYGSTNAVKQFFLDIFTYWVLYSNLVPISLFVTIEIVK YAQAFLINSDLDIYYDKTDTPATCRTSSLVEELGQIEYIFSDKTGTLTCNMMEFKQCT IGGIQYGEDIPEDRRATVEDGVEVGVHDFKKLRENLQGGHPTADAIHHFLTLLSTCHT VIPERSEKEPDKIKYQAASPDEGALVEGAATLGYQFTNRRPRSVLFTVGGHEYEYELL AVCEFNSTRKRMSTIFRCPDGKIRIYTKGADTVILERLNPDNPMVEVTLQHLEEYASE GLRTLCLAMREVSEEEFQQWYQIYDKAATTVGGNRADELDKASELIEKDFYLLGATAI EDRLQDGVPDTIHTLQTAGIKVWVLTGDRQETAINIGMSCKLISEDMTLLIVNEETSQ ATRENLTKKLQAVQSQHASGEIEALALVIDGRSLTFALEKDMEKMFLDLAIQCKAVVC CRVSPLQKALVVKLVKRHLKSLLLAIGDGANDVSMIQAAHVGVGISGLEGLQAARSAD VSIAQFRYLRKLLLVHGAWSYHRISRVILYSFYKNIALYMTQFWYSFQNAFSGEVIYE SWTLSFYNVFFTVLPPFAMGICDQFISARLLDRYPQLYQLGQKGMFFKRHSFWSWILN GFYHSLLLYLVSELIFLWDLPQADGKVAGHWVWGSALYTAVLATVLGKAALITNIWTK YTFIAIPGSMVIWLAFLPAYGYAAPAIGFSTEYYGTIPHLFKSPIFYLMAIVLPCVCL LRDYAWKYAKRMYYPQHYHHVQEIQKYNVQDYRPRMEQFQKAIRKVRQVQRMRKQRGY AFSQADDGAQMRVVNAYDTTRGRGRYGEMTSSRALV AOR_1_1366054 MSDNLSDISSLHDGHGPSFSSCPAIFVLPTHLGLETLHQVEESL VSRDASLTYDISEARLILGKIGQKKRAALELRSRGVWTEDLDHSASKTTAKRGGNHEP VPRPVEVVTEVDVVDLSTETESEEDGVRSKHSTGNHLKRPRPRSVSTDRSSAGSGSGE GADILRVVRLEWLDSCVESKELVPLDPFVVYEARKVERPATKPNGTEAVASQASDILQ RAKKDTLFKPPPTPSSRFSRRTHEAPSSQHRPPKLYRQTTSENEETAPLPPAPDWVKN HILYACMRSAPLHPPNERFINQLVKIRRIRELTLDEIGVRAYSTSIASIAAYPYEFRR PSEILTLPGCDTKIANLFAEYQQSENGTIEAAAALDTDPVLRVLHEFYNIWGVGAKTA RDFYYYRQWRDLDDVVEHGWNSLSRVQQIGVKYYDEFLQGIPRHEVEDIAKIIHRHAN LVRPDARYDGRGVECIVVGGYRRGKEASGDVDLVLYHRDESVTKNLVVDIVGSLESEG WITHTLALHMTTSNRDQQTLPYKGDDTGKHFDSLDKALVVWQDPNFDDDADPTPSSDA NAEEQSRQKRKRNPNPHRRVDIIISPWRTVGCAILAASILFLNILFTIIAASRAQQSS RSFEAETIFEGSCTKAKRWSTGFHILINVLGTILLGASNYCMQCLSAPSRKDVDHVHA HGKWLDIGTPSVANLRVMSWVQIIIWCLLVLTSLPFHILYNAAVFSALSSNAYGVVAV SPGSPIGSTNSTTSACYEDLVGKDVVQVQAMYQGKELESLSKEDCIKAYGAAFLTNRR TLLLVTNNSSISSPGLFVGVGSPPTSVDDNQNPFDWMCTGPDTDLYGDFGSGTSQCLQ SAVLKHVNGWSVTAEPFASNDIVVAGTGFAFTRQNYSDLRYSSLPQSTRADIMDLGAF LESPFNTVNDTLGFIQSQSWQTQRFESQLNVYMSDSVCATDSRTLSVSPPQYYSVDHC LSEKVEEHCRVMYSPAICLVVILCNAVKVICIMFTIRIRRKELFFTVGDAITSFMKYP DRTTLCRSWVDQKEAKSVFTKPRPMKSRTLPPRRRWHYAVGRRRWALSVTWCIVCLTC GAAVTTFAYLGVGEYLPNTSISTVWSLGFSEARPETMLRYLTTYNLLAMALLSNVPQV ILSSLYYLINSILTCMLASAEYTSYSLYSTGSYRRAFSTSESINTTQPVL AOR_1_1368054 MRESSPPSFSEAMSDSQSVDTKSKWTPKSLIRHAERSHSRIPGV RKIPFPALAIILFIAFINVAVWIAVAVVLRYYPSLVSNAVLAYTLGLRHAFDADHISA IDLMTRRLLATGQKPVTVGTFFSLGHSTIVIVTSIVVAATAAAVSSRFDSFSTVGGII GTSVSAAFLILLGLMNFYILYKLYKQMQKILDLPEGQEDEAWKIEGGGVLFNVLKRMF KLIDRPWKMYPLGVLFGLGFDTSSEIALLGISSVEAARGTDFWVILIFPILFTAGMCL LDTTDGALMLSLYVQPAANFLPPKEDSSTAETPLIGEDHEIQPSQNHRDPIAFLYYSI VLTCLTVVVAIVIGVIQLLTLVLNVAEPTGKFWDGVQTAGDYYDAIGGGICGLFIIIG GLSVVVYKPWRRWVARRHGKTIVTDEEGNRDNVAAPRTETPILGEERGGASGPSYGAV SKKPASQVAVEPVDGPA AOR_1_1370054 MGVIRLPRPTGLYVPTSPTRSLTTDMISSPLSPDFTFDSETLPP SILPALEYISYKLEQKMMHATLLVGRGKPYPTGEPSDLMVIPIDELDQQTWRAVYRAV VKAASKFSLGQSWTDALNRSQYERQANKYLVQQSIMQNEVIFSREGLTLLNVDRIYTF KRRLCILSNQGKNPEASCVTSCVQLLHRTIGDFQGRPFSKAFFHRVYEQLDVRDELLT HIAQTYKKQFGQEGIILPPRARAEHSSRNSPKRTVRARMEANPPRYRAAPAKRGPKTP QSASDVTPITRNEWNILNSNLQQTKPSVTKWTPSPTVLAAA AOR_1_1372054 MANIVGNPQDFFRYTSGRWIWDERQQLRERYREFDILEVQKIAT ELSSSGSCVSMAKIGEGSYNKSFKLTMGNGKTVIARILNLNTGPAFLTTALEVTLMDF IILAWNSAIDSINRVGAEYIIIEHAPGKNLADVWIEMDLGRKIQTMEDIVTI AOR_1_1374054 MGFLGEKNDGISPEMNRELSRDITKAEEGIVAEEHHVDLHRDLK ARHITMIAIGGAIGTGLIIGTGEALAKAGPAAILISYSVVGLVVYTVMCGLGEMAAWL PLSSGFTGYAVRFCDPALGFALGWTYWFKYIILTPNQLTAGAMVIQYWIKTDKVNAGV WITIFLVIIVCINYFGVRFFGEFEFWLSSFKVIVILGIILLSFILMLGGGPDHDRKGF RYWKSPGAFNTYIADGDAGRFFAFWSTMVSATFAYLGTELIGVTVGEAQNPRKTIPRA IKLTFYRIVVFYVTSVLLVGTLVPYNSDELVFATKQSSSAAASPFVVAVVLAGIPVLP HILNACILLFVFSASNSDLYIATRTIYGLAREGKAPKFLARTDKRGVPVFALILCSLI ACLAYMNVSSDSKTVFGYFVDLVTIFGLLAWISLLVTHIHFINARKAQNVPEHELAYK SPFGKTGAYIALAFCILIALTKSYDVFTHNPKWGNFNYKKFITAYLGIPLYLILLFGY KFVTGTKGVKPEEADLWTGRDVIDREEQEYLARKAVMDEQRGTGSWFYRTFVSWLF AOR_1_1376054 MRYLFLIILVAFAALTTAVPAGSSITPPPPIEPVQLLSPQSSDV RRPWTRVRDWIIETVWGLPKPTSYRLPFNHLSHDQSAPSRVQARYGSDVVLRFRLRND KEAEALEQATEILFLDVWASTSDFVDVRLAEEVIPSLLGLLPDSLRTAYSPLIDNLPE LIYTTYPTRRPIGLEGQPGFRPSVRQSAQLGDLFFQDYQPLSVIVPWMRLMASMFPSH VRMINVGISYEGREIPALRLGAGSNRAQSAPRRTIVMVGGSHAREWISTSTVTYVASN LISNFGKSRAVTRLLEDFDVVLVPTINPDGYVYTWEVDRLWRKSRQRTSLRFCPGIDL DRSWNFEWDGERTRSNPCSENYAGDEPFEGVEAAQFAQWALNETQNNNVDIVGFLDLH SYSQQVLYPFSFSCSSVPPTLETLEELAMGFAKVIRQTTHEIYDVTSACEGTVTATDK ASAKTFFPVSGGGSALDWFYHQLHASFAYQIKLRDRGSYGFLIPSEYIVPTGKEIYNV VLKMGEFLVKETASPANKADINWDADLLVHDDSTRTSSSESVSDPLSEANIDSTSTVK ATPLPFPEDTLDSEWVPFDQNEEENEEEQNWELRRRRR AOR_1_1378054 MYWKGLRIGTSDGNEPKRRSLVEPQSVSRHSEQIPRPTLSTTNL APRRSESAPRSPTLTGPDADHHADTAGPELAGSHSHTPPGKERNTGLAVSDGSHWRFN RFSFMRLRHASDPQLSKSYAKGEEDIPPVPSLPPPTIITTAPTSHELDRPVKRKTKFK LFPDSKTPSVEELPTQRAPKHDQSEKLGHTAQGSTASQAADPVLSASWSNSEEPGRLS TTSIRSNRDQPNDSQRSSVTDARFSESSRSDRSAGDSNPRSASPREGVSGTKRFRMPR LKRHRSPLFPLPPKPTGSNSGNGHAQSSAISRSAAGDATPKSDISEDPNEDHISPLPS PTRSSVGLTSSRPPLLRNDSATSAHSARSTPSNKSRAMPTSRTRSSTLDSLANAQDNG HPSPHLISGRTSTSTSGRKSFGDIFNIPQRFRQNSDSPIGRSGSPSSKGPVTPGSKIS LITYPERQEDDTPATYLTRLEESIPRSAIAGVLAQSNDDFYKTALRKYMRGFSFFGDP IDMAIRKLLMEVELPKETQQIDRFLQSFADRYHECNPGIFASTDQAYFIAFSILILHT DVFNKNNKRKMQKPDYVKNTRGEGISEDILECFYENISYTPFIHIEDAVSNGRHFARP RRPLLKATSTDHLVRAAREPVDPYTLIMDGKLDSLRPSLKDVMNLEDTYRCDGTDGPA DIDGLHRAFSKSDVLQIVSLRSRPDMYMPSSIDNPADSNPGLVDIKIAKVGLLWRKDP KKKRARSPWQEWGALLTFSQLYLFKDVAWVKSLMAQHESHQKAGRRRGVVFKPPLTEF KPDGIMSTEDAVALLDLGYRKHKHAFVFVRHSALEEVFLANTEADMNDWLAKLNYAAT FRTAGVRTQGMIATNYEAQRNRMSRRGSTQSSRSHLSMDKEPPSPNPDTDVAEELVTA RRQLIRQKIREANERLSYAERQLDDLLRNARHLQVLTPVHSRAREHVIMAAGRMAAKL KWVRQDIWRTKCYKGVLVRDMGEALGEEEPFAEQELHLQIPTTTVTSQPENLDGAGTD KVTSPTEDDPSPHAESGGPHSIYKTPPSQPASPDGRRPSIPASFASLEVASRVGRQLS IDNTEERAKSCSPHPASSLQREASVLSAASKVDVSSLGSRASKITAPGSMDETEERLL RETGLLDVSSSPQARKHSSATNDSEVDQKPDDAQATFQGERTSRIRRSLHRTLRDSPS GHHLHYPRKKKSRDSGFSMVTSDDNQKPQQGEGLSRKSTNFTVHGKKASIVTFGSEWQ NMPPEERLKLRKPTPSDEPRASNPELASSAGSVTSESLYPGSPHPLRSGSIATKGSAR DEPWGLAEAAEAAGVLYREDKAKSDAAIGLVPELSEPDAALVPPVLTLDEPSAVPNEG VSPHSTRDDNDSLVEKTTPQGMSPSPPEQAVNA AOR_1_1380054 MDIGHEPSHTCDACRDELHLSDLTQHFHRAFSTVTSATPFISMA EVAGVFSSNNSGAKKYTYEESLVPRFISRGVGVGLVTTESIPAGSVVFAEDVAWTTEE ENLSCQTPREVNAMLGAKVRAMGDEWLRKFLALPSSLKQDLGVFAGIWDLYQLPIVMN GVRAGIVGLNLAAVNHACVPNCALTIINKYAKDENGNIKTGESPMVGRAVVRALKNIP KDEEITVPYFYGKGQQKARELFSWTEFGFFCSCKACMQPKDVIEIAMDKYWKVERILN HPDTVDLYPAMAFKSAQEVISRLLTCQIRDARVAMIWAKCAMIAGYHSDIARAMCFLA KAYRMLAVLEGSTGVFYRQILIWYETPMVMPGFGATTRGLSTAIEGRNMLDERDVKEK LFMTAAKSDEYIRIHRYHRLSDAKAKQKGSRYLLLPEKPTKPKGAQKLLESDASKSEH FPKHRDEPTAPETVHRSGSGTSNLKRNKDKPSDNTSKGKPQVCKSHSQASTQETSSGE VCTDPHWDMLDFIRELMADNLDLFPDYHSPTVPEAAKKKKKKKKKSKKGKDSAQAGGN TQQE AOR_1_1382054 MAHSKRNTSLPHFTSYERGLLRSQWGTQRGVIGRDSFLPFASCR LCLHPARAPVVACATNGDIFCRECAINDLLAQRQEIKRLEREREEAKKRLAEEDERTL EEARERELREFELVSMGLEVAKNKSSGQAQNDNHKKRKAEEATEALAAFKAREIEVDG KRKKVFELDEKEMARVAREEQERLKQELKKEKSESSKSALPSFWVPSLTPNTDPNEIA ANKAVKLTPVCPGSTDEHRHSYSLKSLVDVHFTEEKASDGSMARICPSCKKTLTNGLK AMLTKPCGHVICSPCVTKFMTPHDAPDPHATKEEQEQTAALHGLILCYVCEADITPRD STENGKESGKKKKKDKETIKPGLVEISSEGTGFAGRGGNVATKTGVAFQC AOR_1_1384054 MSKATFAAIAAASAATGAGLTALLYSSSSPRPQQQQQQQQQQQK LPPSAPVTSTTPVPTHPTAPSLAAKSLAGPVDPSGIYQYGFPGPVADTLLSAPLAGAY DRRTRNPAWVAEHITPASLAMKNADRKHSTFYEDTTIPAAFRAKLNDYFRSGYDRGHQ VPAADAKWSQEAMDATFALTNMCPQVGEGFNRDYWAHFEEFCRGLAKKYPSVRVVTGP LYLPQRDADGKWRVSYEVIGNPPNVAVPTHFYKVIYAEEGPASPTGKVALGAFVLPNA RIPNDKRLTEFEVPVEVVERASGLEFVSKLETNRRKRLCQEIKCDVVVREFNNSAKRN AOR_1_1870054 MSGHAVPAAWFIEQAHLLRRLQQRRNFLEQRIVSLLSASDKKIP VYLTPQQSDHPRQRGKQARSRNTTHRGSPSKSLCREAVQPKQEFDEDEKFCIEQSQPY VQTNSSLPARSTHTERSIQSLVEVFSVDVDAPPLLCAAIEATSFVLMGKMSCDPKSTH AGLVRYTCALRLAGAAICDAERRLRDDVFVAITLFGMIEMYEGGSKDALVKHQQGGLD LLCLRTPSNHCKGMGHSIYADLRLSWILSAISNGRTFLASDDWKTIPWTEASPRSNLH SLLDIAADIPGLWRQMGCTSPGSESASPCTSLDEYEYNAEDQATQIVHRLQEWKKSQP FDALPEPTEALFTVMDDFPVFEMHDSASGAHRPRDLVYPNVDVCAATISYWAFYLAVP TGASLTWRYQYACNICRSMRFCVQNFPFALTCLVRFALKLVGVVFSADSIEGQFPQKL SHYFYQKYRFSILD AOR_1_1390054 MTDGTESPTSSGAPRTEKKRRPIYACLPCHKRRVKCDHLKPCTP CCLRGTPSQCEFTEEGNSAHMLQSDLIKSLTEECAYLESRLAELESLEQHSPDKG AOR_1_1392054 MTLKSILGRVALLFLLACTSSAAMSFTRYSKPVPEGLVVCETRE QMNQAARDHPETVLHDEDGGYYLKDMNGIPVAVAADSLCPELDKSFAEADTMIAQNQL EGEDQMGTDDGLDSTAETVTYALLVIYASKVDLAGIPHILNGLSGGSLCDSQVVASDD CWN AOR_1_1394054 MAASNDVRGWIMSGVSGVACILGSAVICVDVLLRHFSRGKGFQI VNNNAFLSASLCLSAGVMLFTSLYSMLPTSKEYLTRAGWSPAAAAYSLIGLFLAGVIV IRFLSALLHRYIPSHIVGCAHSHEPDANSADPERGHGHHHAHHSEDHHGWTERTPLLA RASKSSPAAPHAGSEAHSHSAVFPAGTWRVRLGRRLSRMVGVKTQCDKDGPCYGFSQT CGAECSKTLIAPEVSVADDTGVHTIPVSVDIERVDVPEEDLSVSNHTHHKLGDSSSST PRNEMSEYLTSSAGDADGTLKTQVPGSQHHHHVPQNAFLSIGLQTSIAIALHKLPEGF ITYATNHASPKLGLTVFLALFIHNISEGFAMALPLYLALQSRGKAIFWSSLLGGVSQP AGAGLAVLWIWGAGRTGSPGDDADSSWAVYGGMFAATAGVMTSVALQLFSEGLGLTHN RGMSIGFAIAGMGIMGLSFALTA AOR_1_1396054 MPPPTFSYGLNLANKKQPNARPGAPGALGQKRKKTIFDSDSDTE SKDTGSGEVEISTIGGLEETKAASSSSAKVSTTEPPAKRRVPFGGAVTGKPNVKPLSK NSIFADDDDEDETTEKQTGDSGVSFGLNTKKGGGGSAAGNKDYVNLAAMHSSKKHAQE AEELDPSIYSYDAVYESLHAKPGKGKAAAENKSEGPRYMGSLLRSAEVRKRDQLRARD RMLAKEREAEGDEFADKEKFVTSAYKKQQEELRRIEEEEAERERQEEERRKQNGGTGM VDFYRDMLSRGEQQHEAVMKATEEAARRVQAGEAPEETEESKEKTEAQKAEELNARGA HIAVNDEGQVVDKRQLLSAGLNAAPKPKQQPSAATAAAGSRAFAPKSRFQSEQQNARA GQRARQTEMIASQLEEKAREEEAAEAARQKEIAERSRSRKTEGDVSSAKERYLARKRE REAAAKAKGA AOR_1_1398054 MSYYFTILSSTDVPLFNIAFGTSKGGGDGIARFRFPDTAQYMNQ FIIHSSLDIVEEAQWMNGNLYLKHIDTYPPASAYISAFLAPSGARFLLLHQPPQLPST SSTGSGSSSILGASFSASALGGGSSSRASSSSIGANPTSPQTEEAVRQFMNEVYENYV KTVMSPFYRQGMEIKSPVFRSRVTAAGRKWL AOR_1_1400054 MWDLVVDQWFLIVMGILIAIASQVQVPKSQQQLKQTVVNYLAVS VIFFINGCTLPTQVLIENLSRWKVHIFTQAQCYLLTSSISYGIVSACATDKDFMDPAL LIGIIIVGCLPTAISFNTIMTRKANGNGALTIAQSTIGNLLGPFLTTALLKLYTSTGA WYTDILPETEGFTETYRYVFKQLGLSVFVPLLVGQIIVSVFPRLVKKVFIEWKLSKLS SFALLIVIWSTYDGAFESDAFSGVQSDNMVFVVFILIALFLVWIAITIGVSWLWLSRE DTIAVAYLVPTKTPAMGVPITTIMFVGLSEAAQSRIQLPMVIYQGVQTTLSSLLTIPL RKWQATESSPRRLMQAETLELDDQSQDTGSHRRPAHNRSASTIA AOR_1_1402054 MADPTDLNLDAPSDLQDIPEMSMQLLPPPEGTFPDKASLLAAVQ THGKAHGYNVVVKSSSTPTEKKPGRTAKVWLRCDRGGHYRPRNGLTEETRKRRRTSRL MDCPFMLVAAGTPGIWTLTVLNPTHNHGPVVEKPRQAPHHKVRKGQVAAAPYDWPHDA TLTPYTTALVLIDMQKDFCSPGGYMEYQGYDISAAHALIPKLQHLLNAFRASGFPVYH TREGHRPDLSTLSSREAYRSRNNASGLGIGSPGPLGRLLIRGEVGHDTVDELYPIHGE PVIDKPGRGAFAHTDFELLLRNKGIKNLVIAGVTTDVCVSTTMREANDRGFDCVILED GTAASELSLHVSTIESVKMEGGIFGAVAKVDDIVHAVENFKNSTVKKLAPQMTV AOR_1_1404054 MPSADDSTSSRPNGTSSSRDELAYYKKQYEQLEAELADFQSSSR ELEAELEKDIEASEKRERQLKEKVDNLRYEVDEWKTKYKQSKSEANTAQNTLQKEITT LRDANRTLQLKLRDIEVANDDYERQARHTTSSLEDLESKYNMAIERSVLLEEEIKIGE KERENLRIENQHLRTELSELKVESEIVQERLRNAESHGGRRRKPAPLHRTPSTPQTPE IFDRSPGPSTVSSPIFATPPMKTSLIAATATPPSPPISESSTSMRKSINATPGFPRQK ASGSESYSSRSLHGSRTQKLSHAHSRATSSAHSNGRSTTSATSRASLSKPSPSLSKST NNNNSTRSSGMPKSGSLYQIRGLIGKMQKLEERVQSAKSKLPAPSDSPSRVSSRSGSI VSESPVASTITVRREPRKRLSGSSFSSSIHGDGVSSYVSTSRPSFNSRPSSRTSYSSS FSHSTHPSIAPSTRPESRQSRTKTPLGHYSTNPTTESRRPRSSLSNPAGQNVPINGMS HIDEDEDLSMHMSMRAKISEVRETRLPSFSTPSGLKKRTPSGIPSIPAPRSFRTSTGL DRREGHMGPPDSKTKTTTDLGETF AOR_1_1872054 MAHVNGTSTAQYNLPSHFIGGNHLEAAAPSSVKDFVAKHGGHSV ISSVLIANNGIAAVKEIRSVRKWAYETFGNERAIQFTVMATPEDLRANADYIRMADQY VEVPGGTNNNNYANVELIVDVAERMDVHAVWAGWGHASENPRLPESLAASPKKILFIG PPASAMRSLGDKISSTIVAQHAGVPCIPWSGTGVEEVKVDDKGIVTVEDEVYNRGCTF SPEEGLQKAKEIGFPVMIKASEGGGGKGIRKVESEEDFVNLYNAAANEIPGSPIFIMK LAGNARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPVTIAKPTTFQAMERAA VSLGKLVGYVSAGTVEYLYSHADDKFYFLELNPRLQVEHPTTEMVSGVNLPAAQLQIA MGIPLHRIRDIRLLYGVDPNTSSEIDFDFSNEESYKTQRRPQPKGHTTACRITSEDPG EGFKPSSGTMHELNFRSSSNVWGYFSVGTAGGIHSFSDSQFGHIFAYGENRSASRKHM VIALKELSIRGDFRTTVEYLIKLLETPAFEDNTITTGWLDQLITNKLTAERPDPIVAV LCGAVTKAHQASEAGVEEYRKGLEKGQVPSKDVLKTVFPVDFIYEGLRYKFTATRASL DSYHLFINGSKCSVGVRALADGGLLVLLDGRSHNVYWKEEAAATRLSVDGKTCLLEQE NDPTQLRTPSPGKLVKFTVENGEHVRAGQAFAEVEVMKMYMPLIAQEDGIVQLIKQPG ATLEAGDILGILALDDPSRVKHAQPFTGQLPDLGPPQVVGNKPPQRFFLLHSILENIL RGFDNQVIMGTTLKELVEVLRDPELPYGEWNAQSSALHSRMPQRLDTQLQNIVDRARA RKTEFPAKQLQKTIARFIEENLNPADAEILRTTLLPLEQVINKYIDGLKTNEFNVFIG LLEQYYDVEKLFSGRNTRDEDAILKLRDEHKDDIISVVQTVLSHSRIGAKNNLILAIL AMYRPNQPGVGNVSKHFKPILQKLTELESRSAAKVTLKAREVLIQCALPSLEERLSQM ELILRSSVVESRYGETGWDHREPDFGVLKEVVDSKYTVFDVLPRFFVHQDAWVTLAAL EVYVRRAYRAYTLKGIQYHSSGEPPLLSWDFTLDKLGQPEFGGVTSTHPSTPSTPTTE SNPFRRLNSISDMSYLVNDGSNEPTRKGVLLPVQYLEDAEEYLPRALEVFPRAGSKAK KPSDNGLLATLEGKRRPAPRIESDNELTGVCNIAIRDVEDLDDTQIVSQINGILADVK DELLARRIRRVTFICGKNGIYPGYYTFRGPTYEEDESIRHSEPALAFQLELGRLSKFK IKPVFTENRNIHVYEAIGKGPESDKALDKRYFVRAVVRPGRLRDDIPTAEYLISEADR LMNDILDALEIIGNNNSDLNHIFINFSPVFNLQPKDVEEALAGFLDRFGRRLWRLRVT GAEIRILCTDPTTGVPYPLRVIITNTYGFIIQVELYIEKKSEKGEWVFHSIGGTNKLG SMHLRPVSTPYPTKEWLQPKRYKAHLMGTQYVYDFPELFRQAFQNSWTKAIAKVPSLA EQRPPVGECIDYTELVLDDTDNLVEISRGPGTNTHGMVGWLVTARTPEYPRGRRFIIV ANDITFQIGSFGPQEDKFFHKCTELARKLGIPRIYLSANSGARIGMADELIPYFSVAW NDPGKPEAGFKYLYLTPEVKEKFDASKKKEVITELINDEGEERHKITTIIGAKDGLGV ECLKGSGLIAGATSKAYEDIFTITLVTCRSVGIGAYLVRLGQRAIQVEGQPIILTGAP AINKLLGREVYTSNLQLGGTQIMYRNGVSHMTANDDFEGVQKIVEWMSFVPDRKGSPN PIRPWSDNWDRDIEYHPPPKQAYDPRWLIAGKEDEEGFLPGLFDAGSFEEALGGWART VVVGRARLGGIPMGVIAVETRSVENVTPADPANPDSMEMISTEAGGVWYPNSAFKTAQ ALRDFNNGEQLPVMILANWRGFSGGQRDMYNEVLKYGSYIVDALVKYEQPIFVYIPPF GELRGGSWVVIDPTINPDQMEMYADEEARGGVLEPEGIVNIKYRRDKQLDTMARLDAT YGELRRSLEDSSLSKEQLSEIKTKMAAREEQLLPVYLQIALQFADLHDRAGRMEAKNT IRRPLTWKNARRFFYWRVRRRLSEELILKRMVAAAPSPAPRDASSGAIPTTPAGNVSS TESARSTHLHTLRTWTGMLDDELERDDQRVALWYEENKKLIQTKIESLKTESVAADVA QLLIGNKDGGLKGVQQVLSMLPVEEKEAVLKYLSS AOR_1_1406054 MSVLRHGGSYLQRRLTKMYTDTKTSCESVTTASKAVDDPELVAL HRSFRTQRDRLLAWGLDWSDASAAQPNDIDESLTQAGYSDVVASVMSSIQELLNEAER IQHAGTSDLPPKGARSDPPSKDGLSSLPLKTHWTDEDINRSKHLLADLTACIDTLYDL SRSRRNMTSSGQSATKARPRQYPGSTTEDTIYTVFPDSKSYLGASIDTKHPRTQLDKF EYTPFSNRAAATLEKPQLDKSFSKISLTTNHYIIDRSALQLSGASHDNSPPPYEMVAA STNSRAIGRMKTSASPFLRGTKDSTVTILVEFTPMMLENSGASTAPGIQRLDHVHQTL DQLVQNARVSHLGLLKFLGYYVDMPNSRYAFIYQMPVDYFPFLRNPTDLLNGLKPKPL LSLFQSGEEYNVPNLETRFRLAYDLLMAVLQLRSQNLVHGNINSSNVLVFPGLTNSNT DEVGLTENLRRPYLTSFAQFSGTSSSPEPLSSSMYRHPDDKRSLGDDASWAYDLYSLG LVLMEIGLWTPISRLWKMKYNNSMFKQRIENVYLQKLGPKCGSAYLHVVQLCLDAPNF HLSTQPFDDFNLRVPQTFHYPVLDLSEPDSIFSFSMNFIYTMSKIVWSCCRIDIFSAP GAEELDDCLPLALVPGSDPGATKAPVRDYNPTPEPFVPYTEPFATLPTAEMKLIREKM GLDERKVRKRTFKKLTNVEIPQEHLNEWNFQMLPRLRKLLQKILKDSSESCSVTLMMT GEAPESARTTICVTCASAKKVRAALKKYFVLDREDWDLIVLRGDIQRSKVPRKKRRRP AKSGPERNDVPASFRPDPNPCYQPRPLCGASIGAFMNEEHLPPVTYGGAILVDGMPYG MTVHHMLESPSDQEDGEDEDPGAPLRSAGNWPRDPGPQEAEFMYSWCDENPSELELEI SEDEDGDDNSISLSLEGTYDDFNLSDGFSSDEDAFDIDEDEDSASVGDTAGIDPGDEP PLFVTQPAIDDVREDFFPCPEDRDDEHLASHSLGFVHASSGVRRWTRKGIKHEIDWAL IKINDDRIDPRNIVFSQQPMQQTETIYLNDIARLEDLGGLKVHCCGRTSGLQTGQISR AMTIVKLHGRQTFSTSFCVDGNFGVPGDSGAWVFEKSTGRVCGHVLAWSEKSHTAYIA PMEVMLEDIARTLDATYVSLPGYPHESFSYPTPGSSHFEPQNPRYRAPLPLPEQLPVD IGRLRLEDPSMGPVHPSRAREVSTPYRGMPPILTPPRSLERQLA AOR_1_1874054 MEPPTDQRSDYAGIAAPSFPDVMNDPAYATNYRGKERDLEEPDT CRICRGEGTEEEQLFYPCKCSGSIKFVHQACLVEWLSHSQKKHCELCKTPFRFTKLYD PNMPRDLPTPLFLKQLLVHSFRTVVTWLRFVLVAFVWLGWLPWSMRAIWRALFWLADG RWSGGDNAMQGPTQERLSQVIANSTASLHSVGVATSSALASTFETDTADTQSMPSPAS SIFSFSSGEPLLLTIIKKAFSALFFPAISSSSSSTTGRSSNITVGFYKQRHPSWLSDV KFLNNITPSPTINNIIIDTLEGQLITLLVVISFILIFLIREWVVQQQPMANIADGERE AAVQLIANNRPNPVDENPRLGDHRPLHPDDEQQEQGGHGHEDRFVHADNSVPDAVAEV ESPSRNITSGSFRRNFESDDDDSSNDFAAESASQINQAWPGVETFRDLWSRGHGDPEQ ILRIIHEEGRQEELGWVVTAMTKLQRSDSPRVSWSHDQSSSGPSSYVPGPGETDSEFA SSSLPDSHWNTTSQSSTPVGRPWDIASPHARNDAAMDQLHTDFAFDFPELGPGSEEDR ESQSDARSSANDLVGAVQEFTPHDPSSANNPANLNQEPAANVPPPTQTDHPSTAPPRN LVTKLFDWFWADITPDDQAQERPQPDEEHVVEDPALEEPFVPLQNNRRLANAGAQDNQ GIFADAGIDGNDVDAVEDGDDLEGILELIGMQGPIFGLLQNGVFSALLISFTVSVGIW LPYLWGKIALVLLANPIQLVFGVPMTAVSVFADVTLDTLIGILGYVMYGVSLIVKLLL SPLSALLPLGDWIPETKSITTASLSLIDASSHRLGNVISSFFVFHESDVPMFSVLSHQ ALKIHEERITSLFWLCFGIGKFVLYDFPLRLLAIELPDSQSLKHGIVDLISLIPQARG QLYKFGSQILFSSNAKWFNASVTGLASGAIAVDYELAVWDTKDRVIAILMGYLLASAL GLLYLRITGLVSGADRGQRVEGIVADVLHQAGGVMKVILIIGIEMIVFPLYCGTLLDV ALLPLFENATIASRLEFTSSSPLTSLFVHWFIGTCYMFHFALFVSMCRKIMRSGVLYF IRDPDDPTFHPVRDVLERSITTQLRKIAFSALVYGALVIICLGGVVWGLYYAFDDVLP IHWSATMPVLEFPVDLLFYNFLMPLVIRSIKPSDGLHGLYNWWFHKCARFLRLTNFFF NERQPDEEGYHVRRSWWATLSLAKGDTGHPVKSGEQRRDADDNHIDAYFVRDGKFVRA PASDQVRIPKGNSVFLEVSESNERIDGLPETNEGLHSRANPMFAKVYIPPFFRTRIAA FIFSIWVFAAATGVGVTIIPLVVGRKIMSSHFPNRPVNDIYAFSTGICIVGSAAYLAL YCHTSFAAVKDRLRPYLQSPRQACLGLTGAAANALRLIYIALAFSVFLPSLFALAMEL YVLVPVHTYLDGAQTHVIHFVQDWTIGVLYVQMAIKFVLWYSTSRPAAALNGIFRDGW LKPNVKLATRALLLPVMLLTAVAVALPLAGGFLVNSTVFYSTPEVQFKVYRYAYPLTL LLSLLFWIGFLVNRQVEKWRVNIRDDVYLIGERLHNFREKRAKDVGVPSQVITG AOR_1_1408054 MRDGRQALASIDSKQRTLITEARSPAMTTSDSGHASEGIVKDMG NKEVQPKSRNTSIFRWTLGLVVRLCIWYILLTPFFRCPAQLSDLNESSPRVCKPYLVA RSYVEPHVLPFYNTYGAPYVEKARPYVGVLNEKVYTPAANVAKLGYDKYGAPALEQAH AYGSEQWEKQVAPLLQSAKDGASELYNAEVAPQVQRVTAVMSPYYQKANFAFKTTCVG YIQPFLSRTRPFIGKTYTSGQNVLTTTVMPYAQTSWSSVVYFVNSWLWPQVTGLYSEN VEPQLVKIGQRLASYREGNQLRKVVDEVDNSSEQLVSVVPASSETSKIESTPTTSTTV VSSTSRALSPTELATQTREKIESDLRTWQEKFSVAADLGIEDLEERLYGLVGGYVNGG AENHGRSLLTALETAVEHELSAVKLRIGELTEALPSEHSPAEQTAQSELLKDIRQAAV VIRDRAHAIREWHSSFDQELVHRVSAAVNSTLDVLDSVRDLGLQEIGMRWAWMDGVTY KDWAKYHALKAQFEDWKGKFRKVGMRHPKLEDARALSDDILSRGMDVAEAAAKELARL KDVGRWKIAAREVSDNFDTRSGSPPPRPMPSEPSTPAPQEHYLDADSPNHKASMHRET GNASSAAQGAAESEDQALGLDDAPIAHDHVNSEGVELSNEELEPSEAPAESGGHIETG PLRAQQTDREEDTTKSTWGVAAAEAMSKQGVPEFEEVPENVYSALNSVRKNYAEVERS AGAGSSQSLEQEAHEQPDDSQNLHKPQAPSSSSAHYEAVENLVSELLAGKDPSFVQDV MDKLHAIYRTSHPASEPQKDIVANDRAASIPFSPATSMRVGGDSVKDSYEQPEAFTPV VESATSSAVYTPSEPAFPLESTQEQHAMIATNEGDLILDATETATYRIQNSDDNLEET PDRDGL AOR_1_1876054 MAQKAAKTLATRNASLLLRTHITTLCLHAAFLLLHWIFNRPRSL TPYITFACPTLAIEFYLDRLGRPRYNPADGSLRSPGEDLGAAGLTEYMWDVLYWTWGC IGAVCVFGDRAWWLWIVVPLYSVWLAYTTFTGMKSGLAGMGGTEPAVTESKRQKKMEK RGGQRVQYR AOR_1_1414054 MSPSTSHISGQLRQLIYYHLDNNLIRNALFLAGRLHAYEPRTSE ASYLLSLCHLLNGQIKAAYDYSKNFGSRGTHLGCSYVYAQACLDLGKYLDGIAALERS KSLWTSKNHWNKHSETRRQHLPDAAAVLCLQGKLWHAHKDLNKGVECYVEALKLNPFM WDAFLGLCETGVNIRVPNIYKMSSELLSVLSSSQEDIDLPPDKVAPAGGPLQTSANVN PSLDPFMSAASRSDSGTTHGSSALWEKLNGSTVSVASNGTSTPAVREGTETPGGQSSE SDDFRLANGVGDTSWEPPLAPARKNRTIQSIGADHAMDPPPKMKPTGIRQRTRMRVEQ EEQTTTQVEKESVTGSRVGDRKRTVSGQVAHPPAPTEPGAPQRRSVRLFNQIKPTTSK LSGTALGTKDGRELKKVKATGAKGRATAATGVGRVVSGNRKHVGEIHESDGKEHRPVS TVHSGATNVASKAATIEKSKSIEALTWLLELFSKLASGYFALSRYRCTDSIQIFNALS QGQRETPWVLSQIGRAYYEQAMYSDAEKYFVRVRNIAPSRLDDMEIYSTVLWHLKNDI ELAYLAHELMEVDRLSPQAWCAIGNSFSHQRDHDQALKCFKRATQLDSHFAYGFTLQG HEYVANEEYDKALDAYRHGISADSRHYNAWYGLGTVYDKMGKLDFAEQHFRNAASINP TNAVLICCIGLVLEKMNNPKAALIQYGRACSLAPHSVLARFRKARALMKLQELKLALA ELKILKDMAPDEANVHYLLGKLYKMLHDKANAIKHFTTALNLDPKAAQYIKDAMESLD DEEEDDEDMA AOR_1_1416054 MSFLPYVASGISSFVVVTLSLFTLGQKIPRAAFVARCLASYGSL LLCATYGVIASIVLRLVGYGRVSQWATARSFKWVMRYTTGVHFDIVEGQEHLSTRPAV FIGNHQSELDVLMLGSIFPPYCSVTAKKSLRHVPFLGWFMSLSRTVFIDRANRQTAVK AFDSAAEEMRNHRQSVFIFPEGTRSYSDKPGLLPFKKGAFHLAVKAGVPIVPVVSENY SHVLSPRNWRFNAGSIQVKVLPPISTEGLTAADVDALTQSARESMLKTLLEMSEKNEI EVGNSHANGTSTAVEI AOR_1_1418054 MQPPQDESSNCMVRWYIDTRDLTATTTSLPLLETLQPPDQESAK RFYHLKDKHMSLASNLLKYLFIHRTCRIPWNQITISRTPAPHHRPYFNAAGFIQTAAT DKPIPNIEFNVSHQASLVALAGTILPPSSNNDSIAPTNVGIDITCVNERRNTPETRQA LEEFVGIFSEVFSQRELDTIKSLHGVPSHIGNDEDGLVEYGFRLFYTYWALKEAYIKM TGEALLAPWLRELVFTNVLAPEPAGRHLHTWGEPYTGVKTWLYGKEVEDVRLEVVAFE NDYLIATAARGGGIGWRSEGDGADPWQRLEKIDIEKDVRPCATGVCQCLK AOR_1_1878054 MSITVGVLALQGAFYEHVQLLKQAAANLATETHASPKWEFIEVR TPQELDRCDALILPGGESTTISLVAARSNLLEPLRDFVKVHRRPTWGTCAGLILLAES ANRTKKGGQELIGGLDVRVNRNHFGRQTESFQAPLDLPFLNSCGKDQPPFPAVFIRAP VVEKILPHEEGIQTSEVGRDETVVAPSKHVKDQAALDAMATQVEVLATLPGRAAKLAS EGRNIDADKEAGDIIAVKQGNVFGTSFHPELTGDARIHSWWLRQVEESVRRRRKLRAT LVDALHYRKSLYRKFRR AOR_1_1422054 MTVSPRNTVERLDRPSAYYLGKNKKRKYSQDDADKVAEDPTDNL KNATTLYVGNLSFYTTEEQIHELFSKCGEVKRLVMGLDRFTKTPCGFCFVEYYTHQDA LDCLKYVGGTKLDERIIRTDLDPGFEEGRQYGRGKSGGQVRDEYREEYDPGRGGYGRA YADDQRQREEEEYGKGR AOR_1_1424054 MPKVISYIPPWLSRPSPGALLFSSATPKAPESISGKLRQEVDYA GPTRVLAKRGNEAFTVVDNQIRWSNLTRLKNEWQQQTRSRKGTPDQKEDSEGQSDASN NVHYRILTVPVYEQIRQLIPSPNGAFLAIVADHTVHIAVLPDPSHLSGTDSSPIRVKT YQLGPTTHVIPESPVVSALWHPLGVHSNLGGCIVTVTVDAAVRVWELDRNNQWSFDRP TLAIDLRKLVDGTSSDEDFSPSGFGKNKGFSADYVDMEVASACFGGTGSEKEDAWAPM TLWVAMKPGDLYALCPLLPSKWRAPATTVPSLSAAIIPKLAALEEDPADFEDELTACR QQYDWLTEIDTQDPLHVYESDTGPESEILTRPANPSAIPRLQGPFRIDTGDEVDDLDL CDLHVIAAKVDVDALMMGEDELLLEDNGEDKLSATVICLSTGSGRVHVCLELDGVEGQ WLPRARKNAFSTPLSEPSDLVLVESLDTMREGQQSLKNWPTFTKDVHSRYGFFVTTEN NVTFISLSSWVQRLEAELQSEDTSGSGFRLEVLCEGTVSLRERILQTDEAHQIVKDQP DHLPSSVVYYDYDLGYLLLTYRGSHPYAAIMDTPEVSFPTLTEPNPEAHVPGSPVLPP RRPPYQVPAVFYSDSPLEFFVDKHIPHRQRHTLKEQVRLSPATLDLVAAAHRILSAHT NALERAASDLFRRCERLQGEMQEQLKQLTDVSERIKGVSSEIGEDGERKENSRSGEAL DKRLQAAKDKQEQLVQRYEAIRNKVLKSGGRPLSEKEKAWVSEVETLSESFGDSRQEG RDNGQQLSERLETVKEIAADLLAEAKSIAVKAPSPTEPGSPASPGGSQPRVPQRLQRA KIADAMKMVERESAVIEAISSRLERLNASI AOR_1_1426054 MVAVRIYGFQKEHLDIPAHLETIIPAELRTAFYRAKYIAGQTFR QLEYLEIRQANRYQAMCPPTSINYYNHQMSVLRLFSWRHDYHWRNPTLAPTEKLDPAI LCFHIDQSAYQSYQTIFTKYQETFMSGHFLAWHNIKRAVDATVAKSKLNDVEKRMWNQ FWHTNFLGEMQKWEFRAMALAIPSWEEIVDELYNAILECVKGAEDTLANAAHGIPGKR VL AOR_1_1428054 MVETGLPAGWEVRHSNSKNLPYYFNPMTKESRWEPPSGTDTETL KFYMANYHSGPTSRPDGTGNGEGKIRCSHLLVKHKDSRRPSSWKESEITRSKEEAIEI LRGHETRINSGEVSLGDLAMSESDCSSARKKGDLGFFGRGEMQKEFEDAAFALQPGQV SGIVDTASGVHLIERIQ AOR_1_1432054 MSASPSPSAGGDSKTNEQVHFRFCRECSNLLYPKEDRVNNRLMF TCRTCHVGEPASSYCVYQNKLNSQVGDTAGVTQDVGSDPTLPRSNKLCPSCGEAEAVF FQSQQRSAETGMKLYYVCCACGNVFV AOR_1_1430054 MIQLKTMLNCIDNSGAAVVECVNVLKKKRPATVGDRIVVVVQKQ RNFGPESSNNSGIANKVRRGDIRHAVVVRAKKEMQRPDGSIVKFDDNACVLVNKSGDP IGTRMNGVVATELRGKQWSKILSLAPMHV AOR_1_1434054 MPSSKARDSPSVERRDRLTLAKLASYDDVATDALVDRAYFWTNT RKNRTKYIPVRGVHEDDVARILLHEVIVAKDSAQAEKQLLAMSGMKKYLAKLPNDREK EWFRRHLRKYIQMYLPDSPFEVTTTNRYTITEHEAAICARKFIKQGQEIKYLSGTLVP MTREEEQELDLKRKDFSIVMSSRRKTPSFFLGPARFANHDCNANGRLVTRGSEGMQVV ATRDIYIGEEITVSYGDDYFGIDNCECLCLTCERAVRNGWAPHVDSEEGSSKASTPAL NDEAISNDSLLSPRKRKHHLDSDSDISPSSTPRKRSKFTRQSSKLRSAVSLADFAPVG SGSDNPPPQAETSIVPETTGAASITNDTIVPASGSAVEVSQASATDCDSSPSLEADES HHSSTSTTPTSIGDVKIKVEDTVEASLTESASATHITLSITGQPHNDRHPPGTDNDML SELSEITDNPQKPKRSRGSRWKHGVVPSVEEESHRVRVPGDYTKTSKLLAQAYDRWVD CHTCNVWFVQHNSYLTRRECPRCERHSMLYGFRWPKTDKEGSMDDEERVMDHRTVHRF LYPEEEARISRKDRGVSFGVTPTPELSDRLAYESPLVSLDYGVFQGRYDSTYNLSYFR KIPFAAPPTGENRFRAPQPPMRILDDVYDTDQDFDMCPQRTVNGSEDCLYLGLFSRPW DVRSSTAATKRPVLVVFYGGGFIQGGASFTLPPSSYPVLNASTLNDYVVIYSNYRVNA FGFLPGRAVKESPISDLNPGLLDQQFVLKWVQRYIHHFGGDPHNVTIWGQSAGGGSVV AQVLANGRGRQPKLFSKALASSPFWPKTYAYDAPEAEAIYEHLTNLTGCANATETLAC LKAVDVQTIRDANLIISESQKYTTSTFTWGPVIDGEFLFDTLTEAVASDSLQTELVFG MYNTHEGENFVPPGFRSLNMTNGLNSSIPSFHQWLVGFLPRLSSEEIRLVESKYYPPV GRSETLDLYNSTYVRAGLIYRDVVLACPAYWIASAARTAGYAGEYTISPAQHASDTIY WNQVNSIQQTDELIYQGYAGAFASFFQTGDPNAHKLTNSSQPGVPMLQSTGDEFVVTD TGFETAELVLLKERCDFWKSIGERVPV AOR_1_1436054 MNRLGRMSLAVRPPVARSMATARLRRKVARMALSPDVAKSSINQ KRSGKAKFGPWSGMNQTEAHIRGEPRSRSQAALRRSGEKAADTPRKSDSPLYKALKMQ TTLAPVPYGRRTAVKSKIADITSFDHFPLLPVVRHSIFSQALPGLVDVTPTPIQRLAI PKLMEDSPDGKRGTKLEDGDPQYDQYLLAAETGSGKTLAYLLPLVDAVKRLEVEDKEN ERKEEERKAKEKEERLKNRAFDLEPEEPPLSNAGRPRVIILVPTSELVAQVGVKVKAL AHTVKYRSGMISSNLTPRRIKSTLFNPDGIDILVSTPHLLASIAKTEPYVLSRVSHLV LDEADSLMDRSFLPTTTEIISKVAPSLRKLILCSATIPRSLDNLLRKRYPDIKRLTTP NLHAIPRRVQLGVVDIQKEPYRGNRNLACADVIWSIGKAGDSEPSEPFASYVGPNIKK ILVFVNEREEADEVAQFLRSKGIDAQSLSRDSSARKQEEILAEFTESAPPPSPEEIML AQKKRRQEDAIPFELPENHNKPENVRRLANTKVLVTTDLASRGIDTLPVKTVILYHVP HTTIDFIHRLGRLGRMNKRGRGIVLVGKKDRKDVVKEVREGMFRGQALI AOR_1_1438054 MGSGTGQRPLSEVSPMAQRRNSPVWNQNTKMMRNSTDAYDVKSS PRLFWQGRESGSPFPKSQENQVPYDPESPFSSSKRPSIENLKRQSRVRNSNMLRDYNQ EYDPTNVYVPQRPLATSRSPQKEAQSTQTSQMSNRQEAGPIGPRPPSPSKEQAPPAKS SLSRASRFGVKDMGFDPETEIWSDAENRHAKSVTFDAAPPQVNEYEMTTPDPSSIASD SREGSYESDEDEFPDISFDRDSLTDREDSFDASLEDLEKTPVVLPEDWRYMSPSSAND DLVKEDEDPFTEDEENHNPDPRPSSRQETSEKHAPVESLDSNGEPRPLPPLPGRTSFP PHPSSPSKLASAFELGSGSQRVLPSPPGPASYSKSDITEFGHSSMSLEDRLRLMKIQE DGQEDAKPEHQDIEPQSKHDDGNQGETETHSNQPKQHDSESTDETPGAAPEVFSPPHI SRDSILRDLRRSESFMDDSFDESSQIPSSPHSYMNYDPDVPIPSLENDDMLDDDDYDD TNSVVIKQEEDHDNDLYDIPDYYETIPSKDSSFKSLKDKLTSDEESRYSSQQMEDDLT EKGSARNSDAGQATPVATDQDDKASSEQTPQETQAEPAPGSKMSTIKEALQRPITPED DGQISEPSTPDSVIRHPVDDDSDTGVLSVESVPDPIATVKAPGTGLKTRPSLTPADLE SMAATRRRISGQHPPPMPGLSKQPSNESEHSAPEDTTPSQPAKEVSQRQSSLVKLDIP FSIQEESLGFGLDKEFDRVIENQKVAFELSLSQLYSRAGPNRHMQESLGNNMEPIANE QIPKQRGYLMRHNTKVIIASSRNEDEPATAPGAAPTESRGTKSAIPTPRKASQQTWTT VPWNGQTRRASIRQASGIRKKPVPGPVPPLPGQQSNVQENPATIEENEPALNGALDEG EERGRLFVKVVGMKYLDLPLPRGERSYFSLTLDNGLHCVTTAWLELGKTAPVGQEFEL IVQNDLEFQLTLQMKVDDEKFRVQEPPPTASPTRQKTSTFSRVFASPRKRKELDMKHQ LASQQQKKTDVNAGVWERLRTLIARDGSFARAYVALSDHEKHAFGRPYTVDVACFNEW AVEDQPSSVKSKKSTSSATSQRRPPYKIGKLELQLLFVPKPKGAKDEDMPKSMNACIR EMREAESVSSRSWEGFLSQQGGDCPFWRRRFFKLQGSKLTAYHETTRQPRATINLSKA VKLIDDRSSLMQKETTTKGGGRRKSAFAEEEEGYMFVEEGFRIRFGNGEVIDFYADSA ADKEGWMKVLADAVGKGSSGSSQVKQWTELVLKRERSVKSGRETTDRRLDGPLPPPPV KKDKDIAPPPTSTAPAPAAAPPRAKHRYTQSQPEVRSADSRRQKARSLIF AOR_1_1440054 MRSYVSARQYLAGFLCRSFSPVNSQRHFTSYTPLSNLRRSLEPW TITKEELADPKKLIASVRRGIWEGQSGDKRGRLDKENEAKRQKLEKGEEVKAPIYATH FSQEDIENEQRRPKKKVAVLLGYSGTGYKGMQLSATEKTIEGDLFAAFVAAGAISKAN AADPKKSSLVRCARTDKGVHAAGNVVSLKLIVEDEDIVQKINAHLSPQIRVWGILVAS KSFSSYQMCDSRIYEYLMPSYCFLPPHPSTFLGKKIIEIAEKEGDLEAHKARQAEVAN YWEEADAKYIQPVLDTLDEDIREVVKNAIYHEKPEDESFGTEEQSQATEGGADAATKP ELTEAELAHRRKIIDAVKAVKAAYNTAKRSYRIPPARVARLQEALDKYLGTKNFYNYT IQKLYKDPSAKRHIKSFKVDPNPIIINGTEWLSLKVHGQSFMMHQIRKMVAMATLIVR CGCDPKRIVDSYGPTKIPIPKAPGLGLLLERPIFNGYSKKAEELGKKPINFEVYATEM NEFKQREIYDRIFREEEETNAFASFFNHIDHFPQEEFLYVTSGGIPAAKPATQPSAAT EDAQKGRKSQREALAEIEEESEDEGNLPNNGEEGG AOR_1_1440054 MDNNEGRASGSEEADRKRKKGDLGRAEWSWQTSDKRGRLDKENE AKRQKLEKGEEVKAPIYATHFSQEDIENEQRRPKKKVAVLLGYSGTGYKGMQLSATEK TIEGDLFAAFVAAGAISKANAADPKKSSLVRCARTDKGVHAAGNVVSLKLIVEDEDIV QKINAHLSPQIRVWGILVASKSFSSYQMCDSRIYEYLMPSYCFLPPHPSTFLGKKIIE IAEKEGDLEAHKARQAEVANYWEEADAKYIQPVLDTLDEDIREVVKNAIYHEKPEDES FGTEEQSQATEGGADAATKPELTEAELAHRRKIIDAVKAVKAAYNTAKRSYRIPPARV ARLQEALDKYLGTKNFYNYTIQKLYKDPSAKRHIKSFKVDPNPIIINGTEWLSLKVHG QSFMMHQIRKMVAMATLIVRCGCDPKRIVDSYGPTKIPIPKAPGLGLLLERPIFNGYS KKAEELGKKPINFEVYATEMNEFKQREIYDRIFREEEETNAFASFFNHIDHFPQEEFL YVTSGGIPAAKPATQPSAATEDAQKGRKSQREALAEIEEESEDEGNLPNNGEEGG AOR_1_1442054 MDLANTLIRTVVRAFYETRHILVVDALFIHSVLHAEDLAFLLGM QQKDLRKLCAKLREDRLISVNTRAEIRDGSTRPVNREYYYIPLHPVIDAIKFKISKLT STIKAQYTPSEERKEYICLRCGAEWTELDVLSLYSEEGFECQNCGAILERTEDVKGAE GIDRTGHEKNSKLMAQLDTMLKLLKQIDSVEIPPNDFDTAWDHKIDVVRNQATHPTRA AVVVPSKKQEAVRGNTKTDAGALEISLTSSEEKSAAEQAEEAARKAAVEKQNALPVWH THSTVSTGAGSLNTVKTETDVDVKSEIKEEEDRKPDLDALDDKVAAYYAEMEREKALQ AQEDSSSAEEDSDDFDEEFEDVGGVSASDTASPAIGGAGAGPTSAPTNTTSTGRKREF DTDSGTSAPQTASATPSATDEGPAAKRVKVEPEVKKEESDEDDDEEFEDV AOR_1_1444054 MAAAPLESSSTPLDPISTDSTCSPGDSMEESDPQSTRKRPRLDS GSGIRQPWSSNEVSASRLPERTPDAPATTEQEAPTSTRPASRMTINTKSPVADNMTST PKDTPADQSNAHSPAPSADDAGARPSNVISLLSSPAQSPEIEVAELEDMDQDPSTSSW KPLGEALGDSEVVQLHEQAPLTDNFPKFRSDLDLRDNLEEIGAIIEKGSPPEATPFFL AVKSWLDDVANNLEQLTFEGLLDERDFWEQLPVIPESLLRNSQDLQPDEGRGPWVCLE EFFLNYTRLALHIVRLDILVLGQLIEDADLQVSDLISRAYLPSLGWMLQVNGIPFFRT AERVHGVEVINLVARLNDHILAPPFNVLQWLSEYAACVMTLIPRWSQLSSPLVSVVTI VHNLMDSGNERRKYQADEALINSLTYLRAMKSAYSLMRIIDENYQMHVSKKSSWVTSD VSDPMLRFISWVYFTISLRGQDLGLQIAKDLSIAVPEGISSDECASIIYYGWKFAALK KHILDGRMELRVNGMETMQMDLVNVWRQYIQNDPAGIEHPVVQYLVRFLRENEIVEYI VSIDSHPQLISRSGNIVGFLIVTSTYTDTDTDTIWKAVTESPDPRTVSEILRMLTRTF QMHLPTSPALLYLCSKLLDLPLSRFDSRMVDFCEQLLLHVREKHRERYQLVDEPHVDA VPLRLCVRLIRESAAADDLPVEHKAILQKFSSSQLTLFMDVGLSEMDKMETYERCVQD LAEMNQFTVGSIQALNALVPSYDTQEIRKLAMDFDLTRLLINELAHTVDMNQTDFTES FSRNGFLSRVHLLACVIDKVPDTITTELSDVLWRRVLMSQTLAQQGRRALWDTLCTLT RHSTKSNPFIERCIHGYLSELSPSKDYFLEVLSFAKQAINYEVRFNPPPIAGDNEVIS IPGIDRIWDFILTAPPGSIETDATNFAIEVYLDHNIIHRSPRSAVEATHVALVDRCVD QLKSAASKLKSARGQGANGASDSMAAEIPEEETQAEELRFSRSLLFLRQFLQGLRSRP QYSPPQNSPPGLPGKPVKGELVNIRYQAFDGSTQSKVRFLQIGDLSTASEFVEKLVQV TGFTKLNTIYSGHRIDLLENPTLTVRDLKLNSGLLIVRRDPDSRDVALTGRRQSLTSV DSEVLKHFDDLYDLLGLEDHLAREIYDFLVVFPPQERVLQLVRSTDKSAQDAFPMGKP YNFLYSVNALSICLREEALESSPNQTFVSHSVRVLVAALTRSEMSDSLDNCPMKLLFA TSLVECLLYALLVRPPLAGDTVSIPDSTALVRQLLHLMDVGRYSSTGHLSELGIHKLI CNSFAIMIEGSVRDPEFWAVIKQQAKFDQLLFSLLLEEDRQPIRKGISENIAVACSPS KLLKKTGKPEVPEQREITTSENPVRIDILATIWEAFVQNFPRTLGCVQQSQEFFEIAH LVFQSVAEKSPRDLMLSEYLKQWSAILLNHQTEEFVGREPVDHLLLGFCRLLRSCLDF AGSTNTAVDTFDLAESLFDKYLFPDLSESTSLVAITPQTPVMHASSRQELYGILNLLC KYDDNYTKVVERLSDLIPEDYTYSPTWCFDRYKMIRSPEGYAGLKNLSNTCYLNSLLT QLFMNVSFRDFMMRLDLVDPLDSQILLEETKKVFGYMQETWLKSVDPQGLVDSIRTYD NEPVDVTVQMDVDEFYNLLFDRWEAQISNAEDKKKFRSFYGGQLVQQIKSKECPHISE RLEPFSAIQCEIKGKASLEESLQAYVEGEIMQGDNKYSCTSCGRHVDAVKRACLKDVP DNLIFHLKRFDFDMVTMMRSKINDEFQFPEHIDMSPFKVEYLSDPDAEVQEDLFELVG VLVHSGTAESGHYYSYIRERPTADTRGSWVEFNDSDVTRFDPSKIADQCFGGYNDSMN NNSMGQVRFNKVWNAYMLFYQRVSSMESSKSIYKPTTNHCPVRVQLPVPLANHIMMEN EIFIRAFCLMDPYYAMFVRHLLHQLHDVRDIHPLGDLKLDKCIIFIALDTLEQLISRN REPLGLDAVVSELLKAINELPKAAYRVLQWVAERPAGIRNLVLKCPHAAVRNSSIRVF ISSLSKLQELCNNVEQEEGYKDKWHKRYLDGFENVVAALHGLWTILHTASRAWDDYFE FLLLLASFGSLEAGMVLSYGFLSRCLEIVWLDRDDSKRLRRHYIAYCKLLEKGRRFSH RKLTDLLSVLLQNIDFSAPPTLGEERQTLPDNRYSLTVMESDLLRPVGRNNELLVLKK LLQQYSSPQACRSIVGILVDAESEAGLTDPICKALEDGLRVAPAELCAPFLEATLIFC RRSTDEERIVSLIDYVAKGVESINDSGGKEHLAFFTSIMACRNERLDLNEAWFLSQLI DKIPDWAPTLLMFPDRAVRNMTMEFLRRILFTGEASDIGDDWQSRHTQAAKELVHASI SRLRRTYLSSPGSNVEAKVVETIKAVVEHCLVTYFDDSEQDEGFVRQAQAVLGAIEEL AVDMPEELASESDVPSPEEWEDNSAMASDSEMGVAGTP AOR_1_1446054 MDSEEARLANREKMRQGELYYAFTPDLIAARSRCTKACRRFNHA EDVPRRRLVELWRDLVQDKTPLPPLKDDPEEDEALFENEPWIEAPIRMDYGFNVKAGE GVFINANCHIIDTCLVTIGARTMFGPNVHLYSGTHPLDPALRNGTKGPESGKEIHIGE DCWLAGNVTVLPGVTIGKGATIGAGSVVTKDVPAFHLALGNPARVVRKIETAMEGESS S AOR_1_1448054 MPTSTSKPPPLDPSNYPNGKKSLAGISLRAFLIGTTLGLSISLT IVLRFLETPLWRIPFFLASLSLFHFLEYYVTAAYNTRYASISAFLLSSNGWAYNVAHI SAALECLLAHRFWPTAAYLNWGILAPGLKIQVVLGLVFMIVGQVVRTLAMVQAGSNFN HTVQVERKEDHSLVTHGIYAMLRHPSYFGFFWWGLGTQLVLGNVVCFIGYTLVLWRFF SSRIFREEKFLIAFFGEDYVEYKKRSWVGIPGIH AOR_1_1450054 MASARSLGALLRSLQSASELQDAFALLPTATGLLSVLANPLNIS LLASQLLVAPSIWDHAVDLHACRKILSVFNTAAMAIIRNEESDDPRIPYTKPVKIERE AWVKAVVSGADEKSPRWRHMLLIGGVLLGFEAQDRQGLPLSVRKKLESALVTAAQLAI EELDPHNKIDGLCITMVLNYTFPLLSDLERSNLNYDRLLPVMIDATFSSPEGLEGGYF LGTIDKDIVEAPGKRFQWSAQSDTFIRVSAISSSPLISALGPLSRLIAHAIENVHDPG LIARSVDHIADFVRTLMIQWRQNKLSEVDKAEEVDFLDAESLKTTIPGLWKLLRNSLY STVIVLRAVLGRIINDHILAANKSAPFLSMQALHILRNLYFITSRVGQNTSSQHMFVT LTAVDILAQYPDLSENFLRSIKPNEIGQIPAHPLERCLDLYFLNTSELFTPVLSPKFS EDVLVCAAVPYLPAGGDNNLLEIFEAAHSVVLAVFAIPGNAQVAAKHLPFYIDNLFAV FPDNLSIRQFRLAFRTVLQVTAPPSPIANTERHLPSILLEVLHDRALHASNKILPQPA DHGAGSETSKTPPLSEQAALTLSLIDGLSFLRVEDLEEWLPLTAQLINTIRESEMRTA CVERFWEALSSGEMDVERACYCVAWWSTKGGRELVLFGNEPGAAESDPHGPYMSGAVG GVAPERESKL AOR_1_1452054 MTDNRVPINYQTPAFPSLYDPFPRPNAAVYYLYYTRDIWRFTLY WTLIFYLSSHLSVAAWALAMQGRSWRICIAIPLVYGIIAGLEALLAGSIIGLVLGSVY EAGNFRMSTWIPMIWGGINMLVLILSSFPMQGGL AOR_1_1454054 MAFYDDSGADSQPFGRPQKPYEGGIVGPRRPRLVTDYGSSLVQW MRTRRPRYKGGHRMETERPSASYIVDMLPPLARIHSPVDTIPVRHLHQSIGKSKKPIT VVRWTPEGRRLLTGGHTGEFMLWNGTAFNFETVMDAHYDQLQAGVTSLAWSHSHDWLI SGGQKGDIKYWRPNFNNVETIDDAHHDAVRDLAWSPSDTKFLSASDDTTLKIFDFTSR TADTVLTGHNWDVKSCDWHPTKGLLVSGSKDHQVKFWDPRTARCLTTLHSHKNTVTAT RFSRVNQNLLATSSRDQTARVFDLRMMRDICILRGHEKPVSSLTWHPIHSSLISTGSE DGSLYHYLLDEPNVPSGQIPTIAPYDSPDPANTPAQVIYPAHRVQYAHSATIWSLDWH PLGHILASGSKDNFTRFWSRARPGETSYMKDRFHIGEEAAEAQGTWSRGFGRRQMREE EEQELQDEAESLVDQRKPTGSVLPGIQIAPPGGTPHNDGLGSQLLPGIGAPQPPPAPS AAALLSTQGAPQPNSIPPNTGFPGFPMLPALSGTPPANVDLAELQKQLMSQGIPLPQN FAPQHFSPLPGTGGLPGLQGSNTPDNPYGR AOR_1_1456054 MKMDVTEKASASSSDVNTRGAVSSSSSEVMNGSSHTRTSPPSGE ASGVPKGKRRSKYRHVAAYHSKSQHSSLSRESNVPTSFLGFRNLMVIVLVAMNLRLII ENSMKYGVLICIRCHDYRKQDVILGSMLFVIVPCQLFIAYVLELAVAGRVKETVGRKK KDKSAEDGEREQREFRTIWRFALSFHILNTLLNLAVTSYVVYYYIHHPGIGTLCEVHA IIVALKNWSYAFTNRDLREAMLNPSAESALPEIYSSCPYPRNITLGNLVYFWLAPTLV YQPVYPRSPEIRWSFVGKRMFEFTSLSIFIWLLSAQYAAPVLRNSIDKIAVMDITSIF ERVMKLSTISLIIWLAGFFALFQSFLNALAEVLRFGDREFYLDWWNSSSLGMYWRSWN RPVYLFMKRHVYSPLIGRGYSPLTASTVVFLVSALLHELLVGIPTHNMIGVALVGMLF QLPLIAITAPLEKMKDPSGKVIGNSIFWVSFCVVGQPLGALLYFFAWQAKYGSVSKMT QG AOR_1_1458054 MVAITVPDNYGAVIGVALGAIPVLSFIHGLVVSGFRKEAKVPYP HTYATVEQCKSNAKAEQFNCAQRAHANFLENAPQTILYTLVAGLKYPQLATALGAVWF VARSLFLYGYVYSGKPQGKGRFLGGFFWLVQGALWGLSVFGVGKDLISF AOR_1_1460054 MDPLSIGTGVIAIATLAAQTCSALSDLRSLCQSLPGRLHAVNNE VADLNFVLFQVSLTIEDRACLPENNLSALSHLLNRADVKLHEIKDIVVQLTDACRASR SPIFKAHAWRKEQGRLQMLQEDIRTIKANLNIMLGASNSQDMTKIRLDVEAISAVTLQ SSQEQTLLKENFLDGISAVNERIARVEEMLQVQASQVQASQFKQVGSLYNVPPAHTAQ CVSYALNGDIEGLKYLFENGLASPRDAGADADYRPIAASDNSPRNKACHFLLEGGLPD AAVEALRAITKNGYLEDFLDEAGFTKTHKIILGLSFSSLEDEIFQNPDDVNATDAMGR TPLAWAAARGDHRAIVTMLGHSADPNIMDVQLSGPLSNAASQGHTVAVRLLLEAGAQT DFPYPDGEKKGSPLNCAARNATDVLLLKSLLDFGADVDASGTDGRTSLIHAARTDNAS FAMLLLEYGADINAISADGSTPLTTAITYNSHNVLRLILDRWHEYSTCPRLKGPHLLQ IAALYADLETVRILAATDHFKTKHDKQYTLGDFGNRLRQRPDLTDDLAMAFDDLLGVI NHVPSQEDKQDSILKSGILSCFPLRINANFGAVDHDPNSACSSNDSFCDAFEHIHLSA EDNTSLEKHPLVH AOR_1_1462054 MRFGLNYHRYQVPEWAPFYVPYPLLKKLLKTAIRAADPATNQPD FKEVYTCLEHNINSFGIFHHENYDILRQRVEELHNQLAPKSKTLSKTKDINCYELENI LKAVIELRKDSEKLQWYYRVNKEAVQRIYAKLEKLCRSTGHTDEGHKVKWTDLEADRD VSWLKYTGSLNELMTAIIQIRSDMKIQSGNKSCLDNTSSHLSASSDPIRALDRAVIDD DVPKLSKMLEETYSCNTTTSQCFQEIVYDLAGSSVVYGSKRAAAFLLSETFSRYHITL DHRILNQMIIISGRQQAFEEYTASRMCSQCSCKDSCGKSESNLFSLAVEQLALGGKDV LLEKDAFGRHPLHYGAIYGLPGICELLLDLSQKSGQEYPARLIMSLDSQRFTPLHYAV INNHALVIKAFLKALEPMMQTNDESSKYILIDILRDLLAIAIKYQYDDIVRLFAKFPR EFHDESSHGETALYVAARSGKEEYVDMLLKHGSFPDLDIPETVHGWTPLFIACVEGHH AVAKLLLDAAAKQDIHDYSGWTAKEHAAVRGHLTLAGMLTSLGTEDSLGGPASTLIQP IRRNTQSFRTDCHYLIINLGVLQSGKQVKAVELRERSPKELISMNAGFLMEISISERG SIRELVELPSLTDMTHEPFVFPVTDPDRAWLSFKFLQASSTLAKEYNLLGGGTALIGS PGDHFGENRESLIRERTVPILEKDTLDVLGKVTFTFVISKPISYRAIPLARPLVVEEG VQLVGHRGLGQNTASHSYLQIGENTIESFLLASKQGATIVESDVQLTRDLVPVIYHDF SLSESGTDIPIHDLNLDQFMHASNIQSPRGDPVSVLGKANAQPISTQVTSTKPRSRSL TKDHERGTREIRDRMKYTVDFVSKGFKPNTRGDFIQDSFTTLEELLEELPESISFNIE IKYPRLHEAIEAGVAPVAIEINTFIDKALERLFSYGNKKRTIILSSFTPEICILLAIK QQTYPVMFITNAGKPPVTDREMRAASIQSAVRFAKRWNLSGLVFASEALVMCPRLVRY VQRSGLICGSYGSQNNIPENAKTQAAAGIDIIMADRVGLIAMSLKGYQKQAKSQA AOR_1_1464054 MSSKSQLTYSARASKHPNALVKKLFEVAEAKKTNVTVSADVTTT KELLDLADRLGPYIAVIKTHIDILSDFSEETITGLKALAEKHNFLIFEDRKFIDIGNT VQKQYHGGTLRISEWAHIINCSILPGEGIVEALAQTASAEDFPYGSERGLLILAEMTS KGSLATGQYTTSSVDYARKYKKFVMGFVSTRHLGEVQSEVSSPSEEEDFVVFTTGVNL SSKGDKLGQQYQTPESAVGRGADFIIAGRGIYAAPDPVEAAKQYQKEGWDAYLKRVGA Q AOR_1_1466054 MAAEPLSILLASTTASLSLDLFTYPLDTIKTRIQSREYSQFLRT NTGTSIWRHPGIFRGLYQGIASVTAASFPTAGAFFITYEYAQSGLQVIHQKLGTHESS SARLFSDFCAASVADLAACGVFAPADALKHNAQMIQSHHPDASAPVAGGRVGGVAQKA TRLAFKKFINPRQLWSGYPALVAHSLPVSAIQMPLYESFRYRIFEYRFGDREKVLERP REYGKKEAHSTIGEAAATAAISAAVSGGIASVLTAPMDMVRTRIMLDAADTTAPQKKR MINTVREIVRTDGPRGLFRGCAINTFMAAVGSGLYFGLYESTKWWLGSDSMDNCAVLE AOR_1_1468054 MADEKTSPPTTVDVEKDVKGHVDPVLKEHAQDADEALKAFQELH GEAIELDAATNKRLLRIIDWHMMPIMCFVYGMNYLDKTTLSYASVMGLKSDLNLKGDE YQWLGSLFYFGYLAWEYPTNRLLQRLPLGKYSAACIIIWGTILCCFAAVSNYSGAIAI RFFLGVFEASVTPGFALLTSQWYTKSEQSSRVNIWFSFNGWGQILGGFVAYGIAVGTE RHGSAIAPWKIVFLCTGLLTVALGVIFLWIVPDSQLNARWLKQEDRVLAVARVRENQQ GIGNKHFKLYQVKEALLDPMTWAFFFFALIANIPNGGITNFFSQLITSFGYTPQQSLL YGTPGGAVEVVALVLNGYAGQYTGQRLLCSTGGLVTAIVGMVLIVALPLSNNVGRLIG YYMTQASPTPFVALLSMVSSNVAGYTKKTTVAALFLIGYCAGNIIGPQVFRPKDAPRY VPAEITIIVCWGVCLFLLAFIWWWYSKQNAKKIQITESSGYVRLENQEWLDLTDRENH EFLYSL AOR_1_1470054 MTGFGTLAVRSGLPRDSTTGALVKPISLSTTFSQDQVASPRGTY IYSRSANPNRKSFEKTIADLEAQTTHWHSHPAWLP AOR_1_1472054 MEILPIEIKWHICRFVKANPFGIIAPLSLTSRAWYDATAPILYE TLIVRFGDSATLQKAVFELEEGRRGRLFLKHARSPPHTVRTSSETGSPPVSPIFPTRF STVSEDLTGPDNHKGPMLITNTIHDESYIPPIQTSVTVIDWTSPSTRRREYKKIDRAS SGVRGFWRRVAPKWCQFGHDRTPFFEEKGGKGNYEGSVRRFRMDLPDEPAPERKMNAI RALKLKQMLVTTKMSSRRKSE AOR_1_1474054 MVRQLKYHEKKLLRKVDFYNYKSDGGHREHEVRQRYYLQNDLDY KKYNALCGSLRQLAHKLSQLDPDSDPIRKKVESEVLDKMWRMGILKQSREQGAGLSRV EREVTVSAFCRRRLAVIMARSGMVENVKTAVTFIEQGHVRVGSEVVTDPAYLVTRNME DFVTWVDNSKIKRNIMQYRDKLDDFDML AOR_1_1476054 MTPTSPIEQSEEEDVLGYTSSDTSGADEYDLFTEIMERVRLDRV AKYATTIRQSLQHSNPQSTRVIEIKPPIFGSYHVLYPVRFHDEALWLLKVPANGTREK FRTSDARAIRSEALTMRLLRRETTIPVPDVYAFSDTCDNELNCPFILIDHVEGVSLYK VWFDNASPKDIVQARRTRCLRDLAAAMAQLDRFSFSTGGSMAFDDQGYPSGIGPLRLV DTATMRERLRARDPDQSTVYLEMGPFSKTKEYYTALLDHRRQPDMTFEKGVLGLLRLF IDCIPEPSDERGTFVLAHPDLDVQNVLVSRDGALQAIIDWDGVGAVPRSVGNERYPSW LTRDYDPATYCWTEDIERRAEPLGIWEDSPDTLRFYRSLYAGFIQSHQLDDGPACANL TTKSLILGNLLNAANDSYGTVDTVHKIFNAVVKLAREGASTRSHVLDDGKVCQEEDIK ISNSDFDDFHFYDVACALAERRLSEHHRRFMKFGFDILLSRSNII AOR_1_1478054 MLSTMRLACLFLAIALCLVQLVLAAEDYYKTLGLDKSASERDIK RAYRTLSKKYHPDKNPGDEDAREKFVEIAEAYDVLSTSTTRKIYDQYGHEGVEQHRQG GNAGRQAHDPFDLFSRFFGGGGHFGHAPGHRRGPDMEFKIGLPLRDFYNGREVTIMLE KQQICDACEGTGSADREVVTCDRCAGHGRVIQKHMLAPGMFQQVQMTCDKCGGQGKMI KKPCPVCHGHRVVRKEVETTFTVEPGMGKGSRIVFENEADESPDYIAGDLVLILDERQ PEASDYQWQTDGTFFRRKGKDLFWREALSLREAWMGEWTRNITHLDGHTVQLGRKRGE VVQPLSVETVKGEGMPFYSDGHLHESHDQDEEPGNLYVEYSVILPDQMESGMEKEFFA LWEKWRKKNGVNLDKESGRPEPSVKDEL AOR_1_1480054 MSQETEPLLPRYEEDTTLQRRLHQKLHSFQMLRALSEGYMPSTE QVIINLRTLLASDILNPRTQDIGSVGRQLIRDCRIWIQVFIELLRDKNNDDKLQEFLW HLSRSRASLDRGQVAQHASHVKARQDTKAAYDSLRTVGSLLLTNADFRLFVDDLTTVG RQIFSDTAYFLSDTSKQVGEQIKPSQEEIDAVQGAGADEGQAPSSEEIRQEVAQVAEA AGNGVARTGQEAIKSAKEHLGGQEKDTLIYRLKQAVLKLRERSDYSDSVATLSTLVQS YAKTYANAASDIITTAEEEVEVNEDLKQAMQQLWVLVQSLGDAEQWKTLEQRFQQVLQ HANKDPEFERLMGELGSSVQQMLTDPSFFDSASEKLSELEEKAKKVDTESNLRQDVDA FLEQAKRTLRTVPEDAAVTKLVDATNKLYKDAWDGYYERKSELPSDILEVFFPVLLRT IQHIPIPRLEVMAPELDLLLENFILEPGHTVNYSSFLPYRLHITTRNDIDVLKKHSKR TTADLKTTFTATVMGLNVSASEFGYWLRTHSGLFRFHDEGIASFYLDKRGIDISLDIE VGRERLEQIFTLRGVRVVIHKLDYKVHRSKWKYLLWLTKPFLKHMLRRVLEKKIAERI VAAAHALNRELVFARERLRAARIANPQDLATFVRAVLARLQPVSDVETRIGFDAPGKG VFKGVYAPGSLTKVWHEEALRAQEAIEEGDESYGLRRTWRNDIFDVPTRS AOR_1_1482054 MTTGMLWISPQRGSMLARIHKNRLLPIVSSPRAIISSASFSSSS LSFSVAGTRPNSSVTQFAPMARLPSQQGRQVHATSTSYVNHAAPVEANYDTANPPYIR KYLRTYGLTPPRAESYEVQKTRCLAQLALKQTAIDKFLYLSTLRKNNVHLFYRLVTDH LRELTPLIYTPVVGEACQRWSEIYQQPEGMYLSWEDRGNLAAVIANWPQPNVEITCIT DGSRILGLGDLGINGMGIPIGKLALYTACAGIRPEATLPLTLDLGTSNKTLREDPLYM GSNRDKITPEEEREFMDELMSALTERWPGIVIQFEDFKNPFPALERYRDIYTCFNDDI QGTGAVILGGVINAVKRSGLPCKDHRAVFFGAGSAGVGVAKQIVAFFMREGMTEDEAR SCFYLVDTKGLVTNDRGDKLAEHKVYFAREDNEGQQYKTLEEVVDYVKPTILMGLSTI GGVFTPEILRKMADWNTAPIIFPLSNPSSKSECDFETAITHTDGRALFASGSPFQPFS FTNSAGETRTYYPGQGNNMYVFPGIGLGTILSKAVKITDSMIYASGAALSQALTAEEI ERGLLYPDLTRIRQVSVVVTRKVIRAAQEDKVDRETALRSMNDDALDAWIKARMYDAH SEVLALEREVGALLHNLNPASLLNGLSSEENAKL AOR_1_1484054 MSSPLSDAVKLPCGLVFPNRFVKAAMAEMMAGWEHIPTPSLLEV YNQWGQGGWGALLTGNVQVDIDHLGSHFDPALHGEYTGKEGNEALVETWAKYAQACQQ HGTPAIVQISHPGRQSLRGAGNRGLFAPTIAPSAIPVQFGDGWLDWFVSRIAFPAPRE MTQADIENVTRKFVDTARLMADSGFSGVELHGAHGYLIDQFLNPKTNLRTDAYGGTLE KRAKFVLDIITEIRKAVPSKFCVGIKLNSADHSSSTFEETMAQIGLLVEAGIDFLEVS GGSYEDPSMMGGTQPQKSARTAAREAFFIEFATEARKRYPDLVFMLTGGFRSRTGAES AIKENACDLIGIGRPAAIDAKFPQLLLDESVPDEKAQLLLNKVPAPFITRFLPTKIIG AGLETTYYGGQIQRIAKGLRTMVPSV AOR_1_1486054 MPQQKKQHTVAVIGSGNWGSTIAKILAENTASHPELFTPKVQMW VFEEQIQIPSDSPHHSKYGDKPQNLTEVINAVHENVKYLPGIRLPENVVANPNLEDVV KDATILVFNLPHQFIEKTLDQIKGKHLPYARAISCVKGVDVTDGMVTLFSELIMEKLA IYCGSLSGANIAPEVAAEKFSETTIGYDTPPMDVKAEDGSPEDNKIKIDEQRQVKTRP THTKLTRVPQELVTVDAELWRTLFGRPYFHVNVVDDVAGVALSGALKNIVALAAGFVA GKGWGENGKAAVIRVGVVEMVKFGRTWFPQSVNERTFTEESAGIADLVASCSAGRNFR SAKHAVEKGVNVDEIEKTEMNGQKLQGTSTAKSVHEFLEKHGKVQDFPLFDAVYGILE GKTSVDSLPKLLDKRFG AOR_1_1488054 MMNRQQAAEKALHDQTNILPIGQLLIVFAGLAVSLLITFVDQNG ISVTLPTIAKDLHAENTISWAGTSSLIANTMFTVLYGRLSDIFGRKIVYLFALALLCI ADLLCGLSQNAAMFYVFRGLAGVAGGGVTSLTMIIVSDIVTLEQRAAFIMKATWRAFF WLLAPLSVCSIVVGYFLIPNNAQKTSFRKNLGRIDHYGIFASSIGIIFLLIPISGGGS YFQWDSAMVISMLVIGGCSLIVFIIIEWKVATLPMLPMVMFRNKVICTLFLQSFLLGA VYQSNLYYLPLYYQNARGWSPIISAALTTPMVACQSLASICSGQYISRRKRYGEVIWT GFGLWTLDSTPSQEYNPRKRARTACTRCKTRKQKCDNEYPVCSNCQKAGTACDKATVR QESGQQNAYTRALEERVEYLETQLKSGRRGNNASGGVSNPVAAFLSPPNQAAVQGSSP TGIDHNAIGDLVGFLALNSLEAPAYVGSSSGVSLAANLGEMVQTTVWNQVLASPRGLE SAQSTGNGLSANHANLGLSYQPVSTKNSGDRSRPPRMEELLAKGAEPPNDEMGSRIMN AYLTRLHTRYPFLDRRELWRLHEARWQLAKKKREELSKADKFGIFQLYIVYAIGATFL QLSERYSYIPPERFYMTALQQASAMCEARSIENIKAMTLLVVYHLRSASSQGVWKANE PFLPSLPLTDPYYHICLRAAGDICQTHKRLHQSLEYGHSFLAVQTVFMAGITLLYALW THTSEVWSVQMSNDIRACSTVLFVMGERAAWVKKYRDAFELLVNAAMEKLEGSDAAKK VGMAELMTAQHGSANPRNTASMSKEYPTTGPNMPDVNSVQMDPAAQDHGVRMAFQLAP WIDLEEDCPFWMPDFETLESLSGNLWNGEDSVLFDPL AOR_1_1490054 MTEIYSGLHMGQQFASLEEFKALVRSISVRQHWELRVTRSNKKS VVIGCRSSNNCFFRVVCRANKNATYISSLQDRHSCRSNATSTTKTPVRSEVSHVRFLL NEIPKLFDMRNNVKAQEVVDAVKRYHGYEISIRQAQRALIRLQQQQTQNQEERADTLD SSGDDQQDSHLPPSEEPAEGSTYSSLSGPRWIPDNMQHGLMDAAEGIQHGGMQRNHPA LQAPQIHNDALQSHAQLEASHQVQPATAVHHPPNIQSPEQATLGNSLNQPITPHQTRY AVSAPHPPSAPQTGQPKPQRRLQTEGHPAAAQLVLTNFKIEFTCTTCGALNQSFFPNQ GNITGGSYLPQPPIPGQSAAEGTGRPTQSIQGSSGASRVAEAHGYGGDTAAGHRGVHS PWTPGTLDVPIAPAHT AOR_1_1492054 MASYGLLDQSEEDALHKSRLLNVEEKPFKRISKRLLNPDSLVVS NATLPPTPPPDGTDDDATAAETEKQKRLEQWRHFREDVTLDFAAFESSIARIQFLLTS NEKERERYAAEKLRILSTMQAVRDNTAELRVQLEEAQRLLALRKSYDDLADKITSNRL LKPREDQQANLQKLQAEITELEKESKDYAKTWAERREQFGRIVEEGMQLRRLIRDEKE EVERREGMQEGEDGDDGDVPSKGKSSGANTPRHESDSATPSQQGHDETGRSPAGLHAE RLTAAGAASPLRQVTMAEDDKKQLSEQDATMVDEGEVTGDENAENPDDLEEGEEVPDR MDIT AOR_1_1494054 MAPRSQLEITTSSVLRLVKEEASYHQEYQQQTERIKKLESQQGG DDENKEYLLRQERLALEETKKVLPVLKKKLEDTIATLQSLLTEEGKKGPQSNVEHINA AKDAISKARTAEREIA AOR_1_1880054 MWYAIAKPSEYLVLTGAGINDIRICKKAIVMPFQRCARISVTPF DLALNLQAMTMEKLQFSLPAVFTIGADNEMEALKDYARLLAENSDDKSNVQKIVKGII EGETRVIVSSMSMEEVFKERQVFKNKVIENVQKELQQFGLRIYNANVKELQDTPGSEY FSILSKKAHEGALNQAKIDVAEARMKGEIGEAEKKGKMKQEISKIDADTAVLETKRKA EKAKADSELMNRQTELDASVQISKITTKRQTEMKDAELQKQVESKRAETELERLRASE VTKSKVARESAQENADAAYYTEQKAADARLYKHKMDADAAYYRQSKEADAALYKQKRE AEGILEMSKAYGALIDVLGGPQAFLQFRMMENGTYEKLAKANGDAIRGLSPKISSWNT EQGEGSGDAMGPVRNIMQGLPPLLTTIHDQTGISPPSWLGQMPVNGEVNKRK AOR_1_1498054 MPPPSLLQLCTATAVKNVKYLNDIGNVPYILARPFLSKIESPEK LRTLELQSPHIIDEDKELWFEFIKRDIPRWDEYDIPEQSDCWYDVYCDLRERVQREVD EDAEKLKLALDGISSERAKNSVNFVPDRRDIRLPRERPTAKQRPTRFTPLVL AOR_1_1500054 MLIKVRTLTGKEIELDIEPDYKVSRIKERVEEKEGIPPVQQRLI FGGKQMADDKTASEYNLEGGATLHLVLALRGGSLAL AOR_1_1502054 MTEITSSKPDIINPFEEILTDCQHDPRKVQDRYENHRTNRNAQF KAKLLGPDFSGWQIDEILRKLHAQATDTQRDNGPSFVDPRNNFTLYARPPPQIRELVA EIQADVQDAAPAIWVTPPDFLHMTVMEMASCRTEADIEAFLTHLQESGTVPDLVDYTF HHRTRLVKPILSYDATAMALSFVPAAGEETAVGNQTYCNEGDRYTYHHLRRDLFDRLT ATGLLMKPRYIVPSAHITIARFTTHDGFMVEGSGPDAVPVVDQERVAALVERIEKINE KLRQKYWPQENGAMTAKGEWIVNVPKTRRTYCKGKECKKHTQHKVTQYKAGKASLYAQ GKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLECTACKQKKQLSLKRCKHFELGGD KKTKGAALVF AOR_1_1502054 MVNVPKTRRTYCKGKECKKHTQHKVTQYKAGKASLYAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKIVLRLECTACKQKKQLSLKRCKHFELGGDKKTKGA ALVF AOR_1_1504054 MAIWYTLGVAFFAAIGTFLFGFDTGIATTTIAHQSWIDYMKNPS DGLTGAVVAVYIAGEAVGALTQTAIADKVGRLRFMEMMCVIVTIGTAIQTASVNIGMF LAGRVLAGYAVGGLVATVPIYLSEISDPRYRGLIGGISGCGISLGTMASNWVGYACSF APYGPVQWRLPLGIQIPWGIIMFFGLITFMPNSPRHLIRNGKVEQARDEFKRIRRDLR PDEMHREFEIMAVQIEYEMEREITSYKEIFRLFRHRVLVSIAVQTMTSLTGVNVIQYY QTILYKSLGIDSHTILALAAVYGTIAFLVNCLTTKYLTDQWGRRKMLLSGLAGIIVIE IYAAVMQREFQNTDNQVGKGFAVLGIYLFVVAYYGMLNSTTWLYGAEVLPISLRSKVM GLAAASHFIVNVAITEAGPSAFANIGENYYYVFVACSAFFLVVAYFYFPETKQKTLEE IAASFGDKVVAPGENGKDGDDGDDAGKPTSERVEVA AOR_1_1506054 MTSSSRSTGKRLPISCQACRTRKIRCSRDGRPCQTCVRRGLGAE DCVYLGQPRLSSENTVTADATLQKELLARIRNLEDTLHKQAASSYMSDSLSPQMSPSV AGSFSEPDSSIGISQPDIRSSFLGNFGTLQTFSSGYVRYLPLASQWGSVTGSAVHDVD ADIPEEGDDLQIPFARNNISREELLAVLPPGRYCDALKDVYFRVFSPMFHILHDLTFE AEYQRFCHDPSSVSTAWIALLFIILGIAVTALDDDDPLLSDLGREKTVSRNIKVLSTR YRSAALRCLAADGVFSRHSINSLQCLVLVNYARIHRGLPTWTLLGFTHHVAISMGCHV DPERFGLGPIEREERRRAWTGLMILYINQNNTFGGPDQRIHSQDVKFPLEINDVDLLT GGTSEPAMQPTQTTYLLLEYQLSKISSMICETLFTFAPRFSISQIETEILAIHETCDK RYQLDASGETLPSHHLANLNTLYGHLHQLFLLSLRPNLCRYLQGEVTPETCSARAKCA ASAKATLAIYQSLHDLPQYSTYKWYNSGLGSFHAFHAVVVLCAILMHPDSQFEFTETH DLLWRSLDAFAALSNRSNFCSKAVPVIRQLLDFVNARNQQQQTLVPMDNTVPTSAAPS FIQPYPTPPVEYIPEPLFAQLRPQNWVSPGSVQWDGWNFLAQEQRLRQSGLG AOR_1_1508054 MSYIYRERERERDWDEPRSPVTIKRYVISPEEERERERDVLYRR EDPFTGDRELVIRRSTDREEPVMLQRYERDVDYDSRSYDYRSERDYYEPRGPVYVNPR ESDYEVVHRSEVDREPGYYYHRRVREYDNDRRFHRELSPSDSVSQTTRRRDDRDDRDY SSDDSMVYIRKETREYDDHPHHRRHLAEGALVGIGAAELLRNRRKKEGEDVSSGVGRL GRDIGAGALGAVAVNAASRARDYYRSKSRHRSHSFDDDRSSRSHHRHHHHSHSHSRRS RSRSHSHSHSRARTLAELGLGAAAIAGAVALARNKSKDERRSRSRHRSRSRHHRSSSV RSGKTTDGEKRSESQRRKHMAGAGLAGAAVAGLVEKARSRSRSRKRESRSHSRLRKAL PVVAAGLGTAAATGLYEKHKEKQEEGEASRRRERSRSRSRAPSEIYPDPTRDSAGLIE YGQDPVHGRIPTADYYGRPTSQQAYYSDASDPAVSDTGFGSSRHRGRSISRSRSRSRG GRYSGSPSGSDSSDRRRRRRRQDKHRSRSRDLAEAALAATGVGYAAHKYTQRRERKKA EQERERPRFDEDARQDSYGEPYSPEPYQHTALPPQSSEHQYYPNTNYFPPPPGSAPRP AGSTAPYNPADYPPPPVAVPPSQQYGYPPPGPESFVSRPRRADENVSAVWNSSPPISQ AHMDDGLNIQSPTRTPRAKKRRPCAASQSPQSKSVAFDLSSEDGQQMDPGYETDDSDS TIGSSSGVRRHGHRRHHSSSESYSSRTESETQRMASEKKARSNTSGKEIESDSDSTID LPDRFDSQGRLLPQHEDNMFAGGLDSLLRGINRVFV AOR_1_1510054 MAAESERLPYRNGTSGGSRRAKKDEATTSTSRRSTSEGDMIEVP RSTFNSQIQASLASQMPKLTPAFPGLSAPASSTNSAISSRESSPVRSARRPHNSASTS RVSSRSHKASAGRSPSRSSLNQRQGSDTLPSTTIVQRPLSQTSKPLIINPPSNVERST DATSPEKLNMPLWATSRRVEQEPTLPNMSSKRSLLVDDSKSDRSAPRSVNRSINGPGS ALETVQEMASDQSTPSTETILNQSALEQSRLQKIDEDSTPKASRQNAESGSDSGGNKS LELREENRRRGSGAKGTDNLIPKRSSTSLGGARGKPTDGSVRNMIVETETVSSIPQVS LGVVTGDRSNSGRVDPGTLRMKPSTETIRPKKEKKRTRKPTALPSGAASSKADIFEAK VASAVDEADVSDSDETFVYESNPPDPYPVRQNRYHSRTPSATSMASQVDQLGARGRPG MRDGNHSVTGKRSMKFTNNTYAGSVDGDAGEESARSHSRVDSGGTHTPRHHHTGRYGR NNAYHSLFDSDSPFPQSQAYPKSPRHFIGGFRQPRHPVTRGGQNYRTINNTRKAGEIY GYDFDAEGADDERTPLVGSPRTTRSRHGNRRPGSASLRQMEYMQQRQRGFFSRYGTCV VICILLLVLIGGATSFIVGITKSLVNVQILAIQNVLASEQEIMLDLSVRATNPNLFPV AIDDMDMNIFAKSRFVGTDKLWRDQGSDWSDFPRVGDSRRRARLASLARCAGSSGCLL NETGLSKRMGYKNGGVDKGTDPIPTDPAGDPQTMLLGRVFRFDSPLTFEASPWNYVSS TSKGQIRLARPGNKTEEGGTERWERVLQHPFELIVRGVVKYQLPLSSRFLSASVSSSV QVVPDKGDNGTGDGNDKPDPGDDDTVTISKTRSLRRSLPELRQIATKRSMVDLVREAL GITG AOR_1_1512054 MADAPSAAYQTSPNHGLSSSQDGRSVNSRPDTRPSTTYDPLASE TTQDPQIDSKSTTGKRAKRKKKHRKRRNRRQSFIGAEDPHAGAPTTPGPEVEHMAMMA DQTKSRGALPFYKLGRDLSSTSLESEALLDHRNQPMMRPRRDSRLAQSFRPGSLSTTI RTGDLGSRNQPSGTRTLPVDDDSDGPDPVDDRTPLMRPSSAHRANISRYGTDSKSTPF SFRQRRSSVQTNSSRCSPRRIPSPGFPEQDRDYDINNPPSMPTSPKLRADMGYDDAVV TGADFDFSLAKSIDNRMESMPLSHDMVIDVESGTNRIRSSPPSVSPRHRPPQEGLLRR RTLPAEEDVCFPTEEVSELADEDAARASRETERRRRRRREWPDLSVLEEWSREEKEER TGDFRAKKISEPVLIEGRLRPQYQLWRREEEEAPYRFTYFNEEFQSTIHAQTISELVQ PGSSFRELFIPDPPELEVSSEDETDSDHDPEEEPFDNKHHNNHGFDGNRTSPFINSHH DNIDGSKQQPRMSIISEAVSEARTSANASPSRRPYQQTKPKKYGPRPTFWLDVLCPTD AEMRVIAKAFGVHALTAEDIMMQEAREKVELFRNYYFVNYRTFDQDPNSENYLQPVNM YVVVFREGVLSFHFSQTPHPANVRRRIRQLMDYLILSSDWISYAIIDDITDVFGPLIQ SIEDEVDEIDGMIMKMHSPEPVMGQSSKLEDDGVEASTTLAPGEVLRRVGVCRKKVMG MYRLLSNKADVVKGFAKRCNEQWEVAPKSEIGLYLGDIQDHIMTMTSSLTYYETLLSR AHSNYLAQINILMNERQEQTADVLGKLTVMGTIVLPLNIICGMWGMNVKVPGQDVDSL TWFWSITAGLIIFAFASFLIAKRVYKIV AOR_1_1514054 MVAKLKFNLRNRYLRYHQDEKIWEKFDLSPSIHGDQRPIDVDMP SNSQHRVLDSEEVTPTAASFGQMNTNQLLRKDKPKYEFHSPSELLQDPSTYPELPPSP TIGALPSAEGSAVGVKDDGGLERNLDSLPPLPLSRPATPKMEQIRASDAAEGHLTREL TAPDLEAPRTSGDVAPSADGDTTIMNVLNVDLPELQPSDEKTPTKATQSNIESGDALM SDEPTSRDLSNEVVPVPPAVQIPTTEAMEKGRENPGYAATSPTEVVNSTIADPLLPAG EERALSAEPLQAVTTGETKAGISDTADEITQPQEAQRGMKSEPQKSATGDNESLGSAE AKPESNPNLTSATIISVEGEETETVDEAAVTESTKPTRESTDKAADEVAQAPTEEGVV GATSPSSSKKKKKDKKKKPKSVNIHEPESQDTPATALAALSTPEDVEKIDEAITQGES SIEQISLPEGTDKPSEAEVESAIDLSKPHEAANVQAPVDMPISEPEPVVDIIKTEDAL ATEDELAVTALKAGEEADTQIKEGRLPSDWEPTAQAVNPQNVVDLAASTNPSKQEPPL EIPQPENAHLASDTSATEQKPNPEVLVTDVARTMQAPEATTIPVQGLPKAEDAPIITD VLSPEQAMPRAEEEAHQESLQSDKMLDSNAKPAATDSEAAADIDVLTNNLETDEDRRK VSKSFDANESVKELGDVEFVTSSQTEPEVTLQASEELSRSAIDDYPPVKEALLPSEEI TSSSEHPVQGTMEGAESLELSGQDAVLPETPFEQPELQESTEHNTSVREEAPGVVFEP SSAVLEQSTEAASVRGENSSAAGLQEGLSSEMSAVDPAPVESESGPLQLESEQTTRTD EAKAPMEPSSGQISPEQQQMQGNPAPVEPISSPEPAAEIADAGTKTQDADVTDAQTEN SLSRRNCNNMEKSKRDSDTEPPVEKVGGDVPPDVPSNDGATPRAEDEPAIPPKEEPEA RQPEEAPDNAHEGAINVSPETMSGAVAERPIESQDAGSAETAQKAGSEEAPEGQQPTK KGKKKKKNRKSISSDPQAVADAETQPPLSAEGLAAETPLAETSGVVYPTDDKHIPQDA TATNEVAETTEAVDAIPAAENESNVPVENPAETNHSTPHIAEHVPDDPVPDAEPEAGG STPAGKKNKKKKKKKQSLPSLPDAHVAASEPTSNTEVASPNIDIPVAIEKPPATEGQE LVQEEATGSEQPLDVTEAVEDTASPETAPAMSAAEKKKTKKEQKKHRKSASLDETLAS DRALNPSPENASLERDPTSVGESAPSKEPEEHGASAEEPTVVDELASEQPRVETVTDT EPAVALNEGLDEQSQEPAQQEPEETPTDIAGVSAIEAGNLELGQTQHTDHTPLDFEGT PENEKHSGADAEERHMVERMGVEQETTGEKKVEVESGDATLDAAPTMNADTALEQPKE EAPSEEAAPEQTIAADIPLTDIVPQDTMEQPQEGVLNETAVAEQIKDADIPLVDIVPQ DAPEQPHEEAPSAEAAPEQTKDTDMPLIDVVPQDTLQQPQEGTLDETAVPEQTEDAEI SLTDVIPQNAVDGEAEPPAPKKSKKDKKKKKKQQSISLADDQPAAAREEIVEEPSDAR SDILEIPEQPQLSFPDNVAEESQHAFSEKPTQQPESNEPEPTESPEQVDLTRPLEPEP MADTQESVSKKKAKKDKKKRKSVSFANNEQEAPTKSSEATETTEASHHVREASQPPEQ TNEQMAEASSLVQESQENADNTTADEVQPRETTSDLHKGVPTVALGEDGPESNKEVVP HDEQVTQPYNDSVTEAQASTLGPIDPDPMGQTPSVARELVNETIVPEGGYANDDASVT EPLEEQVQQGTGIQTAPETSEKHGVESAPEASVLETPPTSVQESTVVVQEAEQESGSS KSKKDKKKKKKRKTQETIENETSVVPEPSIEEAPVQAEEDNGTAAPGVIEEVIEPDNA AKPSEISSQDVPSMAPEGIVEHARAETEQVVDGTVHEVNESEGTEQQAREQPEIPKND GAPAMSAKERKKAKKKERKRQSKNLDGSGAASTAAESASIVPEEKTQGPLINASTTST GDVAHEATATQVSAGLKPSDPSVDTATLPAEDDGKENQSHGTESHGENDKNLFWTDHM VSSQVDQQQATPVDSPTKPVPENTEAEKVVVSGESVTMSEQIEVGTEDHASTTEQEAT SEADRVSLEHLPAEDVSAETDESGKVFTPNWDELKTQRDTTAETTGQGSRKDTMPAQT DEKLEEKDQEAVPEASLVAVEVRGTSVNDPLATDEKTVSLSPAEAGVPEDLRETNTGS AIDVEKPEAIAPWKDLHQAQQPDDSQPEDNSSQPNLVSTTSTDHVTREAKDSELEVSN AGPATENDTNEDKTASPRETRLEGVDLVSDSQTVEESRCSGAEVTNKSKSAEASSEIQ AEPQDLLPVSSGEENREDKETPSMSDTAREKPDGRETTAELPEANKRATLDPVESLGP RSQPLAEKELQASIEPTPEQLNHEELKGVTKKGEEENMTQTLSRKASKKQKKKAKKQA KATSIEMADPSPAESKRGINAGSNLEAVVGSTAITGAAESSLAPGEKPMQDQVQGPQS VSGVSKPVFEAVSATEERSPPMPREVPAQTQATHELQEGEDKDAETQGKLSSQTSEGG DPEAAEERIGGHSTQQETPAPGTVSKEVGGRSKQEAGLQIPERADQPASGGNTESTEV ALLVHEAKPESSEPPMTEVSTAEKSPFEALSRENTQKIEEDLSVEIREPEEIGSTSGK ANVKPSQDQYQSETLATERSAKDDEWPLIDWEKEKVDALEQTPQSSPEAVAAPFEPDE SAEAIEAKANMGPQGQDSEAKLATPEEQFAPSREEPKTEPILEAAREHSLDDSISGKQ AMGDTGSVSQKQSKIASIFPNLERGSFRRPITTKSSESVKDGAEDETNDQGASRGDAM QVSEAPIAATFGKDNDHIANPLMASERTTTATLEDLPGGTTVHDIQMPVASRLAHGNH KNPEDVLYTEAESAGTGSLAREIPLYEPSPIHEQPPTFISSSSNAMCIDRQASPGSLR QLGRSPSIQGTCDPSPRPKPSEEATLPAQPNSTLPSSAFRVPSVVDRGAISPPRTPLQ PIAEHEPIDRTRTPIGVMHQEQGTPCLEMKPEHVLPRPETLIRKFTDNALARQAWPTL DKDGDQDFHIRKRGSARSIDHESPTGAIQTPERGVPILRPSSMGSIKSVHSAHSQRSL RRMDRSASGDLRTASQAQTGARQSSRSPQPPPVEPPPSDFNIEHIASSSSYDPVTDKG KRPLRDMADVYEGWGETPNSPRSPSRPPSIRHRRSMQHLQELETRLDQLVSENRLLVA AREAAEDKLRNASVARRKSDHALNERAADLRDREAEVEQLKNSVEWLQKEVSRLTEEN EGLTVANSNITVAHAAEIQTIRASSNRELDDLRLQNQQLSSEMQDRVRKEIDAALSQK NMELRRLREDLESARDKVKELQQQIAASMQDSVLVFRDEDYFDAACQKLCGHVQQWVL RFSKHSDLRRCRKLDDIQDEKIADRFENAILDGSDADVYLSDRVRRRDVFMSVVMTMV WEFIFTRYLFGMDREQRQKLKSIEKQLGERPAFSRQRQNDTEAVALEIFETLSRLLPP PSNVEPQLLESLRKVLRVAVNLSIEMRTQLAEYIMLPPLQPEYDTNGDLARQVYFNAS LMNERSGETTSNEELESQQAVVRVVLFPLVVKKGNDAGEGEDEVVVCPAQVLVARSPK DKKVTRMLSGDRMSLDGTRSIHSIAPSSTMDMSNVI AOR_1_1516054 MFKALLAGGRSSDARSSSSSTSSSRRRTESKASSTVSRKPSRGD DRDRGLGDLSAYPTSGNRSKRYAPSAAGDSVASSYATAEPQSVIEPDRNVIERAPRRR DTDDSERRDRYSDSDGSDDKPRRRRNLSRSQSRERTRERPDNTDELDNGNRGSRHRRE RRRTQPDELPSVPISGAEADLAPKVGTFDYPQFPPPFHNTHPVTSMPSSPNVPGVYDP HVQQQFPGQFPAFVAEPNPPNPAGAAADYYGDQGQSVAQQPGVRPEPPKIIPNTQAHL MPASPHPNPPQEPSSMGQTPAAADYYADDTDPEIQAPEQSSKPPAGPTPKPPRPTIQT EGVLGPAATATTHDEGRPQGIGSGSSPMLESPTPTSVPLTGTSPSKPPNAQDIGTAVG AAAATAAAGYVMGHHHQSSLNVEHLSQSGNHNNEEGFPNLVGPAGPSAYSDQLNAPPL NTAGAETTAYAADPSHPHHAALYHGAPFQSGSMAFQQRQRGPLDKFIDFWRDAEGVGM FEDYTETIGVCRHCFEPGTSSRDAPRRHYYRRRRRSSDRYSSRSRVGKPSRYSSSEDE GRRRKKTSISSWLPGMLAGYTPFIKKDFENTYSDRSGRPASSPPDNENLSTLEKQSHT SRGVCGRSPRRSYEGLRDNESRRLSRSTSRSSSKSEKHCAHRDVGTAITGVSENQPRR SRSPRKTKSRKSSSSESSFVDISRPSMKSVGGLSSFFTASENRRKRQSKKRISIFSFN NSSSSSLDADLAFGNGYAKRPPGKSKRRSKKKDQDDVDAALLGIGAAATAIADSTHHR SRRTGEVLIKKEPTPTRLGYSSSSTNDDAWEDVDSGDQSSSSVSSALAFGGSGLYGNT ASPSSDSGTSLWGWRWGNRKGKKQKRTRSNASESRFPTNAALAAGALGTAALAHRYNN QGRRTSEGAGSGAGNLQHVAPVPTSDPSQFDAVNVPPSPPQPVIRPRGHIPLQQPQPV APVSQAVYTSQGETIPPYTVPSRPPPFANTLSHYDYQAHGSGLREHEIPLYRDFTDIR SNVNRPPRRSDSSPVFHTEPLVSTSVPSAKRRSTMKDQGSVQFDLTREQAEKERRADQ FEHQKRDHGSQGVELIDREAHADKNRSRRYYEGHRDSDFGPQEGYGREPRGKRSPASW DDLGTSAGSVLSGQSFNGNPSESSQRSHQERSEKRRAERRRASGSEISSGLPMPERAY DDVDQRPNPVPAQEHFKTSVFRDIPRKKPVHDDYAQFFAPKELRYSPDAYARREPAST PTIIEAEPASQKIKATEEHHPEYRGLPWPVPKLNVVEPTPPQSQSGSVRDIASPIPSP PEVLDDDRKSKRSTTGSRVSWGKDETREYEVPSTSSELDSADHDIVADRGQEKQNDSE ATREIAAIQADLPKAANGYNPDIVVAATAGAAAEALGFDPSLVSVITEKLVSPSRAGS YVGGAVTLDDGEKQPILKKSFENGPLYSEPVSISDSVRTFHDDQQPSSIAQEVIQQLS GEQASEMGELSGRTVEKPNEGQPTLVFRDERSGTKSAPEEVSHMSGGFRLEESTSQRE PRGNTQEPVQDDLRSIIPAVIPRHSDTPMEGANCSKYSRDSDIPSQASPPAAEEGSTI EKKKRKKRHSKRGSGTFDDSVSVASSPARVEETSDRGRSTDEQTKEKRAGGILSNIFG SKVSEPLASRKSSSDSYPSREVQSEVGPPDSGESRRQRREEKRRQKYGELADSGKTTE REKVWF AOR_1_1518054 MEIISTDDKPKTLPAFSGPAPPMHRIPPDGSHGPPSVPGMYEQP WRHYPPYEGHPAEQRRTSTAPPPLSSHGYPVIPNRELPQLPPDGPYSRQGSLTGPKHT PTEAHPSFRGPMNGTAHEPAPHSAPPEYRSRMSFTPQEPHSNGDAPPPLPPHSIPPAP YSTPVPPMSHTPAPYDSSYYQSQAYGIRQRKAARAQQENNLNCVYKEVPPHKQEKATQ LLLDRLQSFQDAILDRFDRLDQLNTEHGNNFNSILAKIDAKSASKEPRKPAVPQLAKK DIIDIQESKAKDENIATMQEQIPEPSETTTEQVVPSGEDGELSIPVEHTTAAHKLLLW PSIRNLLRPREYDEDYVMKLEEKRGLIRVYGRGEGDETSEDHGMCSPPTTLSTSFNES QPYYPASPPNGPWGVYVNQPQIKLENKGLDEDGMLTADPDMVRRYHKSYMKHMHQLHP FLDQSDLENKVDHFIRMYCPLKGPVRSPGVLNNHLNDMPRGAKRKRSCENLQGVGCDA QSAAEQGSGRRIEKSIYNAIILLVLALGSICEANPVPGPVTDYQVDFRKETISGPPTH GILSPAGSDSLPQSQGSGYVAENHTFASPSLIGNRQSGAEGHPISQDKNLDYIPGLAF YAYATQILGSLQGANRLPHVQAALLAGLYAGQLAHPFQSHGWIYQAARACQVLVRSKR YTQMPDGPDKDLYDFAYWTCLQLESDILAELDLPASGISRSEARISLPKGRFTLNLPN EISAPSTMMMFFYSAQIHLRKVLNRVHTDLYKVEKQGQTHWSSHVQEILSMNLELWRN SLPAVMKWKDTDPPSEEINVARMRAKYYGARYIIHRPLLYHALHYYGQLDLRASSDGP STEATAMTSSKSQQISPSLNHSQGATNMARLSSDIGPASGLTGIAHRDLPTKLRRACK VCIDSAMLSTVAFDGIKGRPVVTNIFGTAHAQFGNMLVLSATYMSSLSELVDRNELER LLKRTINFLLQSRYISPSLRADARILTEIYEKIFGNPSEWRDTTD AOR_1_1520054 MFGWCSSLGFPSVSSEPDRERRAPSGPTPLDFPAYKLPDATDDD PEASLRQVHDILASIRRPQDITLDKFKALNLKVEAGLSASCIVRKDGPDFFPPLPWED TSPNSSPLTEDGSPILMENGNPYPPKERFNMLKNELLLENDDAFREVARLTPREGRQR VRVTHARKFWTGLERMAQYWDTSLDNYFERPATPKQAAEDESGDKMQTDNVSCESQQK SETHMDIDNPPKPAAPENNVTTQEDGEPESIAMYTGRRIGAGHEMPEDIREETIRAFT EMAAWPFGCQVALPMLPPRLSLRTLLFPVRQTFAAARSPKDRQLARSGVMEGPVFAAQ CRSETCFRAPGDVPGTGFGEICDLFREVGGMLLAAQERARQGMQEVRPGEGKWWTTTP RWGGAPNDAVGDSGNFTNGEEKSVSDNGSSRKRSKYEHPFLASRRPGSSRKLSNSEKW KIVQPGPGLWDKRMRYIQIGKDLDSPFDDIYMLSSINHHISILHLRVHRRYIDIITSG ESCFPSDSDATGQPWHALQLRRTKWYDLFDAEERLEVFKGVWTIFHHLLRAP AOR_1_1522054 MDDFPWDQVKSGDLESLLVVLFSSSTSRRIRALQELRERIGSDL PQQAREPLLGLLFKTYPRYVDRPSRQAVQQCLRSLLKTPVPTDDLKYLTQKLQAEASK SALSSTSALVLLEWCSVILQALSNDSETPLSVVLDIIAANAKVLETCLASNPRPPVKQ SALRVTRRALRTVFSSVTWGDDAIRQSTRRLTSDSTSGHKNAPFLGVISGVCARLPAK KPVLEGEKKSITNFYVKELVGSKTAPPAHIVDALSDFFVSFVSYEDVMSDIIPPLEKA ILRSPEVVLSGLVPSLCSSLPDQMDLSEILHSKLLKHLLSSMKSNNAAIRQGAVQSFE SLLSRSKTEDLLLKVTTEVVGPLKTQKITNPEQRAVYAQALSATLPSTDVSKEVVQGF VPVFARESNEAALEQEIKAFCKHLAFLVQHKVKVNDDVINAIVKGSAEKRIPFRKLWQ LSVGELLWNADTATLVSAEVEPFVTKFISKMKDLFNEVASNPLPSAQNGSLSTAYVFL ALAERLSSVQGFDKATWEELVAQMMALSPKPSLLLNPKAYSKLTTQGEVEWVTRTLAA VSSGSKFESAEDAAKIAWGQAFIYTITIPGLHSNFRERSASILSEVHLKQVGFFGRII IDSLWSWILSLRTSEKESAPVSAGSESLKFLHLVVKAICSPVSSLPEPEKASSELKNQ LIELIVIGRPELIPNVSWITLCLRTGIDPGNLVREFADESMNQLTRVHDDPVQSRVPQ VDDAIWSAAGELAFVAPDAMVSRLVAQIKDDLDVSRVSKFTPTDVAIARTPEGTMFVD VLSTKSKPAFDKNTKDYDILKWEEELRVQLAEKKGQKQKKLTADEQSKVKAQLAKESK IREEVLRAVKRIERGAGIMQGLASGPAVEADGWINTAVGSLLSLVKAGAGLLAGEVVS KAYTKCAEKVSSRLGPLRTSVGVATLRALGRSQLPPEMEAEPLGQLVTRILYRLRFAS EQRPFDVTSLAYILPLVLLVLSGNGIDEQKGEEEGEQVLLALEFMSFHSGSFTDERLP RAEVLDHLITSMQKYTQHYKLIKDTLFDLCRCISPTLNNAELDILLQGAIVSDASVRT AILQAIDAEIDLTDLDFSEHIWLECHDHVEENAEIAETIWDDNALEVDDSSYGKIIPY LASKDSQLRNAAARALAHALESNPAKFDEVFSELQAKYESEAKPKVPEKDIYGMPKKM DMADHWEFRSGIALAFTAMTNGFEGEQIVSFLRFLIERGPLIDRSPVVRAQMADSGRS VIAERGQHKVEELMNILETTLETSDKGSETSDLLNEAVVVLYGSLARHLKADDPRLQT VIKRLLATLPTPSESVQSAVSGCLPPLIRLSGSQSAGYVQEMLDQLLQSKKYATQRGA AYGLAGIVSGRGVLSLREFQIMAHLRAATENKKEPHQRQGALLAYELFATILGRTFEP YVIQIVPQLLASFGDPNADVRDACLDAAKACFSNLSSYGVKKILPTLLDGLNDTQWRS QKGACDLLGAMAYLDPQQLAASLPDIIPPLTVVLNDTHKEVRNAANRSLQRFGEVISN PEIKSLVNVLLKALSDPTKHTDEALDSLIKVSFVHYLDAPSLALVVRILERGLGDRSN TKRKSAQIIGSLAHLTERKDLTSHLPIIVSGLQLAIVDPVPTTRATASKALGSLIEKL GEDALPDLIPNLMSTLKSDTGAGDRLGSAQALSEVLAGLGTTRLEETLPTILQNVSSS KPAVREGFMTLFIFLPACFGNSFATYLGKIIPPILSGLADDVESIRETSLKAGRLLVK NFSSKAIDLLLPELERGLADDSYRIRLSSVELVGDLLFSITGITAKADGEEEEEEAHQ AGQSLLEILGEERRNRVLSALFICRCDTSGLVKSAAMGVWKALVASPKTLKDMVPTLS QLIIRRLGSSNMEQKVIASNALGDLIKKAGETVLSSLLPSLEEGLQTSPDVDVKQGIC IALRELITSATAEALEDYEKVLISTVRVALVDNDEDVREAAAEAFDALQQILGKKAVD QVLPHLLLLLRNEEDAEQALSALLTLLTEQTRANIILPNLIPTLLTSPISSFNAKALA SLAEVASSAMTRRLPTILNALMDNIISTTDDAIRDELCNAFDTVLVSVDEFDGLNVVM NVMLSLMKHDDHLRRAQAAVHLNKFFSDATIDYSRYHQDLIRVLLISFDDNDKAVVKA AWTALSSLTSHMRKEEMEVLAIPTRQVLRGVGVPGANLPGFSLPKGITAILPIFLQAL LNGSVEQRTQAALAIGDIIDRTAADSLKLFVTQITGPLIRVVSERSVDIKCAIFYTLN KLLGKIPLAVKPFLPQLQRTFARGLADTTSETLRDRAAKGLGILITLTPRVDPLIAEL ITGTKTADVGVRNAMMKALQEVVGKAGANMSEASKNSILALIDDDASDQTDSVAITNA KLLGALVKVLPPATATPLIKNRVLTTHPTHASILGLNALLLDSPSSLTENFAAETISV ICQGVTNKDTFIADNSVLAAGKYLLIDDEHRSFENNKAIFEALAPCIQPGAPSDTRRL ALVVMRTVSRLHPELTRPHLALLAPPIFASVRDMVIPVKLAAEAAFLAIFSVVESESA VFDKYMAGPGAELAQGPKRSMSDYFKRIALRLASQSRERKEAEGGQGGLGLSNDEVED EKELWSIGKVDLGEGSAVEQVNLRTQKRLAASVVGCGKRKIWLDPNEMNEISNANSRQ TIRKLVSDGLIIRKPVTMHSRVRARELNAARRIGRNRGLGKRKGTKEARMPSQVLWMR RMRVLRRLLVRYRAAGKIDKHLYHELYHLSKGNTFKHKRALVEHIQKAKAERHRERVL KEEMDAKRAKNKALRERRQERLEAKRNALVGEAQE AOR_1_1522054 MVNLRTQKRLAASVVGCGKRKIWLDPNEMNEISNANSRQTIRKL VSDGLIIRKPVTMHSRVRARELNAARRIGRNRGLGKRKGTKEARMPSQVLWMRRMRVL RRLLVRYRAAGKIDKHLYHELYHLSKGNTFKHKRALVEHIQKAKAERHRERVLKEEMD AKRAKNKALRERRQERLEAKRNALVGEAQE AOR_1_1524054 MPPNNKKKKKPAANPARGFATVSVPSKPKSTNSTAPASTAESKS VSESERPTPAESSRPAAETQDAPSLQDYSPEELERHLEDAELQILVEKYASKCKNDAV RQVSKLETERRILRQQAFSLSLLEWFPAEVLDSILKFAETEEHELSPSSVRDSNTVKK PGSEEDLYMKLWALRETLLKLGFPEEKVEDSLKHLLHYFSGNFATANRDMVCNLDEAL DWLAMHCNPKELPSYTQTTAQLRKDEKDLSWITDREPAQSSTLSLTQNDSKAKQTKSP SNEPLPPVSSPYDSDSSLDPDTLLPKYMELQTQLYNLQPEIFDKPKKGKKPARENIAL DTNEPRVAKIRRKIANIENDVLFDRTEAEYRWKEKLDDLRKEAAFFRQNPPTKKTSLD EPGAKDQPEEKVAEPSSDVQPVDDEEIADLLGDMFQAEEPALETGVILEELSKAAITI RDFGKWTGLSPRRVLEDTCKARDTSCAIIYKDFSSASHSNRKAVEVRWSKPQDVPFLF EWDKVTHKSSAYATFVSMDTIATPTAQQAEAFVSTLALFILFPQNSKEGKAYMRLPAV WRELWAELASAKKLQEDEIDKKTIKHLKKLIRENQGNFEDDVVLSDNFRRRNGTSSKP ESPARPSNAREATGPESQLQRLWMEKSSTPSFNNMVQGRMNLPIWAFKNDILNTLDTH RALIVCSETGSGKSTQIPSFILEHEMTQGRPCKIYVTEPRRISAISLARRVSEELGES KNDVGTARSLIGFAVRLESKVSQSTRLVFATTGVVVRMLERPDDFQDITHIVLDEVHE RSIDSDFLLIVLRRLMQRRPDLKLILMSATLEAQKFSNYLGGVPVLNIPGRTFPVEMK FLEDAVEMTNYRLSENDSNANLDDDTDEMAPENVEGDTAGGMLASLESYSKQTRDTVL NFDEYRLDYQLIKKLLIKIATAPEMANYSKAILIFMPGMAEIRRLNDEILSEPIFQQG WIVHALHSSIASEDQEKAFIVPPEGMRKIVIATNIAETGITIPDITAVIDTGKEKTMR FDEKRQLSRLVEAFISRANAKQRRGRAGRVQNGICFHMFTKHRHEKLLAEQQTPEMLR LSLQDLVLRVKICKLGEVEPTLLEALDAPSSKNIRRAIDSLKEVKALTNSENLTPLGM QLAKLPLDVFLGKLIIHGAFFKCLDASISIAAILSSKSPFVNTMGSNTQKDLARLSFK KGDSDLLTVYNAYCAWKRTRNTPGANEYAFCRKNFLSSQTLLNIEDIKMQLIVSIADA GLLLLDPTQKTALNRARYGGRQRQFFTIPEEYDINSSNDVIVNAVIAWSFYPKLLTRE GKGWRNVANNQAVTLHPTSVNKQTDASIKWLSYYHIMQGRNRNYNAFETNAVDDFAIA LLCGEAEFKMYAGVVSIDANRIRFAVRDWKSMLALKILSARIRDILSGTFRDPQKKLS YKQQQWVHIWQQIFTQVGK AOR_1_1882054 MTSETAPSPNYDKELRIASLAVHRASIFTKIVQRDLEIVTIRKP DGSPVTIVDFAAQAILVSVLRHHFPNDVFVGEESASMLRDDPVLAQRVRKLVSTMTWV DDDADGQALAVMPQSIEEVLGAIDIGGDGDGAGSQRTWFLHPIDGTATFIRGQQYAVS VALVEDGEQKVGVVGCPNLAFKSTSVHEVVDGDGYGMMLFAVRGQGAYKRQMTLSSLG PSQKTSLSPWQRMGERITFTESSISGVIHREKHKFIRDILFANPVVDLYSMQVKYAAL AIGACNAMIRIPKDKDHQFPAWDHAGVVLIFEESGGKVTDLYGQPFNYALGRRLADNQ GLVAAKPMLHTDLLRYSCYVHERNQHDHGRSSGWTVHSHSMIVSVYDALAATEVIY AOR_1_1884054 MNHTQPYMDVHSSHLSSAQPYASQAATAGGIAHYPQYHQQPPVL QPASTTYGPASSYQYAYPGGVTSSQPGPQPPTTSVSSQVPAQLLPLPVTSHTVAPAGY GNNTGTPMQGYVYDATGQVAPPGAKPRVTATLWEDEGSLCYQVEARGVCVARREDNHM INGTKLLNVAGMTRGRRDGILKSEKVRHVVKIGPMHLKGVWIPFERALEFANKEKITD LLYPLFVHNIGGLLYHPTNQTRTNMVVQESQQRRLEGPQATRASQGPQPPALHHHHSL QTPVPSHMSQPHAMTSQSAARPGLDRAHTFPTPPASASSLMGITNQGSSYEWGNQGMN SGVPNTQPLSIDTTLSNARSMPTTPATTPPGSNMQGMQAYQSQSGYDNSKSYYSAAPP SHPQYAPQQPLTQPMAPYGQTMPANTYIKNDMAPPTARTSGGPSDVEQADVKADRYAQ TNGHVSNGAGEPVPEHEPEYVQHDSAGYNTNRGSYTYTTNPSVGSLAGDHSQLASDMS GSPSQQNGSGRMTPRTSGAPPQWASGYNTPPRSAAVSSLYNSVSETRGASANGTTDNY SVASNPAPGYSTGMNGPLGSGKRMREDDDVDQIVRPDSRGAEYESKRRKTLTEATVGG PVGGVPLGLQPMKAGGVMARRR AOR_1_1528054 MDSDSLQISENKAEIFHDEDLVSIALDDSIEETHPGKAVWLIVC AVSMGGFLFGYDTGVISSVLVNLGSDLGKPLSSNEQELITSITSGGALIGSVAAGMTA DKYGRKLAIYVGCIIFFIGSIIQAAAYSLPQMTVGRLVVGFGVGEAAMIVPLYIGEMA PARFRGRLIVFDNICVTFGQLVSYALGAAFTDVASGWRYMVGLGAVPALLLVAMMPFC PETPRQLVLHGRLEEARRVISKIFPRATDRQVDAKARLIRYSIEEATASISNKSLAWQ MRQLFTVGQNVRALITACAVMAVSQLGGFNSLMYYASTLFSMVGFDKPTVVSIVVGAT NFIFGFPNFIFIDRFGRRRMLLVTILGMCLSLVVASVAFHWIPVNHDLTAVETREMGW PNILLLVSLIVYIAFYSAGVAPISWVGTEFLPLEVRALGTMMNSVTCWGCNIIISSTF LSMMKGMTPSGTFGFYAGICLLGFIFAIFCYAEVHNMPLESVREIYNHGFGVKYAREV QKELHEARDAEGSTA AOR_1_1530054 MWSALSIAPYALVLGLFSLLYFVVFPWVEYIRDPKGLRKYPNMN PFSGMSAVPFMLLASRGFRSKELQELHRTKPVIRTGPNMLSYGDVRAIKDIYGHNTKC IKDPSYIVTAGTHYHLADVVDKPDHARKRKVLSSAYALKNLETWEHKVSDKVEKVVAH FDKVCTAPPSAAVAAGKMAPDPKDLTVDFRAWTNFFTLDAIADIGLSEKLGFLDSGSD VCIAERKDGSTYEVNLREALYPTARKQSLILWNYEWYPVLNKMVNIIPFFNRMQNSSD NWDNIVWRRSMNRLRRYEAGEKLEDFFQAMMEDKNGRANNLEWGEIVAEMNIMMNAGS VTTAIAIANVMYQLLRNPQSLKKLQEEIDAVLDADEIVAPYDKVKHLPYLRACLDESL RIFPPTSHGLPRETPPEGMEILGEWVPGNTSVSMSAYVAHRDESVFPKADQYIPERWL GEEGKALQPYLIAFSAGARSCIGRNISYLEQTKILATLVHRYDFALPYPGWELKRLET MNLILGDMPVKVWRRNVQEA AOR_1_1532054 MTPPWPLPLNIPPDALQELSKTLTPTGIADYSALTIFSVAAATY LSRGYLWDQPDPYQHLVYERPQLKNGGAAGSATKETRNIAKKLEESRKDMVVFWGSQS GTAEGFANRLAREISLRFGLSAMTADLSDYDPETIAEVPQSKLVGFLLSTYGEGDPSD NTAELWDWVNKTKDQGQLLSSVRYFAFGLGNRNYKYYNRVVDVVVEALDKLGAKAVMP VGKADDAEGATQEDFMTWKESLFAVLKGLGFQEHEVQYMPTLSVQEDESLEPIDLHNG EPDGGSLKAQCSPIRPLAISASRELFNSSDRHCLHIDLDLTSQPEFTYKTGDHLAIWP GNPDSEVERLLQALGLSSRRDVPITIKSLDSATKVKIPSPTTVATVFRYYLEICGPVN RDNVLGLAQFAPTPDAKAYLQQLGQDKASYAAFINKNHVNLGRLLQQASTETWNIPLS YLVETLPLMQPRYYSISSSSVISPRKASITVVVSTTPVPENGDELIHGVTSNYLLAVS ENLRSAPHPEGLTYHLNGPSDSLQGGKVLSHLRKSKFKLPTLAKCPLIMVAAGTGLAP FRAFIAERRQLQQIGREIGEMLLIFGCRRHDEDFIYRDELEEMTSVLGEKLRIVTAYS REDKKQYVQDKISEVGDDVYRLIDEGANFYICGKAEMAREVEKAVAGVMSQKGQDEAN EWRTRMKRRNKWQEDVW AOR_1_1534054 MSNTNQPPPKKTTPTPQNHLTFDSWNSCATGHQRADSSTGTAWR RTREEKLARQFNSASGDCTDSLGGVTSLLKEEKGEWVWAHTKNRGESRDPGQRDIRSM MRVGKRSRDVSDAGKDQDSSERKLKVSKVAGLGVDASMGSLDELLLPPPPPSSLSAQV KDVPQVFKGVTVYINSTYHPGVSDHYLKRLLTMHGAAVSLSLSRKVSHVIVAKPNTGP GMGSGGGLAASKLQKEISRGGWKGIKIVFVEWALESIEAGKRLSEARFAMEIMPKGQR SVLSFTGI AOR_1_1536054 MDFSTLEARSDSGSGRPASYKAIGISLAVASGLFIGVSFVLKKT GLLRANVKYNEEAGEGYGYLKNFYWWAGMTLMIIGELCNFVAYAFVDAILVTPLGALS VVVTTILSAIFLKERLSFVGKVGCFTCILGSVIIAMNAPEQSSVSNIQEMQKYVIAPG FLSYAGVIIVGSIVTAVWAGPRYGKKSMFVYISICSSIGGLSVVATQGLGAAILAQIN GESQFKHWFLYVLFAFVVATLLTEIIYLNKALNIFNAALVTPTYYVFFTSATIITSAI LFQGFKGTGMQIATVILGFLQICAGVVLLQLSKSAKDVPDSAVFKGDLDQIREVATQE EPETEPKADSIRGTAAIIRRISTPRRTMEAEEARRYLRERQEDKLHPPAENEIIEWDG LRRRKTVLGEGPTMTRSGTRTPAPQYQAE AOR_1_1538054 MDTTILRRKDTTKGPPLRILSLDGGGVRGYSMLIILQELMYRVY VECEGKAPRRDEIPKPCDHFDLIVGTGTGGLIALMLGRLRLDLETCKEVYVRMTRRVF ETDKTFAGIPFRSTLFKASRLEDAIRECVREHTVFEAEGNDMSPGARTSFANTPFSPN SIPQRSGSRASFSTAGSHSSNPSQRNSTFINGLRWGNPDALLYDNREYRTKTAVTALY KGTTRNGSAVLLRSYDSRKEPPPEFNCTIWQAGRATSATGLAFKPIQIGQHVFIDEGA GTYNPAPQVLDEATVNEWPGREVGVFISVGTGKRPPGTNNRQHEWWEDFFGDALGTFA EARRRLIAKIEGCEDIHKDMLREHLAKRNVVKDNYYRLNVEVGVGEFGMNEWNRLADI STNTRRYLTRPEVKKQILDAGVKFSRIERMHRRAAAHAAAGNDVTNFQDDSSITQSPR LSVVPPPIPDAVELPAELPGDFTLLSPAGPPPPPMNDDVLPVHPIPQDTVLPTPARGS VSDLSDISRPSSQQHGSPRRSTDHVHDGMPPPVPPKTPIPYPSELGGIPMPNPLVTTT GPLGHGSNGKIRPPYPVDEPPPVVNKQRKPSYHVR AOR_1_1540054 MSEFPPSNLRPIVREVFELLKAKKETISVAETAAGGLISASLLS VSGASAVYKGGLTVYTLESRIAFAGWTPAHLEDYNGPTPAIVAQMADHVRHTLGSTYT VSESGTAGPTGGTTSNRTPGYVAVAVSTAHGTYTREVETGSDDRQKNMVTFAEESLKL LRDVLVGKANL AOR_1_1542054 MEISQLYIYPIKSLREVAVPEAVLTSTGFEYDRRFMLLKVNQGD GGVETLQNMHIPHFPEMSLFLTDIVFPTEGKKNGKIIVTYRPPGVKDNGNPQITTLEV PLEPDVQGLEELTVTMHQSSTRGYHMGSKYNDWFSQCFGYKVVLVYLGPHWRRVLGSF PPGKSQAHREQATPLVSKRSVTVLALLSLLLNIGVPLGQREISSFTLLVLVTITVAVL VTIGANRYGSGCGERKEERITFADTAPYLMISETSVDNVSARLAGDKAMDLRKTRPNI VISGAKTAFEEDFWAELIVGEKIRLLLTANCIRCQSLNVDYMTGKMGTGDSGNILKKL MKDRRVDKGARFSPVFGRYLFLDRDCENASIRVGEEVTVSRRVEERTTYDWPGLTN AOR_1_1544054 MLSRCGRQALRLIPRTGSSSRAIAITTQLRPAAPLCVSSSISQS RSVSSSSRDGQQHLLSAHLEEEDPTIYNILQKEKKRQKHFINLIPSENFTSQAVLDAL GSVMQNKYSEGYPGARYYGGNEHIDESERLCQQRALETFRLNPEEWGVNVQPLSGSPA NLYAISALLNTHDRLMGLDLPHGGHLSHGYQTPTKKISFISKYFETLPYRLDESTGLI DYDALEKQALLYRPKLIIAGTSAYSRLIDYPRMRQIADAAGAYLLSDMAHISGLVAAD VLPSPFTHSDVVTTTTHKSLRGPRGAMIFYRKGVRRTDKKGNPEMYDLENPINASVFP GHQGGPHNHTITALAVALKQAQSTEFKTYQETVLANAKALADRLGSPLSNGGLGYNIV SGGTDNHLVLVDLKNRGVDGARVERVLELCGVASNKNTVPGDRSALKPGGLRLGTPAM TTRGFQPEDFRRVADIVDRAVIITQKLDKAAKESAAAKGVKNPNTVKAFLEYVGEGEE ISEIVLLRQEVEDWVGTFSLPWKDE AOR_1_1546054 MRFSVAAVFAAVAAGVVAEEVRTVIVTETATYCPKSTDAIGVSP TESISIPAGYTTTRPLITSTVTECNKCSSTAPPAGTPTGVNPVGSSTPSSPVIPVVPS VPGVPSSSKATPSSSSIIKRPSSSSIVISSTPLSSTPLAHPTKPASTNAPPAPSASGP SDVSPTGSATTPAVPLFTSGGSRAAVGAGAGLATVFGLAAVLL AOR_1_1548054 MSRHPQQLVHGSSLRDPESFWSHHAEQLYWHRKPSHVISRHTKS LPSGTSHDHWSWFPDGEISTTYNCVDRHVENGNGDNVAIIWDSPVTGTKEKYTYRQLL EEVEVLAGVLREEGVRKGDVVIIYMPMIPAALIAALAISRLGAIHAAVFGGFAAKSLA QRIEAARPRAIMTASCGIEGSKGPVAYRPLVEGAIEASSFKPEKVIVWQRDQLRWNRP DKLGGQRNWQRLVKSARMRGIKAGPVPVASTDGLYIIYTSGTTGLPKGVVREAGGHAV GLHLSIRYLFGIQGPGDVMFCASDIGWVVGHSYILYAPLLVGATTVLFEGKPVGTPDA GTFWRVIEEHRANVLFTAPTAMRAIRKDDPDNKFFEEVARRGGLKHFRALFLAGERSE PSIVQVYQDLLSRHAAPGAIVVDNWWSSESGSPISGLALRSTAGMTLGDDKEVMPLAI RPGSAGLPMPGFDVRIVDDEGREVPRGTMGNIVLNMPLAPTAFTRLFNDDERFYKGYL KRFSGRWVDTGDAGMIDQDGYIHVMARTDDIINVAAHRFSTGAIEQAILSHPEVGEAS VVGIPDTLKGHLPFAFIQPRTASAALPATPTPELFNAINQRVREQIGAIASLGGMIQG RGMIPKTRSGKTLRRVLRELLEHGVRGDYGAPVSIPPTVEDADVVEIARSKVREYFEE KQRSRAKL AOR_1_1550054 MSGKMTLYKLVVLGDGGVGKTALTIQLCLNHFVETYDPTIEDSY RKQVVIDQQSCMLEVLDTAGQEEYTALRDQWIRDGEGFVLVYSITSRASFSRIQKFYN QIKMVKESASSGSPTGASYLASPINNPSGPPLPVPVMLVGNKSDKAVERAVSAQEGQA LAKDLGCEFVEASAKNCINVEKAFYDVVRMLRQQRQQQQGGRSQDRRPTGLGPMRDRD AGPEYPKSFRTDRGGRHRGSIKCTIL AOR_1_1552054 MTEQEHEVYRHADADGHFRRKASVFRSSVSSDPAAEFPAEKDRY VLYLGYGCPWAHRTNIVRSLKGLEEIIQLVVLDPELGPDGWFFSGRWGSAEKDPLYGF TQLRQLYFKANPAYEGRYTIPVLWDKKKGTIVNNESSEIIRMFYTEFDHLLPDELREI NRPGGGFYPQPLRKDIDEMNDWVYHQINNGVYKTGFATTQEAYKENIYPLFEALDRIE NHLAQPGHQPYLFGENITEADIRLYTTIARFDVAYYLIFKCNLKMIRHDYPRIHDWYR RLYFDESKRTRGGAFKKTTYFDIYKFGYLKAIGKRTGSSQLIIPAGPSPDILPLEDQ AOR_1_1554054 MPIDILPKVLFFDVFGTVVEWCPSVTRELKDAAERALHDPRKPI PPDERARVSQMTFTDWLSIAEDWRQSYGQFTAQFDPSQGFVSVDQHHFTALSKLLQER KIGNLFTDSERWDLSLCWHRLAPWPDSVRGLELLSRRFRTCTLSNGNVSLLEDLRRFG SLPFTDIASAEDFGAYKPSPQVYRGAAARFDVDPSHCALVAAHLSDLKAAKAQGFQTI YVARSKEETEDIAQAKQEGYVDLWIELNTGGFVEVARQLGIQVAIKL AOR_1_1556054 MATETVSQISPAEVFPTPSTTPRRGSSMHSRGNSSPGPSSSPPS PSNQSFFLDGRRDFQDVTYEDTLSPLDPRRFTPTLHASLVSEILSLRRDVESKTKAID VLERTLDESRIEAEDLTERLSQSTKETRSLKHQLQLVEGGTSSALTELARERDEALEN ISDVRKKLDQAQKKARSREDEVDKTLKLWDRDKELWDGERRSLERKIHVVEGRLKVVL AEVAAVQAAGNFHQIQSHDGAALAKDEAMGKDSDTASAHSSSQGRRRTSVTSVSTDGS DLHDLRYSVASVVNIPGAKHDGINLAQELAFDEEDDFELPDDDFTPYSPEALPEERPT SVHSQLSHTMGMGVKARKILGLSLHSVDSSGFKSEPSSPWKPPPTATTTASYCYQDAG IQYSPPLSPKLQLETGTTRDSSTWMAPVNMVSTSSQTVGDLPTPPWTPELGEPSPSKT TGQAAMVSTSIQTERLTAPEMHEKRANLPQNCRSSSDIGVPMIAIHPPCSEPSSPRGS VVLPPQTKSASCQTDSKLIVDIRTVGIQTEEIRIDQRPIKLPASLLPSAIPDLPLRTN LQDPPIQPYHAPSPRTRKEVPPPPPIPAKAPARSKPHEHVQAYPGNNDNGPLSVESKT DLRRPLRSSSLFAGFDQPSDEEASPRVRDTFTDDELLNRPFASYTLRRGKLVSTQGYP SLDETTEIDEHILDAEAHLYDATADLEMKNPSRASRMSPRTGAAPLWTRQQDIRRAAM ISNGAAAHQRIRSPSEPSLDGSASGGSSIAPPFPVPIRLSSRKFPVKGNDDQQSPTPS NPRKFSDQGRPSITRQPTLRRVRSAAAMSQTEPDRPVTRSSPTMSTSSCAADSPQYPS LPFNDITTPRRIRRASQGRSNNSGHPTRAFSHQRDDSTATSVQPTSVVDAIAQTMVGE WMFKYVRRRRSFGGVGEAKDNWEGRNAEEVSANIANSGSRHKRWVWLAPYERAIMWSS RQPTSGPALLGKSGRKLTIQSVLDVKDDNPLPKGFDTQNQFNRSILILTPERALKFTA TTIERHYVWLTALSFLSHSAMGLHDLAALPPIPREEFASSAPTATLRRNPIRDSIRIA KGRPRPRPRGKRAFKHPEPVPELPAEVDMADAADPPTIPRFSNHSRKRSNTTPRIPMI RSFSNQGTVPLMPPTQGALEAYAPPPTNSGRTSEASVRTGNYFDAIGTVRMEAFIDQT ESNQNHATYPRHVRKPSSPWSSESQRIYDLDHPRYDDLGSFRHDDPFGGF AOR_1_1558054 MSQTFTPADVASHNNADKGLYIIIDNNVYDVTKFVDEHPGGAKI LKRVAGKDASKQFWKYHNEGVLKKYTPKLKIGEVKEAAKL AOR_1_1560054 MDNRTFVSDSLLRLTNASDPTVVDFVLATASSAKSADSLQEKLV PFLDGSTEDINAFCWELYKRVGAGAKSGPSTGAQQERSDTASKKKYRLIQMEDDNPDS ASSLGPTNIETERERRKRKVKDRNRTKEEPEGHNRWEKEENRKRARSLEESRDRHRSK KLRRRDKGDFDDRWGDEEIPDDEVYEEDEQIDNFEESPSKRTRLEDGSASPRSTDSAD LDPDTKKEIERRRDIEERDEFAKRLAKKDDSKNKKIVEDRTRNSEVARRRALADDASA RAAAMPELRMRSRQEYLKKRETERLALLRRQVAEEAAELRDNPNLTRREKEEFARNRE VLRIAEERLRIDDYRDGYMMPDDYITEKGKIDRRKKEDALYKRYVDRDEYGQERFITE HEEWEMEQTAKAKAQINRAEFVDEGDYEYVFDDSQKINFVMDAKMEGTRKAMSQEQRI FQEKLDAAEKKAASIEDTRKSLPIYQFREEIIQAVHDHQVLIIVGETGSGKTTQIPQY LHEAGFTKNGMKVGCTQPRRVAAMSVASRVAEEMGVKLGNEVGYAIRFEDNTSDKTVL KYMTDGMLLRELLTEPDLGQYSALMIDEAHERTVPTDIACGLLKDIAKARPDLKLLIS SATMDAQKFQQYFDDAPIFNIPGRRYPVDIHYTSQPEANYLAAAITTVFQIHVTQGPG DILVFLTGQEEIEAAEQSLQETARKLGSKIPEMIICPIYANLPSELQTKIFEPTPPKA RKVVLATNIAETSLTIDGIVYVIDPGFVKENVFNPRTGMESLVVTPCSRASANQRAGR AGRVGPGKCFRLYTKWAYYNELEESTTPEIQRTNLSSVILMLKSLGIDQLLDFDFMDP PPAETIIRALEQLYALGALNDRGELTKVGRQMAEFPTDPMLAKAILAADKYGCVEEVL SIVSMLGEASALFFRPKDKKIHADSARNRFTIKDGGDHLTLLNIWNQWVDSDFSYVWA KENFLQQRSLTRARDVRDQLAKLCDRVEVTVSTCGSNNLQPIQKAITAGFFPNAARLQ RGGDSYRTVKNGQTVYLHPSSTLFEVNPRWVIYFELVLTSKEYMRSNMPLQAEWLMDV APHYYKKKDLETLGIERKMKGQGAAGEKSRD AOR_1_1562054 MVATFSPHRDAGGTLHLPSHTGIHHVDASSAIRQLRRSLSRSPS KSSNFSLLATRNHSPSKTSPYVSSPLSPSRRSSQSNFVLFPSSSHQSPFALPYPSSGK ITRPTMRRVRTSPRSPVKRALNLSVDQGNAKPVQPILTTPGVENSPITPDINVPSDDN ASGSGCSPNSSSGAEAPAPRPTISRIEKRRSGTFGSYATVSPLKRSDGIMNLDRASRG SPSAKRRSVHAANLSSEFNIFDSEAGPSTVEESTCEVPPEGETPSVPTGITPFSPFAT IPKRSSSLRRSTLQQRQSDRSLFFKARAVAEPSEAPNTPASPCPQLSLDGGLFQSDRD NLFSPRPVPGSPLFSSAGNNAGQTRSAVHPLSRTITQSSSSSSLVDDSPTHEPVHKAD HPRGVFNFSKSLPAGATRPALVRQLTREDSTSSIDSFATPENYKLVKPLPAAFMSTGL ISKKNRNAEDPQNMLGFNKNMPDTPCKRPINLFPSGQKAPLERSLGFSTSSRQSDAVP PSPSNPPSTRPKPGPFARGMGIFGNTFNKQGPSRRGSFVSVDGDEVQLQSPSRPRDSQ PLSEYDLPPTPTKQTFFPSRTYPPATSQIASLERFSEARGTNSSPLHERFLRGSPRTP QDNLFPPDPSGLSISNEQQAIRPDFNSVNLPATPTGPRDSLLQSGKRLSLPLNGYAPD VDPSLTSRFERVELVGTGEFSQVYRVSEPHNMSLSSIFSRSPKSPNILPEKVWAVKKA KQPYSGLKDRERRMREVDVLKALTNSDHVISFMNSWEDNGHLYIQTEFCEEGSLDVFL AQVGLKARLDDFRIWKILLELSMGLKHIHDMGFIHLDLKPANILITFEGVLKIADFGM ATSWPAEEGIEGEGDREYIGPEILMGRYDKPADIFSLGLIMFEIAGNVELPDNGLSWQ KLRNGDMSDVPSLTWSAETSIFRDASGNPISEEPSFEELCTSDFGDDTFGEDSFLGSR RPGERKAVQLARTGELHDPPSFMVDAGHEQALDKIVRWMISPEPFDRPTADQVLEVYG VQFVARRRRAGATIYEGNWGPADEMLAEDAEMIDV AOR_1_1564054 MEPVERKLEIGSRSYSKMPLTQQRSSGEPPRLKATPKDELHDLL CVGFGPASLAIAIALHDALDPCLNKTPNSNWQPKVCFLERQKQFAWHSGMLVPGSKMQ ISFIKDLATMRDPRSSFTFLNYLHQKDRLIHFTNLSTFLPARMEFEDYMRWCAQRFAH VVSYGEEVIEVIPGKTNPSSTLVDFFTVKSRNVETGEISARMARKVVVALGGTAKLPK ELPQDPRIMHSSKYCTTLPAMLKDSREAYNIAVLGSGQSAAEIFHDLQKRYPNSKTTL IMRDTAMRPSDDSPFVNEVFNPERVDKFFSLSSAERQRSLTADKATNYSVVRLELIEQ IFNDMYLQRVQNPDETQWQHRILPGRKITRVEHYGPHRRMRLHVRAVKDEKDSLVGNG KETLEVDALMVATGYNRNAHEQLLKNVQHLRPAGQENWTPNREYRVELDPSKVNAQAG IWLQGCNEQTHGLSDSLLSILASRSGEMVNSIFGGEFAGTTVPDTTHIRAML AOR_1_1566054 MSSGNSSTGTHTNGNFATLGSSPPSAVGGKGRAIPPKVTHEDAS VELKTMNPERGAARGSIPLGEDIMQIARIGEVPAMQRLFDEKKFSANHKDEEGITPLH WAAINNQYAMCKFLLDSGADVNAKGGESVATPAMWAAQRCHYYIVHLLLQRGADPLLT DVQGYNILHLATIDGNAFLLVLLLHQEIPVDVVDQQGHTGLMWAAYKGYPALVDLFLR WGAHANAVDEGGLTPLHWALVKGSLPCVLKLIEYGADKFAKTRDGKTPAVVAGEMNTT RVWYRALDEYGYDLDGNAKVSSSGLASWVRNKSLMSKFFFLWPFAIVFAAVWILSNMV VYAAIPMMLVTVFGLQWVAQKAASQGPSEYRILQKTPYLSGVFAGSLFWVGFRYVFYV LPVTYSTSPILNGLFAIFFSLTTYFYIYSMVEDPGHCPWIDNCVGANNLRHFVLYITC LEVGIVLFVQLTFNYINSLPAPAQPQCNIINETLCDFVLRDTFTLVLDLWVCIQLVWI TMLVAVQMIQISRNQTTYENMRGHSVDRSYPSSRAFASAVAAGTTSLNAAGLTSSGQG PNPALAQGAPRHRKHGCLQQWSSLLGIDTFFATARDGLRDGPRAVRPKNPFSRGVVTN CRDFWCDPAPYFGKREPGAAMLGGEVINYNRMYETPSRMHSGGGYQSLSVEDPEQGV AOR_1_1568054 MMGRSSEDEAPHDLQSDVVSRWTQSDLGPAREDKEGALKSDGIE QMPPDSALGLLCVYIELLAKQTIDDPDDCRVDAFSLTKNRAQGDSVSSGEVTPVNGTE IHCCPAGYSDAGRDHVQLGILSKRFLSKRVPSITLKDYLLRLHRYCPMSTANMHRLVL AGLRVAMKALEDLSYPHSRIAKVGGVTERELSKLEISFCFLADFELRVDVSMLTNQAR ALEKNVLHHGEIAS AOR_1_1570054 MSQSNDLANTLIIALKDRDIPFNCAEIKSAFTDEKEATENETWV MEHLNHHTLLSQEELTLYTNLESTGSLQNIINNLDTNADRPFLDEDLRKAINSLNAST AVIQKQRRSTELAQEVRAVLKIESENTAADSKRILSTLAAWLKEDDRALADLERLGSG IGPTGDDASVVKRTSELSTILAHYLAEEIQYRLDRVYLENLRVGQTKASQNLDGVADE VSIALERELESLYPEIDMLAEMYTKQQYNAPISRALQKHHDQLHISSFQKFNYILDLV AGMTLSTERLTKSLQDRESFCGTLETFNTTYRTKVGNQFSEISVPRREDFRRRSIQPT LAYTPSAKRIDSVSESHALAAVLRRTGLSSESVFHPEDDNAGIDVLFDARHHMFDCLQ NYGIAADAPLVTELISSDQTTRLLSSSLNVNSHVKTLLTSDTHEAKLFDLQLKLEHVQ KGVEMLDLGVVFQPDRGQETFVERWGLA AOR_1_1572054 MGSIEELSTTRREGQGNEGLRIAQPAGSHDGSLLPTHNEKASLR RNRLFRIDTAGESGRSGIHPIHFLRVCLKSTCTLSMLVNVLWPFVPAAIVIHFARPDL HIWIFALNYIAMVPSANLLGFAGGELAKKLPKVLGVLLETTLSSVVEIVLFMVLIHND INGNLIPVIQAAILGSVLANLLLCLGLCFFFGGMGREHQSFHEAVSEVGTGLLLVAGF GLLIPSAFFSALSANSSKTTITQEALSQSTLVISRATAVILLVAFLMYLVYNLHSHHS IFDEVLELDEHKDEDREEELKRAKLTLVECFVAISVSIACVCMSAVFLVQEIEHIVHE RGVSDNFMGLILVPLVEKAAEHLTAIDEAWDNQINFALFHCLGPSIQTALLNAPLAVL VGWGLDKEMGLNFEIFMIVLVVLSILVVGNFLRDGKSNWLEGGLCVLIYVIIAVTTWY YPQIEAEGVSIHHEA AOR_1_1574054 MSCITTPAIPPVALESITQHIGNTPLVRLNRLPRSLGIEATVYA KLEYFNAGGSVKDRIALRMIEEAERSGRIKPGDTLIEPTSGNTGIGLALVGAVKGYKT IITLPEKMSAEKVAVLKALNATIIRTPNEAAYDSPESHIGVAKRLEKELPNAHILDQY GNENNPLAHELGTAEEVWTQTKGQIKAIVAGAGTGGTITGLSRGLKKHNPAIKVIAAD PHGSILALPPSLNEDHVNEPYKVEGIGYDFIPQVLDQQAVDQWYKTGDKDSFQYARRL IAEEGLLVGGSSGSAISALAQAAKDYNFGKDDVVVVILPDSIRSYLTKFADDDWLAAN GLLTSPPVETADLPSTLQPHEQKDAFAGSRVRSLRLKPITTVQSNTPCETAIEMMRDR GFDQLPVLAPSGKKLVGLVTLGNVLSRLTHGRATGKSPVSDVMFNFSKISEVVTDPRD MGLTSATLGKADNSESRIKDRKFVEITMDTPLGVLNRFFEWNSAAVVTERDEQGVMRP VAVATKVDLLTWMLHQKDEST AOR_1_1576054 MSGFFTYRQFSLYDTPSLANQVAVVTGGQAGIGKEITAQLLLHG IETVYVLARSHDKYIRAQEEWQRRIGGSLGKGDTRVQFIQCDLADIVAAKSAANELKH KTDRLDIMICNAAIGVSTNYERSPQGIEQVFASNCVGHQVLATNLLPLMKRTINQGKA SNGRIAVASSSMHVFCRELNLDLLTSPTRLKPAYIDGVWRYARAKVGNILFARELSLR LMQEEDPASSKIYVNAFFPGNIVTDQWSVWDEYIGEALGSLFRLLFSIIGQSLEDGAA NAIYLAASPKVISNSTRGQYFIPIAKPYKTTAIASDMKLARDLWDWTEAKAAEALGPE EQAKTRTVDG AOR_1_1578054 MGSHADWRSIGGIATSLLDGNPIAIAITAFIAFGLPVLLHLIFY QTVASPPSSNFLLLGPSGAGKTAFLSLLEAKSSPLAKKQTQLTHTSQTSILTTVSLPA SVPTASNRYRSVNDPSLKDTSKNPVKYRVRDTPGHGKIRGPHGISQLSSMSDSKDSKS KLRGVIFTVDTAALSDVEVLRDTASYLYDVLLILQKRALNKGKSSLKVASEIPILVAA NKQDLFTALPPGSVREKLEAEIDKIRKFKSKSLMDASVDASMGDGDDDILGSSDAQDT FSFKLLEDEVGVRVDVVGGAVKGDEGSDLGSGVRKWEEWIGQCL AOR_1_1580054 MRLICSLPVVLPLFSTALADVEFIAPARGTIMKAGDVVTAHWKD SGESPRISELVQYDLYLCAGGDTLGSQENLAILIKDGVFARGNSVSFKIDPAVGGNEP NAYFLKMVASGPDAHVINFSDRFTLTDMAGAFSSNLEDGIGLLSEGHGQQELRRRQAA GAYTIPYQLQTGPTRYAPMAKKPGSTIPADKSPTPQFPTSAYEIATAYLSAPTIQTTV SASLTYSVSSIENTASPAPHPHDKKMKRFLERWKD AOR_1_1582054 MASQRLTIVIKLGTSSIVDESTHEPILSILTLIVEAAAKLRRDG HNVVLVSSGAVGVGLRRMDVEERPKNLPRIQALAAVGQCRLMSLWDGLFSHLRLPVAQ ILLTRNDIADRTQYVNAQNTFSQLFDMGVIPIVNENDTIAVSEIKFGDNDTLSAITAA MVKADYLFLMTDVDCLYTANPRHNPNARPIEVVSDISSLEADVSSAGSSLGTGGMSTK IVAAKLGTSAGVTTIITKSSKPGNVHEIVKYLQQVKQEEHTHTTTTMNGTVTEAPPLH TRFLPSDHPVQSRTFWLLHGLKPHGTIFIDHGAYSALQKKASLLPAGVVGVDGHFAQQ EAVRLVVVQRLSPDSLNGDFLHHGQEPKEVGRALVNYGSLEIERIKGHRSTQIQTLLG YADSEYVALRENISFFQQDDPSWR AOR_1_1584054 MPESQPSSTSEPRVSAHNNGCLPGDDIWTQWRNIFAILTGKMSD EGIEQFRVARDIRNEAADCKRCEDQRDYLLQWSPVIRYLSDNIRQLGGDLSSHNIYCR RCTNRKAGGFDPDFGILLCANEMKDQGHLEDTMAHEMVHAYDHLRFKVDWADNLRHAA CTEIRASSLSGECRWAREFFRRGQWRFTQQHQECVKRRAILSVRARPTCKDEAHAERV VNEVWDSCFRDTRPFDEIYR AOR_1_1586054 MATKTLESRFEHLSVKDENVSNNSCSTYAKNKGSLSTAVSVSGL GTTAQLNNSANRSNLLKLALQNTNDNKVNSINVSSSPTKGTLSHRNVDENGDQRHQTS LYDQPAPKKLHLGMFEIGKPLGKGKFGRVYLAKERSSGFVCALKVLHKSELQQGGVQK QVRREIEIQSNLRHPNVLRLYGHFQDSKRIFLILEFAGRGELYKHLRKEHRFPEWKAA QYIAQMAAALKYLHKKHVMHRDIKPENILVGIHGEIKISDFGWSVHAPNNRRQTMCGT LDYLPPEMLKPGSQDNYYSEKVDLWSLGVLTYEFLVGEAPFEDTPVMTQRRIARADMT VPSFVSPEAKDLIKRLLVLDPEKRISLDEIQKHPWILKHCLKDDRATKRSSGSSKEGK A AOR_1_1588054 MSSENSRVPSFAMGNPAAVFLAAEQPNTISVQGFKITTEKRPIL KAEPIEDMTKRLGIAPPEMIFGDNFVSIQHEKSRWGINFNAFDALDRVDKTGASMLKV AYSKEWQRSREKTHEGIKEVVKPFDWSYTTDYTGTVQAGGRSFEPTTKSISLELLKRP DPILFFDEVILYEDELADNGITMLSCKIRVMPARLLLLSRFFLRLDNVLFRLRDTRVY IDFENKEVIREFQSKELDYETVRQTLTTTRDDVPAVMRDPNRLSEILPLREKRLERVT LED AOR_1_1590054 MPPGKIYTARLRDLCYPWCSRLRVAAIRSSISSQRTICTTSTAP YQDSAPCSVISVPATLTNCRYAQRHPRTVAASPGPCFQIRRASSQFSRVVMPRPGTTA ETYVAYGMTQKLFEACSSQADYSIPQATQKGAQVPKTEAGEDLGVGEGWWYEDLGLIP TFSTWSQITFLHMYLLTVRLRVLPSYESFQTYSRHLIDHFSHNAEHRMDVLHGFTSRT IRNKFLKDLFIQWRGVLAAYDEGIVKGDAVLGAAIWRNLWKASHTGPDGKELDWTKIA RVVAYMRRVTSELSQVSEADLISQLCQQTGDKPSIFGYSELDKRLVQGKR AOR_1_1592054 MIRIPLFQPWKPRLPRGSVVHSCYFIPFSEVTTRIPWIAQASRR SMATVIHSPRDPNTLSNYNNWVSTHITATFDILFEQKKLVGNVVHKLKSITDARSTEI ILDTNHVDIGDVKVDGQASHWELLPPLEPYGAALKINLDQGVGLNEMVEVEISVKTTE KCTALQWLTPAQTSNRKHPYMFSQCQAIHARSIFPCQDTPDVKSTIDFNITSPLPVVA SGLPVRGIIEKAQPGSKTLYQFHQKLPIPSYLFALASGDISEAAIGPRSVVATSPDKL SECQWELKADTENFIHAIEKIVYPYAWGEYNVLILPPSFPYGGMENPIFTFATPSIIS KDRENVDVIAHELAHSWSGNLVTNASWEHFWLNEGWTTYLERRILAAVHGEAYRHFSA IIGWKSLADSVEHFGHDHPFTKLVTDLKGKDPDDAFSSIPYEKGFNFLFHLENLLAKD KFDRFIPHYFTKFKGKSLDSYEFKATMLEFFQHDLEASNLLKNVDWDAWFYAPGLPPK PQFDTSLVDVVYELSSKWKSLPDSSFQPRTSDIEGLTANQIVVLLEQILLFERPLTPE LSRVLGEVYSLAKSENIEVSNLYFQVGLRAGDDTVYKPTAELLGKIGRMKFVRPLYRN LQKVNRPLAIETFEKNKDFYHPICRAMVEKDLFGKREE AOR_1_1594054 MDIPQVQISGLDNSHGPGDAQKAETRDVDMDSSQHAAATNDSIT QEVPLSSATTELEQTSMEEVAPPKRNPGLQFLEYLTSPIVELTIGNGETKTTLTAHQR LLLESPFLAERVSVFDSSGPRRIELPDEDVEAFGSFLQFQYTGNYDSSLDDASNDKDA AVGEIHDSGEQLLKHARVYTLAEKLGIPALKTLAHSKIHRVNSTSHGEIAYARYVYTN TPANDVTIRKPVASFWALRSHVLRHEAEEEFRKLCLEVPEFCFDVFSMVLDHKEKRAQ DKAESESAIKGSGRKRLRSGL AOR_1_1596054 MATVDDILTGKYPAKSHARRVAQLLQAHHGQGAPGVIYLEAQKT RLIEDNDEPMPFRQRRFFYYLSGCSLPDSYLIYDINADKLTLFIPPIDAEEVIWSGLP LSADEAMKLYDVDCVLAATEVNATLRSIGSAYGGNAVAFAIADQVSSGAEFQGFAETK LSVLKEAIEKARVVKDEYEIALLRKANDISAKAHIAAIRASKTAVNEREIEGAFIATC IAHGAREQSYHPIVACGANGATLHYGKNDDDLTDPATKQRKNNILIDAGGEYRAYCSD ITRVFPLGGSFTKETRQIYEIVLQMQLECIAMLKGDVQWEDVHAHAHRVAIKGLLALG ILSGSEDELFEKRISVAFFPHGLGHYLGMDTHDTGGNPNYGDKDTMFKYLRVRGRLPV GSVITVEPGIYFCRFIIDPYTQSPELGKYINTTVLERYWMVGGVRIEDNIHITKDGHE NLTTAPKAIEEMESLAL AOR_1_1598054 MPRPPTKRNRLTTTKAASAISKEKAEPSNGCDVSASNSSRSAAP DNRDEGNPSQLVFSTQQANTLRQLKNQTPLARKNEQAIESSPMGERVATGSRPPTRSR GYSSTLSMAGRKIDMSSKIPGTPAFESSILSNFRRRPRQASILQMMQTEDGSSDLDDD DFLGGLSPEDESTPLNLPRGKSLLIRQAVSPSPSQPSLPSSVESRKRKRSLEECHVHQ SPSAVAENTPREESPHTGNDDSLELTQSLGSLEAFNQTMAPPLSSSPLSSPVLPASMS DSSRLLNPTKEDAEVHPDVTNEATTISTAILQDRFLPRRRQRYRERRNVVGLKFPSAS SEDDASTADQDDDEINPSHRRRRTAKSKLKPYSEARRANELRAGIVVTEGNGLKNSAG ITKAPNQVPENHHETRSFTRPHAHTVTGEENQLTDMSSPLSSPLDSDALESASLSESP PSVDFLSEELRLQAKKFAEVDEWEMEFEDIPGSQGSALE AOR_1_1600054 MSPSPQRDRDTHVEAVAAVTASDDFQSSKKKRSNSDVVEYPRRR ATIALCTDLDTECVYREPGIKLDAGDKLIIERLNRIEGLLQSSLASQAAHCSLPSTSP ATSNDTNIGGEDTPVKTSSVAVMSGKTSVVGLASWANPPTSISTMPKVHTTPALHLLQ WPLIRGLVAGPYDPHTLLQLEMAREPLRMKAPKELDLTNATIYIRNFFRRVNVWYACV NPYTWSRYYKTAVSLSFREGSESCLVLLVLALGCASHYGSISSVSPDKEAPGLPYFAA AWDLLPIVMMRNSVPAAQCIILASAYLFYLVRPLEAWTLLSNASLKLQLLFGNPSRVP LQWKELSVRIYWNALLYESDLLAELDLPHSGIVHFEELVDLPGGFEEEDDEEYQEAED AHGEIASETEPVGHDELWYFLAEIALRRLLNRVSHMVYQKDSPLTLGTLGPIVSELDY QLSQWYESLPQPVQFPLSRTPVSNSVQTALRLRYFACRTIIYRPYMLAVFENEQTSLD PVVKECCRRCIEATIHQLENITSHREGHLPYLWQGALSMVSQTLLIMGATMSPTLSAL LPPADQVDRMISEVVAEVERYAHLAPSLKLSAEIIRDAEKRRQICLRSTGRCT AOR_1_1602054 MLTGREQHHGKRRRGHSQKAMLSKALQKANTAVLLDNAANFEGA MEAYNDACQLLQLVMLRSSGGEDEKSKLQEIRDTYMIRVTELQRMDFSFTEPNSKALP ERPLSQESYSEMFQSIEEDENEPSLNESVNSLRRSSDDHQPVLNEANVLASDRVPVRR QSLLPSAIDDDLCCLTLSTSTTKQNSLSQTESFTASRDGHLEMAMHSESGQASTALSL DDDSAHHLRYNDWALLSTHAKDAYESTSWLDTIDESGASSPASTRSKVSSLYLRHGGS HHLSHGTEAEFDAALDAAVEAAYDEGFEPVTEPNEQYNGGIDNDDDIVANARRNIELA KQKPSIIDHSHGVGLDYLDEEAEEEERLLEEMTRGYIMDDFNFDLQSKSALPRQSDSS SFSGRAWESSAVSNTTTTGVMLSPLVEASALPEVSAMTKQVAEPLPTQANGPAVLPKQ NPAPTPGPSVRARRMSGQRTTELKIETKPRLGADSDISSQGQSSEPAALSPPPPLPKD EPSMNFPMRTSKTLAPTPVLRSGVRLNKRNASIGSFSEDTWANASLDKPTTQEEDNNL EISRLPSLARPIGKVPSAPDNLGKLNSGPKSFRARNVSVPGPDTLIDSPDTPSSAFPP FDIQKGTGSAAGPVLPTPTGATFAPNGLPSGGLYLFDSHIHSPTNLGSPNATATNAPA PLEHCPESFLLRPFWLMRCIYQTIAHPSGGYLTTKLFVPRDVWRVKNVKIKAVEEKVS NCDLLTAALLKLAKVDTYDADAVLEEMQSFETVLDQVQSSLSKKLGGEVGVQGAMALF KASQSSDDAAAVDTLPSKTSGGASKSYLTSWRKLRSKNSGFGGTTSQSSVKETTKDNL IINSLPMSSTPNSQPVKRNTTQLQFNGPNANYMSALARLCDAAQVLDQIAQQVEDPGL KHSSPTLVGLELSTRHAAEFFGFYICRFALNDIAMMVDKFIKRGSEWVLI AOR_1_1604054 MTSGLRKRASGAGEIVNDEHPQLKRTKFTSSRSGAACDSSKRRT GATGNVDANGDHYWEISKMRRVTISSFRGKTLVNIREYYEKDGQELPGKKGISLPIDQ FASLVTLLPDIELTLKDIGVSVPRPDYAGGHSISNEDHNEASGDGDDSERGASHPPRK NIEATSEEDESEE AOR_1_1886054 MDTPDDAPFQPSEPDLPDQASAAEQQAGPNNHGSNTAQSKELVD FVIGFLSTASNEVLLVVFAGLVGVTYILLGRLGLLLIGVASGIVLHASWEGASTHSSG HELNCRLPRRRETGLDIAHRLLDWPERNTSGIGLSHDNIQKVLHDVAQIEPDYTSFRP GTAAALESLTDAVIRDYVNCWYEPILPFERSFPLSCRRTLISFITSVSTHLSRKRAAD TFLEFLTNSSSILIVFLNELSTAFETIGPTMTPEQTIQRYLELSPESSLANVLAGQQQ HKKLNLIADDILASFLDPKAYALPPLRDFLREILAGVVLESIISSLSRPEFINGWIIH LLNEGESEIMSAIDAGVEGARTNSITTAKGSKEVNMPLSMSLNERKLGSETAHIDKAT EEAMAEAKRLSAMIAAQDLQHQNAEQLVYGDSQIPFFSSGEALISQSNHGKESVEYDT RTQPVFENVNAKRTQKMQESASENAFELSPARRPPSLSSLHSASSLSLNQTSDNDIHT TLTLHRASITVDDGLDPGDETLLRSKPISNYLVQIEPASACCTGWMVFRNYTDFESLH ETLETISRLSGVQKFKDDHPILPDWKGQTKQALARSLERYLQDALQNESLAESERMKR FLKKGGSLGPASAGTSPKAGFSFPSQASLENVGKGVLGVLANAPKGVSDGSKAVFGGM TGVFGVKSIKKTSSNLISNSHNQNIHTPTQPNEPPPRKSDDAGNLRRHSLEPYTGMYD ARLSSRSQRHSSPLFETASGTTAVKGGKPCQDIPLDSLAENAEGSLQALAAETERVAP SSSLDPRHHDSLKLSHSSGRRREEDTSTQIEERANTAAQNSSDGRGSPITQEETRIAV ELLFAVINELYTLSSAWNIRRTLLNAAKSYILRPGNPSLETIRGLLQGSIIESNTSDE ALGLYITKLRENVFPTEAELKSWPSAPNDAEKERLRETARKAFVQKGLPQALTSVMGA AASREALEKVFDSLQVPIIARGLVFSVLIQALRLTRGPIRPNIPELLTQ AOR_1_1608054 MGPELQQVQRAWERIRVASPIYAFLLNDIDIYNAEKGVFHSRIQ VAPHHLNSKDIHVNYLSTATTGDWLEIEGRANKVGKSLAFTSIIISKRTETGQTTIVA HGTHTKYIRIR AOR_1_1610054 MSKESKRGTFLGAISPWNVSRSTTPQPDSGCNGTPDILQRSQGQ DHTVTHRHRLSPQRYPKDCPSLRVRWFYAVDSPKWKPALVEQKKDASKPLPPPKKFVP FSVKDSQAIEIAFQNIAAVEGGKDENKPNEGIGEAQELTKVPVNEDYLFDVDVERREL SPAYWIGPVYEVRRGTWFVQDGSTIKPCEENLATQLEEGYLKVKPWRFEEVEEPSTLR DRTENAGHMTHVPANSLYTYRLFGAYMNSLVTYQDSSTALLTNDDFMSRVSTTVYQKL GGVPGTRLVRGFSETKRQKEAPDSRNPNRRSNQGSVSTPEARHPDNQDHRVLEGMKPG VMDSHLESNSTPGINQRSTLERQMSSLAGEPQNPADIEEQARRQEEKEMEDSRQGDGN DRDREIDHLVLVTHGIGQRLGLRLESINFIHDVNVLRKTMKNVYKVSPDLQALNSTFG DKHENCRVQVLPVHLLDFPYRGVRQNRKELDLADADILEDDPYPGLTDITLDSVPAVR NLISDLAMDVLLYQSVASSLLNRSLGLSSEDIPQNPPASATLSQQSLNTDVDLANRLD RHPTLIDSDLETLYDGFQKIKSAPGKSASAPASDDAPEHQGNEIRMKRLRTEDAKVRS LNSNGRVDYSIQEGAFDISMIASIASHLTYWADEDVNHFMLSQMLSRRIPPREA AOR_1_1612054 MNVLKLQRKYPQFDQGEIFSLQDAFRKLDVDDKGYLDEATVIKA TQQAERQPYDIVRQALKEVELDSSRRVELEDYVDLISKLRSTSGQSGPTGTASPAAVV PGNGAGSSRHVSKGSIGGRIHVQGSSANVTHTINEDERTEFTRHINAVLAGDPDVGHF LPFATDTFEMFDKCKDGLVLAKLINDSVPDTIDERVLNKPGKKIKELNAFHMSENNNI VINSAKGIGCSVVNIGSGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELYRLLEE DETLEQFLRLPPEQILLRWFNYHLRNARWNRQVTNFSTDVKDGENYTVLLSQLAPDVC SRGPLQTQDLLQRAEQVLANADKLGCRKFLTPTSLVAGNPKLNLAFVANLFNTIPGLD PITEEEKLEVEDFDAEGEREARVFTLWLNSLDVQPAVNSLFDDLRNGTILLQAYDKII PGSVNWRHVNRPPTSGGEMMRFKAVENTNYATELGKNIGFSLVGVQGADITDGQRTLT LGLVWQLMRKDITNTLSSLAQRMGKHEITDLEMIRWANDMSRRGGRTSSIRSFKDQSI GSGIFLLDVLNGMKSSYVDYEIVTPGRSDEEAYSNAKLSISIARKLGATIWLVPEDIC QVRSRLVTTFIGSLMATYEKMQ AOR_1_1614054 MSRPGTTLYVTGFGHGTRARDLAYEFERYGRLVRCDIPAPRTPS SRLFAFVEYESRRDADDAYHEMHNKRIGRDDLLKIEWARTPPSASWRFDSGRDRRRDR TPPRRGRSPSPRRGRGDYSPRRDDRYEREYDRHDRDYDRRDRDYDRRDRDYDRRDRDR ERSRDRSRSPDERERDIKDDRERRDDERERRDDERENGPNGEERKDPLTSAHDELDTA E AOR_1_1614054 MSRPGTTLYVTGFGHGTRARDLAYEFERYGRLVRCDIPAPRTPS SRLFAFVEYESRRDADDAYHEMHNKRIGRDDLLKIEWARTPPSASWRFDSGRDRRRDR TPPRRGRSPSPRRGRGDYSPRRDDRYEREYDRHDRDYDRRDRDYDRRDRDYDRRDRDR ERSRDRSRSPDERERDIKDDRERRDDERERRDDERENGPNGEERKVLLDPLTSAHDEL DTAE AOR_1_1616054 MSLDRQESVRHDESKTSRDNTLAKLTQLPPSSASIKEASSGNSS KFVGKLKGRIAEPVVAAFVAGGVAGAVSRTIVSPLERLKILLQIQSVGREEYRLSIWK ALVKIGKEEGWRGFMRGNGTNCIRIIPYSAVQFGSYNFYKRFAEPTPDAELSPVRRLI CGGAAGITSVTITYPLDIVRTRLSIQSASFAALGQRDGSGKLPGMFGTMVLMYKTEGG ILALYRGIIPTVAGVAPYVGLNFMTYESVRKYLTPDGDKTPSSLRKLLAGAISGAVAQ TCTYPFDVLRRRFQINTMSGMGYQYASVWDAVKVIVAEEGTRGLFKGIVPNLLKVAPS MASSWLSFELTRDFLVQLNDK AOR_1_1618054 MGIDLDRHHVRSSHRKAPKSENVYLQVLVKLYRFLARRTDSNFN KVVLRRLFMSRINRPPVSLSRIASNVTDAHKGKTIVVIGSVTDDNRLLNVPKLSVAAL RFTATARARIEKAGGETLTLDQLALRAPTGANTLLLRGPKNAREAVKHFGFGPHSHKK PYVRSKGRKFERARGRRRSRGFKV AOR_1_1620054 MSLTESSAIDIARTASLASRRLATLSEADRNGALTALHDALLRN KNSILEANAKDVDMASRAAASGNLSQSILKRLDLSRPGKYDDMLKGILDVRDLQDPIG HVTLRTLLDDGLVLERVSCPIGVLLIIFEARPEVIANIAALSIKSGNAAILKGGKEST ESFVAISQVISDAISSTQVPKSSVQLVKTRDVISSLLAQDSLIDLVIPRGSNELVRFV KDNTKIPVLGHADGLCSAYLHFDADPEVAVKVIVDSKTDYPAACNALETLLVHQDALE TLFPAIADALISKGVCLRCDIPAKAALMKTLPAVPSHLLQDAIDSDYRTEFLDLILAV KTITTAPPGSSSVETAVAHINSHSSKHTDIILTRSKDIADVFMKGVDSAGVFWNASTR FADGMRYGFGTEVGISTNKIHTRGPVGLDGLTIYKYLIRGDGHRACDYSEGGKRWKHQ SLPL AOR_1_1622054 MAEFLSQLTSVSSGSFDEDYDRRIRDLITYLQQPSKASELSAAS GYLLDNLDPSLHTLSYLSVFLFKIQSLQGSNKSRLPEQIYPGRELWLKAIRILRSFDP FQIRYAGHEWHRLVQLVVQAAQAVSKPLLAVLAVRDAIVRLDPSSEVLTSVHTTFIKL TLVSRSYSLAVPVLERQVCHFPTVTGQAYQNYHQPLLCAEHESSTAFITDASGFSKQL AYRDHLQFFLYGAMIYMALKKWDRALHYLSIVISCPVTNAVSKIMVEGYKKWLLVSLL RNGKLAAHPDVVSSHVIRTYQSLVRPYTSLADAFEKGDYQRLKAEAGAAQSVWRLDNN TGLVHQVIKAFNKCKTLKLGRTFSALTMADVAQQASDCSSLCEVESLVASLVMSGAFS AVLLQSHNYNDTTMLRFSNPIKSLYSREQWARGKIVKEGRQLGVIAQSIYQSNHGLEL SNEHFLFSQKSLRWSDNSAKSNIGTLDEAAGGVDIEEDIMGDMH AOR_1_44 MNSTLLSAPPETYWGQFEEISKYNTHLNVLERLWTAWYAWMQND VLATGIMSFVMHEIVYFGRSLPWIFIDSLGLLKGYKIQSNKIPSLREQWDCAKFVLLS HFTVELPQIWLFHPMAQFFGLSTSVPFPTFWTMAYQIAIFFVLEDTWHYFSHRALHWG PLYKAIHKIHHQYSAPFGMAAEYASPIEVMILGFGTVGCPILWCAVTGDLHIFTMYVW IVLRLFQAIDAHSGYEFPWSLHHFLPFWAGADHHDLHHEKFVGNYSSSFRWWDYLLDT EYSPEAIKRRRENKAGGDARKDQ AOR_1_2044 MSVINDDICRLDTQLDQIKQEINDLQFASLETQKHTHDLDANTE RTSDLADVITSLSVPSKSRPVLPPEHIVELTLKLFRLIGTIRHDEKSEIALMSKNSLE ADRASLERMVVDFILDRGEPKPEDYALDINSITNKVREGDLTPVLRAYEKDLRTPFVG TVRGDLVRALLIQIQKTKVDVEIAIGGIDALLKSQELVFGFVGLTPGILVSYASLRWF LDLFGNRKGLRMGRRQDELRHALRAAHRTLISPNPAPTGVLAYRDHGLLICDAEILLK KAETLLSGTELRAFREDVADLINQRMVVRQLEIVGRMGWVYSKWMK AOR_1_4044 MAGTRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFQNIR TWFSNVEQHASEGVHKILIGNKCDWEEKRAVSTEQGQQLADELGIPFLEVSAKNNINI EKAFYNLASEIKKGMDTSKSEQPGSQGVSIDQQGPGPNGSTGGKCC AOR_1_6044 MGTEDCGVTIDRGRLEITSSERSRRGHRTALVLSGASQSLTPYD FRRMLESKDYSLKGLEEVLPIRNRQTLQRSDSWLLIFTSPASAVEYQQRVIELQELHL RQVAPTATATLDMPAETSTTDGRVKHSEHSNFALGSSLRNVSVAAQLFPFDFKLQRTI GIHRGLRQSESSGNKLFPVKLQLDCVSFPSLDSHYIRKLLQLDGIMRGRRWALPETDD AVIQIEPTTVLGLSQLNLERTGSLSQSSAKFIRMWRINFLTSFEAAHFARVWHQKPLP KLGNEDLHEPSPHVKAECIFR AOR_1_8044 MSEPRLFTVRPLSKQARNDHKDAFRVYLSSSSLAALKLRAGDAC TLNYSGECAKTAIAWSATENIQTTVVQTSRTLQDCYGVKIGEKVSISKTDGPLGEIES ISFVECSDIERMTRYGPIPALERHHWAWALEFPLARCDALAVGLIFDLELKGQRRSFK VATMRALNQTTHSTLLRFTENSKTLIGDDSDEERGVHGFDIQVQSSGLGGMGRQIESI NESLADFNIGSEIVAMPSFYEHTRGVLLYGPKGTGKTALLRQIQAAGWRKAFNIGSST FGRNIGESEAKLRNLFQDAIRCQPSVVVIDQVDFIAPKRTSLDSQSLTSVLCECLDLV RGAMVLVVAATRHPNEVDDALRTPHRLATEIELHVPTAYDRAEILRAICGYQSPVLSD SLIDMMAEKTHGYVGADLFALLQLVCRKARQRQIEKADSNSWALHQTSKFTSGSSDDL ATKETVPLEVQEADVLTALQETRPTAMREVFLETPKVRWSDIGGQHDIKRRLQKAVER PLKHPERMRRLNVNSKKGILLYGPPGCSKTLTVKALATEAGLNFMAVKGAEILSMYVG ESERALRDIFRKARSAKPSIIFFDEIDAIASKRSSTSQGGVNVLTTLLNEMDGIEELK SVLVVAATNKPEVIDPALMRPGRLDNILYIGPPDFEARKEILNIWCRKSIVHPGVSLE ELAWKTEGYSGAEIVSICETAGDAALDEEEETGQAQDIRWEHFELALKQVKRQITDNV IQQYEQWGNSVDI AOR_1_10044 MFVATVTAPEAGLAPLRDRECCREAEAEAGVVGVAAAVEAPDGV GTEVTVKLLALQITCQEAQKSVLLLTVAPSAPSTSEAYIS AOR_1_12044 MATDDIETSRYSAPGNGSWQPPTTLAAQLAPRLPSQGNQTHSLS KETFSLLRQELAGGKHNQLRFNDKVTDISKLICIVLKAGLEPCIKDPNPDSQGQVLDC LDIVLVSIDKAPQTLTEYPDPAVLGETSSVFGEFCLHSLRSSVRELRIVTGHSIIAFI CNGLDNKTRRGNFVVILEWLKSLSEKQESSLHETCILTLCRLATLSNDEEMNIILLRL VEYLGHPNPFVCAVAYSEISKLAQRLSVTPAGLFRPFWRTLSVTIMKNFPSRPYMAEH LCDLLGMKVDDFLRLTELYVLPHLVLTRKRDIIARIGATYKDVKTPFDICSEKNNLAA ILAFLLSQASSNPQDLAMSALSEIDNAFEGRTLAELVRIEPILIACHLFKGLGDSGDE KRARFYRALQLLAALVPRKSGHASRRTNLIGYFIEEHILGIITEFAHAINDFQIRQPL VEKRRNIIAIGEMVKVAKGHVSSAIPQICACLRSALEIGELCNDAFTVWAVLVNSLHD EDIEPLLDQTLSIVIRYWDMFTEDTRNCAYELVENILRSHSELVQDVYNTMPSLASIP EMSKFESELVDLKGKMDVRSQFLAFVRRCQSENATVVEQALTELVPYLLEHDEFLHRT VLGEQPDPVVAQLIRSLLDCCVKFNTSSDVITLLSARCIGLIGCLDPNRVDSIKEKRD ILVLSNFDSMEETFDFILFFLQHVLVEAFLSASNTRAQGFLAYAMQNLLRFCGLDSAV TQRSRDVQADEKYRRWSELPETVRNTLTPFLTSKYTVTVGAVNSSCTYPLFSATLTHG EWLRTFVQDLLQKGSGDNARLVFSVSSRIVKGQDVSIASFLLPFAVLNRIVGGTQKEK EDLLYELTSVLSHPLPDSTNHIYEAILLCSQSIFEILDYLSRWLQGKKKQLNSLRSHN YHAGRSHREACPDSRLDTDASQVKAVESLLASIPPEVISKRAVECRSFSRALFHWEQY IRQSSNKQTDSKGFEPLFQRLQDIYSQIDEPDGIEGISNHLHALNIDQQVLEHRKAGR WATAQSWYELQLEKEPNNVDAQWNLLTCLKESGQQDAILTRFEILQTTDPGSRFVPFA IEASWITGKWEKLRNYLQLYSQQGTGDFNIGVGLALDAIRQGSYSRFGDIICGLRLSV AKSLNANSVASLQSCHDSILRLHALAEMESIAGLDSRSEKDALPKIRAALSRRLDILG GHISDKQYLLGLRRAMMELTCNFPNSDIADAWLASTRLLRKGNFTNQAYQSMLHAARL KNRSATIEHARLLWKDGYHRKAIQTLEGAIAANEFAPDNASDGSDSVYLASNREKHQN LLAARAHLLLAKWTDRAGQTQSDVIVQRYREAIYLHSRWEKAHYYLGKHYNKILDSEK AKPLGKEAQIYLSGEASKLVIDNYLRSLAHGNKYVFQSLPKVLTLWLEHASTVDQPFD PKRGNNEDFKTHTLNQRRKILDDMHSQLKKYVNRMPAALLFTILPQVVARICHPNNTV YDLLTKIVAKAVNFFPQQGLWIVLAVVKSSSKERASRGINCLQKITEVNKKLKTETPS DMRAMINQGQRFSEEMLKLCVARVEKVSRINLARALGFNHKIAPCRLVVPFQAMLTPT LPTSHDAEYLKGFRAFPRDPTTIEAVLDDAQVLNSLQKPRKIGVRGSDGKIYNILCKP KDDLRKDQRLMEFNNMINRFLKRDVESSKRRMYIKTYAVTPLNEECGLIEWVDNLRTL RDIVIKLLRERGIAPNYTEIGHYLEEACSEISKLPLFTTKILPKFPPVLHEWFIEMFP ESGTWFAARLRYTRSCAVMSMVGYVLGLGDRHGENILFEEGTGGILHVDFNCLFDKGL TFDKPELVPFRLTQNMVDAFGAYGYDDFIGKKRRTHVSVPETPAGVLENVRNKLRGLL PGESVPLSVDGHVDELIVQATDEKNLAAMYIGWCAFF AOR_1_16044 MEEDSRHAYFMKQALLMGEKALETGETPVGCVLVYDNQIVSSGM NDTNRSMNGTRHAEFIALERMLRNYPKSLLRSTKLYVTVEPCVMCASALRQYRIQAVY FGCSNERFGGTGSILSLHTDFSIDPPYPVYGGLFSKEAVMLLRRFYIQENEKAPKPRP KKNRELNTRFEDDA AOR_1_14044 MATDFKTVLAPPKRANSDYPLIDSDPHLRRVFGYARPSDYAIAG GAAAASPLAFWAMERVSPSHVGRGGFAPVMRLATAIGLIGGLHVLYQRSCNRFYGFTE NSREVEMDTREMVDKVKRGESLYGTSKVSAYLQGVAARNSRYSELFIHVLPWFNIVNH DQHGVDTAKYYQQAERELEAERLAKAGSA AOR_1_18044 MEQDSHLDIQQGLQSQTANDLFDYDVGLDELLQRAPTASSINAP TGDSGLGLGLDEEVKVARKRQPVAKLDETRLRRTAKQKLKFKGKGHEFSDVARLLQFY QLWLDDLFPRAKFADGLTIIEKLGHHKRLQVMRREWIEEEKPRARMDDTGQDLQADGS SLPVAVTTKSHHAVDAHVFSSHSVGHGKIDKELSTEQSDVPAQGLFMPHDSNNHRAIT RDVPEDGDELEILLREQQCDEATAKSLSTFTDLDADLDGMEAMEEFNILTPT AOR_1_20044 MPPKKSTSKASAKPSKSTTNTNKASATKTAAKERPAKASASKSK GGDARTKTTKAARTTAPKRKAEAVEEPIREIKKARVVEPRVTKQKPKVVINHAPTTRL NVYVCGEGSSGELGLGPERNAVDVKRPRLNPYLSADKVGVVQVAVGGMHCVALTHDNK ILTWGVNDQGALGRDTTWDGGYKEVEDNKSDADSDSDDDPALNPYESTPTAIPSDTFP ESTVFVEVAAGDSSSFALTEDGQVYGWGTFRSNDGILGFDSTHTVQPTPTLIPSLKKI KHLVCGDNHALALDERGAVFSWGSGQQNQLGRRIIERNRLNGLQPREFGLPKNIIHVG SGAFHSFAVHQSGKVYAWGLNSFGETGIQAGAGGDEAAIVHPTIVDSLSGKNISQVCG GAHHSIAVADGEQCLVWGRLDGFQTGLKVDTLPEEAVIKDERGRPRILIEPTPVPGIK ASTVAAGSDHSIAIDTDGRPWSWGFSATYQTGQGTSDDIEVATIVENTAVRGKKLNWA GAGGQFSVFTEPVAL AOR_1_22044 MGHDYSDVSTTSANSLSSKSDAYPLQNETTVLATPTLESISEKT MEASNHHHTATHNPGSQTSSLESGDGSRPHVASNFHETMAAENETTLRHAGSSESNGN CATAGPSEDAGTPTSYNLINNPPNLARIRQVMFECKDPIEISLEEFETYWPYIDNVWV KQRSNSSKEGHCTTDYYMCRLRRPTHRTSETRPLPEGKRPRKKRVREGGICNFQIKVV RFEGAYSTVTIARTPGSSPIHSHDLDYIDKVKRNSGLMEFARKEAIKGYLPSSIYTKF QEEPEKLIEAGGKFCTVTDVRNVSAKWRIQNPEVKLIPHDGYEYQKGHGIVRIRVTDG NCKAPTNPTPPKTYQDSPLPPDTLLFPQFPLDFLEPYLPKYDERRQFPHVTLSYASSM DSKISLLPGMQTVLSGPEAKLMTHYLRSRHDAILIGVGTVLADNPGLNCRLEGAGGFG GLGRMWQPRPVIIDPTGRWPVHPECRMLRTAVEGKGKAPWVVVSPGAQIHPQKLMMLK GYGGDFLRIVEYNQNWRLRWEAILRALASEGVKSVMIEGGGTVLSELLNPEYTEFIDS IIVTVAPTYLGSGGVPVSPDSKRDEQGKPNAALNPREVKWVPLGQNVIMCGRIRAVTT IQAVESNTHSPGS AOR_1_24044 MASTSSNSLFGGGALGATTQPSALFTTNSPMNSVGNTFTQGQST GSLFSGQPQPQQQSNPSSNLGQSQMQANVTQSSRPPNQSTQPAFFNSLLERGKKRPLS TIGRSSNYEELPSLQLGLDDIRRKARELGNGGTKGPQHIQNSKAHYLLAASGVSPGHT LRDLRSLDHQALVSGPTKEQESFDPDNQKFLRNIQQRGRHAMIAESLTRIHRDFDIFL EEKVDLDWEEQRRRIFQHFGLAQKDDNTGDGRGAFGRSMRQSKQQLGATSSDTAPGVT HRSVFGRSGMEKSVIGVPGTGTASRRFFEAPIERSEASGTQSSDLHFLREKMGRYADK VQLLNSARLQAHTFPILHEFSEVESHVGGDVPRQLFDAYHALISIVQETPNIVNTSDP GALKERQFSEDYLEEAPASRRAISLRKRIVDGSRTFLENLFYNEVESVIAKNPREAQL GGIPTVINKIRAYIRLRAVRKDLAPDGTELQMVGQDYCWILIFYLLRCGFITEAAEYV SQDPGFRSLDHKFVTYMTTYAQNRRLPRDLQQKINGEYQQRSRNAPDNTVDPYRMACY KIIGRCDLSRRRLEGVNQSVEDWMWLQFSLAREDDRVEEVAGDVFGLEDIQTDIAEIG QRVFGKGQEGPGGYGTFFLLQILGGMFEQAVSYLGSYAPVSAVHFAIALAYYGLLRVS DFYTSGEEILSFTVKQYPQINFGYLVIQYTKEFRTGLVEAAIDYFSLLCLNADLPGSL GKSQASVCHEALREYILETREFARLLGDVRSDGTRVKGLIEQRIGLIKLVDQEEFLKT ITVQAAAVADDKGLITDAVLLYHLAEDYDRVIDIINRALSDSVAVELGGPSLKLQPLQ PRTKQQDAQASGQEALREPGSSLSLTAVEDPVALARNIISIYNMNALYYQRIRPVNRD ACGLLLQMMEAKAEVEAGKWTPALDAINALNILPLRARGSVPYIRSAAQAFSSFPTMI SRNIGHVVMWSITCIGHERERLRSGTYENEIRQSLADELLVMAKDLMIFSGMVKYKLP PRVYETLARAGAEIGAY AOR_1_26044 MPVFQSKTFRRATTASSSLGERIGETYRARLPKHPFLLFGFPFV MIIVAGSFALTPAAALRYERYDRKVQQLSQEEAMNLGLRGPDGEEGIKRNPRRRIIGD EREEYYRLMAKDLDNWEQKRVQRFKGEPDGKL AOR_1_28044 MIMRITQELAQPLTNAERLGRAVAVERCAELLESSPNDVMLLDV RPYAHFAKGSIKGSLNLCIPTTLLKRPSFDTQKLANTFTNHVDKMNFARWKYCRYIIV YDAGTSDMNDAGPLVNVLKKFTTEGWDGDGLILRGGFDSFSNRFPALLQQQSSPAKPS KKPTSMHIDLPSVAPIAGGCALPDSSHPTIPFFGNIRQHMDLLGGVGQIPLQLPENLT ESLRQSLPPWLREATDPADQGRGVSEKFLELEKKELERMKQALTYDKSSDTSAGGVFP EKFRVAGIEKGTKNRYNDIYPFDHSRVKLQDVPPGECDYVNANFMKAEYGTKRYIATQ APVPDTFTDFWRVIWEQDVRLVVTLTAEFERGQIKCHPYWESGKYGPLQVNNFSQKYL DIDSPDSQEVSSPFKTSEDSAKPYIISQQLFRVLQKRASQQLYGAQFTASCTCFHFGS LVLDVKASSLAPSEHQTFALVYKGHEDIQLFRTENGQLQLKACLKPQRLQPGVVEVLR TAFDADDGLYVLQRFTSTAEESPDSEHPFIKQAFNTYTRGQVYLIRYSLQSRQDPVRV CTFPDHAEYEPLALAAAHRDTFAISWQHSRESEEYEVVLYNSQSASSAHSLSSAIDIA YDSCVLVDWTKQRQNDDVHLHARLASPRFECEKGPVIDLAFNDRSTQILYYHRAQTLY RSFQNIERINMNSFPVQPTLYQNSSLVQFSDSLRLLFSIAIPFYGTHATRVEENGHSR CQWKYLAFGIATHRTEDWTVACLLKSEAFCSSEHCGHVLNLERGRRFLNWTVVARLWG FQDSNNSLGCKVATSKHGTRIAVANWNVLYIWALQPSALIEQNSNGYYPSLLQSPGSA MVELRPLVIPLYAVCFKLRFTDVEDELLAITDRGLMYWNLGPLGRGQRNTEELPAWGD SV AOR_1_30044 MRPSTVIISILSAVNSYAQATTPYPSQRISTDILYWPITSSEPS VFARVSYDPTSLESNVISYSPPSAVQLESPTLVRIGLYTSNGIDPEQWTGTLTSWSAI AGSDGQRPMLQLYLDSSNKVYQVALTLSLLESTVASNTTSPIMKLIPLEAGPRPHLNR PVVVSPDGTNPEDVAEKTFFQKYWWVFLLITFLAMSGSGEEQ AOR_1_32044 MSSIAPSMPYRRPSRQGSRQASRDSRDDIHFHIDHYIGIDVGTG SARACIIDGKGDIVGLASENIGLWQPQQGYYEQSTNDIWRCICVAVQRAISQHNIDPE TVRGIGFDATCSLSVFSNVTDEPISVTGPNFDSDRNVILWLDHRPVEETEKVNSTNHN LLRYVGGKMSIEMEIPKVLWLKNHMPKDLFDQCKFYDLADALTHIATGNEKRSFCSVV CKQGYVPVGVDGSVKGWQEDFLAEIGLGDLAQEDFKRMGGVDGVNGDYLSAGELAGTL CEKAASELGLPSGIAIGSGVIDAYAGWVGTVGAKVDLGSEQLSSNAAKNDKTQAFSRL AAVAGTSTCHLAMSPNPVFVPGVWGPYRDTIQPGYWMAEGGQSATGELLKYVIETHPA FNQAVSIAESYNANIYEYLNEHLKEMAHEQQAPSVAYLARHFFFYGDLWGNRSPIADS GMTGSVIGLTSNKSVDGLAIYYYATLEFIALQTRHIVETMNKAGHSLTSIFMSGSQCQ NEILVGLIASACGMPVLIPRYIHAAVCHGAAMLGAKAASADADGKTEDLWEIMDRMSK PGKKVVPTNNATEKALLDVKYKVFLEQCYKQQEYRSLVDNAVSSWKST AOR_1_34044 MSGNQGGDGNPSPSFQPETSARLPSNISNENMLCGTVRQSRVTG QQRRSYGTLEPSEREEPDSRLDESRPESSNRIPPSQSPSTVTQSDKPRKPSVTRRMSS KRTIPHKGQEFSTDDDVQEVEEDIAKQQATNPQNSPRMRPLRKQSSSLRRRINARINP LTRADPADNEDENTVLPASSLEVAGNGTSSQHSEGGSQDSNDNNDEDVSDAESFTLKD RQQAINETHPFGIRLWKPALYKKSRSVEKTAEGDIHSSPGGRVGTMLFLTNLLWTAFF GWWLALAALIGSVACFIFAYSPSAVEYGKVFSGLSWYLIYPFGSFVRLDTDENYAEED EGEGRSISEYEQWQNGDLEHGRLFFGPRRGRSLVGRRRNSIDSASEQDSLLGRTQRAS SHDSPLGHHKRRLFGRGEWTLGRVVFFVFFYFLVGPLMLLVSLLCWLLVFWIPMGRVT IILFDHLRRHPLALSYHSDTSYTRLSPNSSSSILLCTYRAAGLRYWKYTVGGTNIFLI NLLGVVLFVIFDYFFLEKTLGVQNSLTHPGLIFTLALVSIIPLAYFIGQAVASISAQS SMGMGAAVNAFFSTVVEVYLYCVALTEGKGQLVEGSIIGSIFAGILFLPGLSMCFGAI KRKTQRFNVKSAGVTSTMLMFAVIAAFGPTLFYQIYGSHELNCRPCFSTIDAGGSDCR RCYFSQVPAVNDSFFRKAVKPYSWFAALFLFLSYIIGLWFTLRTHAALIWATEIEEKK AAAVVQEHGPYEPRHLLQSGPPAAAGANAGPGPKGSVRDSQLYRRILGQSLKHIGLSE NSFESGAEQLESSSTRDTNTPYLVPPHGEGDEDYSGFGRFRGLSGEENEHLMRQVTEV AATAAAVAARDAARTRKLSVQQTPARHSNRGSTDPVKAVVEELDDIGLENGQTSGGHD APNWSKARSSIILLGATVFYAIIAEILVNTVDVVLESVDIDEKFLGITLFALVPNTTE FLNAISFAMNGNIALSMEIGSAYALQVCLLQIPALVLFSAIYERLIDPAELLTHTFNL IFPQWDMVSVILCVFLLSYVYGEGKSNYFKGSILVLTYLVVVIGFYLSGYSNMDVMGV DRLDTLALGTSQTFHTLGRSKSGMAY AOR_1_36044 MALDNYYHNKIESMKLEIIQGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMSTKKVLVKVHPEGKYVVDIADGVDISKLTVGKRVALLSD SYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCRFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRIDSAGSGDSEVQRTMLELLNQLDGFEPTKNIKIIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRSMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFDLATAKILNKHDDKEVAVSKLFK AOR_1_38044 MAQGELWSTLVTAIDPGNIRAMPAVLQSTIDLLETELARARAAL KEIQPDTAPILIPGDELAAGAIAAYRRNLIARASDFYYGSRRLSPKEVGLVPVIQEVQ SDEEEDKESRQKLNDIIIETPPKQVSLVDVLSNSLILDHMAPYLSVPTLFALASTSHV LRTVIMKTPYIFRHLDLTQGPGHWLPSNSSRSSEAGISSNESLENSLTEDAPYSVPLQ RIIAGLGRSSILQDVRTLILDGLSVPAELVAELILTDRFNVNLLSIRECRHLNERKLI QVLQHAVRPSRPKGAPRVKGIYYFTPMTQPRAMMRSRYRDWWSSRCTPPTSNGVLETK EGPPSSDDTRESALYYQNAWYRPSGKLIPRSIDEGWAHTIQQCQGIISFDAVLCRGPR HNVDLYTSLEHDEDGYRPEGQPLGPAIATVALGPRGCDGCRTSPEGPAVWGESPERQF PLLAPLPLHSSSVAVAKRPVLFPDVHPILVARCAECLTDRWCRRCNKWFCTNCLPSPE RVRTNLSPHQTAVRGPRASQDTSLSHERRRFQVGVSRDCWECGPTCATCKAECQRTCQ NCQGEYCVDHNEGCSPTMCDWCNTSARHQFRQLY AOR_1_40044 MSKESNLLRWSSPFKVTAPRRTPKLPGDKITLPQSALEQLLAAA PLQEVFPNRPARPYTAVFDPLNPRTHAGESRPHERVSERQHQLPHPLTFRIVNPKNGR AIYAGIREFSAEENEVGLSAFLRDALGIEDDQFPSETYGYWQTSELSETIDGTAESQP TSLAPDLAPLVTVHVEQLPKGAYVRLRPLEAGYDPEDWKALLERYLRDNFTTLSTGEV LQVSGGQHESFRFLVDKIEPAGDGICIIDTDLEVDIVALTEDQARETYRRRMEKASRA IGTQGDSSTGGVLSIGEKVYGLVVPGAYVDYEIREWDRRDPIIITVECAGDADVSLFV SPLTPRQRNRPREDQHLLSDFTTQPIKRVRIESTNVELEAAEALYVSVYAFDHREYSD EYPQNQELPLQYKLQISANQSVDSDEYSKNTSAHDNPNDIQCGNCQQWVPQRTLVLHE SFCLRNNVLCPQCHNVFQKRSSEWQNHWHCTQDSSYGNGVLSKHKHDAIFHSQRSCRA CGLEMEGLPRLAHHRITDCETDPDMHDPEVLVSGLTPHELVDGGRTTECHLCNKIVRL RDMKTHLRHHDLERLSKPPPRVCLNRNCGRTLDGRSVQSASTPGIDTLGLCSFCFGSL YVDTYDPEGKALRRRIERRYLSQMMTGCGKPWCQNEYCKNGRRARQPSSIPMNVTPLE SMSVANILATIKPVVDAICLQSGNLNTAPFYFCTDQLGQQRRILAEMIAAEGSVASGK EYDLPWCVASVEATGGDLIKAREWLENWAPTKNEEARVLC AOR_1_42044 MAQYYPQQQPYGSQPSAQNLQFYPSSYGSVSGHTTPSQASYGGF SAGPNPAAQAYPIGGVGGGYGGFGSPATGVSGRMGEQGGLRTGWLAAFGTEGYEGEPP LLEELGVNFEHIRTKTLTVLNPFARIDQHLMDDSDLYGALLYIVLYGTFLLLSGKVFY GYIYGVAVFGTVALHLILSLMSPALDTSPTPNAADPANYDPHHKPSYSEASAAGHFSA TLTFPRSASVLGYCFLPLVLTNLVGIMIPMDTMFGYLLTTAAVGWCTYSSSGMFCAVA RMRGMRGLVAYPLALFYVVFGIMGIFSSRGSGTLAAKTGAT AOR_1_44044 MSSPLSTEDGDIFERLQQRADPKVLEEQQQAVNERIHAIYRKAQ NRLGELIDQNSTLPCAISSVQILNAHHTRRGFLERIFNPLLSSNQKRPYTLSEALREV SARADKLSRFDIFQQPVSVYLDKSPEGDPQTGLPTLDVYVSVKEKSRVLLKTGTDLGN TEGSAYGNLLWRNVFGGAENLNLNASLGTRTRSAYQATFETPILSDPDFRLEIGGIAS STQKAWASHEEALKGGWSKIRWMSQSGHRHEVGYNGFWRQMTGLAENASPTVRADAGD SVKSSIFHSWSKDRRDNGLLPSRGYYAKAFNELAGWGPLKGDVSFWKSEIEAQNAIPI PVPGIEGNSGISFTTGFRAGLLYPLGMDADHRPQPSRTNDRFLVGGPTDVRGFRLCGL GPHDGADAVGGDVYAAGSANLLLPLPRVGAEKPLRLQAFVNGGRLLPLRTAQKSTPTT GSEVKDAMVSTISELGNGVPSIAAGVGLVYAHPAARFELNFSLPLVLRKGEEGRKGLQ LGIGINFL AOR_1_46044 MATKAAFKRLTREYQNIQKNPPPYIIAHPSESNILEWHYILTGP PGTPYENGQYWGTLMFPPEYPFAPPAIRMHTPSGRFQPSARLCLSISDFHPKSFNPAW EVSTILIGLLSFMTSEEMTTGSVSASEAERRVLAARSRWWNSTGGGSHVISTPGVTPT AKGINNVKAGDGGLKFRAEWPELDQENWKWMRDNHIDTKTGQLIPDPNAAAATKCSPE TSALRRRPNGSAHGLGAVVEGGHVAREAGQSWVRRNRVWVGLALLFGYALVARLLNDV QG AOR_1_48044 MDSATGSIATHYSHSGPRPTIITSPDRPTFTFVDHDDDLSSKRI KDVNARRTIRSHVMRDVRRRERLAGLKRVSRREGLAGKAVVQSNFEDSPSERASPTRL ADPSGPKLLMGRGPPRDITKNKEKRRQQPDRRYASHSISTGSISIPLQFPTSWLVDPF STLPGASDVPIITTRLVFYWGTVFVPMTFPKEHAFNERAKTEMAVQSSFTDQGSFFGL MSMCAAHRAVLAGHHSQFQATTAASHRFLHDADYYVMKARCIREMNIKLCNPALSLSN EAFGTIINLLTGALIAGLFEEARMHLRGLKRMVDLRGGITDNSIHQSSMLAAILTTDV KAASGLMTQPVFPLARDLQPVSASIQERIAPSDTSELQRLGAAFVSDLQLSSCLLSIL RAMRDIVLYGQACNQNPAVLCTDDHEFFRSLNREVEHKLLSYVYSESTTRGRPISEAT SYLGSLEAVTRIASICYLNHFLIVSPPSSGLGRALTRHLKQALADCPWPPLSKEGHGL LAWVLFIGAQSSAGQVERPWFVERLSRIALVCGWHTWRQMADVLIDYFYLPSTNQADW ESIWNEAMV AOR_1_50044 MGWFWADSPSQPTPVAPSPLASSGTTPPPACPMHTSNSPPVPSS VPEPPTACPVRSKDSPFYVPPKSDTPLPSKAENKSTLSKLNPLNYMFSSISQERAPNQ TVDLSLEREPSSIPRGDTDGNWEYPSPQQMYNAMLRKGHTDTPQDAVEAMVAVHNFLN EGAWEEIVGWERLFSKGLKEGWAKCRRGEENIALDAAREELTNAGALENQPRLLRFKG RPQELTPKAQVLQTLGWLYPAKFGTPPPFDRHDWFVMRQTPSGPKEVRYVIDYYSGPP EPTGEPVFYLDIRPALDTPTAAIERMMRWGGDVWWRATGAAVRENGGN AOR_1_52044 MRTAVLFGRFCSSRSGTPQLLSRFILPVRWRFSRSPSWRQGLGW KSHRRMHMPGTLLLSSLTPGAFLKLAEEGDGNEKTGEMQMLEASRQEIQKSVTEDARG LLKLQQSIFVFWYYYIYDPIATGLRFAHLVVVFLPVILTVPVVWLGKRLKTGKEVRSG TLWWYNFLVRSMERAGPAFIKLGQWAASRTDIFPPELCNIMSSLHSNAPSHSLQETKK TICKAFNGLPFEDIFEEFYEEPLGVGAIAQVYKARLKSNLAASQDQEALEIQGLRDKV RKNVDILMKSTPQRVPSSYVAVKVLHPKVERVIRRDLKIMSFFASLINAIPTMHWLSL PDEVHQFGEMMRLQLDLRIEASNLVMFREKFKSRTTAWFPYPYLDYTTREVLVEEFAQ GIPLSTFLDVGGGVFQQEIAHEGLDAFLHMLLIDNFVHADLHPGNIMVRFYQPSELDL SLRKHTRASDAPTAADVDVTETVLARLRPHAHSPEDWERILEQLNAEGYRPQLIFIDT GLVTQLNDTNRRNFLDLFRAVAEFDGYRAGQLMVERCRQPGEVTDPEVFALKMQHLVL SVKSRTFALGNISIGDVLSEVLSMVRGYHVRLEGDFVNVVISILLLEGIGRSLDPTLD LFKSALPILRKLGSNTTFLKSVRSGDTSMLRVWVGLEARGLLQASIETVELCVKSDML SPNI AOR_1_54044 MGRLDSICDAKLHGRNDHSRHFCLSALTWCVISCTCIFMVFASV YFSRYSLFELGLLPLIQRVNPAPFHVYFKEFGSFEKPKNIRIVALVPFRSHERTEILD CYLQRNLLDNHGFLDQVIFIPQTNNTQSLDWLTSTVKRTPLYQISGFGDYIQWGGMDE NVIFIKIDGDTIFLEDHTISTIVKTKLDHPDSLIVSANVINQAALQALHSHPGVALPY LPELSSSDQPQIPVTQDWRATDLPAWEGPADFKVSKGYPPPSESHRWLPSADENGDRT PIGMSMYGDNGPELDDWTIHAQQHYSFLQHLEDGDLYRYKFPMWVDPTDSLSPNFLCL RAGDPSIVKSIIQQDTDKLSLEVAQEVLGSDRGTIIDGKGLAAHYSIEASSWGLDSTD ILHRYRAYAKEMICLDTS AOR_1_212044 MRNSMEQSSLHVTQLQGNERKECPSLDEIISSLVPMPPALAPGV SMPLSLEEQRTLFDTLQEALNMAKTRYQTSLTAEAEGDYPSFKQVNVLFEGLQDILYR LWTCRSSFMVQAAEALANGSRNPLWRLPYGQSGVLTFFLQLIASKEDVGTGLLLHALR LIGNSCADTDENRTIVVKGNYTSAIIQHLLNPELIKIVIPVIYNICIDFEPAQSQLAA SKIVYILLKLLREDSFKDNESLLNFVYELIELTAEQEQGIEVSPDETISLLIDLAVNK GIAHTPSRFSCIATCSMAYLDNGRFQKICITRYMVADILSMLSKSLAFDAGWPSNDDI QALAQVQLRINQTLAEISGTPLFAEHYPLGSDLSLMLRSWLQRTEDQLQICSCVMLGN LARSDEVCQAMVQDLNIHRDLISIVKSDAKGAVLYAALGFLKNLAIAGDNRLHLGRAG IIPAVSQLWEYETVPQVQFAATSIVRQVIIASVENVSHLLENFPGDEDHRTYLSQLLL LFEKTDSTPIRTEIGRIIASICRTLIPKSREQDEEADAILKRLFGIHSGIAFPLGAMI SQTQWPVVRSEGWFALALMASSQPGSGTALECLEKMDLFPTLEQSLSAESLGSADEAN KLQLIKDRDNIIVLIQEILKQDPIQLSDSAKNTLRGLLNSQVLRNLDSTRER AOR_1_58044 MTRAQQTLSVLLLVSSLYLVLYLDLVPLNETVQKEIVPVLPFYA LISFGCYLLGRLGVAILTFNDVPEAHEELQKEIEQAKAELRRQNVEVD AOR_1_60044 MSQKVYVTYNQVHKLCQSSADNILTTFHPNLMIAIGGGGYVPAR ILRSFLKRAGEPNIPIQAIGLSLYEDLGRGDAEEVPGTKVTRTQWLDLSSLEMANLIG KNILIVDEVDDTRTTLEYAVRELQKDVEQAQKQLGREGEKTNFFVFVLHNKNKSKKGV LPADMMESGRYHAAVTTDDVWICYPWEAKDIDEHDALAKENPLF AOR_1_62044 MNIELLHPRHMPKPGNDGYRTPTIEDAESFIAVQRNGNSLYPFA GAWARQAHSSALEALHSTPCRKPEDDAVANVNGVHPHSETDCSAVSLSWKQRIRHFTW AFFTLTMATGGIANVLHAIPYRFRGLQIIGVIFFLFNILLYVIIWSLLVMRFYHYPYT FKASFLHPTESLFVPASIVSFGTILINISQYGPDNTGPWLAHVVGILFWIDACLAIIF SAGIYLLLWSTQTFTIAQMTPIWIFPAYPLLIIGPHAGILSSKLEPSRSLPIIIGGVT IQGVGFLVSLMVYSAFIYRLMSQKLPRENVRPGMFVSIGPSAFTVAGVVNMAANAKRC FPDDFMDNGPLAAEVIRVVVNFAALWLWGLAIFFFFIASFAHWSAIGPGRMVFSMGWF SFVFPNTALITATFAIGHAFSCKPILIIGCVMVVPLILMYIFVCYMMIRAIALRQILW PQKGEDKDEGGFEINEIKPESPGNHSPA AOR_1_214044 MRAEVRLFKICLRAVQEEGNGSYIIDYTVPAMEVDLAEARATFE TNFFAGINMCQTFLPLLKKAQGTIIQIGSVAGVIHYVFGSVYNASKAALHSFSDTLRV KLAPFGVNVPTIITGGVQSRIARVKRTLAPNSLYAPIEDEYNRRVIHSQDGAMSHTAY ARSVVTQILYGITPLRWLWPWAKGRKSWIWEGNKSWLIWFLSGGWAWTGIFHHAMTRM FKLYKLKKMGGK AOR_1_66044 MFKLARSRPIAAAFRAATESSVQSRICQQQRNLSIHEHLSAKLL KSYGVGMPKGEVAYSAEEAEAVAKSLGNDDMVIKAQVLAGGRGKGSFDNGLKGGVRVI YSPTEAKMFAGQMIGHKLITKQTGAAGRLCNAVYICERKFARREFYLAVLMDRQSQSP VIVASSQGGMDIEAVAKETPEAIITTPIDIKVGVTDEIAGKIATELGFSEQCITEAKD TIQKLYKVFMEKDATQIEINPLSETSDHQVMAMDAKLGFDDNADFRQKEVFSWRDTTQ EDADEVKAAEHGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGNPANFLDVGGGATP AAIKSAFELITSDPKVSAIFVNIFGGIVRCDAIAQGLINVVNEMGLRTPIVARLQGTN MEQAHKLLNESGLKIFSIEDLQNAAEKSVQFSKVVKMAREIDVGVEFTLGI AOR_1_66044 MFKLARSRPIAAAFRAATESSVQSRICQQQRNLSIHEHLSAKLL KSYGVGMPKGEVAYSAEEAEAVAKSLGNDDMVIKAQVLAGGRGKGSFDNGLKGGVRVI YSPTEAKMFAGQMIGHKLITKQTGAAGRLCNAVYICERKFARREFYLAVLMDRQSQSP VIVASSQGGMDIEAVAKETPEAIITTPIDIKVGVTDEIAGKIATELGFSEQCITEAKD TIQKLYKVFMEKDATQIEINPLSETSDHQVMAMDAKLGFDDNADFRQKEVFSWRDTTQ EDADEVKAAEHGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGNPANFLDVGGGATP AAIKSAFELITSDPKVSAIFVNIFGGIVRCDAIAQGLINVVNEMGLRTPIVARLQGTN MEQAHKLLNESGLKIFSIEDLQNAAEKSVQFSKVVKMAREIDVGVEFTLGI AOR_1_68044 MDPAVLDILTRFKDLKSTSARRALYHLLLEQMHPYEWREVRDRM NQVSFQKDILGTLPTEVAVQISRHLDLSEIHIFRRVSRRWNCLLSSRLFRDAVCHQYV GHNSRSIALESPDAFTQYAKQRVRLERGQPISKVLNRPYSPIPNATGLVGLDFSHGNY GWIEDAIVYVHNLHSNTTQSFCTENRDTFTALRISESIVAAITLHGFCHVWSIQTNDS AYFRLPSLNWKYFVVRGVNVAMAFSGITASGPDSIIHWQLDCRVTHTFNTANKIAHLD VDPTSRTLITVHLEKTMDPDTPMHIRCCPAMYAQLRVNKYPFKDTVAIRSPSCTVALS SFADPAWHVEIDDSLSLALGNAMGILTFRRGGYLAETPGDIAAITYNKGTGRVSVNVV SAENTPFIPLCMTLVDSNIIYYVKNDNEEIVTSF AOR_1_70044 MSGLADPASRNTVVSGATDVPGRTRKLPLLSSSVPASGQPMGDP MEVTPPASAAATGAGPSAHNNSDNDRAGASTIATSNGSTEPAHVHNHVAPSQAIGAAA AAQQPKVVQTAFIHKLYNMLEDPSIQHLISWSQTNDSFVMSPTPEFSKVLAQYFKHTN ISSFVRQLNMYGFHKVSDVFHTGSPDSALWEFKHGNGNFKRGDLIGLREIKRRASRHA LIHRDSFPGHKAAASQPGTPAEPVPDATEARLMNLEHTLYDMHNRLSRAEEGNAALNA RCLAMAESLSRCYHWTHSISRFLQGMIPDREGLLYRDVSSMQAELEKHLDSVRALEHP PDPYLSIRQPYVPTVSIDPGPPLSPRQMPQEDSRRPSVMDATRPNMIRPPVPSHLAVS PRRYGSIGAANSSPTYSRPQVPTVIPPQQPVPHPLSSVSSPPGPNLARRHTSADIRQH GWPPPGVSPFQSAHQPGTATAPWSPSPHRTPTSSDQQVREVLAQYEMGAPRRLQDTSR HATPPLNPDQTNSGPTADSSWTLGPRFPRHDTSLPATRRSSMASNVHSLLNPADTAER PDEEQHATLEDRKRKRLE AOR_1_72044 MSLSKTETDVILNKANVALARSQRLVASWLPPKTQEEQANTKSE EALQQEEDEVFTAVPETLGVGAPLPSRAADGSWNRTELDSNDKLRRQLLGKNYKKIMS ERSAAAGRQPSNMKSLSGASSATNQSQTTAGRAGDDDDEDEGRTASVGKKGPTKKRKA ESIPRSLDYIVTDNDDRGDRVDGGGDGEVETLVKQGESLSQQPSRLRGRRKATSFLDE ILAERSRKRKKK AOR_1_74044 MAVESAVRKYGKMLGCDFSDCSRYKILQLHSNLPDGQKELFSPV SRACRRVILSTDVAETSITISDVKVVIDPGKGWQSPAGEYFALFTRDMHKSFRITRFP GMMREDLQQATLQVKRTVSSASIQDTLRDSIEPPDAAKVDLAISNLQLLRALDEKERL TPLGVLLSELPLDPCRAKLILLGVIFRCLDTLLIIGVIGGDQSLFYSSPVQETRNDVH RTRVEFSRNTWSDHLSAANAFKATREVWYRKGRAAAFGFAVSNHIHFDRVYEVLQAAR HTLEFLAKRKIISCHEHLDERFQFGGASLNTNSWRTPLIKALLFHVMYPNLAAPSSAS RRRYYTETNDMTHMSPSSVNSTERPRSLFIFNSTTKPSSGDTYVLKQTSHVTPLAACL LGGRLHGSGRRICMDSWLGFLVQANEGSGGDRAARLLIELRKTLQIAFDAAFHSLGQL ENHQPTKEPKSTRSHDLLFDMISEIMIDILVRDIDPVYSKRVKTATQWA AOR_1_76044 MGKGRSVFKMAPCLSGMGHVLPINQARSLPASALSIGRYAQFPS TRRTASHAHTKSQDTTAYLNNSETPQAHPLSGYYHDILSSRSPYSRQASSSRPISEEP DDEPSISSKIEPQSPQDKMSIVFGTRLAGPGRSSRYNPGATPSESTWKTINGVAIPPR PEEPDNCCMSGCVHCVWDDFRDEMEDWAARVAQAKAKGTSEGPTGDLLHTPRAEVASA STSMDDDGGGSETKWTIPDQADDLFASIPVGIREFMKTEKKLKQKHQQHGEGS AOR_1_78044 MAIKHNNQILNQHFRKDWQRRVRVHFDQPGRKHRRREARLAKAA AVAPRPVDKLRPVVRCPTVKYNRRVRAGRGFTLAELKEAGIPKKLASTIGIAVDHRRV NYSKESLVANVDRLKDYKARLILFPRKSGQFKKLDSSAEEVSAAKAAFAAEGKTEGYA TRANATLPIKNLTAEEAVTEIKRDDLPKGEEAAYRRLREARSEARHKGIREKRARAKA EEESAAKK AOR_1_80044 MPRDPELQLSQCSLAPPAEISGPVSPTRRTSLSASPDISVRAES HTSRSERGKFFSPPSLSSSDMTPPPSSQITGAPLRQSRSRSNSYLASPPDIEKTLCVA YGASENLPTTEEIDTADESKLRAIAKELLSVAQEARMSALHFKLQNSLLSFTSNEAIK RAEVEHKLARREVEIIQSSEYRRRHSENKPPQKIANVELELAHKRNQELERVNATLDR RLRRAKKLIDQEKVKSDRLGEENSLLKGRIRDNRKHLSLMIEHGSLSPSPQTEIQTPH RKSVPHFADNSHHMASDENHNPFAALLAADRVLNRESPSAMSTSNQNGAQQQFGNHHV RSAHTLPSLPMTPSRSRIAQQESQYFTLNKDSQPQRRDRDSTISASDTEEAESEDDGP SHADLVTTNMFRHSRSDHQNVTRVANAPKSSTLLQTTLFGQIRKAGVERPSSSLKRKA SFDSVTSKKSKAEERVGLGIDTWNNNSRS AOR_1_82044 MPKSPSTKATTLDLTLEQQSEMETRRTSRSSSALRESIAKAKAA RKAAMQSVDNSQSSSLDELSVEDPFNQRPKDSNKGLLRKRLEAGRTSGHLNIAAMSLT ALPEEVMTMYDFDPDSVTEWYESVDIVKFIAADNEFVELPDSAFPDTDPEDQDADERG NQFDIQIIMEMESVKDLKLAKNHLQGSFPADIKNLSNLEVLDLHSNSLTELPNEVAYL TSLRVLNVGDNHLSTLPFEGLSKLSLKELSAPNNRLKDTLLPASVQALMTLQYLNVTG NSLTKLSNHDTLELPNLHTLAISMNRIQCLPDVSSWQALLSLSAENNSIADLPRGFTD LKAVRNVDLTGNNISKLDERIGLMESLVIFRIANNPLRERKYLNMSPEDLKRELRNRC EPEPQDTDDEEGSVATQFTLAPEAPAQSGVWQVKPGGVLDRSYADLQDLDMDHLERIN PHEVRCLYLQHNELRCIPAPTLSMLALNLTDLDLSHNPLDSTSLSPTSLDLPSLQILN LSATGLTSLEPLLASLQAPSLTFLDVSNNRICGSLPPVRQTYPSLMTFLAADNQFDSL EFDAIQGLQVLDIGNNNISFLPPKLGLLRAEGCSKNWGNGSALKRFEVAGNSFRVPRW QIVAKGTDAILEWLKDRIPAEDLCQWEPDDESSI AOR_1_84044 MSTKLHVTLTTTLTLVEQFHLTLSSPSGNPASTELSGRDALPLL SASSTALKSQVTKLSLLAITSPFTSSAVGSVLSNLNGSVLPSFVTAALLITPTDHTKA FQAEALSLTKTGLNELSSLVKEVQLIAERNDEVQDHMEKKGIELSQNEKDLVTVATGR VWGACDALIDLASNGVVGFIIRRVEEWRDLVRDAVEEIDEWNPDEEGDEFFDELLSDD GKQSLGKDSEGESSEDSSDSEDTAVLYELKRTSIRLLKPVVQIYPAIITNRLKKVPEF SPSLANQLESLMTNLHRIPEQVDEVAGALYEGDLERSIRYLKRTKDCAVEAVKLVVLP WVEQNVTDSQQNAEDKFTNWSKTWLKVIDEVSKPLDDIKEAKLQ AOR_1_86044 MGTTKPRPSGKASLAAAQTLPERTFIIDNGAYTMKAGYAPDSIT EDETEALSACSVISNALVKTRDNRVYVGSQLGTHVTDWNELMFRRPVEKGYTVNWEAQ KEIWEHSFFDEKTVRSKDLRIAKPEETTLVLTEAPNALPALQKNADEIVMEEWGFGGY LRCVGPSLNAWNEVQSLFGDPIVQKYDSAVSPRECLLVVDSGYSHTTVTPLYKGQPLQ RAIRRLDLGGKHLTNYLKEMVSMRQYNMVDEAYIINEVKEAVCYVSDDFARDMEQTWK GNRKRGQFDPDEGVTVDYVLPDPNGSKKGFMRPHDPLLNAKKRKSALSGATAEALSED VLVLSNERFTVPEILFNPSDIGMKQAGITDMILQSLSVLPTGLHPAFLANVLVVGGNS LIAGFMERLEKELREVASAECAVRVRQPQDSIRSTWLGASRLATNKDEMKKVAITRQE YQENGSVWTGRKFAGTL AOR_1_88044 MLRKLRHKYVRIVAREFAQGVAAFPTGQEIALLMQICLPSLKWL GFSDGRGVSIVVRWWNSYSAVITLHADAAHSSVINVVNRGLRAFVSHPQRGQWIFSHL AOR_1_90044 MLSSSLRSLGRNGPSIASRAFSSSSSAYAAEVKSLGVIGAGQMG LGIALVAAQKAQVPVTLVDNSQASLDKGLKFADKLLDKDVSKERITREVADTVRSRIT TSLKMDDLSSADFVIEAVPEIPDLKSSIFKQLAQIAPKHAILATNTSSISITKIAAAT TTNPTDLQAPSRVISTHFMNPVPIQKGVEIISGLQTSQETIDTAIAFVQRMGKIASVS ADSPGFLANRILMPYINEAIICLETGVGAREDIDNIMKNGTNVPMGPLTLADFIGLDT CLAIMNVLHQETGDSKYRPSGLLKRMVDAGWMGKKTGKGFYEY AOR_1_92044 MNDEAVAFSQAVQVVDPDVRAHVYSLVTALGGFNGEDADRYVLG DDALACLRDIRRWLKLYDEKYHRMDVARCLGEANLVNGDLLPIFSLWWSTGQKSKYMS RIALACLELLVPLTWPLEVHGEMTVNHHRHFPYLQHAQVSYKRGLLSRGDLNFLRTII RIGLPSMAVPRSERTTRDDGILKLMLYLLRNIVVIAPNARLAADGDEEETSRSATINA FQAQDVFALLLTMCSNVGDDFNMQDVILLEILFHLVKGVNVQKLFMDDAQRSAKHTDE LDTLLKQESSLRREYAKNAPTRHGRFGTMIWVKRDDAKVSTVSGQDVLKDSQATLQKM DESKKWNRPQPRKTHEDSVLNNDFSTPAHLNSTASRNLRMFVEEFLDSGFNPLFTHVR KALEREADRVVPINSRQFFYTVAWFLDAERARRARQQEMHLQNGKPMKELERDGFGLV ASVLDQETLVFLNRSMQISFDQKDWEDLNAEMRCFTQILLTVQEMTQSLLEEDQEIAE NIQNRIFYEETTHDRVLAIMREYKGQGFGYLDACTELSHVFLRMLERYSKENVDMQVR SRRRSRRKNMETQVAAPEDNNEEHASDDEDIMEAERICRERKFDFKRFAAKFCNQKCV DTFVAFTKFFRELNSDQLKRAHRYFYRIAFKQEMSVLLFRVDILNLFYTMIKGPGAMD SSKPIFKEWEELVRQVIRRMIKKIDQRPALITELLFSKMNSTLFYLEYGHERQTLPSV RRAPAELEVNPSEATTQEDKIKIVIGALVMDGQADLVAWVSNVLGTAAEERESWEAYE DAQRDEAQGAPRAPNPMIAVLPHDDACKQAMYFNAKLRLLMTLVGFERLGMEDVVGAS WVVPSSQGSKDLKGIKLTIDKCLEDPYTGDIEHDPRQMLRRKYKPDDKEHNRQTTLDV DFGSESEGEDVPDGPLFPPNPRSKANAPNDSKTRRKNREAVGEREPIDEDTLEARRKA RQENTRARLAKIKSELFVHASDDESDEEADKEFFDLEEKRRKEQAARVRKALLTGVVD EIGRKANKKSERKRLSNSGKSDTQSKRQRRGRTTEALDEDDDIIMDGAVARSSDHATE DDENTSATSDEDDEFDFDDNLAFRRDRDLDRDPVLPSHAEDMQPTDTRELRDNDENAP VATLARRRLRAGFVIDSDSE AOR_1_94044 MAVLGITGNAHTESAASRLAGVEMRRRTRIGVMIEVNERNQNET LGLEEEAVKIV AOR_1_96044 MTEKRNRRSSLMGSLQILKSTFGVRHDQWGLEDNKDITTGSNTN REARRSSPLPPTTTGVCHSSQWQPIVHACRQSFMPLSEKNSSRYNTVNNDPRGLNISS RLQEQEPNLRAQHRASTSRCQTSLRYSSTQIFSRIPTPAKSPAGDLYSHEVYRKESRR SVLSSLGRQCVDIDKDAGRVYKANLDKEEERKDFIRRTDLQRLGSERQMFDTDATSNP GFQIQTHCQRTSDGHHIRRATTELSSKIFSNSIHPANDTPVSCVTVLRTVRPSSLLAS PDARSRPATRLFDPISAFISRRETGAQGNDCVKNGHLNVSGPISGSVKVLPLRKERVE YHTVPKGKLPTHHRLLEDFQLANQKAIGNTLQKRDRMEYVKKSLRWTSGFENLKLETR AKADAFSPAKRPKAPSRCATRLSPLPDTGNQRHRDQIGRMVLSRNHPIGFRSKLKKPG LTIHADWVSQVSESQPQQYWLGRFVTLVNAFHYEDSFHEPDIATGFGMLSSYSRPLGH PDSNEAGYRIKRAFMVLENVCMNDEASGSLRKFRCEYINKFGDGWMV AOR_1_98044 MAMAVAPSRPFDYLESLPGTTFYKLYQQPSTALAIFRRMLPDLA KCFVMALLYLKDPLPATDLEAWVKAESLKERDNALSILGRLHILSNTVITDNVRAYKV TDPFASSLRQALTGAEKTQSFGVLWHISDGAAVSIAELDEYARRQWEGVLGYMVGTSG LGIQRDANLSKGVKQLLQAGHLVEIRDRRVEITQDGFAFVLQDVSTQVWHILMLYVES ADAIGMSSVEVLSFVFLLSSLELGKAYDKKHLTSNQLRTLTDLADFGIVYQDSPDASH FYPTRLATTLTSDSSALSNPISGSLSGPSGTGSNKAGSGFIIVETNYRLYAYTSSPLQ ISLIALFTTLKYRFPNLITGKITRQSVRRAIEMGITADQIISYLATHAHPQMRKHNVS RSTSNQAGIPPSVLPPTVTDQIRLWQLERDRVKATPGFLFKDFVSLAEYEAPCRYAEE IGVLVWKSDRKRMFFVTRHEQVAAFLRSRK AOR_1_100044 MTALRFVRSVWESFRATSGLEPRLLDNLRVTAAKPGLVNFELDI QKEHTNRLNILHGGTIASMVDLGGSLAVASRGLFATGVSTDLNVTYLSSGGKVGDKIL AEASCDKFGKTLAYTSIKFINSKGEIVARGSHTKYIALAWKDPQNIVEELGGRQS AOR_1_102044 MGLQSRQAMAHGLDSRPSVEDFREDSVWVQLAKTHWLETPKVRK VKQDVIKKDIWDPLEADNFNFRSLLTLENLNILEKFLWPTYTEDASNYHVLLLALIVT VKQREHLPIWDIFSDRPDDFSNLFHRILSMSIDQSLLTSSRLSIVSFIISSFQSLENV LIRKECAPLVSISIWHNLSSDDAREQVLGKGPTLKKAWRAAAKRYDAADEAAKAKMRF DRSWLYTMLLDFLQRLNGTEKDQVDNLRYCERFLEFLVDLESQLPTRRYVNTLLKDLN ILPVIRLSKLYRSSENALFRDLYNLLKHFATFSIDDYTGESLSPQAVYDTHCQELAHL QRTSMKYFKDKLMILALSNYGSIEQRPELEGQLSSLDDSELRSLCSHLGFRTDYPKQC QITPDRHLYLEILLSFYERKAPFQDAVSRLSIVPTEENLYDPALLRNETYDGSKPLAI PKLNLQYLSLGDFLWRSFLLYRSEAFFQIRKDMETIVKRMQPRASRDGKSLTFDGFSR MAIPISKPAIIEVAPPKVGFSNPAFVRAEIVIEVGRLADHVRMEWESLRPDDVVFLLA VQPGAANKMAFQDPTLTDSPSLTHLRTAEIVQVLDENGRPLREPVSGQTNGHRSRPRI RRLLVNLDPDAFKVDKDRSMQGKPDIYPLVNVVARRKGRENNFKSILETMQRLIVSDI ALPSWLQDIFLGYGDPAGARYTELPNRLKSVDFRDTFLDWQHLIESFPGTTIEPSGEE TSSFGPPYVLEYVEDSQKTPSTNASKKRRRDQTEKKDKSSYSLRVSTYKPPNPGPYPV DAPKLNSVRFTPAQVEAIASGTQPGLTIIVGPPGTGKTDVATQIINNLYHNFPNERTL LVAHSNQALNQLFQKIVALDIDERHLLRLGHGEEELETESSYSKYGRVESFLDNRNFY LSEVTRLASSIGAQGAHGNSCETAGYFNTVYIQPAWAKFWDQARTENISLEEIIAAFP FHAYFSNAPQPVFDSSSPKEAVLDVAEGCQRHIDKIFSELEDIRPFEILRQPKDKANY LLVKEARIIAMTSTHAAMRRQEIADLGFHYDNVVMEEAAQITEIESFIPSALQTMKDG QLPLKRVVLCGDHLQNSPIIQNLAFRQYAHFEQSLFLRLVRLGVPVIILDQQGRSRRS IAELFRWRYKQLGDLPVVETADEFKQANAGFQFDYQFINVPDYQGAGEREPTPHFIQN LGEAEYAVALFQYMRLLGYPASKISILATYAGQTALIKDVLNHRCAKNALFGMPKIVT TVDKYQGEQNDYVILSLTRTRTVGYLRDVRRLTVALSRARLGLYILGRREVFESCYEL KPAFDLLFQRPDKLMLAPGEMFPTTRSLDDEIQGTPMEGVEHLGQYVFEMTQAKLKAI GEQDIMVEDAMPNDEEGLVDEDEIMLGAGEEPDEDPLHEHVFTGA AOR_1_104044 MADEDVESIHVYRRLVDNLLTRAELVKLDKQIEPPLTETHLGEA IWKVEGDDEQVASRLSQQTQFAAVEIAFRERFYSLLATTSIDEPSFIQIWNLLDIISI FSDNEQCEPGLIFWLIEELLDSQTIDGCRKVFDYLESRRERNTKKHFKQKSLIILRSC NELLRRLSRAEDTVFCGRVFIFLFQSFPLGDKSAVNLRGEYHTENVTTFDEIPELDTS VLDEADVVMSDEQGPPTTTEGQQENNDTKAPSIADPQAPVQDQPAAPKVIISQEEGVD EKANDLNKLYPTFWGLQAYFSAPTRIFDPQHFATFRTGLEATLSAFKSVNTDLESSGT SKTSEELRKSNKRKRTSDGQEIASSFNPKYLTSRDLFDLEVNDTAFRRHVLVQALILL DFMLSLTPKAKAKLAELTNKSVLYGFVLNEDDAKWAVKMRKAIEEYLQEGVGGKFYYR MVDTVLSRDKNWVRWKAEGCPLIERPPVSVSDYLGAREHATKVYANKRLRSSPMGSLN LNFLSEGESLAGLERLKEPQRFAVPSSDSFMMGIMDDELDMDTAQTKEDKENATRAKA SKTWRVLRLSAKSKLAAFDKIEDGKNLKLLFEAPQPSEGTPQVLEGTPQAHETMTKAS TEGESGGSGHDHGSNSSEQGNVTVATEKGSADSKDAAAAATTTDT AOR_1_216044 MQSAVIKAENAFPAGAPVGQSNSVRYSGVEMPSDSSGNRNVQAD GDASLSRMKLERLNHSEPLLSIPRGGSSPQAPRELTPEARTGDQEEQHDHEKDNVEAG ASSDDGIGSPRTALDPKTEKKKMKRFRLTHNQTRFLMSEFTRQAHPDAAHRERLSREI PGLTPRQVQVWFQNRRAKLKRLTSNDRERMLKSRALPEDFDTTQVLRTPFDNKTASET PVPFPFYHMTSISGANASKMLLTDGLQRLNDDDYVISPLSSASTTTGSGFPSTAADRN LEGYMPNGTLANRAAPTPVPDLQRHNRSAFPFSRSSSFSEPSFNPSLHFPGRYSRPGE PVSHPGMPYGRRPVDYGINRPGTSMVVGYDGHRQLEGSVSPTGQTDQPMAYGMDGHSQ QLHSYQHSLTMPASKGFGGLDLNSHMQPHGRHIPALQSLPVSEAPDYRHYSYSHHPYS MSTAMPYTQANASSMSLPASFPSDTGSASHGSVGGSTEDRINNPPQALDPLRTKFSNQ PFEYSNYL AOR_1_106044 MVHPTSTCCKTSGDGSCVCAAQAKCSCGKESALHCTCNKAATEN TISGARCSCRARPAGQCTCERAPSENHPVSGEACACGKRPSSSCTCEKVEAIDAAVES IETDFTSRFRS AOR_1_108044 MSSMRGLVQFIADLRNARARELEEKRVNKELANIRQKFKGGSLN GYQKKKYVCKLLYVYIQGYDVDFGHLEAVNLISSTKYSEKQIGYLAVTLFFHEQHELL HLVVNSIRKDLLDHNELNNCLALHAVANVGGREMGEALSTDVHRLLISPTSKAFVKKK AALTLLRLYRKYPGIVQIEWAERIISLMDDPDMGVTLSVTSLVMALVQDKPEEYRGSY VKAAQRLKRIIVDNDIAPDYLYYRVPCPWIQVKLLRLLQYYPPSEDSHVREIIRESLQ QIMNIAMDTPKNVQQNNAQNAVLFEAINLLIHLDTEHSLMMQVSSRLGKYIQSRETNV RYLGLEAMTHFAARAETLDPIKKHQNIILGSLRDRDISVRRKGLDLVYSMCDTTNAGP IVNELLRYLQTADYGIREEMVLKVAILTEKYATDAQWYIDMTLKLLSLAGDHVNDEVW QRVIQIVTNNEELQAYAAHTLLNYLKTDCHESLVKIGCYVLGEFGHLIADNQGSSPIE QFLALQAKMITSTDNTRAMILSSFIKFVNLFPEIKPQLLHIFRLYSHSPDSELQQRAF EYLSLATLPTDDLLRTVCDEMPPFSERASILLSRLHQKTAGTTDKKTWVVGGKDANQD QKEVLMAQNTGLKRTFTTIVNGTSTGTNGTAASPASSATGDLAGLDLSASSAPPPPNM ASAAHLTPDWDIGYNRLYFKEQGVLFEDAQIQVGLRSEYRGHMGVVKIYISNKSSFPI GSLTTTLDNRAAPNLKIDSKSLPEPSVPAAGQTQQTFFFEANGPFTDAPTIRISYLAG ALQAYTLQLPVLMHRYMEPSTLSAEEFFKRWRQIGGPPLEAQNTFGVVAKAKDINESF TRQTVEGFGWRIVDNVDPNPKNIVGCAVFHFGTGKTGCLLRLEPNYEKMMYRITIRAT QEAVPQALAKQMEQKLAQGSTSDRYA AOR_1_110044 MTSQPPPQDQQQQPTVMPASPARTATLLSNLGSVTSRVTAAATK AAKESRPIRLIAVSKLKPAADVLALHQPPASHLHFGENYLQELQEKSKLLPPTIKWHF IGGLQSNKCVTLARDVRGLWAVESVDSEKKASLLDKGWGERSEELRATDQESQLRVFV QVNTSGEENKSGVDPVSGAVSLCRFIREKCPRLKLQGVMTIGAIARSKATTPETENED FVCLRETRDRIVRELKLEGEDARLELSMGMSEDFEGAIALGSDEVRVGTTIFGERPPK DQAKVI AOR_1_112044 MDPSKRDDDPPAASFTPTSTSDALASPTTTNDPVDRSHRLNNVS MLATPLPVSPSGFSSSSLLSPADAPARSTSPQAHTAPRRISSSNSLRDEHRSSSLKKR SSTASLRSVRNDSRTSTSPHRSVSQHSSSSLSSSPTATTPTTLSNPNMLSKKSRLTKA NELPTPTAASIATDHFQREVELHHSADLQSQTLVVIHDACYGHRFSRPRASRAALGSI VERPERIQASVLGVSAAYVRLTHRYEGGRFAPHPDMNLDELPVPPFQICRTARSMALS SPAVTHVHGSRWMDDLKTMCDAAESRLALNGKELVRPPSAGKDGATETAPSLHEGDLY LCSESLNAFEGALGGVCEGVDAVFGPRSTKRAFVCIRPPGHHCSSDHPSGFCWINNVH VGISYAAMTHGLTHAAILDFDLHHGDGSQEIAWEQNRKATAAPRSAANYKKTAIGYFS LHDINSYPCEMGEPEKVRNASVCIDKAHGQSVWNVHLEQWKTEAEFWDLYASKYAVLI EKARAFLRFHTERLASTPNGPPPVAAIFISAGFDASEWEGAGMQRHKVNVPTEFYAKF TADVVRMAEEEGLGVGGRIVSVLEGGYSNRALTTGVLSHLSGLGDATSCLVSGGVDDK ADRLTAEMTNRLGLLDISANVQHASSLPAYDSGWWSPALLEELEALVYPPPAVKPREK STPTYFAPTQSFTAKVVTTPRDRRSTGSQISVETDAPPLPPVSWATATHELSRILIPS HRQTTSCRPEDLNAEATRLRRERQTAAQHTSVRSAGNEESRMKLRARKPKTPLPETPN KETSKRQAIKSNRRTTIERASDLPDPSFDKSPNTRSTTRRKSAASTITSTPENKAPGD KTVRSASAASTRRPGSSRSATPKRASSPKTAPPVPRVPSVYTNLSAGEEAVITPVESR SLSRGTPDTPQDDLDSLTAGVRKLNIKLKVPSPEEHAARERERERQTSKRVSKTPIPS KKSSGTKGLNAPANKMAAHSNTALVRPDAENPITSLPTATGVVAEGSDIHGGAILDRS TVMSTAVPSQALPLQTAISPPVTPGATYDSTHPQHALYSPPISEPSVKAGIPVFISNS PIPFAPTSAHHATAPSTSRESPP AOR_1_114044 MAPISMSKDRPSPQESFTQMADKDLESIGRHCQFEYCNQLDFLP FRCESCRGTFCLDHRTETSHKCPKAGEWARRRNAQNASPDTSLPTQKPTIYNSDQCAH LDCKTLINTMKDPGVRCPNCNRQYCLKHRLREEHDCAKITPLGGRPAAAGANANETLR SMFARVRTWGKEKSHAATQSLTPTPKPNSPAARAVQLNTLKKSAKGDANVPADKRLYL HVVGTSDTQRVDPPNGDFYFDSRWKVGRVLDDAARRLRVENVNNRADEKERLRIFHVE SGEFLEFSDAIGAGKVQSGHTIVLMRGAGVMLGK AOR_1_116044 MSPSAETPSTGTSNGSTPSLAHNPNHEEHQYLNLIRTILASGEY RPDRTGTGTRSIFAPPQLRFSLSKPAPNPADDPIPVLPLLTTKRVFLRAVVAELLWFI SGCTSSLPLSDQGVKIWDGNGSREFLDKVGLDHREVGDLGPVYGFQWRHFGAEYVDAK TDYTGQGVDQLAEVVHKLKNNPFDRRIIMSAWNPADLKKMALPPCHMFAQFYVSYPNG QDQKGHLHCQLYQRSCDVALGVPFNIASYALLTHMIAHAVDLHPGTFVHAMGDTHVYL DHVEPLQEQLVREPTEFPELKIRRDDRGSGVVDGWKPEDFEVVGYNPHKAIKMKMSV AOR_1_118044 MSTSAPATSAPRKPMPSALKFDLHTKCSTTKARASTLHLPHGSV PLPIFMPVATQASLKGLTYDQLKQTGCMLCLNNTYHLGLKPGQAVLDEVGGAHKLQGW DRNILTDSGGFQMVSLLKLATVTEDGVRFLSPHDGTPMLLTPEHSISLQNSIGSDIIM QLDDVIATTSPDHARIEEAMERSVRWLDRCIDAHKYPERQNLFCIIQGGLDLELRRKC CAEMVARDTPGIAIGGLSGGEAKEEFCKVVDTCTGLLPDQKPRYVMGVGYPEDLIVGV ALGADMFDCVWPTRTARFGNAVVPSGTLNLRNHTFAQDFRPVQEGCTCTICRPKDQGG LGVTRAYLHHIAAKETVGAHLLTIHNVHYLLSLMGAARQAILEDRFPAFLREFFSKLY GEKSKYPEWVVGALRGVGVDLMED AOR_1_120044 MDEKEKYHQAAENADLERDSEVARNAKILNEDTGNDLLTETSMT SYQYTIALMVFLIAYALFEVPSNYFLKKLRPSKWIAFLMLGWGASTMGLGGAHNYAQV TGIRFLLGVMEAGLFPGLVYYLTFWYRNSERSMRVALILASATLAGAFGGAIAYGVGH MNQAHGLSAWRWLFIIEGAPSCASAFLVWFFLPDYPETASWLSAEEKELAMQRLQNEG SKGAAHAMTWADAKEILTDWRLYAHYIIYFGISTPFSSLSLFTPAITSGLGYTSLRAQ LMTVPPWAVAYVVTTAAAWSADHFNCRGLHSAAFSFIGAMGFLASAVLPPDAYLHRYG CLIVATSGAFACIPPLLGWLSSNLRSTAGTGLAIALNVSVGAPGQIVGVWIYKANEAK RGYPTGHWTNAALLLFVCVGCLLLRVYYGWRNKRRGMEDKFAY AOR_1_122044 MSANSASAPRTSWQDQLKAHCKNHKLDAPLFNIYTERRGGRTAW TCVASVQGRQYPAQFWYDGNYVNNAKEDAAEKALNVLSPQPSRNNTSYPGQMYVCPPR AOR_1_124044 MGSYSVSSRQHSARTGGSSSSTYSDASDRSKSTAPTIYSERPTS KRRENMDPKDSVSTYASTNHDDELPKKPRYEVVTRGAESDIFPSDAIPSNSSTFGKLF PSSRRLLIGHDDTTLDGNMNLCVHTLAPRRDGYQQAVILFHLRMYDLYSRDFSFRRYC RNSEREVCHSARRPISSGGPNKRPGFQRSLSSALAGLRPGSNGDHSTHSSKRKRQDLG QKSVKEDDEDFDGQDSRDQPLADTIMLEFSNYAHVEIKRRGAGLSKRYEFEYWCTRYQ WRREHRRDGDLQEVAFHLIDLRTSKTIAHLIPEILTPMEAVEEQAKGGWVPPSSMWIS EDSVYEKMPDVADMIVVTGLMVLVDDSIRRRWHSRKHGQLMSPVRSSLTRSMEFMGPR RLLSEVFHRRGSA AOR_1_126044 MAIHPTLSPQSNAQGTLSPQQARAISAWSEQAAAHLGNLTISDS VPAADQHRAGPESPSTRGALRGTTVTLSIPLDDPVPSTGNTSAPRVKLLGQPSAQTQQ VPSVSFRRREPLRRDSLKRREALLKGKDGSRRRQRWENDRLLNNPWAEPPSSRDWAVE PTYTRHDPMPYYLAPLWDVHYKHIDHTSSRQTAKGTKGEKHRVPKDLRLKLKHARAAR GMLQDIEEDIRQFIQRWGEKQLLLERDGLADAPGSSDEDSEDEVVFVGRNGQMHDSPE RKKKLQQMREAMHANRERDGEKLVFESLVDDRASGFGRWLVHSIASYYGLHTWSVTVG EPARREAYVGFYPPAPGSRAGLVTTQPTCRQEAMIQPGDALPQPLWTQV AOR_1_128044 MKIRAALALSATASGVLAAVVPQQALLNNPQIHHENEKYLIELA PYQTRWVTEEEKWALKLDGVNFIDITEERNPGFYPTLHQASNVRYPTKMEHVEEVVGL THRLTKANMERDLEKFTSFHTRYYKSQTGIESATWLYNQVQTVIEQSGAAEHGATVDR FAHSWGQFSIIARIPGKSNKTVVLGAHQDSINLFLPSILAAPGADDDGSGTVTILEAL RGLLQSDTVAQGKAPNTIEFHWYSAEEGGMLGSQAIFSQYKQERRDIKAMLQQDMTGY VQGALNAGRKEAVGIMIDYVDRGLTQFLKDVVTAYCNVGYLETKCGYACSDHTSASKY GYPSAMATEAEMENTNKRIHTTDDRIKYLSFDHMLEHAKLTLGFAYELAFASF AOR_1_130044 MEANDSSHDVAVVGMGCRLPGNNNTPEELWRSILQKVDASSEIP RMRWEPYQQNAQNARSIGKVPRRGYFVKNLENFDAGFFNISPKEAEQMDPQQRLVLEV TWEALENAGIPLSSLSGSDAAVFMGVNSDDYGKLLLEDLPHVEPWMGIGTAYCGVANR ISYHLNLMGPSTAVDAACASSLVAIHLGRQAILSGESKVAIVGGVNAIFGPGLTSVLD KAGALSSDGRCHSFDDAASGYGRGEGAAVVILKNMAEAVKDGDHILATLKGTAVAQDG RTNGIMAPNQKAQELVARKALDVARVDASTIDYVEAHATSTPVGDPTEVSAISAVYGK GRSPDKPCYIGSVKPNVGHLEAGAGAVGFIKAVMSVQKGILPPQANLKTLNTRVNWSE GVQVVQDIEDWPSSGYPRRAGVCSYGYGGTVSHAIIEKYIQTGPAIYSKEQWPKGTQV LLLSSPQRRSLETQAATQAEWMSTVGKQNDLRCVAATLGTRRSHHKYRAAFVVESHDD AAEKLNAFACQMPTKWTTSGGKPEGDDRPVVWVFSGHGAQWTDMAKDLLQYRVFRDVI ESVDILVQKEMGFSAIQAMEMGVLNGSDQVQVLTYLMQIGLSEVLRSLGVSCGAVIGH SVGEIAASVAAGCITPAEGTLIVTRRAKLYRRFMGAGGMALFCAPLEQITIEISTQNV NNLVVAINSSPSSCVVSGPKEEIEAFALNLNNKGIKTIHVDTDIAFHHPMLGELMEPL AEALVGYVSPSQSKVAIYSTSASDPRSTMDRGIRYWLDNMVNPVQLTSAISAAAEDGM RLFLEVSSHRIVSHSIEETLLDIGVGDFTVTNTMLRDTPAERTTLYSMAQLHCQGAKI DWNQHMQGAWAPNVPTTTWNHRPICKQVNARSEHTTRMHDVDKHNLLGHRTIIAGDNT VIYETRIDEQTKPFPGNHPVHGCEIIPAAVLMNTFLQGTGAQVLYNFSLRVPVATSEP REVQFVVQSNQSRLCSRLVEGSRTEGDSGWLTHTTSYWAVEQDEQPTTQNIDIEAVKS RINNKLEDSFSIDYLASVGVSAMGFPWVVTEHHGDLDEMVARVDVSPNNDSGEIPWDK GSWAPILDSATSVASTLFFDNPCLRMPAHVKEVKILVEQPPPKIAWLYVKKATGSDYA AHVSICNEGGTVLLHVNSMRFSEIGGAPEDTGSLEGLVHQLAWPPATYSESPLAISHI ILISRDEGLANEYAASIDRETQTVSILTIPEELAKIAESEDILHQKDTIVTYIPGCVS NPDDISSASREYAFDLVNIMKYISSSSLSVRVFVLTQRVIKADTATSLAQAPLHGLSR IISSEVPDNWGALIDLEIPVLPLAIMKYVRNADIVRISDVSRRALPRRKNWAALVHSA SPLAPIIKKILSMESRGATIQAIAIDISSHDAASQLSSRIDDLCFPPIRGVVHAAGVL HNEHVLSVTPDSFERVLAPKIAGSLTLNMLFPPKTVDFMVLFSSCGQFFGFPGQASYA SGNAFLDALATYRRSQGDNTIAMQWTSWREIGMAAGSEFVRAELATKGITDISQEEAF QAWMHVSKYDVDHAVVLRSRALEKHEPLPSPLLVDIAIHKISSILTPPPTPPLSASSD LLPLPRNPADRFDSLSRQVRECVANVLQMETDEVASQEPLSNMGMDSVMTVHLRGRLQ KSLGVLVPPNLTWSHPSIDHIVKWLMEKTNDKE AOR_1_132044 MLILLGLLCLYTGLYVARTYWRLRHFPGPLVARFTDLGRLWWVK TSRSHHHHMGLHSRYGQYVRLGPNMISISDPDAIPLVYPIRPGVPKSDFYRSMMPYTR KGRSLPLVFNTRDEDLHKRLKTPIAHLYSLSNILTFEAFVDQVLEILFRQFEERFVPD QAPFNLGNWLQYFAFDVMGTMSFSRRYGFLEKGRDDTGLLSAIWAFMKAAAPVTQMPW VDLVWNKNPFIALFRATPAQPILNVVLSRINDRRNELYSTTSTPEKVNERDFLSRFMH IQSNSDTIPPWAVTAWSFSNVIAGSDTTAVAMKTLWYNLLLHPATMHRLRKELVQAQQ QSKLSHPFPAWNEISGLPYLNACVNEALRIHPPFCLPFERIVPAEGMTIGDHFFPGGT VIGMNPWVINRHRPTFGEDADAWRPERWLEDPARTRQMENTLLSFGAGRRVCLGKNIA LLELKKLTSALVLHYELEIVNPEKFQSQNFFFFKQEGLYAAVKRRSAGSPELYPDDAV PH AOR_1_134044 MEPTHPYVKELEIACLTVQRATLLTKKVLDAVDKGALDKSDSSP VTIADFAAQALIIAAIHRAFPDDDIVGEEDSKALRGNEALLERTWELVSSIHLDDETS EALLYSPKNKEEMLDLIDLGARGTCSRENRSWVLDPVDGTATFINGQQYAVCLALVEN GVQKLGVLGSPNLNLETGRMHEDIVDRDGYGYQLFAVAGHGAFMRKMGTGTLLPATRI NAKPQITDPKDLDFVDCVAATSSDIVAHERLASHLGAPWPHTTDLWAAQLRYVAIAVG GCNTLIKIPRNASYRSKMWDHAGGMLIVQELGCIVSDLAGNPVDCGLGRTLASCYGMI VAPASIHGQLVEAVKQIM AOR_1_136044 MASYNPFSRQESHGSYSLGSYRLLVPLSWLLVVVVGIYYTIHAP ADVKHGHGIFNQANHHITPFSQSTTVTGIYWILLLLSQLSYVYHLFHKDASIVTATAN VGAHFILNNLFIFAWILLWTRGHFWGSEIILIAHLINQHTAYWRHRALPPLVHLSAIA GPFAWTLMALFWNGAVAVHSSSLPARIVANIFIWVIFLIGTTHITVRQDDLLGYCLSF LFLGLALKQIAVKTIALQWIFAFVIFAVFLAESLYITGTKYTGRDVLLRRLTHPETAD REREPLLNEQSGAAA AOR_1_136044 MASYNPFSRQESHGSYSLGSYRLLVPLSWLLVVVVGIYYTIHAP ADVKHGHGIFNQANHHITPFSQSTTVTGIYWILLLLSQLSYVYHLFHKDASIVTATAN VGAHFILNNLFIFAWILLWTRGHFWGSEIILIAHLINQHTAYWRHRALPPLVHLSAIA GPFAWTLMALFWNGAVAVHSSSLPARIVANIFIWVIFLIGTTHITVRQDDLLGYCLSF LFLGLALKQIAVKTIALQWIFAFVIFAVFLAESLYITGTKYTGRDVLLRRLTHPETAD REREPLLNEQSGAAA AOR_1_138044 MNSNSSHMYPPAPNYEEEPPAYGYGGSYLSENESPRHAAPTMRL LPTSTTTVDDDFRENVGNTSAYHYGEAYEEDEDSRQFSNRYYQYATEDAPSRPASSMR NAPNIPPPATSVVDVPEYASRPGSPNRPWSPNRVGEWARAPRPPSVLSSQYERADLNG SPRPGTPSSRYGGSPRRPLPPAPLFTAPRSMMGEQDTSIDIGDPDDDVFGGGGRTIHR HGQHGSVHSFMSESTVITDEKEAMNKIDLDEDDEATGMVDPNLHYGPAPEKQSRRGVR EAQMTKKEVQLVNGELILECKIPTILHSFLPRRDDREFTHMRYTAVTCDPDDFTQRGY KLRQQIGTTMRETELFICVTMYNEDEICFTRTMHGVMRNISHLCSRSKSRTWGKDGWK KIVVCIIADGRKKVHPRTLNALAALGVYQEGIAKNIVNQKQVNAHVYEYTTQVSLDSD LKFKGAEKGIMPCQVIFCLKEHNKKKLNSHRWFFNAFGRALQPNICILLDVGTKPSST ALYHLWKAFDQDSNVAGAAGEIKAGKGKGMLGLLNPLIASQNFEYKMSNILDKPLESV FGYITVLPGALSAYRFFALQNDAEGNGPLNQYFKGETLHGKDADVFTANMYLAEDRIL CWELVAKREERWVLRFVKSAVGETDVPDNIPEFISQRRRWLNGAFFAAVYSIVNGKQL WKTDHSLPRKILLQIEAVYQFVQLLFTYFGLANFYLAFFFIAGSLSDPKIDPFGHSMG KWIFIILRYACVLVMCLQFIISMGNRPQGAKKLYLSGMIVYGIIMVYTIFCTLYLVVL ELIAKTGGDSELAVSDGLFINIVVSLLSSVGLYFFSSFLYLDPWHMFTSSAQYFALLP SYICTLQVYAFCNTHDVTWGTKGDNVINTDLGAARIINGSIVEVEMPSEQLDIDSGYD AALRNLRDREEVPEPPVSESQLQEDYYRAVRTYMVSIWMVANVILAMAVSEVYGVDSG GTNIYLAIILWSVTGLALIRVIGSTTYAILHVVQKLVEGKAKFDAGNLAHGTGSATSS AVNGSTVQYGGGGTFRDKFTEAKWGMKQQVGKVMFWRK AOR_1_140044 MSTWQKEALKAKTILHTSIPTQWLLPEDKLPPSDQKNVADFPRA SGLFTDRELSITEMSATALVAGMGAGLLSAEEVMVAFLKRAVLGHQLLNFATEFMADK AIARAKELDAYYQRTGKLVGPLHGVPISVKEHIGIKNLTCNGGYVAWVNDIAPEDALI LQCLHKAGAIFHVRTNQPQSLMHLCCSNNLTGTTTNPYNRTLTPGGSSGGEGASMGFK CAPLGIGTDIGGSIRAPAAFCNAYGFRPTMRRNPCSGIKAPEPGQEAILGVVGPLAAQ SLDDLELFQRVVLDAEPWDIETSLVPLPWRRVKENRKFTVGIMWDDGTVRPHPPITRA LQAARSKLQAAGIKVVDWEPYKHAHGWDIISKLYFPDAATSQKTLISQTNEPILPLTK WAFSYAHPTPLSIAEAWALNVARDEYRDEYHARMKDMGVDFILCPAYVGVAPVLYEAQ YWNYTAVWNVLDLPAVVFPSGMVAEEGDVGGEKKDGWVARNEVEEREWKKWWVDPGRF VGAPVGLQVVGKHFKDEETIAAAKVVEEVIRGLEGRARL AOR_1_142044 MLFKPLDLSTALRPSLLPDETLLFVQDAVGLYEGKYKIPHYQDG HAYLTSHRVCYVAVEEPRKHSVAIDLKDIDRAEYQAGFLKSSPKITLHPKPPKNSSRS KGTGLSRSQSPRVQNSAPVVKPSASALVNATWICPICTFSNPIPSNFDPFTATATNIP PCLTCGIKPPFTTVLKAAIAAAASSHEGTSINSTPLGGGQDTAQSLVSCPRCTFVNHP SLHECEICGASLSGLLETPNSNQHRAESPAPLIHLQGSIKNTEISDNIKLSFRGGGEK TFYERLKGALVQRKWLLYNAPPVPQQPSPNTALPTNGSVSTPARSPAPGIAGLEQRGL EARRNNEVVIGNAFEDLEALMASAKQIVTLAETLARESGMGDETSSETSAVLSESAAA LGMVTTKDMLSSGSENLYLSELSRNLAEYLTDDTKGILQKEGGIISLIDLWALFNRSR NGVELVSPADFQKAAELWESLRLPVRLRRFKSGLLVVQRYDWSDEKTIQQLQDWLLEL QQIPPAEPAPWDWRQFGRAITAQETAQRFKWSVGVAAEELEMAEDRGILCREEGIEGL RFWGNHITSHDLESSITNLVI AOR_1_144044 MSSGIPPWRVSASATATAQTYPTHATPAYIPVQARRSLAHTAVA SPTPTPASQPSDAEAARKRVEWPAPVRLYVQRSFAPEHQMSSVSREEMESKLKAVITE AAENNKLDKIDWASLPLPQVMVQNERNRILASPNVPAWSAVATQKYESSSDASSRKRK SVEYNGDANSCPPWRQTNNHNAFEDRITYSPTDKRQRIDHKNTSKSKANLELRRKRFE EPRARYGSSPSSRDDSPSPSANQGPVVGRCQDLEKNYFRLTSAPNPDTVRPLPVLVKT LDLLKKKWKRDNNYNYICDQFKSLRQDLTVQHIRNEFTVSVYEIHARIALEKGDLGEY NQCQTQLRALYAQQLGGHPTEFKAYRILYFIHTRNWTAMNDALADLTAADKRDPAVKH ALDVRSALALGNYHRFFQLYLDTPNMGAYLMDMFVDRERLSALTAICKAYKPDVNIRF ITEELGFESDEQSAHFILDHTSEDLLQEKDGAVRLLTGAKAAQLFEAAKADAHRIVDI KGQI AOR_1_218044 MKAIRRSLKGEKDPKPHHHHHLSITPKSAIAILPPKKVIKALYD YQPDPGNTQELAFSKGDFFHVISREDDLDWYEACNPLIPTARGLVPVSFFEVIGKNER NSAGSLDLHKKKESHDSGFSDRAPAAFPGPDFVNGSPKHNPPPAFPRMSTLGKGSSPM VYGVVQYDFQAERPDELDAKAGEAIIVIAQSNPEWFVAKPIGRLGGPGLIPVSFIELR DMQSGQAVTDPLDAVRRAGVPKVEEWKKMTADYKNSSITLGKIDSAAAAANNVNSVTS GMEKMSMSHQSTSHLSQNGNSYGYHHRNPSKGSLAQHMSHQSMHSYHQPLVAPVAASI PRYCFDNDKYWYIIEAKMEDGRCWELSRYYHDFYDFQIALLTQFEEEAGNRGKPRTLP FMPGPVTHVTDAISNGRRQNLDEYIRKLLSMPPHISRCQLVRELFAPRAGDFEIDPSA FGEDARFSGGSHHSAHEPPRSASRQSSQAQINPSHDRNSHQRAQASISQGNGMPPPMN RQASSLTQVSSSSSGALKVKVSFQGDLIAIRVPNDISFQQLREKLMDRLKINNEIAVQ YKDEPSGAYVDLVSDSDLDTAIQRNSKLTLFVELV AOR_1_148044 MSSGNPDGDSYVQVTPDDHSAFIIIAALIGLSWSILIIGIRVYL RLKLNPPFGLDDVAAVFGTIIGTVQTAVILQAVRNGIGKKEALLTDDQVESGLKGIYV AWLLYPVVVCSSKVSISLLIARLTRTKLHLKASQLLTGFILLWGVISLFLIAFQCKLS MPWNVGAVDHCGSMFAQWTAVEAGNIFIELLLPCMVILMVWDLRVASATKITVVMAFS LQLLATIPIIVRLVLLQQSTTADNAANPTFTITDTVVVTEVAMHFSLMSATFPCLRKF LQVFDLNMGATTHMDCEPGGTYYSRSRSAGSYALQSMERGSRVGNEELPGAKSRFNSR GQCQTVTTVSGGLSDGVNTQKSHQNRALDDAEMRSIDSDGSQHAIIRRTQWEVKVE AOR_1_150044 MLSSGQLELKDIGSARSPHVEERNGSLDPDLSDDVKAGFTVNDQ RDMQRMGKKQELRRNFRMASTIGFTTCVMGTWEILLTSNTPGLTAGGRPALFWSLVWA YCGQFFIVLSMAEMSSMAPTAGGQYHWVSEFAPRAYQKFLSYASGWLSTISWQSIVAL DAYLAGTIVQGLISLNDDGYTPARWQGTLLVFAAAIGMSLFNIFGAKHLPLAEGIFVT CHFFAFIPVIVTLLVLAPKAKAEDVFTGFTDYGSGWPSVSWTVMVGQVSCMFVVLGSD SVAHMSEEIENASVIVPKSMIWAFLLNIPFAFGLLLTYLFCMPDVQSALDSPTGFPFI HVFREAVNNTAGATILVVIVLVLIVMITISSLASASRQTFAFARDNGLPFSNWLGEVN PRLHIPVNSIIVTCLFSMAMSLINIGSTVAFNAMLSLSTTALMATYLISIGCIIARRI SCNPPLPPSRWTLGRFGMPVNILAMVYASWSFFWSFWPNAYDVNAENFNWASVLFVGL MGISTIVYWTYARKHYDGPVVKVEGRKFQ AOR_1_152044 MTRLPGYPLDLFQGQLEPWEVDYAKGEVLRIVRELRQRGRAYTL GDPSKVILDRQGLQVGICSLGRAIATPRREWPISENTNVIQQLGREIWWIYGQSRDT AOR_1_222044 MSSNNFRITEHIVPGCHILEYAGSTAGRQEDVLRLHVKQYTPLN PPEPLSPEAVTIIAAHGVGLAKELYEPLWNEILQRAERNNFQIRSIWIAEAASMGMSA IFNEDKLSIDSATEVYKEMFPKMDPRCVDRTTKFGFRDLPTDLYQDMIAQIRENFSAR TLDGRIQIDVSTHAEMDPIAAFFPMYCPEPRATFFRLPTLRPSMIWVLGEKSYLRLDE VREGIKICGHGIGGSGGDSQGKLGKEVQSFAIAEREWAEKRQSMDKRDHLVLGEEWFK TIKPLGAFRSARKPGKERL AOR_1_224044 MSLLNLPNEVLLLIIETLSSQKDILYFLMTSRRIYHIQQVDPLY KFNIKFGGSSSLRWYSRKGHTLPIEALLKRGADLECTNERGWTPLIYAALYGHEEVVR LLLEKGAVLECTDERGYTPLIYAASLGHKDVVRLLLENGADLDNDDHPYGRTPVIWAA MNGHEDVVGLLLEKGARLGLVDNEYHRTPVIWAAKKGNEGVVRLLLARGIDLSHGDGE GYTPLAWAAIEGHEGVVRLLLEKSADFKNKIEAAVHQCADLKHKNFHGSTPVVYAVTT GHEGILRLLLENGAELWCVSKRGYTRLAVATTHGREDMGAELEHKDNEGSTVVMCTVL QGHEGAVHVIRLLLEGGATLHCEDKDGNTPLIIAAEDGFQDTVRLLLEYGADLECKNQ HRETALARAQEKGHQEVVSLLTRQR AOR_1_154044 MKNISRDMVRKNEPRQAPRSVSPANPSREDEVFDVSSSRGDSIA PTGPQMGVTSASQPTYLGSTSFISAFDHGQQDMCLSTPKTAHASTLRKAWSADFTHVF PRLVQLLRPLRLYEDLVTDKYYRSSFTVIPAPLVLTPLRLLRNHWDRERWPHEGLGSQ ITQNTATQSIKVEANMTTDRFYNLFTGANLRWEFVGIIFAMAGLGASVSSSSPLLSLN GKDEISSDAFTMEMAAASHACLEICRQYDNVNDLVVWLNYAYFVLASNIMGETSHQIY TQFGDLVSCIHAMGLHRPHSPESSIPFFLSQTRKRVFAASYRTDKNLATFLGRPPRLP YHYCDVGLPLDLDDYSLVLDNLSLDKAVRQLTSDGWGTCNGGLRPATVIRLRYMIARL REQVMELSLGRDSVGSRQNELHITYQECKRLWDKVPNEFHYSKHTWEVLGPHLSIVSL VIHLEYLYTVFQVERIRCSESLDAMTDLLDSAMQIVSVVTDFTRQRDQEGSIREQYTW IGATTMFHSQVQNHPTHQACVEVTKAMIKLLDDTLDYPSGGQMADGPQPGTGNRPAPN NSDRVGDSSHLIGMSLNAHEQDETIPNVDTLETSEDFLNWLDELGLDTSVPEFFRWQ AOR_1_156044 MLPFKTLFSAIFLAVGGFLFGYDSGIITSTISLPTFQEYFTNPS DTVTGGIVSAFQGGAILGTIVNMVWADWLGRKRTILTGSVISCLGCALQAGSVNMAML IIGRFIAGMAVGMLTATIPMYAAELSEPKWRATLSGLLQWMLSWGFLVAQWLGYGCSF SSSEFAWRFPLAFQNIPGLILIAGIWFLDESPRWLMEKDRHDEAKVVLTRLRGNSSPD LIELEFREIRDVIEADRAAGNTSWKTILTKPSWRRRLILGCGVQAFGPLSGINVINYY GPRIYQILGIDNNQTSLMIIGISGALSIVYCTICLYLVDKVGRVKPLIVSAAFLGASL LVNAVQAQYMNPNNPHQLRSMVAMNFVFSLFYTPLGIISWVYPAEIFPVEVRALGNAL TTFTNWTVNLIFAQFTPGALSSVGFKYFYLFFALNLIAMLCYYFFYPETKGRTLEQID ELFGDQLVPHALEDPEGAQAAMEKEAQVAHVEN AOR_1_230044 MDYHRGKLLNYVAFQWSHIVTNYSPESIELLGTFAVHFIFYWFG SLVFALADLYAPSSILKKYKIQSISKQPSRQVMLQCIPSALQNQLITSALHALKLLAL RSITGRFAGYRIERTLPSLLEIITDAPLCFIGRDFVCYYDHRILHLPWFYRRFHKEHH KFTAPVAVAAEHTHPVDHILINVLPVVIPATLFRVHLVTFWLLMSSTILQGSLGHSGW HVRSIFDRKTTSHDAHHELFDVEYGTLGLMDWLHGTNYMGKHKSKGKGKVH AOR_1_232044 MLLLLFVILRKLEAGVVWKKLAVQWATNTREGNSHNTATEEAPE YNIFDGLIKDTGMGNVGIELDMHQLEVTLHHAGHPILDGISGSIKKGCVFGIMGPSGA GKTTLINILAGRSRQTSGQTALDGIEGDITRYQHLIGFVPQDDILLEDLTVGESISHS AHYLGLTPVKDQLVGSTEKRGISGGEQKRLSIALEIVAMPTAIILDEPTPGLDAATAL SIMKLLKSISRMGITVICTIHQPRSDIFQLLDDLLILVSGRQAFTSQRNMEFRIEVVS EMCESRRGPESIAALEKFVAAQRASWHHQLLLYVLCGMRQQSRQVFSFILAMVTGSVA GLLIGLGVYGFKGHIFQGYFREPFMPLSSAVNYTKLLTVGQFCCLAISLAAAPAGIRT MSEEKLVFYREIRAGHSSSAYFLGKELSTRPKMFLSSLHFTTFYTILATPVVPFEALL LLNTIYFFCIYGIASLVASLVSRQDALLLAMLASLIAGIFNGAGPLLAEVKSWNMTWF WYICPSTWYSEAFFSEHTTPFSYLYDVQASASFVGYITGRTQLDLG AOR_1_234044 MAELVGIVSSAITFATFAVQVSKSVQTLKGYWDSIRDAPDDLKW LLREVEILGSIIADVDKDLSQEPVPLGLRDSHHVTQSLRFCEEAVEYLEALCKDLLQD ISSSSRLRRSYQAAKIIIHERKTEKHISKLHSVVRLLMLSQQCYTRAVIQVQPSLIAE EIRNLGVASLHTLVAEPDSAPYFRASKLQKEQFRPHKGRSFWLLRAPHWMTSRVLEMS GMKTPSGWDWSFRTYNEVRWTSELDYYLREGKIKNIQHLFASGQLSPFFRAQGSGRSL LHYAICLDRNKQMVNFLLEQGVDPSIEGGLFTSKTPLRLVLEIGRQAASNKLYPLLPY LRCLLRHSQDLVYGNADEAVKGILSEFHGTSEEFRFLQQHCCPTFYRMPRWARIKVAA QIICDTNHSHYAEEANNTPDLIRTVLSKDTLEVQPFQTKFTLPLTPKETTLMHCIAEK LGTTQGFLQMSCRWKIVAYCRYQRFSRPASILCRSRWQAIYESWKSLFFDFLPVEGNI HQIVDGKTLLIAFLEGYLASLVSLPESNQALWLGQEIFHVGIRAWLKDMRTAGLDLEE LGAHEWRIWKSGKVQMEFNRGFHRLIGIVYGPCPEDWYIWLLEPSDSFVGEFWALVER PAETMPGEWPGE AOR_1_162044 MPLPQYQVIVTAEAEEKEEARKRRLIYIRPFILFWFNSLLAEIF FLLVATLFFSGLREMIYKVLWTLIICPLGMGGAMGGITNYFLVDYYYGKRAVWFTALL TLLVLGSCNYLCFSLDHYFGYFGAQTHPLWFHWRYPAIFMSGWSSGKLLFTDEGQKKL AKLGL AOR_1_238044 MRVQRRSMLSAQAYRVFWPLSIRVNIPNLDLVVYDKNPEVGGTG FENQYPGAYIPAHVYQATFESNPNWSEFYASSKEILQYWKDIVAKYGVRKYMKRNHKV VEARFNDAKAKWHVQIENCPTGGLINDTCDVLYGCIGALNDWKWPDIPGLFDLKGKLV HNVGGLSVAVIGAGSSAIQIVPAIQPKVKHLDNYVRGQTWIAPPVAEAEVRKHTPTGS NFQYSPEELEEFNKNPDRLREYRKRLDGEVQLMTQFLLRGELSNQATATFTQSMRKKL AKRPDIFEKILPSFAPGCRRITPGPGYLEALTENNVSFVTDPISRVTEDGILTADGKL RKVDAVICATGFDTSFSPRFPIYGSGNLNLGAKWKEHPETYLSLSTHGVPNYFVAHGP NSGVGTGSLVIVLERTCDYVAAIIAKLQRDRIATIQPKPDACMAFRGYCERFFRKTVF SMSCRSWYKRGTEDGPVTALWPGSCLHFAKVLENPRFEDYEYTYLDGRDMAWMGNGFT TPELDASVPASAYLDPEHSDYPSVPAQSN AOR_1_164044 MASKNNHFVLPDSTPRSSKLTINIAGFRVHLYGVQELSAQQRED TTVLFHIHGRTRTYKDAEPVAHQLLYGMRERGDSNRGLVVATFDNRNHGDRTIDSVAI QDWKGGNIQHAQDMLSTIDGTTDDIKLVMKYLASYVDGIFHPTQFIVTGVSLGGHITW NMLAEEPSIAGAIIIVGSPNLTDMLVERLGYASLSDIPQNTKEWPRSIESLYRERDQA LEKIVGKKILILNGALDTLVPSKFTDPWVAKYAHQNDVKFIVQEDCGHVLSFRMMEEV VEWVAQILV AOR_1_240044 MVLEQPNSAVVPWSYSRLSTVSILRHLPPRPVVDYLVAVYFNTV YWFVVVAHEGHFLHHYRQMMDLYAQDESSVPDSEEDFTFALLILTVVALGGRYASAHA VRRRRCTQAFSQSPTSSDGRTSADIATATCRLFAVLRNNSTDNLTCGTLATVQSMLLL GNLYLYHGHSNLAWTHTASTVRVTQALELHKEDSEMRWTSPYYQSMDLVEKRQLKWRL FWAVHTSDRFLAMCYGLPPLISDEDCVVEIPREDCIYPPTGSSSFLMLDGENDSATGP NSTTLLTYQTHKLKFYVILGHIIQTLYRQTKGGIEGRCLTGIQEIVPVKNRPTGQPPR QDQGEERTPDDDDDIIDPVHDDACNDSSNVHERRKRIKNSIYGMQALLLQLAYDHALV LIHRPILTLKNNERQAPPKDMLCWSISTRWRAHAVSFVGVHLFAAGVILGAFAGSDPL SRLAFEAKRRLSRVIQVQRRLKAKVIVSAQSLAILEKLAYDVMKKEANRMFGEGSGEL DNGYSYPEPLSRQQEDYTPTLQEPLPAAPTSNGSGAKNDMLDLSSIDDMQSNENRLLF DGLLPDTLLGLGSSMAEVDKLFWDLYAPPPSGADMNWPGLYMTDETQVPGPCLHTTFS QIN AOR_1_166044 MPFSHHSHSGQFCPGHAKDSLEEVIQLAIAKKFQVFCLTEHMPR GKEDFYPEEIEAGNTETSLVANEAAYFQEAQRLREKYADQIKILIGFEIDWIRPESRT LIEASLARHPFEFFMGSVHHTLTIPIDYDREMYVQARDLAGGTDEQLFQVYFDEQYQM LQQLKPLVVGHFDLIRLKSDDPERSFTQWPAVWERILRNLDFVASYGGLLELNSAALR KGMSEPYPKAEICKEFLTRGGRFCLSDDSHGLDQVGLNFHRVLAFVENVGISTLHYLD LADEPAVDERFPRTQIRSIPLQELKKLAFWQ AOR_1_168044 MKPLPFPLALNIGTDIVHLPRITRLIHRRDYLTRFTRRILNDHE QHDFRTRFALLGATNPPNERPLPSATEMARWLAGRFAAKEAARKAAPNGAASLGWKDV MVRVSETDRGRPEVVYMDGETARIGKLSISHDGDYVVATVLAAG AOR_1_170044 MVVYYQLAGKQVGSHVLAMGVLGSLFGGVYLATRGGSQPKQAAP PIQASSKDEETFIQDFLKQVNGSGEEKKSSH AOR_1_172044 MLWGSPSKPEDSSEKPIPREKLPPQLQQLVDHDDGFYDDIYSSY SVDSTDTPYRYAGYANRLRTVLLSAHRYVAYTSDIGESFRPVAHPYLVRSAYAISWSY LIGDVAHEGYKAYLRNRRVLAPPGEAYKDAKELTQEQVIKGMATGNVGGSLRSSTGES DSLEPWPTTRIPLIEDYRVVMAKRAVFQSIASMGLPALTIHSMVKYSGRALKNSKSVW FRTWAPIGLGLSVVPFLPYIFDEPVDEAVEWSFRTAIRAYAGEDAVRSLPPAKTADPD ANASTAALTTHSWEEYKEERRRAKEERKKELEERGRKGPLALLGLGGNEDAKKKTD AOR_1_172044 MLWGSPSKPEDSSEKPIPREKLPPQLQQLVDHDDGFYDDIYSSY SVDSTDTPYRYAGYANRLRTVLLSAHRYVAYTSDIGESFRPVAHPYLVRSAYAISWSY LIGDVAHEGYKAYLRNRRVLAPPGEAYKDAKELTQEQVIKGMATGNVGGSLRSSTGES DSLEPWPTTRIPLIEDYRVVMAKRAVFQSIASMGLPALTIHSMVKYSGRALKNSKSVW FRTWAPIGLGLSVVPFLPYIFDEPVDEAVEWSFRTAIRAYAGEDAVRSLPPAKTADPD ANASTAALTTHSWEEYKEERRRAKEERKKELEERGRKGPLALLGLGGNEDAKKKTD AOR_1_174044 MVAQTTSILTALLSLGGLELVAARNAAEEPTLKPRFMPKHMKRL INYQTSAAPGASSKAPETVEVPRSNTNVLDSILQPPQEATPAPTETPEVVVITLSIDA AGNTHTLTGTPAGTASTSTVTSSVLTSEPAAASAAATTTGSTASQAASNQEMTPSSST GAESPKATDASTSEAASESVSPSVSQTSSTLAAEDSTTASTSSVVGSSQEPGTSTTAV TPSATATKTGNVLSDLVSGLFGGSSSSESASSVQPTEVSSGSASSVVPSNSATGASSS ESASSVQPTEVSSGSASPVVPSNSAIGASSTEGTSTVVSPSQTGVVSSTEQPSSTPGL VNSLLSGLLSGSSTSSVSESSANQATSSDVIPTASAGSSVTGSSGQPTSTGVIPTQSA VSSVVSPSAATTSGLLDSGLLSGLLPTTTGNSSESTSQLTSIDVIPTPSALLSSILSP SAAISSGLLDTGLPSGALSTPIANGSETSTANGSSTDLLSSSGILPTISISIPPATPT PSAGTSSGAIPEPSGLPTSGVIPGSSGSSGSTTSSPVIPGASTGTQTFTPGPSGSVIS PGVPTSTSGVVPSTGSSGSSLPSSVSSTPLIGSSKPTDVPTSQSNPISTNTPTPASST TVTPTSTEQPSTTEKSTVTTKVTPTPEPTTTSDATDWVPSTILVDPPTPTTQNTATHT TATTTSTQTQLPGSISPGNVPVEPPADSTLIQLGFNGKLRYSFVATTPLSSSQIFLYV PLALEYALEILRKEVSMLAIQPYDNSKSTGYIATVAMGYIPTDQVDALRKMLNNPNSR LYQQADGSANALMSMVDPSIPLVVGESGSSSSSSGSSGSSGSSGNTGGDNGNSESDPN SDAGASSSGSTHASSVGIGVGVVAGAAAYGAGMFWVARRYRKKRQLHQRSSSNVEQMS EGRNGAPLFGAGGRMSRNSNNSRGTGRTQMISAPVMAENSLGWN AOR_1_176044 MWKPNRTPVRTQDAPLPPSFLSQAIVAGEQIYCSGQVGVSPSTG KMVEGPIQERTKQILRNLSAVLVAGGSSLQDVVKVNIFLADMGDFAAVNEVYESFFQD PKPARTCVAVKTLPLGTDVEIECTGLVTKRRTQGNSRL AOR_1_178044 MELDEQDHRGAAASIPGQGSSNAAVLSAKEKVVEIEVHGLKARV FLNCSEEDLIPSRYLKKAERARHWGPPAREYDFASAARAQGQVVYALLDEVLDALKIT RETESDESYFYLRARLLSCQLEYWTGYREKYKDAPITAGLLAIEHYPEQHSTRAVLPV STTTEEHVFLESALEGKFKLLLSQLLLNIHRLHPPGDKFPDQEVFLIGLHGSRLHIFR GIFPGHKTSRLWSGRHNASGTETEMRQLVVSSTNHRFYGRQNLERFMQKVEWLQLSTS DSEPDPRVFRILGSKEYDLWVESDFHAALRLLVGLVMYLMSGRARCDILQDKFSCSPY DEDDEPDSEDEELRRKVAQEEDDVVLQERKLRALKRQKREEDQERFWRREAMRSSTND RIGGFKDFRQPWWDWVWEDKHDDGCAKDDADVIFEGP AOR_1_180044 MSACRSVFGVGKADEIDRTTPVAQAKGVQDLEYDPIRNRMVPRK SSEQTTVTGGRESPDTLVRNPTRYENKSGYLSVVDRSKEHSNEGASARQDSEPVKENQ TDPSNIRGQPDTESGGATLNEPHQRQNSVERPVSEVESHENDMKKQLDSERGTQADVP DNQNCSKSPGFNPDHNKSTGAAVLEPAQQQELRMREERAEDLDLLRASDIRSLYNAKS LNGESEVRRKTRKDLDNAFDSYVDPVSDVRAQDVRARFQEPESASSVIGTTSGPATLE STTQFHSEDSSKSFELSVQEERTDASFPGKHAHKNTHVTAGSSLIGDTYHVLAYDPST LQITRAETNSSFHTTHEFLHPSEILPRLNNPSKFLPYFEEMQTDGYEIVSGGGDILVF RKSLVEKAKSELGAYVRDEPLFSDEASRRSITADTASPASGPGPDCSETNVPPPKSRS RAGKILRRMLIGGFATAATCYALGVVSEYFRTGGQDGRGIDGFTEFESERRHRDRG AOR_1_182044 MGFKITRSQRLSAVIGISSVFFVAEISIGFYTHSLALIADAFHY LNDLIGFIVALVALRVSETDDAPKSLSFGWQRAQLLGAFFNGALLFALGISVFLQSIE RFISMQYVENPKLMFIMGAVGLGLNLISAIFLHGASGVLLHVVSDAANNLGVMAAALV IWLAHYEGRYYADPGTSMGIAMMIMLSSLPLVRRSGLILLESAPNGLDPADVKHDLEK VPGVLAIHELHIWRLNQNKTLASVHVVVSDPSVTNFAKTTKTINECFHAYGIHSATLQ PETCSMAEVICTEHESVETVQELRKRSLEKCQMMCGTLCEELTCCG AOR_1_184044 MPVSGSSARLCVDYIPATLGSRRVLILPRGTRPAYARQCRRSVQ RSYASVSRSQTPSPAIIHITKGLNTRGPRLGHEYMRQYATAAANEARSDVAAELQGGP LKEYDARVQQGRLRDDPYQRQIIGRLQDLHERLRGYHPPAVIHPNPESLDLQPKTSFL GSLFGRGKAKEELTIPENLPKGLYMYGDVGCGKTMLMDLFYETLPSNIVSKSRIHFHN FMQDVHKRMHVVKMQYGNDFDALPLVAAAIAEKSSVLCFDEFQCTDVADAMILRRLLE SLMSHGVVLITTSNRHPDDLYKNGIQRESFIPCINLLKTALDVINLNSPTDYRKIPRP PSGVYHHPLGQDADQHAQKWFEFLGDPKDPPHPETQEVWGRKIEVPLASGRAAQFSFQ QLIGRATGAADYLELVRNYDAFIITDVPGMTLNQRDLARRFITFIDAVYESRAKLVLT TEVPLTNLFLSEAEMKSSLGEGEGSDLSDAMRMMMDDLGLSMQALKSTSIFSGDEERF AFARALSRLSEMGSKQWVERGLGVGLNAEQGKSEHDAWKKVRSHWSEDNM AOR_1_186044 MSDTPEGIVEYGYDNITEWYLQWVKSQKSPRERYTKMLLDKLQP SPSILELGCGPGVPILRMLLDQGAQVVANDLSSKQIELAKARCPEAKLVTGSMTTLTF EPMSFHGVISFYALFHLPRSQLKAMLTTIYDWLKPGGVFVFNLATVDEEEIHGEFLGY GMFWSSYSVDQNRAMLTEIGFDILQMEVLHANDGTLEEGDPDYDSEFIMQKFDQFYH AOR_1_186044 MSDTPEGIVEYGYDNITEWYLQWVKSQKSPRERYTKMLLDKLQP SPSILELGCGPGVPILRMLLDQGAQVVANDLSSKQIELAKARCPEAKLVTGSMTTLTF EPMSFHGVISFYALFHLPRSQLKAMLTTIYDWLKPGGVFVFNLATVDEEEIHGEFLGY GMFWSSYSVDQNRAMLTEIGFDILQMEVLHANDGTLEEGDPDYDSEFMWVMARKKESP DDPSSVQAV AOR_1_246044 MEAAGLMDQLSCLVIRGICDYCDSHKNKEWQGYAALAAATYTRL LLAAVPSQENISHRNYALAQVNETLIRRQIAALRNLYVSLYQDQKTRNPDRTPGTCEW FISHPIFQDWESSQTSRLLWVSANPGCGKSVLAKYLADFLPKLDVSRAVGYFFFKDDY EDQKGITNALCCILHQLFDKKRHLLDDTILERFEMNESVTSSFSELWNILLKAASKEN AGEIVFLLDALDECEQHGSSQFMEALRSHIRQGFQPLNIPGQPAIHLSGESDVETKKI SQEIDIFIEVKVNEVHDRLQLTNNERDMLRESLTRVTHRTYLWVYLTLEDLVQNQDYI DEKRIAEVTSNPPKTVDEAYERILARTLRPLSLKEMNLALNLRKSQLSYADLTLEPEN RFHEAIRSICGLFIMIVDSKIYLLHQTAREFLVAQEGTVEDINRPSGWRRSLRLQDSH CLLANICIQHLLFTDFEETPLSVAVGPSRDHSVFLDYSATHWTAHLLESKLQLEGMLP DLNIICDASTTRCQTWLHIYWASLNTEFPTGFTTLMVTSYFGLTPVTKHWIKIDGIDF DARDHVYGRSALSWAAGNGHSAIVKLLTQRFWKKFLQRRALVDFADKHNRTPLSWAIL NGHEEAARVLLRAGSDINLADDIGGTPLYYAILSDRRQLLELFTRERTLLESKDDIQK KILLSAVKEGHGPVVRMLLEQGADVESRDNEHDRTLLSWASGNGHVAVVKELLAKNAN MQSEDCQYGRTPLSWAVENMHSAVLVLLLDKSGLESMVHIQKFRDIVGAIITLQASVS VDTLARLLGIPMSDILDQLNSLQSLHPSLHMPTDPNALIEFLHLHFRYFLLHTTTALR VEKKEMHKIIADRCLYIMSSLLKENICDLPSNASEFDDIKDQTIDQCLPKHLQYSYYH WAYHVAQSNGPVSDEVISFLEQHFLHWQEAVSIMGRIYDALGILNFLTKMPEVKLSEF LNDARQFILRNMACSAPLQLYYSGITFAAGESITGRKLRDKSWSAQLQTLKGHSGMVN TVVFSPDSEILASGSGDGTIQLWDAKSGKQLQIFDSCLGWVNTMVFSPDSEVLVLSSL DRTIWLWDIKSREQLQISKGYLDYTYNLAFSLDSEILALGSGDGTIQLWDTKSREPLQ TLDSYLDWVNTMAFSLDSKILALGSDDKTVQLWCTKSRKQLQILEGHLARVNTVAFSP DSKILASGSGDKTVRLWCTKSGKQLQILEGHLDWVRAVTFSPDGEILASGSDDKTVRL WDAKFRK AOR_1_188044 MPGRLEGKIALVSRSTQGFGRGIMETFIREGAVVLGMDLQATDG PVDGYPEHQAYQIKANVAGRKLAGADIPLFSRMP AOR_1_190044 MPTYLSSMTLGQTIKDPVQGGMISPSASKALFEFFMIHMNAKWE YILDPKVDTHDDVQRRSSFLLGDRSFHTEQTL AOR_1_192044 MPAVQYSEPANAGGLSYEPGSDERKLLKAALAEMESTVTEIPSI INGKRIRTGHKGKQVNPWDRYGAPLAEYHQVDPETIKAKAIPGALEARKHWANMSFKD RCAIYKKAAKLVESPKYQWKLMAASMIGQGKTCGQAEGDCIAEVIDTLNFHVYFCAQL YDQQPPKQLDSSSSRLDYRPLEGFVLAISPFNFTALGAHIAFTPALLGNVILWKPSPM AVLSNYLLYQIMEEAGIPTGVIQFLPVEDPTRVVEPAIASPHFAGLHYTGSSAVLRAP CTQIGTKTNIYKNFPRIVGESGGKNFHLIHDSCKDDVERLASEAALVREASKMTHGDD VKQLHHPLGPMISEAAFQRFEQFVQRQGFLCPACHFEMKPTVKGLESDLMTKELFGPL FAVQTYNDASPTGFEDVCELIDSTSEYALAGSVFARDRRAVKIADEKLGDSVGKFCIN DKSTGAIIGAHPFGGARSSGTNDKANLVNVLLRFSSIRCVKDTYVSSSSTLSACHVPE AOR_1_194044 MKLKPYDVCDTLGRQRTSFGQDKLLLLPKHDLFIRQTYFHTYRK PGNKDHKKVQDRLQCILKLSAYIWILVATSLTFSHIEQINDFDECIKRIWHWKDIYPI SEHLEESAHVKEIGKKVSQRNVPRMNLFPIQPDTTDILSRTIIPTNTSTNEKVQEIWT FLHSIDAMCQADEASITRVMSDEQLNSLLLRDFEKPIVWRNFAERYPLHGIPSSISDF LRELGQLGIEKLEAHNYAEEDTNDELYLDEIVRHFAAPPETRPPLNFLDIRNLILSRV PVHVNQVDLLRLAQRRKAGSAGKSRPLKVLRDYADHEFFLLSSRHSISPLHVDTAGQL TYIVGISGCKTWYLPRRFTAAKYEILAKFGSSTPEAYYDGWVKVDIMPGDLLIMPPGC PHAVFTPENALTFGGNFYTLPHLGSSLRVLGLQAQFNFVFSNESITEQDYENFLVMLE VYKDEMDLQQMGSVASSGITWGITNQHKNRKDVSKICARGQGFGKLQAKLRLLIWEIH MKGEAKLS AOR_1_196044 MGIHTQLTIAVIGCGTLGTAIAAGILSPKERTDLEVHHLTATVG TESSKRRVENALSQHSSRLTVLTQERNVRAVWEADVVLLAMNPVKRADVFAALGFRDI LQGKLVLSIMAGITTKALNILALGEDSAPDNESALQCVRAMPNMAAKIREAVTLYTAG SGTTKENLEIASWVFSQVGEAHRIPESSFDICAVSVGCAGSLLLLAIDGLLDAAVAEG VKRPDVQNLVVNSAIGMMKLVPAGDHVSVLREKIASPGGCSIRALLELEKLGVRAAFT TAIMAAAEKSKHMSLS AOR_1_248044 MVLGHIGKALLGGLLPCVAKPGSPVSEITVALRRKESEARLRDL FHSSLAPVNFISGQNINAVKNADAVLFAFPPEQVHEVLGATEMRQALRGKIIISILAR TPRDELKRLIDGNDKADGLATNDIRLVRAMPTIGTEVHESATLIGDLSSPVRKRQWNW RCMSAFCNALTTVAMQTITRKAIVEGIPMENAIAIASQCIRGTVSMVLSGASPQKLEH SLSAPGSITGQAILDLRDSQLPALLESSLSAAITKAKG AOR_1_250044 MVFTRDDYTVAWICALPLEMAAAKAMLDEVHPPLPQPETDHNVY TLGSVSGHNVVVAPLPSGVYGTISASTVVSHMISTYSKIRFGLMVGIGGGVPSKSADI RLGDVVISKPTATSTGVIQYDYGKTLRDGRFQHTGSLNKPPPVLLKAMSQLESDSMTG KTLISKILFNVLDECEEMREQFSRPKDDWLFRSTYNHENSEYNCSGCDQTQLVNRPER RTDDPYFHYGLIASGDQVMKDAKTRDSIAQDLDILCFEMEAAGLMDELPSLAIRGICD YCDSHKNKQWQGYAALAAAAYAKALLLKVPIYCRKNESQKCGESVWMVPFRKNLRFVG REEEITKTEEFLMQQDGPGKVAICGLGGVGKTQIALELAYRIRKRDPEYSIFWVTCTS YESVEQAYISIASKLGMSGVKPAEVKEKVKAYLSQESAGKWLLVFDNADDMEMWSKDN TNSPTLTDFLPQCEQGHILFTTRSRKVAMKLASPYVITISKPDIEIAVKILQNSLVEK TLLSDRGTATTLLEQLAFLPLAITQAAAYINENSIGLSDYLMLLKDQEPGVIELLSEN FGDEGRYKETQNPVALTCDGRWKEAERLQVQVLELRKQVLGPEHPDTLTSIANLALTY RNQGRWKEAERLQVQVLELRKQVLGPEHPDTLTSIANLASTYWTQGRWKEAEELEKQV LKLRKQVLGPEHPDTLTSIANLALTYRNQGRWKEAERLQVQVLELRKQVLGPEHPDTL TSIANLASTYWNQGQWKEAEELEIQVLKLRKQVLGPEHPDTLTSIADLASTYWNQGQW REAEELEIQVLELRKQVLGPEHPDTLTSIADLASTYWNQGQWREAEELEIQEMELSKQ VLGPEHPETLTSMNNLAYTWKLLGKVQDALALMEKCVELRRNLLGPDHPHAISSSNAL RDWERAVNPLSKMETSAATSSALPIHNGPPKQMQSNSEPVTASKRRTFERVFGRLFGG Q AOR_1_252044 MAEPIGLASSLPALATFAFKASLSLYETVNIFRSHTERVRDLID ELESLSGVLAPLQELLDTTTDENLSIFELPLRRCGNACSEFEQEIIKYLSRSANRTGF RDWAKLRYLGDDIDSFRRMLSGYKLTISDALTNANLHKSSVTAEAIESYKDIIETAKA DLESHLETIHDKLQRIVGQTVTAEDLDTLELRRTKEEHMSTEKCLQICTQFFDHINQS QLSTKCSNSYAGLLGSDTYPERVTGKSLQNCKKNLAGTIAQLEKHMQDLTDRLLVKSK AAMISEQDTLELKGLQNDWQTAYQCMEIYSKASELRDNSRTGIFENCTSAALSTPVVV STFEGLILASKDLPNLA AOR_1_256044 MARRLSHHDYTVGWVCALPDELTAAQEMLDEEHQNLPSNHTDSN IYTLGSIGAHNVVLACLPAGQTGTNSAVAVAMQMKTTFPAVRFGLMVGIGGGVPSKEA DIRLGDVVVSQPGNGHGGVVQYDFGKSTPTGFKRTGFLNAPPTILLAAVTKLRSNLDR GRSSLSPHLSKLGNLPKFGRDQAGSDLLFEAEYNHSGQDSCLSCTTARTVQRNERADN IPMIYYGAIASGNQVMRDGVERDKISSEFGGVLCFEMEAAGLMNTFPCLVIRGICDYA DSHKNKRWQPYAAGTAAAYAKELLGVIPAADVVDTQTVDEALRGVKHTFYLPFERNHK FIGRNAELDTLKQKLLVNKDCQKVALSGLGGIGKTQVALQFAYSVKEDCPEYSIFWVQ ALSMETFELSCREIARVLGIRQEQEGGEDLKILVRQRLSAKTAGKWLLVIDNADDLDL LRGTYQAEGLLAFLPESDGGLTIFTTRHGAVAQYLTGSDVVEIEKMTRQETTDLLQKS LVRKNPSDNFETVVNLLAELEYLPLAITQAAAYINTNKSSISEYLRLLKKTEQDAVAL ISTDFGDKTRYRNSMNAVAKTWTITFNKILECDTLATDLLAFISCIEWRAIPYSILPA AYPEARLVGAIGTLCSYSFLERRDDGTKLDMHRLVHLATRIWVNQNGCKAETTIAALK HLAEVFPSDDYTNREIWRDYLPHVARIEKDEQCQDTEEKSRLCLKVGRCLHVDGRMKE AVLWLQESCKWRDINLPQSNIGRLTSQHELAIAYQANGQIKEAVKLLEHIVAIDSEVL AEDHPDRLASQHTLAIVYQANGQIKEAVKLLEHVVAIHMEVLAEDHPNRLASQHTLAR AYQANGQIKKAVKLLEHVVAIRMEVLAEDHPNRLASQHELARAYQANRQIKEAVKLLE HVVAIRREVLAEDHPDRLLSERTLTAFYNDLMKRSSAKQASATPQAMSENNITSTALS DQSINQSRIY AOR_1_258044 MNLSAASIDAAVDFLMEVVQRAIQHAVPWARPSEWAKPDFTPEC KRAVKITRKLRRIYMRHRLPSDWTAYVKARNRKGRIINRSLRRGFRRWVSEAIDQGTH GIWRVAKWARNRGGRAANMIPTLNGPHGPADTTEAKAEVLRESFFPEPPPADLSDIAR RTQPPQIEFPEVTKEEVAKAIRRAPPDKAPGPDAVPNKIWHELCKVPVFPERATALFN ASNKTGHNPRHFQTSTTVALRKGGPRDYRKPKSYRPVALLNTFGKILESIIATRIAWA LEEHKLLPQTHLGGRKGISTDHVIQLILDNIYRAWGQGKKVSMILLDVSGAFDNVSHA RLLFNLRQLKLGHFADWLQSFLTGRTTRISLAGELSAEFPTPTGIPQGSPLSPILYLI YNTPLIQDLHVRRPQGGSTTAFGWIDDACTLAVSDTFAENVETLNAALSRFELIHFTN PRETETPPQSPGLPPDHPDQIWEVPLPPAGHDQMEIIFTDTIIKPTETAKYLGVWLDK TLSFSIHRTKALAKAHGTLAALKGIAGSTWGAPLRAMRRIYQAVIVPQLFYAAAAWYS PKGGQIVASINQKMLAEFTQIQKQAALLISGAFRGTSAAALNVELYILPVHLQLQQII EETAVRIRTGPELACPESVLRPRTVQERRRSGWTPMEALSRKGGPLWPLGKKEWETRK PYILAPWEPPVTTVIDSHEAALIYHRHYCARREGIAVYTDGSGLNGRVGASTVCLSQG WKRNCTLGTEEESTVYAGELTGIRMALHRLRRETRPATVFVDSQAAIQAIQNPRRPSG QYILDQIYYIIRRYNMQNRVQIHWIPAHIGVPGNEAADEAAREGATREGTQQTGEAIC LAAAAKRQIRRSIKDRWIREWKTEKTGPTTYRLVEVPNKKILDLYKNLSKSYASIIIQ MRTQRNGLRHFLHKIKAVDSDQYLYALGSQTARHILLQCPLYAELRGRMIGKLDPGVQ KRLDYNGIMSHPQAIRYVAEFMHQTELLSQFRDVEQTGHY AOR_1_260044 MSHSQFHSIKYLVPGGHRRGRREALASHGAHQPPEEQKFGFKEF TPIGANERPVTATPPAHRIRSARKVVPVVTTPKGGDRPAAYGPRVGGPDPADTPTNGG YRRAAPPWNLLFDDGQRPTIHRPQRCRRPNGHRASVTPDRTTETFHGLGGYKRGWGGR ASPFPHARPDERVPLATRHSETTTAGEATTTNDDTDDTSQDRQIRTDQKAPQLLEFAD SRLLDLWLELGTITQDRNNHRSTIDLVFGAQSLADQHIACEVAPKVHADSNHLLIRMI LYLAPHAYQPPKRRQ AOR_1_206044 MINRDSRGPFAKDVFINQGTKGSGGVSMMTRSAPYEKSKFLGSG GSMVARLKLKEIDGRAPQGVEPAA AOR_1_208044 MSTTWRENYSFPRIFKGRRGRTGPRKGCQEEKRTLPRTPADVSA FSYVAVENPHPGAGMLTGFPFGTRRTRAPFKRNFPMP AOR_1_262044 MANWPTGRSVEHRKRRPTVSPSAKANGRLAGVYLRDLAPDARRR NPQVPAPNAGPPPRGSANPSTFAPNWYPCRLALRPCSTADPPGWARCRANVPVSGVDP GGHRPAIQSGPVPRPAVPMSDGPTGLLIAEPRRRGPPCRAVIAQDRRQLVGRSRGRAR VPSPLTVLAQWVNNPTLTEFCFGMIGRADIEGSKSNVAMNAWLPQASYPCGHTFMVCI HTENQNQGDFYPFVLLEISVLHESPLGHLRCGLTDVPPQPNSPPDNVFNPDRPAKDLN ARRWAVKPSSASLNK AOR_1_204 MSHSQFHSIKYLVPGGHRRGRREALASHGAHQPPEEQKFGFKEF TPIGANERPVTATPPAHRIRSARKVVPVVTTPKGGDRPAAYGPRVGGPDPADTPTNGG YRRAAPPWNLLFDDGQRPTIHRPQRCRRPNGHRASVTPDRTTETFHGLGGYKRGWGGR ASPFPHARPDERVPLATRHSE AOR_1_2204 MINRDSRGPFAKDVFINQGTKGSGGVSMMTRSAPYEKSKFLGSG GSMVARLKLKEIDGRAPQGVEPAA AOR_1_34 MDQSEKRKFEQPTPYPEYGLDNTDKRRRVVGPTLPLSNPADDDT LDSNGENESSDDDDIGPCLPPSGPMETKLVDAKTHGDTPYDPLTEQGHAGEDRSHRDE WMLQPPGSSGRTARVDPTKLRSRKFQSGRSASNSQSGEVDSSWTETPEQKMKRLQDKV MGVSIFGANKDQVTRPARVSQAMQDRIQKYNDAKRKENAAGNMLQPLEECKNFEEDDA SSRPFDKEKDMAISSKITNSQRREMINRAADFGSRFSKGNYL AOR_1_2034 MAQHTDVGVYHGKKRPAESDPDGDQPLAKKFGCLHIGPRATSNT PREIRENLEVGQHVFSALDDMMILDDTKHTVYIHDLEREIAETELPSDSITFLPGIGE NLRAIPRFVVTEAKPICNELVLYREPASLTVPKERDTVRKALIETRERARINQCGRDP STNSTLHPGTVADPGNSNNTLHREDEMEIDAGI AOR_1_4034 MRAPKLLRDGQLALTHLLSPPRSNPRTFYHLHTSPPPPPLRSAL SANTISAPLRMNSNIQSSPEWTAKSVRDAFIQYFQKNGHTFVASAPVVPHSDPTLLFT NAGMNQFKSIFLGTVDPQSDFANLKSAVNTQKCIRAGGKHNDLDDVGKDSYHHTFFEM LGNWSFGDYFKKEAINYSWELMTKIYGLDPQRLYVTYFEGSKEGGLSPDLEAKELWKA VGVPEDHILPGNMKDNFWEMGDQGPCGPCSEIHYDRIGGRNASNLVNQDDPNVLEIWN NVFIQYNRESDRSLKPLPNKHVDTGMGFERLVSVLQNKSSNYDTDVFSPLFQTIKDIT GARPYQGRFGVEDADGIDTAYRVVADHVRTLTFAISDGVAPNNEGRGYVIRRVLRRGA RYARKYFRVEIGNFFSKIVPTLVDQMGDMFPEVKRKQQDVMEILDEEEISFAKTLDRG ERQFEHYAQQAKAKGDDKLHGADVWRLYDTFGFPVDLTRIMAEERGLRIDDTEFEEAR SRAKEASKGQKKAAADTVKLDVHDLGKLEKMNDVPKTNDSAKFDRGNITAQVKAIYHG KSFHLSTEQIPEGDQLGLILDRTNFYAEQGGQENDTGRIVIDGQAELEVGDVQLYAGY VLHTGFMKYGSLSVGDEVICEYDELRRWPIRNNHTGTHILNFALKEVLGDGVEQKGSL VAAEKLRFDFSHKSPVTDKDLERIEDISTEYIRQNCAVYSQEVPLATARQISGVRAVF GETYPDPVRVVSVGVELEEILKNVKDPRWEKVSIEFCGGTHVQKTGDIKELVILEESG IAKGIRRIIAVTGEDAHEVQRVALGFEKRLDRLEAMALGPEKEQDAKQIQVDLSQLLI SAVQKSRFRERFAHINKQIIDSQKAQQKLESKKALEAITSYFENPENKDKSWLVARLP ISANAKAVSESLNHVKSKLQDKTVYVLAADSNQGRVVHGCYMSKAISDQGASASDWAA IVSTAVGGKAGGKGPTSIGNGTNPDKTDEAIALASDYLSKFKL AOR_1_6034 MDSQVTSSAKTPNPVVFFDITLGGESLGRIKMELFTSITPRTAE NFRQFCTGESKSPQGRPQGYKNSKFHRVIKDFMIQGGDFVNGDGTGSRTIYGTPRFQD ENFILKHDQPGLLSMANSGPNTNGCQFFITTTATPFLNNKHVVFGQVVEGMDVVRMIE NTRTTRDKPNQDVTIIQCGEM AOR_1_8034 MASTATGPQPTATSTDHNGDDSSSSPTSSPLLFFVALGFGVVFT NLWIIVGVKYCFRYNQRNRQLRNEETGEPIDLVTMPRTHRRRREKKLMSMDEVNERFP LVKYKVWRSSRANEGLPTAGGISAPNSRPQSLKVQPQEKENAGQAFAVLADGTHGPDA KQLQNCNSLEDEDVNNPIRTAVPAELLPNPGDSCAICLDTIEDDDDIRGLTCGHAFHA SCVDPWLTSRRACCPLCKADYYVPKPRSDPGGLTTNPERSGRRNTTRLAVPTQPQSLS SRAFGPARLEDSIYAY AOR_1_10034 MHRLTSLLSLSLAIASGTKALDASIFTFGPSPPWQNMKTPVVTD DVARHILELRMNVPTVSALGKWDQDIVELLDRYGGARHSLFGGDIDYKDVTRSLVILE GIGAGLGSAIQQEYQGDLMIGSPSANYLIDGFLDSTSEANSDGYVTATKNYCKSDSGG GISFDVLQTIENCIPKGSAFEAVAHVFGKELLGIVKVAETWVDEQSLTAVLKISFQVP DEYVGSGSVVDSLTSVLHGLRALSSEVSQVTAVLLPTINQGKGLKRKVDPREAVKEEA SVRSSSAAVMTTLQRQTHPVCFTSNSSCNLATDSCSGHGFCYKKSGSANDEAASDCYA CKCKSTIVTKEDGTVQKIRWGGPACEKRDISSPFFLIAGISVLVVMAAGTAVGMLFHI GQNELPGVISAGVGPAKAQK AOR_1_12034 MFRLRRILPNSTFFRISPPLSQTSKLNNVRHVKFKRPWIRRLFT TFLIYGTAFHLWSSFILLQFDDTLDDADDIQEAPSGKIGVGKNRKNDTEGHLENPASV TIDTDPVFIPLGWPRLRKGELYAASDPEWQEFVKTSRDREKLQYLRDELASIVQKDAS QSDLLSRVLGGPLSVTGFWLVHHFPSRAPPDYRRSGLEFTDSGISWVSKPMSLEDGDR LRRCVQPLSVALAIKDAYMILVKRQLSRLNITGLEQEQAPGTSSLPSHKALSSELQPL DRLHPVHQSQAQLTPPTGSQGGTLRDKGGVDLHPSLIISTLQRLPLPKFGPGSDLYAA SLAFKIRLKNCLARELHRPRRGAFYFIGPVGLKGPRGFCRVEVKGEYDPATASWSLIS MQLKDVSIFNQKALGGP AOR_1_14034 MALPPKFAGHRLRAGTDQLPQHTLELYLDYACPFSAKMFDTFYS SVRPTLASQYRGQLQVIFRQHIQPWHPSSTLMHEAGAAVLRVAPEKFWEFSAALFKQQ KDFFDVSVVNETRNRTYERLAKVAGHIGVEEREVLKLLTVSDKASDNGELNTGNFVTD DIKKMVKADRAVGVHVSPTVYFNGIEEPGISSSFTATQWGQWLAKNVI AOR_1_16034 MDIDMSRRNKKPRLLLESERERLDEFIDSIHYSARYSDDQFEYR HVQLPKNMLKKIPADYFDSSKGTLKLLWEEEWRALGITQSLGWEHYEVHEPEPHILLF KRPLNYQPPMSQ AOR_1_16034 MDIDMSRRNKKPRLLLESERERLDEFIDSIHYSARYSDDQFEYR HVQLPKNMLKKIPADYFDSSKGTLKLLWEEEWRALGITQSLGWEHYEVHEPEPHILLF KRPLNYQPPMSQ AOR_1_18034 MSDEVYEGAIGIDLGTTYSCVANYEGTNVEIIANEQGSYTTPSF VSFTEKERLIGEAAKNQAAMNPKNTIFDIKRLIGRRYDDPIVKKDVESWPFKVVDQGG SPAVEVEYLGETKTFTPQEISSMVLMKMKEVAETKLGKKVEKAVITVPAYFNDNQRQA TKDAGAISGLNVLRIINEPTAAAIAYGLGSGKSDKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDTNLLEHFKKEFQRKTGKDLSGDARALRRLRTACERAKR TLSNATQTTVEIDSLFDGEDFNSSITRARFEDLNAKSFSGTLEPVQQVLKDSGIEKSK VDEIVLVGGSTRIPRIQKLLSDFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSAE TQDLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVVDNQTTVQFPVYQG ERTNCADNTSLGEFTLAPIPPMRAGEAALECVFEVDVNGILKVTATEKSSGRSANITI SNAVGKLSTTEIEQMIDDAAKFKSSDEAFTKKFESRQQLESYISRVEEIISDPTMSMK LKRGNKEKIESALSDAMAQLEIEDSTPEDLKKKELALKRLITKAMATR AOR_1_20034 MQRIPVLSSQIQRLSSTLTNASSPVNLQRGRCLLQERSLAVPNG RVRFSTSPLLLKKKDKTKNISSSSPDARPPKAGLVSEDPYDLAQLHDGIAAAVCRLKD DLSKLRVGGRLNTDAIASLRVQLSKGGKETVKLGELAQVVPKGGRMVTILATEEDHIK PITSAVVSSDLSLTPQPDAHNSLQLNIPIPPPTKESRDQTVSVAKAAMEKATAAVRDS RGSVHKRLQDMQKKKIARPDDVRKAQEQMEKVTEKGQREVRDLFEAAKKAMERA AOR_1_22034 MFQLRTKLIRHERVRLVEGCLYPIRQFSSIQGRAKDASQSGNDA PKARSLPSGASSSRAPSRKSNLPPANLNSRKTDSDKPRPRRVFDARSLAAPSANGQST NILRSTSLRSPRKGPSIRARRPRPPAKSSAPKLRKGGRPQRSKNTDMEESDSSQIENV YRELAEKSRPTPSRYEPQAPDFSNLKETWPSFPTGTTANTAEVVEKLSFLSDRFPNGY VTPYELGMRLFRGQFVQFLDEEEKAQAIAEAKKLSQQRADNYSQRKGDLVEPEDVGFI PLSVEDRKSLVQSFIQGAYPKLSTEKAASPILSEVKKNLRNNESYQAAGKSSQFVAKV ESLLSSARPVRRA AOR_1_24034 MQGYSFTPPTGPPREGHKNYVFVDEHNRHKRLKVMRACNGCRKR KIKCDAATTNTWPCSACTRLKLVCVPPTVGQDSEFPTGQGVEANPTNAIGASNTTESF SSFSMPQGYRDSGQATVGGIPPYSDGMGMFPQFTHSASHQTGMYEVGSPALAVSHQTY QQQQIFPSQTESLGTIESGVYGDHEQSTAEDLSEVLGELKIDETGIAPYIRQQKKEKG EPEIPIQDEVEEPLPPLSTGAGSTIRIPPELMPSDEEVTNYFKIYFDDIHPYVPVVHR SHLYYQWQYDRSSISPLLLEALFACAGRQSDDPAQGAQWLALANRHESSFMDVPRLST IQAMLLLLKARESVPKKGYYYRSWQTVKTIVSMAKDLEIDEHYNTHAEHRLCDLNPIE CLVQTRVWQALLVVEVMIGAPQGRSDYGVTPDTVCMDPALDIKDLDQFEIDRSRQYAY FVQNAHHIRIITDTYHKIKRQKDWGANPKFVEKNPLFTDWLQGLPSDLQITYPPDGSP PWIPSHFVANMHSHCHLGIILLHRPQLLASKSFAAGGGWKMHMALCYSSAKYLCRLQE AILQRFGLPGLLSMQRGINFAIYCIMTCTMLHLVAITSPDPNFHTDARDYFARHMRIL ERCSSAWPMPEMQAQIDSLRLAFSADVNRPFELKPTFPYGSPSEPYHPSPPPLDSQYQ PHVSQVSGGVRGRVGYNPYPITPPISASTEDSKSDCSQLHSLGMMPPQPVSSQSLNAP LVDENSWDPTRIITQWDMAFSMAPSTVNTNSPPMAMDHSVQAPLAGQYTVQYGQTTKV TPVTPPQAISPPQFNGQQVLFTARDWQQSVASVYDPNGLKRRWNYSVDIGTEHTQKRA R AOR_1_26034 MASEMQNSNPTILNAADLPKRLRPAPSRKPGIFGNGLFASALPF VYASKLPPEGFTSSSESESDDDDLLEEPIDEQEIYDLVSTISDPEHPISLGALAVVSL PDISITPTLPYVPASPLRTVTVLITPTITHCSLATVIGLGVRVRLEQSLPPRFRVDVR IKEGTHSTADEVNKQLADKERVAAALENGTLMGVIAKMLETCQ AOR_1_28034 MDDLYDEFGNYIGEAADSDEDGQHDEVKPRAFAFNEAFGEEEDE ELYGQQSMEVDEAPSNAVILHEDKQYYPSAQQVYGTEVETLVQEEDAQPLSEPIVAPV QQKKFAIEETELPRVHFSREFMTDLLNFPEQIRNIALVGHLHHGKTAFMDMLVMQTHD LTERLEKRAGKRKEVQLRYTDIHFLERERGLSIKSAPMSLVLQGTKGKSHLFNILDTP GHVNFVDEVAASSRLVDGVVIVVDIVEGVQSNTEQIIKHAVLEGLPLTMVVNKMDRLI LELKIPPNDAYFKLKHVIEEVNTVIESVLPGQGEKWRLSPEKGNVAFACASMGWCFTL QSFAGMYAETYPQIETSDFALRLWGDIFFNPTSRKFTRKGVEENSKRTFVKFVLEPIY KLYSHAISESSEDLKRTLASVGIHLKPSQLKSDAKELLNLVCGQFFGPATGFVDMIVQ HVPSPVEGAQMKLDRYYTGPLDSKVAAAMTTCDADGPLVVHITKLFTSTDASSFNAFG RIMSGTAQPGQQVRVLGEGYTPEDEEDMVTATISDTWIAETGYNIMTSGVPAGNLVLL GGVDNSIVKTATIVPLKLEDDEDAYIFKPIRHMTESVFKVAVEPVNPSELPKMLDGLR KVNKSYPLISTKVEESGEHVILGTGELYMDCVLHDLRRLFSEMEIKVSDPVTRFCETA VETSAIMCYSITPNKKNKITMIAEPLDDGIAEDIESGKVSIKDPIRKVARFFEDKYDW DKLAARSIWAFGPDELGPNILQDDTLPSQVDKKLLGSVRDSITQGFSWGTREGPLCEE PIRNTKFRLTDVSLADQVIYRGGGQIIPTARRAIYSSFLMASPRLMEPIYSCTMTGPA DAVASVYTVLARRRGHVLSDGPIAGTPLYSVRGLIPVIDSFGFETDLRIHTQGQAAVS LVFDKWSVVPGDPLDRDVKIKPLEMAPAMATARDFVLKTRRRKGLAEDVTVSKFLEPE LWRGLKESGVLDS AOR_1_30034 MSSLPPVYIVSSARTPVGSFLGSLSSLTAPQLGSHAIKAALSKA DGIKPSDIQEVFFGNVISANVGQNPARQCALGAGLNESTVCTTVNKVCASGLKAVILG AQTIMTGNADIVVAGGAESMSNAPHYLPNLRVGAKYGNQSLVDGIMKDGLTDAGKQEL MGLQAEECAQDHGFSREQQDDYAIRTYEKAQAAQKAGLFDEEIAPIELPGFRGKPGVT VSQDEEPKNLNPDKLRAIKPAFIPGSGTVTAPNSSPLNDGAAAVILVSEAKLKELNLK PVAKILGWGDAAQQPSKFTTAPALAIPKALSHAGVAQDAVDAFEINEAFSVVALANMK LLGLAEDKVNIHGGAVAIGHPIGASGARILTTLLGVLKARKGKIGCAGICNGGGGASA IVVESLV AOR_1_30034 MSSLPPVYIVSSARTPVGSFLGSLSSLTAPQLGSHAIKAALSKA DGIKPSDIQEVFFGNVISANVGQNPARQCALGAGLNESTVCTTVNKVCASGLKAVILG AQTIMTGNADIVVAGGAESMSNAPHYLPNLRVGAKYGNQSLVDGIMKDGLTDAGKQEL MGLQAEECAQDHGFSREQQDDYAIRTYEKAQAAQKAGLFDEEIAPIELPGFRGKPGVT VSQDEEPKNLNPDKLRAIKPAFIPGSGTVTAPNSSPLNDGAAAVILVSEAKLKELNLK PVAKILGWGDAAQQPSKFTTAPALAIPKALSHAGVAQDAVDAFEINEAFSVVALANMK LLGLAEDKVNIHGGAVAIGHPIGASGARILTTLLGVLKARKGKIGCAGICNGGGGASA IVVESLV AOR_1_32034 MDELTRWQRNDLEKFNIESAVELSAYIPEAVLRAELHRRSGIRD SDNDKPSCGSKDRGVYNTPVHVMALFLILLLSTLACSFPVLARRFPRLPIPRRFLFLS RHFGTGVLIATAFVHLLPTAFVSLTDPCLPRFWSESYRAMAGFVAMVSVFVVVVVEMF FAMKGAGHVHGSEYDHLIGDVGGDTASVYRNEGPEYLQESTENIHLEGMPDGSCTSSL PQSSGHLLSDFTDDGSQSQSANLVAHKEDVEVDLEGPDSYDGSRTNAHRNPYSQSELA RPSPAISCEHPDTMLTIQNPQRQLLQCLLLEAGILFHSIFIGMALSVATGTSFIVLLV AICFHQTFEGFALGSRIASLIPDLFAPSSMKPWLMSLAYGTTTPIGQAIGLILHNLYD PTSTAGLLMVGITNAISSGLLLFAGLVELLAEDFLSESSYATLHGRKRVEACIAVACG ALLMALVGAFA AOR_1_838034 MESATPSQISTSFSYIAQLLVLVSHYLSLRLPAEITLPHRNYPA PTIYAPSGSYLLREMLPASSTLQPSPSSLTPSRTADPRSCFPRPRPLSIDRSLPKLAR EDPGTYALFIEGATLLAWNISWLCRTQGLHITSDSWEEICSIGKHMWQLLVAPPAQTS TLVRAFAGRDVQSKVKITRDPPKTIIQRTKSFPMLGHYSHGTVHSFLAASEGTEYTRT WRLPTPTKVADKLKSSLLGEMASAEWEVLEKKEWDNIPEAPLQSVHESSANREDEKDE LNISNIEPSENNCAEPESPKEPVKSNRLKGTSGWTKLRNR AOR_1_38034 MDTADKNDSTQYSPQMLQGKRSISVYEVPGNLTPSFEGLKDYDW GRLQEHYANAMEKHGTAEEDLRAQISKLLEVFMAWSQTTVVRDEARALKRFKTQMQHV QNSEEDLEKKRKHYVNVVKAFESALALLNDRVRP AOR_1_36034 MALLQTSLIWAVYAIVVAILAAVASVFIYTYQTPRDRCPSVILT CIVAVTTLLATVLLVPVDVALVSSTINPALGRRQDWATQSEVDRILLCLKIVYYFLYS LDALLCLIVIPFIYFLYEEYDEVASETEQQSFGQRFWAAFKYTVSFLAIVVVLFLVGF FVPVAKDGDGGGLDYFKHLLTENRGERALTFALGLLITIGLCLYVLYTSTGLALFPIT LIKEGPSVISPTLKATTAVQLCSNRERQRQLEGRCRGNPGLLSSKDRRELDTLVREER TLIRRQRLADEAHGKHQNWLMQLWLKFGAIFRPFQLLSGVIFSLLALIIWISMLLTTI DKAKNSFCKQRCGYILGHINVFNPINWVFVQSAKIFPVDYVIFTLLVLFLFSSSIVGI SAVGIRFLWIRIFQIRKGHTSPQALLLATAMLMLIILALNYSTSMILAPQYATYGPQT FCDRELSFSEKQPDCSRDKHLIRPCSEVADSLAAKQVCTPSVVSTFLNRVTMNFPFFG AIFFWAQFAFLGIYLLVMVTALLHSPKLDERQLDEDAEEAEEESLLANTRGRAETTWE DITSRLRRQNEVDRAGA AOR_1_34034 MQCYTELLPPTGVTHSLALPFISESANNLVVARTSRLQIFSLLD VGPRPGGIEEQGVPKLVLEREYALPGTVTDLCRVKLLNTKSGGEAILLAFRNAKLALI EWDPGRYGICTISIHYYERDDSTSSPWVPDLSSCGSILSVDPSSRCAVFNFGIRNLAI LPFHQPGDDLVMDDYGELDDERLGSHGLESGTDCDMTKESIAHRAPYSSSFVLPLAAL DPSILHPISLAFLYEYREPTFGILYSQVATSNALLHERKDVVFYTVFTLDLEQRASTT LLSVSRLPSDLFKVVALPPPVGGALLIGSNELVHVDQAGKTNAVGVNEFSRQVSSFSM TDQSDLALRLEGCIVERLSETNGDLLLVPTTGEIVLVKFRLDGRSVSGISVHPIPPHA GGDIVKSAASSSAFLGDKRVFLGSEDADSILLGWSVPSSGTKKPRPQARHTEEDSGGF SDEDQSEDDVYEDDLYATVPEVVVDGRRPSAESFGSSLYNFREYDRLLNIGPLKDIAF GRSFTSLGGEENAGNDSGLELVASQGWDRSGGLAVMKRGLELQVLNSMRTDLASCVWT ASVAHMEEAVSKTTTQAENRECHQYVVVSKATSAEREQSEVFRVEGQELRPFRAPEFN PNEDVTIDIGTLIGKNRVVQILRSEVRSYDGDLGLAQIYPVWDEDTSEERMAISSSLV DPYVAILRDDSTLLLLQADDSGDLDEVELNEQIANSKWTSCCLYFDKTGIFSSISATS DELAQNSMTLFLMTQDCRLFIYRLPDQKLLAIIEGVDCLPPVLSSEPPKRSTTREVLT EIVVADLGDSWSSFPYLIIRSRHDDLAVYRPFISITKSVGEPHADLNFLKETNLVLPR ITSGVEDQSSTEEVIKSVPLRIVSNISGFSAIFRPGVSPGFIVRTSTSSPHFLGLKGG YAQSLSKFQTSECGEGFILLDSKGVIHVCQMPLGVQLDYPWTIQQIPIGEQVDHLAYS SSSGMYVIGTSHRTEFKLPEDDELHPEWRNEMTSFFPEVQRSSLKVVSPKTWTVIDSY LLSPAEHVMAVKNMSLEISENTHERKDMIVVGTAFARGEDIASRGCVYVFEVIKVVPD PKRPEMDRKLRLVGKEPVKGAVTALSEIGGQGFLIVAQGQKCIVRGLKEDGSLLPVAF MDVQCHVSVVKELKGTGMCIIADAVKGLWFAGYSEEPYKMSLFAKDLDYLEVLAADFL PDGNKLFILVADSDCNLHVLQYDPEDPKSSNGDRLLSRSKFHTGNFISTLTLLPRTSV SSEQMISDVDAMDVDIKIPRHQMLITSQNGSVGLVTCVSEESYRRLSALQSQLTNTIE HPCGLNPRAFRAVESDGTAGRGMLDGKLLFQWLDMSKQRKVEIASRVGANEWEIKADF EAISGEGLGYL AOR_1_42034 MEGFDTMAMPYLASPLSLSNIQGADYLNSMPGMDLPDQRSNFES ETFVSGDDIAFPQTGLSAPLKRFHSGYDDPFSDMVAPFDPAPAEQQHSDSSIDHNNKL LSFSMPVYNFTLLDYSLRRTSLSLSAQLHGMFFLAESPWTTSPAENAPPQQGAELTCY RRNLFQITGSVTLPRSLRYIMTEQGDRIPILAQELTVSATESVEGNSVKIISVPWKTP AANANPSTEDSGHPTATNNPNNPKVEKEPPAIPLDIMAGQDLDTDYATFPIAWKRLQF RVATANNGRRKELQQHFVVRLKVVATLSTGTKIPICEVHSGPVIVRGRSPRNFQSRKD LPLSGSAAASRKNAQASHSASINRTPTSETAPRSGQPPSKFKTTGKSSSPETSASQTG VTAQRASPDWTQIPQSTGGALPSAALPRSSIYSQSSPEYSRTAETQQRRASAIAAPIN LSLLDDDGGGDPSRLNDSARPTSSYASETPQKIINTEGSAPPAKMRKLSHGIPQPPAR STSSSLPLLNTTNMQQPFASTLPFPNESADLLYEYFPLGLDDWQAPVDAVYRPHVVHH TNMPEMKFVATRGRSKRYFAAEDVF AOR_1_44034 MAPREDMLPPGWDDLDRQMGQLFMMGFDGTSVDPQIRSLIENYH LGSILLTAKNLKSAEDATRLVLELQTIARDAGHPVPLLIALDQENGGVNSLYDEIYIR QFPSAMGIAATGSKALAHDVAVATAQELKAVGINWILGPVLDVLTNVRSQPLGVRTTG DDPQEVSQYGVEFMKGYKEAGLVTCGKHFPSYGNLEFLGSQSDVPIITESLEQLSLSA LVPFRNAILHGIDSMMVGGVSMSSAGFHVMHACLSEQVVDDLLRKKMKFDGVVVSECL EMEALTHNIGVGGGTVMAKKAGCDIILLCRSFPVQQEAITGLKLGVENGIIGRARIEQ SLRRVLSLKAKCTSWEQALNPPGLSSLTQMQPSHTTLSTRAYNSSITVVRDKKTLLPL SNIIEPSEELLLLTPLVKPLPASAVSRSVTEHPNLSIEPMTWDRTSSVLSGESVFREL GRSLSRQRNGRVLHTSYTANGVRPIHENLIDRASAVIVVTADANRNQYQYGFTKHVSM ICRSQFTPSGESREKPVVVIAASSPYDFAMDPSIGTYICTYDFTETALEALVKILYGE IIPMGSLPGSINRSQKLHQARQHWLVENWNEERDSHALDSLLDAVREDCTQTQRSELL GVTSCSFLLRREEIDEAHFVVRNSSTQALYGFCSTYFFRSTGTGVIGALIVDPARRKL SIGASLHNRAIRTLVQRKGVKRFQLGSRLPGIYLGIPSANPVERKRLRQWFANLGWNT ALSRPVCSVVLRSLSSWKPPNGLIQGLQNADVVYDLVYGWDYADTILDHIKTNSRQGV IDIYKVALGGAPNCGIIRATRPGDGAILGSVVTYNGRATLAEHMPALKAMHSSTGGIS SPVISPSVGEYATVMQGLIFMGIKQHRKQGADSVIMDCVDGDSNFDSLSGIMGFSTLH SFEEVNCDAATWTMMTAS AOR_1_46034 MRVIIRETALEASEYIADYIISRIKAFKPTEDQPFVLGLPTGSS PEVIYKTLVQRHRAGEISFRNVVTFNMDEYVGLPRDHPQSYHSFMYKHFFSHIDISPQ NINILDGNASDLAAECASFEAKIARCGGIELFLGGVGPDGHIAFNEPGSSLSSRTRVK TLAYDTILANSRFFGGDVDKVPRMSLTVGIQTIMEAREVVIVATGAHKALAVEKGLEG GVNHMWTLSALQLHQHPLIVCDRDATLELKVKTVRYFEAIEQSGTDARTQGPPLVYRP RTYVPAPMGASKTNQQPTPASTPPRVPKDLRINTQLNQTLDDEELTPDSMSSRMVDSA ISGLDSTLKGDLMFDRMGTRVISH AOR_1_48034 MSYLPLPPRQLFYDGKVQSASSGKTFQSVNPSDATPLAEIQVAS HSDIDAAIAAADRVFPSWSQTPPIARARILQKASLILRERNDEIARVESLDSGKAFTE TSTVDVVTGADVLEYYANLVGGGGLNGETTQLREDAWVFTKKAPLGVCAGIGAWNYPI QIALWKSAPCIAAGNTMVYKPSEFTPLHAQTLAEIYKEAGLPDGVFNIVYGAGDVGAY LTSHPTIAKVSFTGQVATGMKVAGSAAGNMKYVTMELGGKSPLVILPDAELENAVDGA MMANFYSTGQVCTNGTRVFVPSSMKAAFENRLLEKMQYIRPGPLFDEATNFGPLSSAI HLEKVISYIRHGIETDKATLLYGGLGKPQVSKELENGFWVRPTIFTDCKDDMLIVKEE IFGPVMSILYYETIEEAVRRANTTELGLAAGVFTKDVNLAHRIIDQLQAGITWVNTWG ESPAEMAVGGWKKSGLGVENGRRGIEAWVKNKSTLVDMNGAVATVFAKL AOR_1_50034 MATTNDFPASDVNSYDYIIVGGGTAGCVIASRLAQYLPNKRVLV IEGGPSDFNDDRVLNLREWLNLLGGELDYDYPTTEQPMGNSHIRHSRAKVLGGCSSHN TLISFRPFEYDCQRWEQQGCKGWSFETFTRVLDNLRNTVQPVHARHRNQLCKDWVEAC STAMNIPIIPDFNKEIRQNGKLTEGVGFFNVSYNPDDGRRSSASVAYIHPILRGEEKR PNLTILTNAWVSRVNVEGDAVTGVNLTLQSGVKHTLRAKKETILCAGAVDTPRLMLLS GLGPQNQLSSLGIEVVKDIPGVGENLLDHPESIIMWELNRPVPPNQTTMDSDAGIFLR REIPNAAGSDGRSADIMMHCYQIPFDLNTSRLGYDAPINAFCMTPNIPRPRSRGRIYL TSADPNVKPALDFRYFTDPEGYDAATIVAGLKAAREIAQQAPFKDWIKREVAPGPKIQ TDEELSEYGRRVAHTVYHPAGTTKMGDVYRDPLAVVDPQLKVRGLKNVRIADAGVFPE MPSINPMLTVLAIGERAAELIAEEAGWKREQPRL AOR_1_52034 MPGKTATPPRITKFTNCRIIRGNQLVEQDVWIDSLSGKILRDQE AFYELHMSPDEVLDLGGRILAPGLIDVQLNGAQGFDFSVPKSSKEEYDEGLRMVNKGL AKTGVTSYLPTVVSSTPEVYWKVLPSLGPSGAKHRAEDGAESLGAHVEGPFISPGRNG IHKTDVLRAAKSFEDVVGCYGKENMFGPSKTVRMITAAPEVGSMVNNIPNLTAQDIIY SIGHSDATYEQAMSATKQGATMITHLFNAMRPFYHRNPGVFGLLGQNEHRRPFYGVIA DGIHLHPTSIRIAYNAHPNGLILVTDAMKLCGLPDGVYDWTNGERIVKTGARLTLEGS DKIAGSSATLIECVNNFRRWSGASTAEALNAATATPARLLGLEGVKGTLDCDADADLV VLTDAPDPYSGPTLTIDQVWKRGVKIYDAEKEATNSRV AOR_1_54034 MGNSTVGDDNPAEKEFAAATSDPEAGQDSVTGKPWMYKPWKIGP LTLPWFAHPMTQLVLVSFVCFLCPGMFNAVSGLGGGGQVDATDVNKANTALYSTFAVV GFFAGSIANRIGLRLTLSLGGFGYFLYVASLLSYNHNSNAGFLVFSGALLGVCAGLLW CAQGAVMMSYPREHEKGKYICIFWVIFNLGGVIGSLVPLGQNLHSTAGRVNDGTYIAF MVLMAVGFVLAWGLSDSKYIMRSDRSRVIVMKNPSWKSEFKGLLDTLRSDYYIVLMFP LFLSSNWFYGYHFNSVNGAYFNVRTRSLNSCLYWLMQMVGAFVFGFTLDMKFFSRSMR AKINFVLLFLLTLGVWGGGYAFQRQYTRADAPLDTDWSDSGYVGPMFLYMFYGFYDAA FQTCAYWFMGSLTNNARKLANFAGFYKGIQSAGAAGMWALDLDKAPFMTELASCWGLL LGSLLVASPIIFFKIKDHVDIEEDLKFSDETAHDVMGNIPMEEQTSGKKEEKRESVA AOR_1_56034 MRGYEFLSVLPLVAASWALPGSTPASVGRRQLPKNPTGVKTLTT ANNVTIRYKEPGAEGVCETTPGVKSYSGYVDTSPESHTFFWFFEARHNPETAPITLWL NGGPGSDSLIGLFEELGPCHVNSTFDDYINPHSWNEVSNLLFLSQPLGVGFSYSDTVD GSINPVTGVVENSSFAGVQGRYPTIDATLIDTTNLAAEAAWEILQGFLSGLPSLDSRV QSKDFSLWTESYGGHYGPAFFNHFYEQNERIANGSVNGVQLNFNSLGIINGIIDEAIQ APYYPEFAVNNTYGIKAVNETVYNYMKFANQMPNGCQDLISTCKQTNRTALADYALCA EATNMCRDNVEGPYYAFAGRGVYDIRHPYDDPTPPSYYNKFLAKDSVMDAIGVNINYT QSNNDVYYAFQQTGDFVWPNFIEDLEEILALPVRVSLIYGDADYICNWFGGQAVSLAA NYSQAAQFRSAGYTPLKVNGVEYGETREYGNFSFTRVYEAGHEVPYYQPIASLQLFNR TIFGWDIAEGQKKIWPSYKTNGTATATHTQSSVPLPTATSMSSVGMA AOR_1_58034 MTNLTASPSSSNMAENEAKGKRKASTAGLPANARPVKRRASKAC CCCRARKVRCDVVENGSPCTNCRLDQVDCIVTESKRRKKSRVEVDNPNHQLSQSPAEA PEDGSLLRRLSECHGLSDVAPASPSQRSVDLDQGQHMPHLLYQSQVSRIGAGPERYRR RMAPNPAVPATMPLHHVTSQIQQLLDPSFANARSGGIILPDYIRGLPPRLQKEDIDYL AMKGALTVPDVGLRNELLKAYIHYVHTYMPLLDLEDFLQTIAQNDGIRRMSLLLFQAV MFAGTAFVDLKHLQAAGYSSRKAARKSFFQRARLLYDFDYEVDRISLVQSLLLMTYWY ETPDDQKDTWHWMGVSLSLAHTIGLHRDPGNSRMDVRRQRMWKRIWWSTYTRDRLIAL GMRRPMRVKDDDCDVPMLTLDDFEFHPFSPEIVSMVGNSEVLQNVSHQKELALMFIEK AKLCLCVSHVLSAQYSVLSHKFGGTMETTMMLVPKKSAAETFEVRRCDQELEDWLAHL PSEIQYAPMAPAKLTEAQEVLHSHRALLKMVYLTTSSALHRPQVLPAMPFPSTDAELQ DISRNKVRFAAVEITNIAQDLHALDLTRYFPTTGVTVLLPAVIIHLLDIKSSDPNVRM VSLQRFYQCMRILQRLREIYASADFATSFLEAAIRKAGIQLTVAPQDVQSRNNCTFDS VRLNTLTPPPDSLAQKIPDLTYPKTSGTRLAGEAAEASGFASTPPPSDGSENGSTNNI NPHYHQDAFAIPNLDSDLSISELMDLANDAEVTQNDFDALINFDDTGAELFAADDGLD LNGNPKGQGYGFNIGTMDNVPDLFGTESKGVGLTGLGNGQLHEDRTSTTLGANEAPRA TELDGIADLEAELGLNL AOR_1_840034 MPPKAARKLKDSIEQEGRILLAISAIKKQEIRTIAEAARIYNIP RTTLRRRLNGHTFRAETRANGHKLTQNEENSLVHWILSLDQRGAPPRPAHVREMANIL LLKRGFSDTPTTVGENWVYTFIKRREELKTQFSRRYNYQRAKCEDPKLLREWFERVQI TIMQYGIQPDDIYNFDETGFAMGLISTAKVVTRAELAGRPFLLQPGNREWVTSIECIS SRGPLPPCLIFKGKVHIEGWYEMGLPSDWRIETSANGWTTDEIGLRWLQQLFIPFTAG RCFGPLKRAYGQLVENKMRLGFNHIDKLDFLEAFPQARAQIYTTSNICSGFSATGLIP FNPERVLSQLNIQLEATPPGSRPSSRSTNSVPKTPHNLKQLQKQETTLKKLLRARTKS PDSPTKIVIKQLFKGYERALNEATIAKQEARELRAAHERMLKKKKRSTRQLPIESGAS VQEAQELIQGRNSTVEPITTASVDIGAPVESQRCPNRQTI AOR_1_62034 MSTPLSPLTSSRQNSQPFSPGISGLVAQEDVVDHIVAPKDSLEN GFSSPRKLVDDLSADTERRQSYTATQQPNEQDLDYDNTDPILPPSSPFQYEARDDTVD FQMLRSQQLSATPRKRSYEHVPEDDAFDDRYRKGTARRDMPDISVYADEDVSINNEQS SRESAGQEIGNSLMEEKHNEGMSTVIHENNSNDTSNDKEDTSLHEEDDDMIDDTNDSM DETCLSTFSAVTNVDMTTFAHLRGDSPFKAGQPSKLHGDLDGKDEPGTPTTTRKSPRI SGLVDFGSPTPRKRDARVSINPSETPNLLDLSDQPSFFPRKRYSMQNERYSPSRRSPL RTVREPTRSPAKVSLLDFDLPAAPTPRSIPSVTPRELESLKSGFLSEISSLKATLSGK EAEVASLKQAVADAERRVGETSEELRNEAARRETLEIEQAEWQRRGQEMEDVLQSVKA EMMEGEREREKLMRRAEEMEKSKEKLESRVVELETQLSSARKPASNDMGSSKSAQYGK TAEETAKEVQDAVEKVARELHTLYKSKHETKVAALKKSYEARWDKRLREAENKLKAAY KDNERLKAERDAALSEVSQPDISMITREKDEHEAEKRVLEAQIKGLQQELGALKDDSE RLHHELKIERAEKGELVAAVDEWLAIQQNQPSIQDGPQSPRPQEDNSPEPTPIEVAPE DFRQSISRSSSSSIRPPSTGSSNGEKKIPRIPAPGSRQARGNSGGKSGIAVFTPGRSG IMGSIERMGRGGV AOR_1_64034 MVLTQQRRQPASDDQFPTTQLFLLAICRVAEPIALTSIFPYSWV MVKDFNVANGSDASFFAGILVSAFSLAEALTGMFWGSLSDRVGRKPVLLSGCVGTMAS LLIVGFATNFWVALFGRALGGILNGNIGVIQTMVGELVKRPEHEPRAYAVMPFVWSIG TIIGPAIGGLLAKPAEGFPSLFYREGLFGRFPYLLPNLVCSVLLLLSIFFSWLFLQET HPDMQPCTATENLDGRSAERPLLATAGATANAGADLRAESYGTFNQVHLYDEEDWLDK TLRDVPTVGNSILKFPGGVGLSTRTVGLIMSTDGIIALFIQSVIFPALAHYLGVWRLF VIVTILHPVAYFMVPFLIFLPRSLLFFGIYGCLVVRNILAIIDYPVLLILIKQASPSD SVLGKINGLAASAGAASRTIAPPIAGYLYSTGAELDCTALAWWGSTLAAIVGAVQLWF MERKKHSSATIQPAAPCHYLPNEAHPRRDAVHIIVTGTDVGTPDA AOR_1_66034 MFRCRDIVSRIVLLSVAFLSLTTFTLASSPASFCKCTCFSNSTI IPLDPAKPDTTTLTNLFRILGRSDSTDDHDNTNERRANKYRSLSCNDCNRKFCLDYDL PTCKDAKEEDVFTTCFQRDSRKDEAIVFIFIIATSGLLAWAVFKPWVQKYLEAARERR SYIPVSENADR AOR_1_66034 MFRCRDIVSRIVLLSVAFLSLTTFTLASSPASFCKCTCFSNSTI IPLDPAKPDTTTLTNLFRILGRSDSTDDHDNTNERRANKYRSLSCNDCNRKFCLDYDL PTCKDAKEEDVFTTCFQRDSRKDEAIVFIFIIATSGLLAWAVFKPWVQKYLEASRERR SYIPVSENADR AOR_1_68034 MTTDPSANKRLHITPFTAELLPSVLPSSVRPLATEISFHSIPTF PENNYGYVTLPAMEADKIKKKLNGSILKGRKFKVDVARPQKRQRDENEDESDKAVFNK VSPSSKKTKKQKDIGNVLEGYELQTDRQVKRGWTESTSSLKERRKEEKRNKKKDDRTG KSQAKSKYTEKAECLFRTKIPPNRASSAEVEQDKQSKKKKKKSLQESVVHEFSKTVTQ PSFLRTDSDGAAPTFTFEEGKGWIDGSGNIKEQASDRIRSDQYTPGKIAGAKERPKSK SSLKAKASSQSLDDACAVRGGVDLKESDESDESEDWTSSSGATSSDDSATDSESEASV TSGSSDTSDISNDQHEQGAQSTPQGVEKVPGPEAKADQDVAQAEKSDEPHSQEVHPLE ALFKKPTPGTTDVKPDPDASAQFSFLGQGDMESEEEPQEVTEPQTPFTKKDIQSRELR SAAPTPDTALAGRNKKWNSLEQHDSMDVDDEPYINTPVPKFGSALKDESEFTKWFWEH RGDNNRAWKKRRRDAAKEQRQRENRRKGMKGKS AOR_1_70034 MGNSQTKEARPPFTPNRRSHGGGHGRSPYGDRHHSEGSRSTRGS RPDLSILGIGGSSERDVATLEHRRETKQEREARRLERERVARIKERERSMREEHVDGG YLVTQGVYTGTEDFNKAVVRQLMIERRLAPFWRGLNDFSDSWTEHQLMAAARGLPIPP PDEIPSELEYKNPPKITEGAKESTDTKGIQHLTVPITSRSQSYGSDASQSSTPAHSLP SPTSPLASGTSSSPLFRTRAKTLASLTTSKHGTQADPAPREIQLPRDPFVNGQPIEAY LYKDAAECPICFLYYPPYLNRTRCCDQPICSECFVQIKRPDPHPPEHGDSDPNAPAAA AEGDAADNQDSQLVSEPAACPFCVQPEFGVTYAPPPFRRGLTYASDPSARPNFTSPVS STSSLSSGNVTAVTGRRRAASLSANDPTVITTDKVRPDWAQKLANARAHAARRSAAAT ALHTAAYLMNSNGNGGDSRTFNIGRRGVMRRAGGSDPHSSSSRTGSPALQALAFLTDR RSATNDMDSAEEGSGNIAPPRNTSRRNRIDDLEEMMMMEAIRLSLASEEERRKREEKE AKKEAKKREKEAKKAEKTARKTGLYSTNASGSALDVPTGLGRVASSSSSVIGEESTPA GKGKEVDRASPEAPIDATSTCSVTAPASMNAVYPPMNSADQHQSMQSSVPQTSPRELS KPSHLRHVSSASSSFSSLVESMSGDHTGTTEGNGSSTEPLFNFRSLAAVIGDEDKREE SAEHVENTLSNPQAEGSASRSVLPVDHAHTDDSVFNADAATPAGSDFALQESQGYMIP KELETRSVEITDSTGNPQATS AOR_1_72034 MAILDKESRSRGLRMPSLSAIKSRKKNAESPPRRDSSSDEVVIP ARMDSAPGRFLHSQEKDLPPNPLPSPPPFPSAPADSLSNQSPYHHINPTPKYEDRPLP KFPRVPVPKREEPPAPVPALVPAPAPVQQVTPPSSEDHYPIHYQEHIQPIEDPLESFI PEPEPEPGVDGTSASVEPVSSEESNGPWTPPDYEPIAAPLNQLHYACYQEHRSMPTAN NLWHPLPYATEMPESLPGAADENDTFPRVAAHSSLIVARISVQDMGIGFMV AOR_1_74034 MAPHQNPAPDVGDEEEDDYMSMVIEEPQQKETFTQKKRRQQREA EARAKVPSKAERAAQEAERRDAALATSTLNPSNKGFQMMAKLGFKPGQALGKSQGERV SNQKSDSKIGGRAEPLNLVFKEDRGGIGLDTEKKRKFREEAEEAVKKVKQEEGDYRDR VRIERETRRTEAQFHAAQKVAERLDAEAETGDGKTVQTKRGKTPTEHEEEESEDTTSG SNNPQPKVKVKPTSQVNILYRGLVREREEKERSIQARHLLQTSLPSSFFPNPRLPGYD DDPTLEREDKVALDNKPDISTILEQELDEEDPELDEFNALEPSERLARIVQYLRETHH YCFWCKFRYETGEMEGCPGVTEEDHD AOR_1_76034 MARYITFAQFFLLFCLAAAQEVVVDLEYARYRGKALSNGLVQWL GIRYAAPPTGPLRFSAPQNPSVVAGIQNAFEHGPTCIPTSEYPIPEDTSEDCLFLDVY SPYRAGNHSKLLPVFVWIQGGGFNQNSNPNYNGTGLIQASGMGIVVVTFNYRVGPYGF LSGREILQDGSVNNGLKDQIKVLEWVQKHIHKFGGNPKHVVVGGASAGGASITLLLSA YGGRDDGLFHAAAAESQSFATMLSLKQSQFAYNNLVIRTGCASDADTLECLRGLDAEI LQRENINTPLPHAQQAPLYLYGPVVDGDLVSDYTYRLFHQGRFIKVPVIFGDDTNEGT IFVPKNVSNVGEADTFIQNQFPTIKLEQFAAINAWYLHENQTRQFPDAGPYWRPASNA YGEMRYICPGIDLSSIYARAGINSWNYHYAVQDPDLKESGLGVDHTVEINAIWGPNYV TGEPPSSYFTTNAPIIPVMQGYWTSFIKTFDPNPHRYPGSPQWSTWGNEGYQRIFIRT NETRMEEVPVDQRERCEYLISIGPELQQ AOR_1_78034 MPPSQLKQLKASLRESGVLGPQQSKKQKRQNAKSGAAAQNRVQR NAALQSIRDRFNPFEIKAGGTRTKFDVTTRDGNSGTAASRARPGVTKSLGEEKRRQTL LREMEKRNKVGGILDRRFGEDDPTMTPEERAAERYARASQRKMRKESMFNLEGDDEEE EFQLTHKGQSLNDIDQDDFQEGDLGGLEDDASDTEAARKRKRVFFDDGEMDGPEDLED GEEHPERKKSKHEVMKEVIAKSKLHKYERQKAKEDDDDLREELDKGLPDLFEMLRGVK PPPKPEPPKSDLASMNPDRAALMEGIAKGDTEKEYDQRLKQLTFDKRSKPTDRTKTEE EKAAEEAERLKALEEERVRRMRGEEVGESEEEDEEEEVEEEGSEMSEDESIPDDAKAF GLQQSSGQISTRPELSVEDEDDFIIDDDLVETRSDVSLSIGDSDEEVLSAEESEEEME EDEEDELINGFTLPTDNTGEAPAAADAPEANEGLAYTYPCPKNHEEFLQVIQDVPMAD LPVVVQRIRALHHHRLHPDNKKKLGQFSRILIQHVAYMAEQPEHPPFAILENIFRHVH SLAKSHPESVSQEFRARLREIAADRPLSLRPSDLVILTGIATTFPTSDHFHAVATPAH LCLSRYLGQGAVNILADFATGAYAASLVLQYQLVSKRYMPELINYVLNALCNLAPEEP KSSLGLFPSRSPEESLRLKISKSLKSRKLQFWDITGPDSPKAQEELKLSLINTFISLL STASDMWSDISAFLEIFDQAQKVLRFLNRSCKGKIPSVVQDTLQSTLDKLDGHTSKAR LTRRPLLLHDHRPLAIKTAIPKFEDTFNPDKHYDPNRERAEANRLKAEYKRERKGAMR ELRKDANFIAREKLREKKERDAEYEKKYKRLVAEVQNQEGREANAYERERRLRQGKR AOR_1_80034 MPSHMNDRLLWLCLGVSLFFAVRGIVTDLRRVRDLTEIKHVEKE DKMISEGTEDALKLDTLLKLSESTSYDLRAAALRIIAERSTKGETRDLLLRDLASTDT ERRSKALSAMYFLVSNRSLSRTSVCARLKDPLTYNALVDCLCNFLNEHTEETSTTVSP ILPKTRPLGEKKALGILNLVLPENVPAALEAGIVSRWLSKYPFPCALSEPSRRQDVVI LMKTWWSDDTLMSSIFSTLSSHSDGTKQLRKYGLMGSMIEENDQDDDDSDIWMVDGDD TAGSGRVPGRRLRERSAEEQAVRRRRREAMVLSDGGRPLGHDDIIQLPISE AOR_1_82034 MDLDTETQGVAKDGSNTQGVYIYRPVTSAKAHGERPSKRRKVQP EIKEQKSESHPFVPLLDGEENESSVELRYNAYRLLWSTQETKIQEILDDVDSEVLENV LSFVRSTSHLTYDGCIPTALITVGSNVSSLGRLLSKLNDQLAASEEGGVVILESGDAP NLKATLKNIIRAAVTNTEGNNGYQNFLTDREGPRLLGYDLDLLGDYVKRKGIKKLVVA FRDSEAFDPNILTDLLSLLSSWLDRIPFTLLFGISTSVELFEGRLPRSSVALLRGRYF EIHGASNCVDRMYERLQADQNGRFWLGRNITGVLFEKSNDYFQTPEAFSRTVKYAYMT HFFANPLAMLLSEEISVNRQQGKVCEAIRNLPSFRRFCEHLLDDDSTEQVRSLLENDE FLFQQSLKYIEIGQQRMRSIFHVVKTVYLCLKSMDIRKKLTIPDLSIRALSGDLQDST YMDDLLKGLKTLDSSKLKEVLAFMPQDLTDCPDFQEIKKDFEALVQTYQGTEPLRSEY DSHNSIVAATVVQQRVKLSKSKARLPQQNIEYTKVIDRVYALSEKYFVETLVIPQDLF LHEAFLLDMKNPLKEIFSPRPRFAIERALANPFDYLMSMSDRTEARISARQPATAILY QLYLESGALVNVYDLWQAFYAVFESEQGDACDERMTMTLFYRAVSELKALGMLKSSRK KVDHASKSAWIGL AOR_1_84034 MLRQAVRGARWYQHVALRTPSAPSLPLLRTFSVVALRSFQYGGP NDKVRFYEQDTRGSKKRRQVNPEAEENADREEVEGELARLEKELQDLKEGPYGPNSQF IRELPEKERAIALEALRKYEAEHGKDEPEIGLEQVFDNELDEMLKEEFEGLAKEEENW HSTGQDNSPKKPVRRPYEVAVTTLEHHSYIEKFNQCLTRIASDGSNERLGAELWRWYR RCKQMIPEFLESIPEEALTLLWHAQVGDYTRSSRTSHIQILAEDAISVGKSLSTPHLL SYIESLRKTGKTKEALDQWEAHQTGLSQNKQDLEAYWKLGVRLFAAENDPQRAQDIAL AFLANDKSREPRILLPVITAWGRQPGKEAEVKAWALYLQLKSFLENTLTMDDYDNISI GLLKAGRPNLAIAVFKDMMVTGQDPANDSTSLYKAAVGLVGHLQASSISEQDVNKVSL STLTVLPRRFQNRFFYASWMKKLIGMGEVDSAALVIELMYERGVKPDSKHLNGLIAAW LRDGNPDAREKAERLGWSMVQQRIDSIWARTNSTGSSPKLTVSPDPDSPRIPKWMQRE IPSANIETFSILLLHYTRRSDDGMIKYLVKCLGDAQIKPNSYFMNHILYAELRKQDIG SLWDKFRTMSASIQPDLETFACLWDCGKLQYDRGRTTFVADFPSARSLYAEMMQWHSH LPTRGRAAAQEEFSKELYNQIIRCFCLSKDLPGTLIALYSLRSIFGFSPDDVTARLII LQVARMAGVPPGTPKRRLRRLSTTPKSKENISHVNRLVEILSERKVSALQARGLTLET LEPQEREQYQLDIMTDLLRIIMGRAATESSRVEDQIASAAEEMNVLGVDLGSSLEDND LLL AOR_1_86034 MCAIYLRITFLLCLSLSLAHVIYMNDTTGANNPLEERQLMGHQF MCCMGDCSYELVGDGNPHTDYLHRQMTSTGECRDGPGAKGCGVGFSTSHTFGYTVSAG ANIGWFAPGFSVTESWTVGETKTCSADINATVCLWYDMAYTAYTVQVVNRRLYSSVCS NQPTGPLIMKAPNVNNAGGGHWYCAYGDECRGEGEEYWCLTEDCPPKGKPKPQD AOR_1_88034 MHLYVITLVAFTGLVHCWGGLSASSLDEYCFYSIYQSLSTLTWS GPHSQNAACNNTVQLAKDQALPKSTRLSWSVMGYWGGILLTGILHRLWTLSRHVQGGS GRGDVERKREQQLPDKAGIRDRLSFYWKAYIAVPAALGSYHQRRLLGCSIPNRPEILV VVWFWIMCIILNFGFHDIFIPNVTMPTISRQAWKYVAQRTSMFAYACLPWVWLFAGRN NIFIWATGWSFSTFNVFHRHLSRLTAIFAFVHAISYTVLDTIYGPYYEEGLHVLWFKF GIIGIDVTAFRDPYVFQNKDLDDTVGSSSGTWYAAISGGVHDVGPGVFLYRNQGSGYE DWEYLGKWWSEPVNSTCGNGDWAKAWGYNFEVGNVFSLDKEGYNVNGETFITLGVEGS YGPITESVTSMHGMLWASGNILKPDGGNVTFEPTMAGVLDWGISSYAAAGKVLPATSQ ASEKSGAPDRFISYVWLTGDVFGGVAGYPSEQQGWQNTLLLPRKLHKQTISNVVDNDL ASETGSWCVKDSENSCLKLETMGIKIARETYKAMTNKTSFTEPKRTFCEDGAVPFKQS PTTKFFVLNAQLSFPKSARDPGVQAGFKILSSELESTTIYYQFSNESIVIGRRRLRLF DINKNCNKSDDDDKQEERKKKDAYREHGRNRHNVKLAAEDESHIETLDLTIVVDNAVL EVYANSRFALSTWARTWYANSTEISFFHNGEGEVTFSDISVSDGLYDAYPDRAR AOR_1_90034 MVNWLSLAVPFAYLGVLIGSLATFSSLYRKRKAQKAYSLEPWFP AHLQRDIYFSLLHIDPPATSSKEKKAPAVPESVLKAALLRRAEEDIKRVLALRSQKQA LGVLLQRGSVGDDLWQRFQRAEKEMEDEVRDVVSEANAYVPGWGQTIFQSANEMMNNT LYRERIAEQQAKLDEERQWWEKRRASIKEGFMKELDAEDSTSTAPPQKTEAPADTTPT TTSSSTPAIKTPESSGAPSSVTGSDDDAVLVEADEQPGSPASPSKKKKKGKK AOR_1_92034 MARMKRSIEEIANKSDSDDDDYSDHPRSSRRSVSRSKSRKKSKP SKKRPRRRSNDDIVSDDDDFFSEDEELSYEESEEEPDINAQRNARGLVARRAATNRPL YNEGDSSSFEDDDGESEQTSPKKRKTAVVTLKLGDALKRQPQQDQGNRRVTRRTRGAS EDIYALTNSGRHVETVERGTHSPEAEVIRPNRRGSRSSKQVPTVMEEDEETQEKQEEY IEETTTEVKGSQVEIMESAQASFEEGPISAGDDQQGAAEADEGFVPESENEDAEHEED EDEDEGPVTRRRTRPNRSQQIEEPEQPDEEEPSGPRRSSRKKPKSSQRKRLNDEESDF EPEEEDSNDDDEVSQTGKSNASPRKASQARDDEEDSAAGRRPGLRKRASRSRGQSEAA ADIAEELAEELEDLRGGRPRRRLQTDIVYEKPRRSRKDVDYRIIRPDLILPIEEAENE VNESPSRRGGRGGGGGGSWQRTLFPTYGPFGGGGPAAILAPPGAPAATGGVDSDSSDD EGLHHPRGSVAGVGSALGPHGAGLLPGQTQTHDAAQGLSGTPANLGKVKDKQALADAD PLGVDMSVNFDSVGGLQGHIDQLKEMVSLPLLYPEIFQRFHIVPPRGVLFHGPPGTGK TLLARALANSVSSEGRKVTFYMRKGADALSKWVGEAERQLRLLFEEARKTQPSIIFFD EIDGLAPVRSSKQEQIHASIVSTLLALMDGMDGRGQVIVIGATNRPDSIDPALRRPGR FDREFYFPLPNAEGRRAILDIHTKGWDPPLPGHIKDELAEITKGYGGADLRALCTEAA LNAVQRRYPQIYKSDKKLLIDPKNIDVTPKDFMLAIKKMVPSSERSTSSGASPLPKEV EPLLRHPLADIRALLSEILPQRKRLTALEEAQFEEPEGAGSFQREQMQQEFDRSRVFR PRMLLRGLMGMGQQYLAAAILHYFEGLHVQSFDLPTLLSDSTRSPEAAVIQLFAEVKR HRPSVIYIPNIESWSETVGQAVLSTFLGLLRSIPPTDPVLLLGVQEITGEEIDTGLLR QMFGFSKRNFYDLKAPGNEARYEYFSKVVEYIKTSPAHFPDPENRKKRELETLEIAPP PPPKPTTPLTKEQLKAQKKKDHQTLNLLKIRIQPIMDQIKKYKRFRTGVIDESQIRYL WEEDDPNIVTSDLPIEQRTTFRPFEKAYDKHGVPGLWETVSGKFFYNMEIVTIEKRLS NGYYKRPSDFLADIKRLAKDARQTGDQERILRANELLSNVEVDISTIEQAEPQLVAEC ENVYIRELAREKAAAEKAKRVEEAEKGGFLGHTANHNVPHGNTESGPSSGPVVLGETF PDLAPKEQARPVTPTRQSTASFLTNGYHQSGGSDLNDLSARGPTSNGSHESRPDGDGD VYMTNSEDHSGTRDTQGSSFGPSAQPKPPYSHTAPSQQIRRESGLSSFSQKGPMTPMA PGSQPHDYANEASTTQTTSGQKSSDQSSLRPNYTQSPIVGQAIRHDFPDLTQYPDRVG LEEHLPDTQQCDSSQPSPRPRESLPGNADSQPEHHVNGSQSQPKTQPPVPLFDESTKP SSHPPVNLHSILNDEDHSPKLIVDSEYVQNLHEQLTQRTSGCSVEQLEQINTSLMDYL WRTRGEWNRSKVAAGIRDSFNEILEDMQAMQEIGPISQRTKEQLGSSFEHL AOR_1_94034 MFRRLPLTRPSPPRFLFTAFSSRRPFSTTGRNLNANQSSSFKEG ASRYKIFASPFAKVFLGAIFTYQVIYWTWLKLEMDESKYIKNQEVAALEKQARELTGA QK AOR_1_842034 MSEPNPKRLAWDNSGDILQASFLGLQFGPDSAVIPHSHLSMLNQ WPPHHQQASSPPPQPSLDVSQYHHPRLTSNQDAWNPLQVTGVPVSTSTWGFPNANKLQ QTPKGGRKNSTGQHSAISESDSHYNGFHPSDSGYCSRSCTTRSVTTSSYAVDSVSSPF LAPHEHEQEDRASMLDLGPSHCGDTVVDALEIVESPSLMCHDVITCDYPNCPWTGKCP SDKRKHEARHRKLFKCDEPGCTRKEGFGTINDLARHKKCVHKQEPERGPKVLYMCFGR NCPRRGKEWPRLDNFRQHLSRMHNDEDTSELLKRSHDWYESYVKPRVEPSSFADRFSD EAMVAASESEYVRRDSGPDLHSLRSPDTPVFRPSNQSGLQPVEDPQTQRRYSTLDSTP SIPARDTTQRLDLPALTTLNLGSTTDLDPSSTSSRLGHAQPDRMENMVSEMATNMVNA MARMMNSSSNDNGNSQRRHSHHMGDKVETLGGNDGLSDQKREIMQKILSAALDQVSGN PEPSQADSQTVPDSKSDKKGWIQCEFCTKRTRLRCEMKKHKKRHERPYGCTFEKCSKT FGSKADWKRHENSQHFPLQSWRCTLPDATQGDRSCARLFYNQGEYTRHLKKHHHAEDK EVQAALAKNSIGPHGQSQFWCGFCRDIIPLKGQGLAAWNERFNHIDSEHFKNGERIED WLLPSGHLTKGAERDEGKERISTHEDGDGEPPADDVSDDETVGSICNSEGENQRDETP MAAPEQVQNPSLRQVNTHFQNNFPMFNSLPDQTNLRKRKFSAPQPSLDYYARADIPAM EKRYKTDDAIQSHYGNLVYCCQSSVAVVGVSASLGLMDI AOR_1_98034 MSTLSPQFVPNDSPNPAKLNDASFPDPYLSAQLDPEVASFRSSA IRLTPVSGEDHGSRDASSSPIGSHVHSDSPRDEAHPASYSEYLSPTDDLSSEMSVHTS PTADYLSAAFSTDLSPDSNSWQYDGGLHPGMRSTALDPNELNSAQMIMDPSQLLTPNL TNKSSLSSETTSIKNQTGPVALQNQPQALTLITGPPDECLDVPGDLGSPRARSPIVKI ESFSRGDSPVRDMRRPSHSSTHLSPGGPSSESDEEAGVDNSKYLGSVQSVSRGHDGSW IPNAATGHAGVDPTSRKNVYVPSPNELELRRQLDEKNEDIRSWSASVSVANSENGDDP TPHRGRKHTGNRRRAKSAGDPSLQQDYFNLKVALIPGPGVLVTENSEEEYSDHESEIE GTGSETPAASVNEARWVLGEEEIQLSPEGEEPSPHQFLGRPPWRDLAPVPSPDMARTQ PASSTAAMVEYERRVRDLDNVSRAATWGTRDVDINSLLGGGGSFENLAISNDKSRKHE RRSSLRKLLHRKSSNNLKPPNNLKRHLSDLSISLPGSDDHSKGDENKSSHQRKNSFPH RKLSLGLSPRSPRSSRSPSLSTGGAVIAIAGQMAAIGGKDTLRVASPSSTSNPWPSLK VRGRSRSEIPRPSTPGLIDLMTSHGGPPVANIAYSHLQPEPRSAPPNQSRLGHGTSDG DENDDNVPDERGLVMDLSVQSRLPVPTLEGFRTQIAQLNPRLQPALLDRFANEQVRRY KKLVENKFTHTCAVSEKGCASGKFCFAQGGEALLLTPRAGPQDSETPHTQFQIPGHGE AGDDSPALGESAVTAAQFPPGVPLPPVKRLPAEFECPICFQVKKFQKPSDWTKHVHED VQPFTCTFAHCSEPKSFKRKADWVRHESERHRQLEWWTCSEPDCNHTCFRKDNFVQHL VREHKMPEPKVKKTKAKGLSKHPVDPNSPEVLAEIQREREIEQLWNLVEQCRHDNPKG PKDEPCRFCGNVCSSWKKLTVHLAKHMEQIAMPVLALVNERDLSSNLGPGSTGKGDLG PEPESISRETTNFAPNLNGVQARADMSIGYTQATYPGHDVGQSDGLGVPFSSTGVPHF TTMSGAFISAEPEPMDAYDEYSVQVGLQPGGPMDQSRLMPMHQNSVTYPPPFNAGPRP RVSNQDMSVLQESYNFSMSPTEMQPTYDPQYMSSTGENSYVCQGTVAPTMPFTAPGAY PNQL AOR_1_100034 MAGAKKGKGKSGSKKNNKKSKAQEESEIQTPPPAAPDVTIPEPQ SEEQQQQEQQPVEQQPVEQQSQEPQLAESQLQEQQQPEQPSDQAVEQITDQQLDQPTE QTSEQQPEQPIDQLSEQTTDQPLDQPPAEQADQQLPDQQAEPLQDPSSEPLQEQPLEQ ELQDQPPLDQQLQDQPPLDQQLQDQQPDLQLQEEQPEQQLQEQEQQLQLQPEEAIEAE PQQPEAPVEAPVEALVENPVENPVEVESPSAPEVAPEVAAEVVPEIATESIPETELAP AQEVEQEQLQPTTEEVSTAPTPEQQPQPPTNPEEDGSAQVTAPDLSSSQQLDASDVQR DPEVPQELHQEELGAAEHPVEKATETTPENIDVSREQVVEPPEPTPVTAPQTPLFSTD PTTASVETPSATETQFVEFASPTKTDAPEIESHTATVSDPSFEAVEAAPTSPTRQTTP PVSKPASPVPKNASPTPRAVSPAPRDAAPTPKAASPAPKPASPVPQAAPPMAETVSSM QEAELPAEVIPPAPTPPPVASVYQEPPSTLQHPSPVQKMASPVSKAASPFQKVASPVQ KSASPKVTSPLARHAYMSPMISPHATPHATPPVQPAMAPMHHMPPPSTAPSVASYATA YSPVMTTAGFMPQYAFYPPGMQPTPRGSMEPSTATAYQHLRDLTYANGNGFFAPQNGH HNGHNGHHKGMMSPPEHPVEEPIELLQRIQDAIPDINRLLGSYRNTKSKLQAREAEFQ QMKTQHEQDLMHKDFYIEALQTQMRKTANEAAEESTKLKNTINELRMELGNLDEKRKD LEEKLEESERSNEELTRNKHDLEEEVRTLNTSMKEAQEAHEKECERREQEKVDALATQ KREMTESFEEIKAEDEKAAAEALAAREKELLDQQEAMKNDYEDQKRQMQEAHDTLQSN FDDKVNELDSTKTDLENKHKELEETRVQHANEVELLNNTHADHVADMERRWADERGEL EQRITDKCDELAHCEREKQKVEEDNVVKEQQLQAASDGMRLTIDNLGKDCDRLRKTLH SLGEATDLKSTKGDPFFLDCFGQLSRLIVSLSKEHFAYLPIDPPKDVLSKIPQELPSF LDNTPASRELRSAYVQHIITKTLTYRIFHPFLFTLGKRYGKADTFFQMLSMDIRRKSV RREAFWRQQTLKAAYTTSDAKQSINVVAAVIVDEIIDHLKHFADPKHMDTLHTSVRKI VKLAAETWRLARVERELIMASFPAPDSEGTSNETWEEYGTPKEGCVGSKEDPTRHVIL RTFPRIIREAAHEDFAEDEEKALSCTYTQGSVLYSDSPVVMARRQELARRSMDSLVGG EERPRSESRGSTPNSPRRGKASFDTL AOR_1_102034 MFFARRSATSARLLLRNQPQRRFDSHAAHHHAEPVNESFGRSFY VTVGSFASAYVLYRLSKSTEESGSQSWISSLIQKWTPSEKVFEERNAIRTVVMEKAAH DRHLFQSQGPRAVFELKQPEVSFNSAPPFNVPAGSAMDMSHVTAHYERQNRELEEARV ARMQDGKVVSIYD AOR_1_104034 MASAFSFGFAGDDIDIDDSELNTVDEGYTSVTQDSSSTLPELVA AQRHELSDLLSSLPSQVSFNKLNINTTQDDQTSVKTLTLARREVFDIRTQLMAEDTAD YANEELISGLEKGDITPNIYEGGFKTWECSVDLAKLVANENILSNADAGDRHIIELGA GTAVPSLALFAQSLSNPKGSSQNIRFTFADYNSVVLRLVTLPNLLLTWSYIVMRQKSV SVAGAEDQVEEELELDITPELLEAFKKDIAERGISIEFISGAWSPAFVDLVFTSGEKA KHGTLVLASETIYSPASLRAFSETLLALIRRPIRAGGRSRALLAAKKVYFGVGGGVDE FLEVFNTVGGDELDVKERMDVKSEGVGRIVLEIAPKGLQ AOR_1_106034 MTNSTNGAPAQDKRFGTLAVHSGAHHDPTTGAVIAPISLSTTFA QTSVGSPVGLYEYTRSSNPNRDNFEEAIAALEHAKYALAFSSGSATTAVILQSLAAGS HVVSISDVYGGTHRYFTKVANAHGVDVTFTPTIESDVEKLIRPETKLVWIETPSNPTL GLVDIEKVAAIAHRHGILVVVDNTFMSPYVQNPLDHGADIVVHSVTKYINGHSDVLMG VAAFSSDSLKERLGFLQNAIGAVPSPFDCWLAHRGLKTLHLRAREATTNATAIAKALE ASPHVISVNYPGIDSHPQRAIAVKQHRQGMGGGMLSFRIQGGEKAAHLFCKYTKVFTL AESLGGVESLCEVPASMTHAGIPKDQREAAGVFDDLVRMSCGIEDVEDLLADVLQALK KAVASSEQNGSA AOR_1_108034 MFILTTLSDLIQISPEDFSKYSSVAIEDNINEKYANKVIQKVGL CIGFYDLLESSDGLIGHGTGLVNVNVKFRLIVFRPFKGEIMLGKISSATEHGIKIGVE FFNDILVPPNLLLDGARFDYADQVWIWENEDGSTFYFDIGEVVRFRVEIEEWHDQIPN APDLGDAAAIERKPPYSIIGSMQMAGLGPISWW AOR_1_110034 MNSQGFSFPPPPPPPPTQQSQYNQNQPATFPSQYGPGHHGQRGG RGGHHRGRGRGYGNRGGRGGSYMPHAANSSNMGYAPMNYPGYAGQPMANPQQSIPTPQ FPPSRTTNYQVPQSTTSFPSSRPFPQSTPTHGPPYQHQPGYSAYGPSTAQQAPTYHSV TPSHQNAPPSVQPSMMGPPMHWGYNNSASGGGYTGPPHGNQRGPRPYNAYGNQASRGG GAMPHMKRDHTSAFGKPQNITPRVPAPPPVPSFGNPLPSKPPPPADATRKPKKKKRKH NQLGLTPKTEEHESSEEEDDVDEESRLAQGGASAAAAVQITFKGRTTTLQSPADIAAW IEERKKRFPTKEKVEEKKKAMEEAKKAKEEAQRQKESRKQEMKKAQKDHQQAPADPID AAEKAKRKADKLRRKLMKEQKKVEKAEADAERARMRVEELQRGSTDVNRDVTSASTQE AQAEPGTEDRSNTAPDQETRSIETQGVPLASGHIPGEVSQPNNDVGPSSASESKSNGE GVIEDVRADAAVSSDISDSSDWTSSSGSDLSSSDSEDSDSDSAPEEATSRREGPERVA PPPREAKKKLCRHFARTGRCQRGDKCKFLHETPDRGAKTKPVEKKGRKGLLQALLARQ KGEDDRKVMEAIVWLGENGFLDETKSYEEPLEGANENSATDEVKLSQGLPEDPPLSEG IVSVQTGDSAPTTA AOR_1_112034 MDPFVVFQSFDHLATCFSIFGLPQHADSTGPTSTEKDGYRVNVN STFGTLVGDCLRQYCEVPDPELEGCDLESYTPSDYYRVFTRPSQEFETTTCRSVDNDV NQDIGGVGVLLSFAMQSGILLYVHFAVLILKLIPAVTRCIRRCTKRARSTTKIQFGQH HFSVLKSMLVEFQEAQCFFMLSFQCAALIALAAGPQVFEATSLLQLQSNISMAKAVAF MGILPITVTLHLCKVEPRADNLQEIATADRLDKCGFHPPPLIYCRSDSELGSSYSLVN NLTFGLSDNTANFVCIAIYGLLLLKRLAPYPKRWLGQKPWFQATYHRVHPWLVSRGIR ILSRAVNVIIETLLLVTNFFYSFMVIARSLPTISLDSWSFGQIIAVTIWSPIVSKYLY WLLFGTDSYSAIRFPSPYKIIRVKTINEEENPDDEDRLFIHLPSTSDLNINPNSKKFI VTDVELTPVNDSKTSSVSTRHSQFPI AOR_1_114034 MRSIFYLTLSAMASLAAAATNSANPFNIPSEGYSFEAGEPTTLS WKPTTSGTVSLKLQWGAVMTSNTGTTIAQNIPNSGSYTWTPPANLAAQPDYTIEIFND DDTSEVNYLPRFTVAGATAAASTTASATTTAETTSATESSTTETTTNTKSATETHTTL TKATASTASSTSATASGTSTESTSASASATGTSTGTSTGSASTSTEASSTTSASASAS TTSVVNVNGGMVNRVSGGMLAIVLGAIAVL AOR_1_116034 MQNSVKPPEVLLIIAPWDLSVSFLERLPTISPGIRVITFKCNMQ DMERPRAISQETWNSVTIRLTRNYLPGKEQIPNLRYIQFHTAGCNHIADTSLFEDSDV SFVHKSPNGSLLLYSVSNIIVIASWIPLQSTKTRRMLSVYEWCTGRQCARLANALGMD VHAFTLHERPTPESKGDHSYVEAGMDDPNGDIPSRWYHGCDQLNEFLAGLDLLAITLP LTSLTWSMIAREQFQTLGKRNAFVSNVGQGPIVNNDDLVDALNNGKIRGAAVDVTDPE PLPAGHPLWKAKNVITTMSEF AOR_1_118034 MPRQKRRYVPKAAMNAPSAESIATASSHAVVNSSKWLGDLPQHK CSPSFVRGGSSEEQFDWTSNVANVPAEPNALAGLGPDSPKTSSTGSPEAMATLPLSVD LVDPSNGFLLTYFSHNIAPQMVVMDDKYNGWRYLILSFALSDKMVMDAVLAVSTFHLS HKSGGTLPVRPDKLYAKAILGLQNRSSLDEYDMLTRQSIFVAIITLLVGVMVNGSSDF PILFHMLQSALDAVGGEGGLGNGDMANFLLRQIRKFRVYAAPLLSEEAGVRSIIAHAQ ESFDCLHYNGNLHPDHALTFYLNAYLRQQAYDIYLERATMGPHGTLDPNKIEQFKETL VMFPEGSLGEHSLVWPTFIAASESLKDEHRLYFKQFLEKQYHRNGFLNLQQALKLLDK IWARSSYTNWPALLPEPRVFIM AOR_1_844034 MDVDIGHVNISVRDDAAAAREPDSDADNKPAFGWHIDSYAFVCV TMLSDCAGMIGGETVIRTGTGEVLKFRGPATGTAVIMQGRYIEHQALKAFGGRERISM VTSLRLKSPFIRDETIIRPLLPTTPKSTLYYQYAEYRLENLEERVRHQLKVMRQHKKA NRDFDVASTRKFLLGEREFIDAMLEELEDP AOR_1_120034 MNSQRCAAVVVGAGPAGLAVIGNLLEKQLGGKIAWIDPYFQAGR VNRKYREVPSNTKVALFQAYATAVQPFRSVINSTRIPSPFSTMAKLDQEKTCHLHHAA DMVRALTEGITKMDQVYACRGYVTAANLVEKTSSWTVRIQRADHLDEVEVITPRLILC TGSSPTEVPIPVCGQHIERLDLDVVLKPSDLVSYLPRNEPQTVGVVGASHSAILALLN LVDLARSTHPQLRIKWFTRHPLRYAEYMDGWILRDNTGLKGSAADFARQQLEEDKLPQ SEAGRFITKVDCGGGQEAAQYERHLPSCTHLVQAVGFTRDPLPELSVNGRLLDPEFDS VSGGFHDATGRVVPGLHGAGIAFPERVVDPYGNVEHAVGFWKFMKFIKRVSPQWTA AOR_1_122034 MLPFRFRGLRRRQSNSSNDEPEPPSNMGNQNSTADDSHGPTDSE IFYPEFYDVLKVRYLLRWKIIPEGLPVEIVDLIVDAAEYWPSIEATLDQKRIIHQDRD QVLVRTAPLCYDEKTLGSDSPKVLPHRTVHPCRKIVFSIASHDQGFANSGRGTFDGSY TWFDTEVVPFEKLPTPGDSSVPEQDANGVRFGPDHPLLLPSSHKLQANRTAVRGTQHY HITWHHLDNISADSPEAEEIQHNQGRGRATLDGSQVRNLQIGDTIAVWGRARFGAWSN HVERLSVRVFWAV AOR_1_124034 MGKKSKSQSEKAPQTEKAETSLPFLAGNVGIDPTLASLFETSSG PVKAPSLPTTSSAVEKPAAGDDSSEDVSEPEDEDQVMQEASEASSDAGGEPAQAASEP PSRKRKRAAGEDLEESYMRRIAKEEQKEEQKRRAEKAKRQKGEGEEEDEATSTDKSDD ENEDESSDEDEEVAIPKHETVTGDAQSSDIDKSNRTVFLSNVSNEAIKSKSAKKTLLK HFESFLSTLPESTGPHKVESIRFRSTAFASGGKIPKRAAFAKREVLDETTPSTNAYIV YSTVQAARKAPVALNGTVVLDRHVRVDSVAHPAPVDNKRCVFVGNLNFVDQEGNADDE EKPKKKKAPADVEEGLWRTFNAHTSKPKDQATRRGNVESVRVVRDSVTRVSKGFAYVQ FYDQNCVEEALLLNGKQFPPLLPRKLRVMRAKKQLKKREAGGSTQGKPLREADKTLQG RAGKLFGRAGAAKVRADATKIISNNSLVFEGHRATDNGSSRIRVKTKSRGSKGKPKNR SSKRAAAYKAAGGKKRKTE AOR_1_126034 MEVAESRESASVGPSAGAESTITNGEHHLLLADALIDDDDDGRS SSLSEIDDVSENMVFDLESPKPEKAMTENDSEAETERIEDSPNNIRLRRDIVVSATNH GPSPSKLAQSTTYDEVDDEEHVPDDSPSKPRSKNNQVPNLGEETPGLDESALSEGIGK KRKRLNSADEMGTELDEEDEPPQKRRGSLKSELSDPPAEDIVLTPEPIEEEPMEEPSK PNEDDTPVDDIPESDLPAAPAKGRKSRKGKRKGRKARDVDEDADMALETGAEGGGDDA LGDEEAAEREEADDGEAAAKLEEESAKRVSAMESLAVLEREFAALRDKIYDERVSKLN RELEMLNGPNPTHPEFLRQLECIQRYRDAKIKYEHTLYQYRIKALLNKSLAERAQAHS TYFQRARDVREKHSSAISKQFYAIQHDRFKTDEVSPQHYIPFPTRRSQQIANQTAYNQ EVSILAGVAKYVGFPAAPSLSAARPAELEEDMEKMGISIETRVPISHHHSTLQRGAMS SMSSNVFRAAAEEAFLEQTPWANPQHPIHHQQQIPPRPQSRVFENPRAPAYTTPAAQK RVVDIHAPNGSASTIAENSSANNTPYDHEQPTQGLGPYGNPEYDADRRSGFRSLSSSP LDVRKPHPSSYNTLDNRSPPHRNAGYSPPPARLGLFQSKRDSSPPPLPSKSANSIHHH GSTGVLGGSGSNQMTAR AOR_1_128034 MAQSTGSLVDQIAQLNAARTLVLGDAAFYPQIVNGVLPIIGARS RLELRRWGAEFLAETFSSPALAPAQKEQLAAIALQTLRETLELPEKDTVTLKHIVEAA ASLYPLVFRHIINHPEDSKVWENMTAIKDDILRRWDTSPFSVKVCCIKFVQRVVQVQT HGLTDPRRPEQNETSLAIVPRNHSILSLPYLEAEASGLLDRLLSVFQEDASDPLLVNA TLNSLAILIRTRQSIGNKIINAILSFVPARQARTPVTPAVRVGVKSMERTARALMINI MKRNPSHPLASKMQQHIERLMQSRIETVDDSSKKRGLPTEPTDGLDNSKRAKLDAESP PLIKVSPLPPGPVSYSQLYTLTEDAGLSSFDVKQLPVELLVKIVVPVLARVDQSALQQ AVDGVRMRYQTLQKQQAAQPPPPATGEEEDDDYEPEYQPMDVPEQAAEQEDALSAEPA DLQPDLVSLGPFVLPQPPPLSENEAAEIGRSAVGRVFDMLASSGVAPNTGKGKSQQHL GFSRLAGSTFDRDAWVTLLTRLATRAPAGLEVDHKKNDQDPSSKRPTISDSIRETLYR YILEDFRGRVNVGIMWLNEEWYNDRIQMKFTASQRGEEDEESSVPLHYDQWSLRLLDG FLPYLDSRDTKIFIRFLSEIPEVTIPITKRVASLAKDPERVNLCIQSLLYLIMFRPPA REMCLNAVEDVYQTYEESRPAAGKVLARWRPQSTAVQQAQPEQQSTLVNRTVESENNT PVANGA AOR_1_130034 MASGIFNSTYYGKDYRAGAALLRARRPYLVKNALTGLGLVAFTI SVYAYTIRAVGQDEFSDVKVPETPAKPQQKQ AOR_1_132034 MAETPVDALLKGNTGRNTRGLLRIIILVTIAAAAVSSRLFSVIR FESIIHEFDPWFNFRATKYLVQHGFESFWDWFDDRTWHPLGRVTGGTLYPGLMVTSGV IYHILRFLTIPVDIRNICVLLAPAFSGLTAFAMYLLTCEMSISPSAGLLAAAFMGITP GYISRSVAGSYDNEAIAIFLLVFTFFLWIKAVKNGSIMWGALTALFYGYMVSAWGGYV FITNLIPLHVFVLLCMGRYSSRLYISYTTWYALGTLASMQIPFVGFLPIRNSDHMSAL GVFGLIQLVAFADFVRGFIPGKQFQRLLTAMVIIVFGVAFAGLVLLTVSGVIAPWSGR FYSLWDTGYAKIHIPIIASVSEHQPTAWPAFFFDLNLLIWLFPAGVYMCFRDLKDEHV FVIIYAVLASYFAGVMVRLMLTLTPIVCVAAALALSTIIDTYVFASRGPSPQSKAQDE TSAEGLRSTRNPVAVVTSSVVIYLLLFVAHCTWVTSNAYSSPSVVLASRMPDGSQFII DDYREAYYWLRQNTPDNAKIMSWWDYGYQIGGMADRPTLVDNNTWNNTHIATVGKAMS SREEVSYPILRQHDVDYVLVVFGGLLGYSGDDINKFLWMVRIAEGIWPDEVKERDYFT ARGEYRVDDEATPAMRNSLMYKMSYYNYNALFQQGQAMDRVRGSRLPAEGPQLSTLEE AFTSENWIIRIYKVKDLDNLGRDHNSATAFERGHKRKRATKRKGPRVLRTE AOR_1_134034 MDLPPLSKEQLSVVLKSSRSPTELYNILVEYEGEAYLMSTSDSN VSELLSLFYSTFFFAHLLTDQIYEARAMTQRMPQELSQNDPSLQNCLTLLRAVWQRNY ESIYKILRELPWPDFLRPAVESYETYFQEKTLKEVSHAYEAIRPATAANYLGLDTAAA EQGDPAVIQKFTAVGWVWDESTRLLHPKPIPTAPEKDTRLYDEVSQIMALIGKHGG AOR_1_136034 MATAQGVNVLRYSALVAGLFYGAYHQSSITASAKRAEADREYAR QERLIQQAKAEWKKKTAPKDTQNNGIITDPEDSRFDLEAYLKMKAGEN AOR_1_138034 MSSAMQQRKAEILAKRAKLAELKRQRELRQQEFSQSRANSGDAS EVVSPVPSRADSRAELDDLISRLVDRPGSASISHADGPSRKGSRPNSVISASQVSGDH AEAFIPAARPVSHSIAVQTVGAEPYPTAPAPEPKPEIVTYSKGVQTDDSKQPQGTLSV ESDDEDGQDPTGTGKRLSKKERERDEEIRKKLRAEIEEELLATQQKAEHDAEDDSSKL RYPLRTLDDDELKAVTSSEDFLDFVERSAKVIERALDEEYDVLADYELGGLDGDVEED DEHGKKRRGIREVCQFWDERWSKKRMISDVSFSPKFPELVLAAYTKNPSAPHEPDGLV QIWNQHLQSRPEYVFHSTSDILAAKFSPFHPNLIVGGSYSGQVLLWDTRSSRAGGGAP VQKTPLTGSGHTHPVYSISIIGTQNAHNILTASTDGVVCGWTVDMLSQPQEYLELSTP PPSKTEDLAPTTMSFPQSDPTFFIVGTEEGGIYPCHRYDRAGAKAGTDHRLAYRGHAA PIMSTAFHPARGPVDLGDLMLSSSLDWSVKLWRVRPPATTAPATSAIAATQVVSPILD INREDVVYDARWSPHRPGVFSLVDGAGNLEIWDLYTDTEVPVVRTTPSKGRGGILTSS LNKVAWEEREGRRIATGGLDGVVTVFEVGKGLSGTPEDVPAEEWAGVKRLVGGLEQKD RIN AOR_1_140034 MQWQPPSAPRGPSKPELQPILRPDRVIQEDGLAHIKAGTWSPET PRRPWLRTTLITVLLFIMSIISLLAFFSLALGINGLPHARSSSPSYGKPVNPIKDTPI KNVVVLVEENLSFDVFAGGLTYNAKIDGLVNREYCNPSNASDPFSEKVCAKPIAKNVA PDDPDHSITGGNQQVYSTYHPNAKNDMPGMQGFVTEQIVSYGLGSDLSRAAEVINYYT PDHVPVFNAMAENFVLFDRWFAAVPGPTNPNRAYLTSGTSHGHGQNDHDFDISNLPQV SIFEQLSAAGISWINYSNTTGFLPDSLFYQWTAKSGKGTTNVKSIDQFFNDAKAGTLP QFTWINPECCSYMSFHPPSPINMGEGFIKSIYEALRSSPQWNETLFILTFDEHGGFAD HVSPPENVPAGDNLTYTETAKDGQEATFHFDRLGIRVPTVLMSPWVGKGVVQNSPADQ PNEFTHTSILKYVAELWNLDILTPRVDWSPSFRGLITNTFRETPEKLPEPADF AOR_1_142034 MRQHSRMAVAALAAGANAASFTDVCTVSNVKAALPANGTLLGIS MLPSAVTANPLYNQSAGMGSTTTYDYCNVTVAYTHTGKGDKVVIKYAFPKPSDYENRF YVAGGGGFSLSSDATGGLAYGAVGGATDAGYDAFDNSYDEVVLYGNGTINWDATYMFA YQALGEMTRIGKYITKGFYGQSSDSKVYTYYEGCSDGGREGMSQVQRWGEEYDGAITG APAFRFAQQQVHHVFSSEVEQTLDYYPPPCELKKIVNATIAACDPLDGRTDGVVSRTD LCKLNFNLTSIIGEPYYCAAGTSTSLGFGFSNGKRSNVKRQAEGSTTSYQPAQNGTVT ARGVAVAQAIYDGLHNSKGERAYLSWQIASELSDAETEYNSDTGKWELNIPSTGGEYV TKFIQLLNLDNLSDLNNVTYDTLVDWMNTGMVRYMDSLQTTLPDLTPFQSSGGKLLHY HGESDPSIPAASSVHYWQAVRSVMYGDKTEEEALEALEDWYQFYLIPGAAHCGTNSLQ PGPYPENNMEIMIDWVENGNKPSRLNATVSSGTYAGETQMLCQWPKRPLWRGNSSFDC VNDEKSIDSWTYEFPAFKVPVY AOR_1_144034 MLRVSAIFMACLLLATAAPTAPEKSNACLSMCLQERPACASDER PTGSEGCWGCCQKIEAVAAPVQRASCLSMCQQEKPNCASDEKPAGSEGCWGCCQKIEP VVPVKKEMCLSMCLQEKPTCASDEHPTGSETATASNPVPEPRYRRERDHAIRLAEVQA ESTESGPKRAAGSPKWSDHPMPLGMASEDCIHEAPQPQGIPYWRLLTDQGVVTSEIID YPYKGSGTDDDPYIVEWIPNDPRNPMLLNKSLKWAYTITVAFATFGVSLSSSAYAGGI QEVIKHFGIGEEVATLGVSLFVLGFAVGPLVWAPLSELIGRQIVFFVSYGALAFFCAG AAGAQNSWTLIILRFFAGSFGSSPLTNAGGVIADIFPAEERGLATSLFAGAPFLGPTL GPVIGGFLGENAGWRWVQGFLATFTGLIWIVESLLVPETYAPLLLRKRAARLTTLTGK VHRSKLELERGKVTMTSAFGAALLRPWILLFAEPIVLLLSTYMAIIYGTLYMLFDAFP IVFQQLRGWSEGVGSLPFLGVMIGMMLAVALNMYDNKRYVAIHKAHHGFAPPEARLPP TMLGSIAIPIGLFWFAWTNAPPVHWIVSIIAAAPFGFGMVLVFLNIMSYLIDAYTIYA ASVLAANSIIRSCFGAGFPLFTTYMYRNLGVHWASCIPAFLALACVPFPFVFYKYGAV IRRKCKYAAEADDFMRRLAEKTVSLGEEEKAVDEEVRVQAEGRGSADGGQDLGRLESG GRSSLSTMRDGNAGYEANPYDIDRVNTRNSAISRRSRSRSRSAKGRKRGFLGL AOR_1_146034 MSTFRSTLDEADPTSWLKDLETKLIPREKRTGWMKTFQARIRAP PCGTVHVTAGDLFDFIRAQANAGDEMMSRYEQTGRMGDLEEAIKAFRWAVYITPENHP YRAAMLYNYGNMLERRYEKIPDEECLKEAIYLEEVIWVSCQAIQAPPTGHPRRARWLN IIGRMLKDRYEHIGQIEDLGLMIKALRSAAQVTYDTCPDLVTYLSDLGYGLTIRYERT GEMKDLAEVLEVFRKVVQATPDTCPDLANYLTKLGVELTRRYERIGRTEDLAEAIQVF RRAVQTTADTSSDLARYLTNVGSGLTRQYEREGRREDLEEAIELSRRAIRAMPMDSPD LATHLTNLGYRLVLRHERTNKMDDLQEAITLSRQAEEASYNNDSDSTASVGNLGGVLL YGYKRTKQMDHLEEAYQICRKAVQITPVDDPYYGKWLCNLAHVLTERYEHTRQRKDLE VAIWWSRKSIQASFDGDIDLRAQYNNLGTMRVTRYEQTWQFGDLEEAIRISRRAIKAT PDDHPYLAGQLKNLGAMLKSQYERTGCLKDLEEAIHVSRKAARITSALPLERISAASL AIRLMLKQEDYNNSYALCVEALDLLQLVCSRHLTLEDQQYVVSHFSGLATLACSLALQ VRQPPFRALQLLEAGRSVIFGLIMNDRSNTSKLKAADPTLCALYEELRLGINDPAESS QPQYVDEAVPTRRLQALKKLEKCLHDIRQLPAFDSFQQDLNEEQMKDASLNGSIIVVN ITRLRSDAIVVSQAGFSLVPLPGLGAVQAQRWIDQEMTSASSSQRGEMNKRYREFLGW LWYECAEPILTKLGYNVQSSPENLPRTWWIGTGLASSFPFHAARGLRADENDSTSSRV LSSYTTTIKALLHARERVSASFSPNEQLLNLLMVTMAHTPGEDDLPGVECERSIVLDL LGSSVHVNKLDQPDSASVMRQIGDCHIAHFACHGMSDLADPFQSGLLLQTKTTIPEKE ILSVRKLCKQNLPHGEIAYLSACSTAENRAKQLLDEVVHVVNGFQVAGFRHVIGALWP SDDRVCVKVAKLFYTEICRNGVLEYTDRDVALALHKAVSVISTSDDYRKRPLHWAQYV HYGA AOR_1_148034 MEGKDTEKTPQALCKEQSIPSPTESSQTLDLECEYHDPKLPPVD GGIHAWLFLAACFVVEGTVWGFAACFGVFQNYYRNDPTFQGSHAIAAIGTCAMGMAYL LSPIVMAILIALPRMQRWASSIAFVVLSLSLALSSFSTSVTHLILSQGIAYGTAGCFA YAPSILFMPDWFVKKKSLAFGIVWSGSGLTGIIFPLILQSLLDTYGWQTTLRICSVAI FLLAAPFMLFHKPRIPRKTTNLHQLDLSFHRNRTYLIYQAGNIIQSLGFFLPQIFLPS YAELLGAKGLHASSTITVFNISNMFGCIFIGWLADRYHVTKCILISTVGAIFSTFLLW GMSVHLAPLYLFSIVYGCTGGSFSSSWAATSSEVGKSHPSADVSMVFAFMETGRGIGN VISGPLSEALLKTRLWEGQAWGAYGTEYGILVVLTGVTGLLGGVSVVARALRWI AOR_1_150034 MAIDEVTPAPQVTETAAGNDGDKITIKTGANPLKKFGKKKDGNG NEDVEKDGIGGDTPPQELQRRLKSRHLQMIAIGGTIGTGLFISSGTAIAHSGPAGALI AYIFVGTIVFSVMSSLGEVATYLPISGSFTSYTARLVDPSLGCAMGWIYWFNWASTYA VELTATGLIVQYWDDKLSVAIFIAIFWVVITLLNFLPVGFYGEIEFWFSMIKVLTVLG FMIFAICIDAGVGKQGYLGFHTWKEPGAFAPYLIDASNPVSKFVGFWAVLVQAGFSYQ GTELVGVAAGETENPEKTVPSAIRKTFIRILIFFVLTIFFMGLLVPYDNPNLITDSSD ASASPMVIAAKLAGVKVLPSLINAVLLTVVLSAANSNVYSGSRVLLGLAQEKFAPPIF GWVTHRGVPYISVAFTAAFGLLGFMNVSESGGKVFNWLVNISSVAGFICWTSISLSHL AFMRALKARDISRDTLPYKAALQPYLTWYGLFFSVLIILTQGFTAWIPTFNVSDFFVA YICVIIFVVLYLGHKILYRTHFVHPLEADLQSGRLQNYSWETARPKTWQERLRESL AOR_1_152034 MAHATPFQKEAWTEYGIGVIILLLRIVARVRVVGFKNWQGDDYF VFVVLAFWTAELTMLELIGQYGTNIGLDDAQRASLTPEQTEILVRGSKCLLAGWTCYV TLIWSLKACMLFFYNRLTLGLVQQKLVKFNAVLCACTYTAVILTIFLHCRPLKKNWQV YPDPGLNCTADYVNYIVIAVTNVLTDAILVCIPIPLLAKVRLALRRKLIIGVLLCGGV FVMIATLLRCILSLQSINSINTSTIWAIRETFVGIIAVNAPCIKPLFSTSTWLGSSED PYSSSRKKGSYSLSVFGKSKPSHLESTKSTKMGGRSSDEFILHGGGTRTFVNDGQTGR SSSLSDEEAGRHPMGGIQVTTMYEIRRDGSRHG AOR_1_154034 MGSTSEDVYDYIICGGGTAGCVVAGRLAENPDVKVLVLEAGQHN KDLENVHMTGGWSNNFDSETDWNIVTTPMKGVDNRQVKLSRGRFLGGCSGCNGTLCVR GSKQDYDDWELEGWSGEEFFAAMRKSETFHPKDWFQADPQSHGYNGPLHTEPHDLAPI SNLLIDSLVSQGMPLHHDMFSTGDVAHGCGHAPRTVHKGIRATAADFITKDYNRSNVT IQTDTTVDRIVLEEGAAGLRATGVLTRLADGTTRTFHARKEVVVSSGAYCSPAVLMRS GIGAREELDKFDIPCKVDLPGVGKNLMDHLIVFMFYETEKEGLTTDWHVYHDDNMEKT YAQWKEHKTGFLSTFPFGAFAFARLDERLKDEPLWRDAPREPGRDPMGLTPQQPNIEF FTTECYGGPKQYDQFPVDHKHAFSMIAELFAPKSRGTVTLKSKDPLENPIVDCNYLAD PMDLLVLTEACRFGNEVVMKGTGTKDIVKGSWPPNLTHHTYTTREEWIPYVKEHATTC YHASGTCAMGKDDNPLAVLDNKLRVKGVAGLRVADCSVMPTLHGGHTQMPAYGIGERC ADFIKETWSGQSIARL AOR_1_156034 MKLNLASLSFLASIAPLVSGHYVFSKLIVDGQTTKDFEYIRENS NGYQPTLASEIVNNDFRCNKGSMDSAAKTKVYTVAPGAEIGFQLAYGASMKHPGPLQI YMSKAPGDVKTYDGSGDWFKVYQEGVCEDISDGLKDTDWCTWGKDTASFKIPKDTPPG QYLVRVEHIGLHRGFSGNSEFYFTCAQIEVTGSGSGVPGPLVKIPGMYKPEDPNIHFD IYYPVPTSYDLPGPSVWSGGASSGSSSSISAPPVNNAAAASSVTPTTLVTLSKTSSTP AATSTAAPTLPAPSNGTIKKYYQCGGQGWTGSGSCEAGTTCRDWNPWYSQCV AOR_1_158034 MPSIISENIANRNWVDMWTIRDSSDCYLFPSDDNGLLSRSQTSL GSFPNAMGNTVIALQGTNAYALFEVSNVYSTGDGEYLLLVEAIGRDSARYFRSWTSTS LSGTWTSLADTEANPFAQSNNAKFSAPAWTKSISHGEMGVDPNASGDYNAMPWKLGLL TQANSAC AOR_1_160034 MGANDRGKTYITVSAVLVALSTIVVGFRIVARWMRTTLGMDDYV ICVSIILAYSMLGEAVVWARDGGLGKHMDELSIQEKIIFQKCFFANEISYTLLIPSIK ISILLLYRRIFSVRNFRIASLITGGLVVSWCLAVFITVLLQCRPIALNWNKTLEGTCI DPKQFFFGNAISNLLIDVVILALPIPMVLQLQLRLSQKLTILGIFLLGGFVCVASIMR VVTLDIFETMDTSFCLAAVNVLSASIRKDSVTKASLPKSFEWSSSGPLISPKNDNYDL AGIKDPSIVEVGGVYHVFASTAKEAGYHLVYLSFTDFNDANSATFHYLDQGPIGTGYR ATP AOR_1_162034 MTVTTTTRPTILVIHGAWHHPEFYAPFCRAFEDLGYEAVCPRLL TCNNDVPPTKTLADDVALIRQTAQSLIDDGKSVVAVMHSYGGIVGTDALDGLAIKRLI YMTAFIPPSGNSLAGMFGGQLPPFITIDDEKGMLTVPDPATFFFNDLPAEEAAAWAKK LVVHPKSAQFDPISNEAYRSIPATYIVCEQDAALIPMVQEMMIGNVRKVGVDIDVERL PASHSPFLSMPEETAKLVLKIASQ AOR_1_164034 MKAPYFIAFLAAAVSAQNAFIGLPKKDQEITAGENLVVQVQRPN SLTGSEEMGVAIGVASCPERPCMAPKDTLGTLLYNGPFKPEYHDSSPPYQNFTVTIPD SIAKGDAQINVAHVAIVGASAWPYLDLLNQTVVVA AOR_1_166034 MKFTLAAASTFITAALAATLPKSFTLVADGGNTVLTDNTNAIVD ASQANTLEILRLKSEDGKTITFTQQQAVNPNSWQNLYAIAGKSKPLGLTIPHSGATPD GAVLNGWGITDDGYLTFNGENNFGLSEDKHQIYFLGEDATVPKVTLWVKELK AOR_1_846034 MEKPDLIKELQSDLARKYKLHGPKIEGIWHSLGKAQREKVMRAG AAEGQMLKSPTDRSLGDVYKFIPDWNLRDIADPDSDYLLDCLKHRATKSLPEQYIESA NGGPGDAAVILRSIQVHGLKHVEPFRYSFTLFMDEERYGQSFTVSDREKYKETMAMMK SILLTSMNIVIQDILEAGSTVETKARSKKSEKAAREAMSKLIIDQKPEKLSLEDLAAL SLDQKSALEDYVLLCRTEPEFLAHAVNAWFFSRPELVADEKGRRLPLITDKYINIAFF EMIHNAVVGAAVWGYIHQLLQALMTGPNDRTYKSSFLQELANVCHFEYQRVQRLFKRF VQMGSGDKHFKRVSGVYDNGTARVTMKTKPDTLTRTDPQLNYILRLCQPDTNASRVVE WVRKLDDLHQTHPAEQDKMVESEFDAFGDLAVTTNFVQCLSTSLPMPPINPKKCQTYV SRIKDVGSELDPLKSEVDLSAFAVPIDNLMEPGMAQGALTTLDEFISSRIGADIGFLY QDLNEECLSDLQNQAQQQKEKSEQIARAELASSTPEAPNREIQIEQRKEKIKTRPPHS SVYSIAPTAAPATEPEPAAPSQAFKVKPSSLEVFSTLFSNPRPRSSITWAAFQAAMAH MKFSVVPKTGSIYTFSPPEGFNVQRSITLHRPHQSRIEGWRLLYFASRLKRKYG AOR_1_168034 MDPKPQGTHHEDPVKPANMDHINVVRVPLTEEDSKRIRNKTDRT ILVILMWGYFLQILDKTVLGYGATFGLKEDTHLSGNEYSLVGSIAPIAQLAWQPFSSF LIVKVPHRILLPVLVAGWGVAQAAMAACHNFGGLMATRFFLGLFEAGCLPLFSIITAQ WYRRAEQPLRVAAWYSTNGLATIIAAALSYGLGHIQSGVLKEWQIIFLFVGLITIVSS PFLYWRLDNDIASARFLNDQEKLQAMERLRANQTGAGSREFKVRHVVEAGLEPKTYIW IGMAFLLNVGASVTNVFGPLILSGLGFDKFKTTLLNMPFGALQFIIILLASYLAQKAR LKAVVLASFMLPVVAGLAILYALPRDDSVQGALMAGYYLLSFLFGGNPLIVSWIVANT AGQTKKSIVMSLYNAASSAGNIVGPLLFNENDAPAYQPGLRACLGIFIAMAAIVLIQW ANLFVLNKMQERRRVANGKPAKIVDRSMENNYQVSEEDAEVEAAETDNQVGNNAFLDL TDRENDEFVYIY AOR_1_170034 MSRQIDRLAQPANKKKMKVVIASCSRSGTLGLLAAMRILGFSPY HMTEACFSGPVHMKILEEAVIAQHNRFSGIKRYERVDFDKWLSDYDCFIELPSYLGSQ ALEVYAEDPDVKFILTHRDPDKWVTSMDNTIANVVRMATSFPMNILKHFDIILKGFFR LNQVMFWAMSDGTNPGDPNNEAALRRNYVEYIKFAKNTLPKERLLLVKLEKGLGWEQI CPFLDLPIPDEKYPRGNEPEKFQKLLESHLKPRVQLAVLRLGALAVTALGIIGYAGWR MSNSI AOR_1_172034 MVDPNEYTVGWICAITTEYVAAQAFLDEKHESSAYLSPQNKNDY TLGRLANHNVVIAVLPIGEYGTSSAARVAETMLHSFPNVRIGLMVGIGGGAPSADHDI RLGDIVVSTPSNNHGGVFQYDYGKTIQGQRFFPTGYLDQPPAVLRAAVNGLQARYEIE GHHLAGDIDQALERNPRLRKKYGRPDPASDRLCGDDPSTLVLRNPRTEDEDSPIVHYG LVASANQLMKDACMRDKLTMEKDVLCFEMEAAGLMNHFPCLVIRGICDYSDSHKNKAW QGYAAMAAAAYTKDVLRRISPQHVQAEKKIMEILSTVKTIHETTQDIDRGVKRLRQLG DDQEYQAVINWLTPVNYALQQNDFIARRQEGTGEWFLNSTEFKQWLSQSKQTLFCPGM PGAGKTMITATVIDHLYRTYQNKPKTSITYIYCNFRQQHQQRYTDLLLSLLKQLVQGQ PFIPNAVRVLYDECKGRGSRPSHEGILSTLYSVAASYSRLFILIDALDECQVSNEDRE RFLRIVFGVQERTKANILVTSRFVHEIEKRFGESIRLEIRASDMDVQRYIEQRLQSFP SFVLHNPALQAEIKTNLARAVEGMFLLAKLYLDSLVYKTTPKAIRCVLADLEVTSAER SDNGQKSKVLDHAYNQAMERIRGQAPEHQKLANRVLSWIACATRNLTLSELRHGLAVE FNTPELDEDNMPDIEQAVSGHEAAVKLLLENGADVNSADAWGMTSLSWAAKRGHEAVV KLLLEWSADTEEKTRKGDTPLIMAAEEGHEAVVRALLGGNAIIEARNKSGYTALLLAV QNGHEAVVSLLLEKGANTEIKGYLDRTPLLIAVENRDDAMVRLLLNKNPNIEARDSKG LTPLSLAAWGGDETVVRRLLERNANINSKCGRGFTAFYLAAKGGHKAVADLLKEARAL AOR_1_174034 MSVNSVSLSGSHSVSKTAVPSITLIPNHGVKGDAHAGPTVQHRP LRNARPSDVNLRQVHLMQAEILQQVSKDPATGTKDIVLKPGQLGENITTQGIDLLSLP KDTRLLFVDGKASSQDAPTLRLTGLRNPGPQLDAMKKGLKDRFLVKDSRGVVIGYMAG VMSVVEKGGEIRPGMEIVVQKPATNLALNCI AOR_1_176034 MSLIQALHCEIQPEKRVSAPALASPPSSYSEGPGQQAESRQITL NGNQPPETGQPVFERVPSHGTTQARRLTVTVLLILANLVQMTVNFAGIAGGSTLTSAM GVEGTYASWIGASYALTQGTFVLMSGRLGDVFGHRKLLLIGGAWLSLCVLVGAFCNNF FAFVTMRALAGVGGAFIMPNAVAMISSTNPPGRLRNLSLGFFAASAPLGGYCGAILLG AFLENTDWKWFFVFIACLSAVTFVALWALAPYEPPVDRSGKIDWIGSALGTSSLILFN FVWNQAPSVGWSTPYEIALLPISLILFVSFLVWEKRFAAQPIMPLEIFKAPSFLTLLL VILLNYMAVGTLIWYQVLWLQEVWHWSPLHFAVGWTPFVVCATAAASLAAWLIPRLAA QWILAIGTVTILVSNALMATVPLQQSYWAQIFPSVVLFSFCPDFVYTAGQIIASNSVR RHQQGIAGSIIGTLNLYGNSLGLGFASTIEVQVARRFHNQITGYRAALYFGVTISAVA LILDVCFVRLVKDEREGWEEEDLLAINEIELQGRAEATGAQLPAAPLRS AOR_1_178034 MADCPSGSCRVRVLTREQKRQEFAYDPAGPQETWQDFKWRILNF TQEYIEHNWDLPFVEEGLHDLWDELIHVAKRHPADSAEHDRLITLILEVREWGTVTRK KKGASADEEPEQVILPNGQRLWVDIPYLVQEFQDAWMKESMGYTAAQREGLAALTARL CAVGVYPSELSCCALWLFKETFETERPLIRVEGEGNATNACVPVVELLPACHAWLKYN SFKLAMFTATNHDYPPPSTGDSVQASTAPGDLVPKSEFPCSGFSIPRWVFWRRRYKHF HHCGNEQIAKLARACFEEAMFRGTRIGIELPGEKYF AOR_1_180034 MSYQQPYQQPPPPQGYYPPPGGQYPPPQPMQYQQPPPQEEKKDR GCLTACLMAMCCCFLCEETCECCIECVECLCCGC AOR_1_182034 MSPTNSPNPIPFSEPPYLRNLPSPYYTEGHLRFQKACRAFLWEN LISHAAEWEKEGHVPEHVFETFCKHNMLLPNLPAPLPVDWLKRLGIHDILGVRVEDWD YLYTGIYCDEMARSGLAGPGTSLSAGFAFGIPPVLKYASRELQERVLPDMLTGRKRTC IAITEPEAGSDVANITTTATKSADGKFYIINGAKKWITNGIWSDYTTMAVRTGGPGAS GLSVMVVPLKGYPGVTMRRIHVSGTKTAGTTYIELDDVKVPVGNLIGREGDGMRTIMT NFNHERLTIAVQCTRQARVALSSAFGYCLKREAFGKTLMDQPVVRHRLAKAGAELETV SAWIEQILYQLCKLEKVEADRLLGGVTALAKAKAAMVLNECAQCAVLLFGGAGLTQSG QGEIVEAIMRDVPVSRIPGGSEDVLLDLLVRQLVKIYQTQEKKLGQAKI AOR_1_184034 MSTMQAVVFQGPLKVTLEQRPVPQIEEPTDVIVKVRYTALCGSE LHVFRGHQPSGTGFIMGHEFTGEIVEVGSAVRGFKVGDLVVSPFTVNCGECFYCARKC SSRCAKGKLYGSVMLDGGQADYARVPSADATLVAAPAAVDEKKLVLMADILPTGFFAA RNAFKGMDRATIEESTVLLFGCGPVGLCALISALDYRPKHLIAVDSVPSRLELAKSLG AEPWNFQTDAEGLKQRVKDLTEGRGADVAIEVVGHSDALRMAFDNLRPWGRISSIGVH NGEIPWTGNEAYGKNLQIQMGRCPVRSIFEDALEFLIRKQDSLGFMVGDIRPLSQAIQ AYDDFNQMKSQKIIFEAGK AOR_1_848034 MIDFELSDTQTRVREHAHSFATKHLETAHTVYTNLLTPQARFSA IRPLYEDLIKAGLIQAQVPAEYNGLGYGLVDMALLTEELYSADANVALTILATGLGLS PLLIGGTDAQTKRYLSPFTDGKGGTVGKLDAQGQTMILLVTREDVAADPPDAYGVLFH PETIGHRAVSGSHIRFRNFVAKEIIAMPGAGAEAIEAALTASAGLVGAIAVALMRRCF EMTLRFAKSDTRNDTESIINKQSVADLLIKMKMRCEAGRALT AOR_1_186034 MNSRQLAKAAIPAYTCPEGTKMHLLDLGSLSCEEGWLFTAGGSA TASNPQRPRKWRDLMVLAGLIDHPEMGLILFETGCAEDIEIKWNPANADVFPRTRYEP EHRLPAAIKAAGYDIKDVKAVIMGHLHLDHAGGLEHFLNTDVPIYVHEEEFKHACWGA GTKAEEGSYLPDYLPLDGSLNWQTFNDSQLDLCTGITLHLCPGHTPGLCIMQVNLPQD GTFIWTTDQFHVRENYEKNHAQGWLLRDHKSWMDSTNFIRRLQRLYSATLIFGHDLEV GTALIQQKPFYQ AOR_1_188034 MHASLALLLLPLGALSLPFEDSVQTVEASLGRRCGRSPCKGVPS TNTPDALCNNKFLGPKVLTAADDPEWSEMFKGYSPLGSYCPKDFLLEFAPSGKQYDYP EQDGALLDSVGVPVTTEYTLEEGMELDRFGTQYGGYLAPRGTPFAWRSIPPSNLNKYP NSPEYNYWVWRVVESFNVTGGPIAPFFGQPGYGLQFYYEGGLKLLEDQGVIELVDKKQ CSCEVPRDDLK AOR_1_190034 MRMPRGGLLGAIVCLAGIGRVVEALSIDINDADSIKSAASQTAY GSMLWYSGNETGQIPGAFPDKWWEGSALFLSLLYYWHYTGDTTYNAEVSQGMEWQAGN GDYMPANYSSYLGNDDQGFWGIAAMTAAEIGFPDVDDGYSWLSLAQGVFNTQVARWDS SNCGGGLRWQIFPYQAGYAMKNSISNGLLFQLAARLARYTNNQTYTEWAEKVWDWSAS SPLLNNQTWNVADSTDIEGGCKSQGNNQWSYNYGTYLIGAAYMYNMTEKETWKTAVDG LLGVTLNTFFPQDFNYIMSEVLCEPNEVCNDNEILFKGLVSGWLAFVALLVPSTYDEI LPKLQASAQGAAASCSGMSNNTCGVRWHESKWDGWVGMEEQISATDVLSSVLVTEKKG SGPLTSTTGGNSTSNPNAGSGDDSSSDKSQLKSITTGDKAGASIVTIAFVGIWGGLIA FMVLGT AOR_1_850034 MANNTKEFDFIIVGGGPAGCTIASRLASCSEKPRVLLLEAGKHN DSEDLMVDGQRWTTLQQPGMNWGYTTVSQEYCNGRQLDYSRGRGLGGSTAISFGFWTV GCRGDYDRWADLVDDPRFDWVHMQARFKALESFQTEDAEASYGDYVSPRRDDHGQHGP LKVGYAKLWERDIVPMLDIFRDAGFPITRDLNSGNPLGIGPVINSCYQGRRTTAITLL QNSSNNLTTITECSVERLVLEGKRVIGVEAAGARCSLDTPKLLMLSGIGPASQLAKHG IPIICDLSAIGQNLKDHCHVPLAFRRSKESNDRHSFYGEPTASQEALETWRIDGTGSW SIFGCQCVGGWLKSPSVVDSFEFKQLPRAEQEFLNGETVPHYELVSHFPFHMLIPGVS EDFSYVCLVAFLMNPQSRGEVTLQSADPTVPLLFNPRFLSHPYDRRVAIESYRDLLKL SAHPSFSKDTIGDLIRPQGDSDEAILEFWRQFVSSTWHMTGTVKMERPDDPDAAVDRS FRVRNLEGLRVADMSVVPVLPNSHTQVTAYLVGATCADVLIEEYDLSYQV AOR_1_852034 MRLIGQLPETIKFQIRSEQDQFILKDIPKDIFSNFNEKIQPQLV EIYRYLTDDFLNLVREGIPIYARKQILKASLRGIAERHDRQNVHLDIKPDNIMVDRCN AQDKTIIEHVQIIDLENDAHLPKGRCIEGMLAGNDNWRSPEAHFKGELNKPTDMFSFA IVCIYAILGPVIFGPDDDFRKHEAQGVLPALICLQRQVSYFGDQEGLKGLMKHTDDDE VSCQVLQMLWEERHEEHIPYKLFSEWPDVFDPVFKDFILGLTSLDPHKRLTARQALDH PWLANF AOR_1_194034 MAPSVADSTTTPVVPIVEGDVSAAKTRTLQREPLKLSGALDSFD SFDVTPVIGREFPSANLAEWLRAPNSDELLRDLAITISQRGVVFFRKQDGLDDDLQKE LIQRLGLLAGKPSTSGLHVHPIHNAGREHNVKDNEISVITSKNHDQLYKGRLHPKKQS ARREWHSDITFEPIPSDYTVLRLTELPKTGGDTLWASGYELYDRISKPYQQFLEGLTA TYAQPGFNRVAKENDFEIFTGPRGAPENVGDKLEAIHPVIRTNPVTGWKSVFAVGVHV AKVNDLSEEESDHLLRWFVSLIVENHDLQVRLRWENPNDLAIWDNRSVYHAATYDYKE KGFGPRTGHRVVGLGERPYFDPKSTSRREALEAEAELS AOR_1_196034 MPVVHLPTSTTKTPSETVSALKNETDSSSSREIAPLGEPFSGRR LWFQKGQKYDPDAIATQPSVYNNPDIAQEYQPSEDWENLHRFDPSARWTWREEKRVIR KIDARIMIWTAIMFMALELDRANLTQALTDNFLKDLHLTTNDYNLRNTVFKLSFLCAE LPSQLVSKWIGPDRWIPTQMTLWSAVGMTQYGLQGRSSFLACRALLGLLQGGFIPDVI LYLSYFYKSHELSVRLSFFWTAYNLADILASFLAFGLLRLRGVQGQAGWRWLFLIEGL ITLLVGLIAFVLMPPGPCETANWARGKKGWFTPREETIMVNRIIRDDPSKGTMHNREA ITPKLLWKSLCDYDLWPIYAIGLTWLTPMTPPGQYLTLTLRGMGFNTFVTNLLTIPYT FAQIPTMLILTYLSERFGHINKWVAWIILTIFLSIPSAHPIQVAWNSRNSNTVRSRTV SAAVYNMCVQASGIIASNIYRQDDAPRYKRGNKVLVALVVTNIFIYLFTKAYYVWRNA SRDKKWNAMSEEEKRVYLATTKDEGNKRLDFRFAH AOR_1_198034 MSQEKVKVIIAGAGIAGLSVAVALRRLPYIDVELFEQATELREI GASIAISPNGLRSLEKLGVLNALDEDVAFRGPSGIPMIYRHWKTNKVIHQDYFVDVTV RHHETARFHRGHLHAALLEHVPSERIHLGKTVVSADAPNDKVTLHFADGTSAHGDILV GADGIHSKVRKSFVPDHRLFSTGKVWLRSTFDASLVAHIRDLPLDSTHWWGPKENFFA SRLGKNQYTTVGSFDLPVETDGRIRNVKWDDEENVEVLRQRYKDWNPVVKALVNSTPY TRIYPNYAGEPLPTWVFDSRVTLVGDAAHTHGGAFAAGGSLAIDDAYALFLAFRHALG SSRAQKPKAYEVRAALALYDETRRPHTERLLTIVLKGVGGQATNTDSDEVLVERVRNK PNTTWLSEHDVEKAFQSVLQKRSVTGENLVEQATSKL AOR_1_854034 MSKATVTDSVSTVNDVAQLPKLSQRYADESYKLFSKVSVADPTP EEAIKIRNKCLWRVLPFLCIGYHIMYVDKQTLGSSAILGIMDDAHLNSTQYNWLSSIF YFGYLLAEWPQNWALQRFPVAKWLAINLVVWGGITLLHIPCNSFATLFVVRFLLGVAE ASIVPAFLLILSMFFTYQEQAVLMPIMWSIGNASPITSGLLSYGVLWIKTGTFAPWKW FMVITGGVTVMFGLAVWLFFPDNPLRASFLTPEERAQAILRIKDNHSGIEQKHFKKYQ FIEAVKDPKSWLFFLHAWSQEMANGMTNQYSLIINSFGFTVLQTTLLGCVTGIVSFFS LASAAVVLAKTTNCRAWISLIAYIPGAISSILLLSLPWSNRWGLITGIWIRSTVGIPY AVVMIWAANASAGHTKKTTVIAMYHIGYGLGNILSPQLFQPRWKPRYRPTWIILLVVA CILPSLVIVYLRWYLSKENKRRDLLQSETLVTDTGVVEEVDNDGTKHARLVDKNQLDL TDRENLSFRYVL AOR_1_202034 MKASTVLLCLFAAFAAATEGDPTVADETPTPTQSLRTVAPTRPI KLYDLTPEEQRFVFQYMRDHGFGPYPGTVMPGGWRWPDKRDGSLQPETEFNPDLSKLM HIMDTMPKPQGKINHYIGEKSKGKDDSNEDDEEDEDEDDGSDDEDTSPASPLGKRKQN PCKRGCRADVHCCPGDKCFYGVCLGPQIPPKESKREIYERDAPADEAQKSGEDDENGD EDDSADNADTSPASPLEKRKQNPCKRGCIADVHCCPKDKCFYGVCLGPHKPPKESKRE IYERYAPADETQKSGEDGENVDGTRFEGQRDERCARYCENDSACCKSDKCVMGVCLGV GEFP AOR_1_204034 MAQHTADKKEHMEAGGKKQSSHDYDAEHAQKEHGSGRGILGRSA TRIHTKKSLLGCGFVARTFNQGQGPRSEQDSESNAEHVTHRKNKSKSGGSPPMKPSQI MEEHPEHNPADLGDSEVVHSSTKIEPAQNPRTRDGKVKQMESHIVALARGITELDNDR KRLQAQVRSARSGSWVTKSSRQIREELSSLEAAMRQWAENYSVAEIRALDSLSIEEKD AILERLDGYCAQVDWTTLIEKSPTMADKIPALLMQALLAKDIFGSIFANPFFAFGESK EPSTAHSSQTLSYLYASMLEVNKEEAHIWRSQTLRLLATPPPNSVHNAPLRAKVEAIT SELAIEFLAGPVRLLFRHRNDSWAIQRNQELYQLYHTAGELAISLWTQRSFMRCYYGK NALIIVHPAIVAFGNEYAEHYDLCKVWAKGIIIVDEHA AOR_1_206034 MASQSNIGSSKTVDVVVIGAGLSGLRAALGVQAAGLSYAVVEAI DRVGGKTLTVPSKKSGPGVNDVGAAWINDTTQSEIYKLVQKYGLQVETQEIPGHDIFQ SPEGCVLFKHGELPVSEEDKAALAQVLAHFNDLVSDVNLEDPGSGPNAKELDSVSLQE YCIQTFQSELIAGLFDTIIQSLIGVEAKDVSLLAFLISLKAGTGFEAVTSDGKNGGQQ LRVRQGNQTISQNMAKELKPGSIHLSSPVTEIKQDPATGACTVHTSNNTTFHAKKVIL SVATPLYKKITFDPPLPEYKQLLVKENKLGYYSKIIFVFDRPFWRAAGLTGAIQSQTG PFTFTMDNSFPDDEQWSIACFTVGRRGREWSQLSQEERRQTAWEQLRSALENTDLPSG EKIKVPEPINVLEYEWTKQDFFLGGPEPGSPPGVISRVGKDAVRKPFGSVHFVGTETA LHWKGYMEGAVRSGDRGAKEVVEALTK AOR_1_208034 MLQKHPESPPFFDFIVTPETTQTPPKEDCGVRTTTSPAIKNAPL PADGAGNDSWSNLLLFSLLIGVPWFISYQLGGGLYFGIFIAVCTAIPILMAFWTIFSS MSPRMNEKAKLPGRPVEYYLNFHKESDRARYNGKKKIPIEVFYEKYFRGEVEFKNGDC LENLEYRHDWASFSFSWGVFKHFLFGFVPELIVHSRSQDEEQVSGNYDRGDDFYAWFL GPRMIYTSGLIGDINKEESLEQLQDNKLTTVCEKIDLQPGDTMLDIGCGWGTLATFAS VHYKAHVTGITLGRNQTKWGNTTLRNAGIPESQSMIHRLDYRDSPRVPGGYKKITSLE MSEHVGVRHFRGFLKQVHDMLDDDGVFYLQYSGLRKYWQYEDLIWGLFMNKYVFPGAD ASTPLGWVIDQLEGTGFEIKGVDTVGVHYSATLWRWYRNWVANREHVEAKYGPKWYRT WEWFLSWATIVSRQGGATCYQIVLVKNINSTHRVDGIPSQFGISGAIRSAIEKLGKMP SAFIPQATV AOR_1_210034 MTSSTTPSADEKHVDIKSAATANPSTVKGDGALQLLAAGSEAGT LDPEASHRLVRKIDLYVMPLICIVYFLQYLDKIAISYASVTGLRESANLHGNQFNWVS SMFFFGQLAFQFPTTRLIQAFPLARYVAFNVTVWGTILACMAACHSFAALMVCRTLLG AAEAAVVPAWVVFTSQWYRREEQAFRVGLWFSMCGFAQMFGGYIAYGVAIHIGGDPTA SLRGWQVIFLILGLFTVVIGILFFFILPDSPVTARFLSPEEKALHAERLRSNVQGIGS NVFKKAQVYEALKDPNTWLYSFWVLAANVPNSIATSFGNILVSGMGYSQTQSLLLVTP LGAYEVVALIGLTYLAMKSEQRLLWCIIGHIPSIVGAILMATTDKAPALVGYYLTGGI PIGWTTILGLTSTNIAGSTKKITVSCIQTIAYTVGNIISPQTFQAKDAPQYLPAKISI VILYVLVTLDLCLIRWLSIRENRRRDQEKEALGDAYVVPQNHEFLDLTDRENPEFRYA I AOR_1_212034 MVHLSPLLRPLAAFSFFTSLASTESIFPDCSTGPLSKNNVCDTS LDPVSRAKSLVAAMTLEEKINNTKYDSSGAPRLGLPAYNWWNEALHGVAEGHGVSFSD SGNFSYATSFPMPILLGAAFDDDLVKQVATVISTEARAFANGGHAGLDYWTPNINPFR DPRWGRGQETPGEDPLHLSRYVYHLVDGLQDGIGPERPKVVATCKHFAAYDLENWEGI ERYAFDAVVSPQDLSEYYLPSFKTCTRDAKVDAVMCSYNSLNGIPTCADRWLLQTLLR EHWGWEQTGHWVTGDCGAIDNIYADHHYVADGAHAAAAALNAGTDLDCGSVFPEYLGS ALQQGLYNNQTLNNALIRLYSSLVKLGYFDPADDQPYRSIGWNEVFTPAAEELAHKAT VEGIVMLKNDGTLPLKSNGTVAIIGPFANATTQLQGNYEGPPKYIRTLIWAAVHNGYK VKFSQGTDINSNSSAGFAEAISAAKEADTVIYAGGIDNTIEKESQDRTTIVWPGNQLD LIEQLSDLEKPLIVVQFGGGQVDDSSLLANAGVGALLWAGYPSQAGGAAVFDILTGKS APAGRLPVTQYPASYVDEVPMTDMTLRPGSNNPGRTYRWYDKAVLPFGFGLHYTTFNV SWNHAEYGPYNTDSVASGTTNAPVDTELFDTFSITVTNTGNVASDYIALLFLTADGVG PEPYPIKTLVGYSRAKGIEPGQSQQVKLDVSVGSVARTAENGDLVLYPGSYKLEVDVG QDFPTATFTVSGKEKVLDEFPEPQQNATSAVTRRGR AOR_1_856034 MEVFLHHVPADLNRHGFKRELQPFMKTLRIQDFICEKPRKKRFG TITFLHVDDAERFLQAHGEKPNPLGGFKSNLKLMGVSVCCKSSRYPPKPFALRTLEHE AQERAKGHRERPEESVVFGMQQYSCGRCDFVGEQLTYNPELQWSARGTIKFKTRSMIV HVIPDCRIRIPLSTIVSLIYSTDGTLTVTLSDVPFFFKVIGTSYNSLGIESSRVRLSN LGNGHDQIVGQCLVYQFKVSVVHFRANIEKLKDWEITIYRYNLTTARPLLCSQAVSVE FHKLLSELAECTRNRSVPFGILFQLQALAQNAYLHPTTSRKLAEGLRKRFAEDEAAGR DPITVDGMRKLFNMIGWPFPGDDPRVYEVDFLVATLEANHREIQDGFAYREGLHENTV NMTKVHRVNVTPTRITLHGPEMEPQNRILRKFPNHHEYFIRVQFCDENGEDLLFNANV DYKDIFGRFIDIMTRGIQIAGRTYNFLGFSHSSLRSRAVWFSSPFVDDNGHMQTYFSI VSAIGKFSHITSPARCAARIGQAFTETPFMVPLEKHGVLVSTIPDLTSPDGSRVFSDG VGAISREVVASIWADIPLKRGNPTCFQIRLGGAKGMLAADSRLRTAVIQIRPSMIKFD SEDMKNLEICNMASRPYPMVLNRQVIKILEDMGASKDWFFQMQNEELTRLQSITVSTD KTARFLKDKSVAECIGLYRLYRQCYWTRLNYKKDGFLRAIVEAVVLRELRLLKHKARI PVKKGMTLYGVIDETGFLQEGEVYVTFDRMEGRYAAPPGPGHILVTRSPALHCGDIQR AQNVIPPEDHPLRYHRNCIVFSQKGSRDLPSKLSGGDLDGDLYHVIWDPELESVETFA PADYPRPTSIDIGRDVRVDDMAAFFVEFMRSDILGMIAIRHMVMADQAASGTRDTSCR LLAGLHSKAVDFSKTGIPVNMEDMPRVNRYRPDFLAPGPQTRLYNKSKIGLEQHVSHA NYDDDDDDADVEEPYRYYKSEKILGKLYRAIDEQSIWRKHVLSESETDEEFFWNSVID DCLRRCKSLPRTPKEEHLDEAKRIRAAYDDAIISAMDTYSDHPTKPISELEVVIGSII NRRGVQTRRQRDRSNKLHEEFDRIATWITSIMRRQEIEDPDPNGLELSLACLYHGIQG SDSGHRKEVYGELKSFRVVAACALLAELDHRDKADPKNWSGIDSLNMFVSGSTAYADK LD AOR_1_216034 MPHVCQAFAAVVLASMLLLSHVVHCIRGYSNAAPHFRDYFYVGG QYVHSNSSGVGSYFHNQMYVEKLSPVRERVQPYPIVFVHGGGQTGTNFLNKPDGSPGW ASWFLDHGYVVYLLDRTLTGRSPTWSDDDLGQTAFSAEFISQRFTAVKKYPLWPQAKL HTQWPGTGEMGDPFFDAYYMSTVQSVSDSRVQEETMKVAGEKLLDRIGPAIVITHSQG GLYGWSWADSRPDLIKALIQIEPKGPPFREAIFSKEFSRPWGLTSIPLSYEPPPSNVS SPLTMKNVPAHSPGLLPCIIQHEPARKLLNLARVPILISTGEASYHAQYDHCFIKFLY QAGVPAEHLELGHAGLHGNGHLQFMEMNSDDIAQVLHDWMLIKVNGTF AOR_1_218034 MSMDSTAAIVLVGVFGALSQALMVLRLVMRRCRGQRLILSDYLT IACIGLVLARSAFAAVILVWGNNHMDRPVADISSTQIYRRQVGSKLTVVNRLVYNVYL WLQKAVVLLLCQRILAGLPWPERIIRACWALLVASFAAVQITTFVDCRPLHLYWQVMP DPGSCVEAHTQLVTLISMNISTHTMLMLLPMPWLLRVKTSWTRRLQLVGLFAIGLLLI AIAIVRLPSFDDNTSQLNRNTWGSVEEFLAAFVANVPTLYTLRRRADKSYPTYYSHGA SEGRMGGSRHPPHNEGILVTNSVQLEYMVDGRHKSQGSDHNVVRQDSNEQLVGDERWR AOR_1_220034 MAMQPFTRLIRFNKLAPFFDIVVASPAGGEPPLDPYSIESTKDD PECVTFLKERCSVCKNTVKLDSLLAKISEFVGTFYVGGHGMFDLANDETSHILVRGFY ESGKVVSAVCHGSAALVNLKLTNGDYLLMVNFERKALNSYLSCSPQTDILINLNRINV LRAAFQNAAVLGMTPEWMCQDDTLSIFSTYGPWDMKKQGRIAPGLRPTTLQREIPHHP WLDIFPFPRMWDNLIRAGDQLDHEEFAKKWGFFL AOR_1_222034 MFRILGKSGLKVSKIILGCMSYGSSEWQSWVLNEKDALPLLKHA YDKGINTWDTADIYSHGRSEEIIGKFLRQEQIPRDRVVIMTKFYFGEWVNRVGLSRKH IFDAVEASVRRLGTYIDVLQIHRLDRDTPRKEIMKALNDVVESGKVRYIGASSMAAWE FQSLQNIALQNGWHQFISMQNLHNLIHREEEREMIPYCLDTGVGLIPWSPLARGVLAR PWDSRSTIRETTDTSLKSIIRDRESLADKSIIDRVEEVARRRGITMSQVAIAWSLSHR TENPILGLNSKDRIDEAVAAIGVRLTEDEKRYLEELYIPKSIHPSER AOR_1_224034 MRVSRAYQLDFFCPPMISHIAFFFASVLAASLGISVNVNSSNLR EVYQFPHGTWVENIAVRSNGNLLVTLVNVPEVWEVFPSAQAGASGARLVHHFTNEGMS TSITEHSPDMLALITPNTVWKMDLNAGREASPVRVATLPGGNLNGMATLDQELGRVAI SDSEFGLVWVVIHILERSRSSSGTKPHGEYEGRPISSI AOR_1_226034 MVQLKSFLLLLNVAIARSALVWDDTYFYGQSPPVYPTPNMSGAG SWGHALSKAQHLVSQMSLEEKVSLTGGSKDSRNGCGGNIPAIDRLGFPGMCLQDGPNG IKGVELVNGYPSGIHVGASWNKSLAYKQAKAIGGEFRRKGATIALGPPVVGPLGRIAL GGRIWEGYTNDPYLSGILAAEAVRGVQDNGVISCAKHFVGNEQELHRNPRPGGPGNRT VEASSSNMDDKTMHELYMWPFADVVHAGVASVMCAYQRLNNSYSCHNSKALNGLLKTE LDFQGFVMSDWGAQHTGIASALAGLDMVMPSGNKYWGAKLIEAVRNGSVPESQITNMA TRIMAAWYLSNQDDPSLPPVGAGIPADFERQHPVIDARDPHDESLLLEGAIQGHVLLK NVDNALPLTNPRILSIYGYDAKAPDSNFAQAGLNDWTLGLESHDSRTVVCGFASIGGD CPDFPPIAINGTIISGGGSAAVTPVYISSPFQALEARARQDKTQLFWDFKGTEAVNSE ADACLVFINAASSEGVDRPALRDDYSDGLVLGIASRCNNTIVVIHNAGVRLIDQWIEH PNVTAVIFAHLPGQDSGEAITQILYGDVSPSGKLPYSLPRNESDYGSLLTPVTFTDWD QLFPQDDFAEGVFIDYRAFDMKGIAPRFEFGYGMTYTTFKYSNLTIRWTVDPSDLSEY PEGPVIPGGNADLWENIAAVTAGITNTGQMEAAEIAQLYVQIPGEGQPLLELRGFDKV AVPPGETRTVDFNIRRRDLSTWDVAAQKWKLSVGAQYPVFVGSSSRKLLLNGTLYL AOR_1_228034 MDTAYDFVVIGGGTAGLVLASRLSEDPSISVLVLEAGADLTADP RVNIPIFYAALLGSDADWKFQSSPQPGLNGRVLGLNQGKALGGSSSLNAHVFVPPFKG AVDAWEELGNPGWNWSKLKDYFSKVYSSPTVAQDAKENLAIEDWPGLNEAKGPIQTSF GNKTHPIRRAWAELFRSSEQHNAGDPFIHSSVGSFSCLASIDSEGKRSNSASAYYKPA ESRQNLHVLTNSFVERVLFDESKPPRAIGVQYNLDGVSKAVQAKSEVILAAGAFQSPK ILQLSGVGRAELLEQHGIDIVMDLPGVGQNLQDHMILYTAFQAKPELETKDSLVRQEP EAISQAMQEYAATQSGPLASLGVHTYAYLPLPEPDRSALQTLFTNDAPESSQHRATQA YYDIAKTTVLDPRQPSAAYLSALGQTNYPKDLKDGTIPAASLGKFVTLGVMLSQPLSR GSVHITCNNPEKPPIIDSGYLSNPLDLEVMARHMLRIKELAESPQLGELLEQPLKFRD PDADFQGDLDAARKYARDNLVSMWHFAGTCSMLPREKDGVVDSHLKVYGIEGLRVVDA SAIPLISTANLQATVYAFAERAADLIKQEWKSK AOR_1_230034 MSPHAVHTVPSGENNWWKEATVYQVYPASFKDSNGDGWGDIPGL ISKVPYLHSLGVDVVWLSPMYDSPMHDMGYDVSDYENVLPAYGTVEDVERLIDACHER GMKLILDLVINHTSDQHKWFQESRSSKDNDKRDWYFWRPPRYDEQGNRLPPTNYRGYF AGSTWTWDEHTQEYYLHLYAKEQPDLNWDNEATRKAIYDSAVRFWLDKGVDGFRVDTV NKYSKHTDFPDAPVTDPKSYIQPAIQMWCNGPRIHEFLREMYDDALQPYGDVVTIGEL ANTPDPEHVLKYVGASAKQLSMVFHLDIGHIGMGKSLEDKYIFNPWQLTEMKSIVTKW QSFIEGTDGWTTAFCENHDNGRSVSRFASDAPEHRERSAKMLALMMMAMTGTLFLYQG QEIGMINAPRDWSVEEYKDIEGRGYYQEAERQVAAGVDPTRKDRIMDGLRILARDHAR LPMQWDDSSHAGFTTGTPWMRAHDLYPEINVQKQADDPESVLSFWRSVLRLRKEYREL FIHGAFEVVDFDNQQTFCFFKSRDEKKALVALNFTAENQPFTQATTTEGMKLLVSNYA ELLPETLRPFEGRIYISQ AOR_1_232034 MTTPDAIEANDGAQRKSSLVQGKRAAQQEQSMTLWQAIRLYPKA VGWSVLLSSTLIMEGYDLALLSSMYASPAFNQKFGRQASDGKWTVPASWQSALSNGAR VGEVIGLLINGLVSERLGYRWTMVSALTAMIGVIFLFFFAVNVQMLLAAEILAGIPWG VFQTLPAAYASEVCPVVLRPYLTTFINMCWVFGQFVAVGVNRGSIQRDDQWAWRIPFA VQWAWPLPILIGCLFAPESPWWHVRRGNLSGAKRALLRLTSSDPAFDPDATIAMIQHT NELEMSATKGTRYRDCFQGVNLRRTEVVCGVWLVQTLCGQNLMGYFAYFCVQAGLPTV RSFDLSLVQYALGVIGTLGSWYLMTIAGRRTLHLAGLTSLFTLLIITGSLSFAPDSNS GAKWAIGVMLIIFTFCYDFTIGPVTYCLVSELSSTRLKAKTIVLARAGYNISNIVVNV LTNYQLNDTAWNWSSRSAYFWAGTCLVCLVWSFFRMPEPKGRTYEELDVLFEQRVSAR KFADTVVDPYADAVEVRVDSAKE AOR_1_234034 MPRETIPQACDACRRRKVKCTSHRPCSPCRSMALVCRSTGSRRK KGRQGRSANVLYELKTNTNSDAIDENQLSGVTPVESPNDIPPTGYVKRSGLLNDGLVQ SCAAYFYSRMLGTVPILHPDKFQEQVERMDECPHAYCLVVAFCAFVLTQTGYLSCHQG TGPDMGRALLDEAMAARRHLDPFSAPIRLGITIAFLLYGCHIGCGNQRQAYYFLREAT TFYTADMLDQSADEDEPCFSGKLFWLLLISERAHAIRRRRPVTLQITSTSPALDTSPI DPFSLGFSHLVDLYRPFDESFLAIWNASQPICTRESLVHLEEHLQRSVPADLDLPDIV LADLRVSQQWLRTMIWQLATTAGFLSSTPTHPSLDFRYPLQIARDLSLATWKLSRESM ETHGVGLIEKIFEVACTLTDVMACLSSTGLRSSGFEMGPQDYLKHLCSLVHSLHGGRK RFLPLLMAKVGHTLPAMVMPISRHLGLEDLGSFDEGEKKGFDAVGDVERDLNWAEMSR IGDMTPDIDDTSLL AOR_1_236034 MIDAASVVILIIGLIVARLVTNRFRLSGIPGPSLAAYTRLWKLY NAWKGDHHHTEIALHRKYGSLVRIGPRHISVSDPKAIPIIYGVNKGFTKTAFYPIQSI SWDKKPQMNLFSTRDELFHRDRKRPIASAYSMTSILEMEPAVDSYTELFLSQIRKMVE EKAPIDLGMWLQYYAFDVVGELSFAQKLGFLEKGEDVDNMIEAIRGMLTYAMICGQIP EAHKVLLGNPLFPILLPQMETWDQVVVFTLKAINRRASLQRDGDLGKDEIDEAIGGKD MMSRWLAIHNADPTRLSTRDLMVHLSANVFAGSDTTAIALRSILYHLICHPDKMAKVR AEIDTADQEGKLSNPISYQESNTHLPYFGAVMKEAMRLHPSVGGNLERHVPPQGVTIC GHYIPGGTNVGINPWVVHRDPIVFPQPDSFIPERWLDSTPEQLKEMEKAFLNFGAGSR SCIGKAISLMEMRKILPQLLREFDIHLHQNKSWKTRNVWFVQQEEFICDLTPRVRS AOR_1_238034 MSSNPEIEYGFTPVISSARALLSAAKPSTPAPFISVADNPIPKT ALAQRIDLYARSQLPEPTYNHSLRVYHYGLAIKRHVFPSWSFTDETYFLCCLLHDIGS TEENLNKTKLSFEFYGGLLALGVLQDGTGPFGNAVAPRDQAESVAEAIIRHQDLCEEG KITAVGQLLQLATIFDNTGAYADLVHPSTIDEVSRRYPRKQWSTCFAATIRRENELKP WAHATTLGEEAFPSKVLGNSLMEPYEQSDNLEPYIY AOR_1_240034 MSQRSNGPYRLIYWPTIPGRGEFIRLALEEAGADYTDTAHEENG VKTVLSLIDQNFVGDQSGLPPFAPPILEHGDLRISQTPNILLYLAPRLGLAPDGDAIY HVNSLALTALDGLSNEPHDTHHPIAVELYYEDQLEESKRRSESYRKNRLPKFLSYFER VLKPQAAQGRPWLYGETLTYADLVLFQGIDGLKFAFPRALSRLEKSGEYSNVFKLYET VKNRPRIKAYLASDRRLEYSQGIYRHYPELDDAE AOR_1_242034 MGVTKTLIAAGDGVNFPKKNETVAMHYRGYLYDPSQPDNKGKQF DNSYDRGQPLKVAIGTGRVIKGWDEGVPQMSLGEKALLTITPDYGYGAQGFPNLIPAN STLLFEVQLVAINNKEMPKP AOR_1_858034 MQRSTKDVILSRRQIEALIAEGQSIIIVNQKVLRVDAWIPYHPG GQKVIQHVVGKDATDEFTIFHCEETRQMSERYQIGRIEGRWANFVPPIQGGVFRSEDE EDDESTILVKEQQDSSSTGLEVAAVDSGIHKRGSQPEPREDMATQDEMAFLDTETKEE IKLTLSKYPALDDATQDEIIAKYRLLHKQIHAEGLYTCNYTAYAWEFARCSLLFSIML FFLHIGWYNTSALFLAWFWSQMVFAAHDAGHIGITQNFTIDSLIGMTIAAPIGGLSLG WWKRSHNVHHIVTNAPEHDPDNQHLPFLAVNHRFLGNIFSTYHERLLAYDKFAKALVP YQAYLYYIILLFGRFNLYVQSWLFLAKGQGPRKGPAKWHRWYEICGNVIFWLWFGYGI LYKSIPTPLSRISFVLISHMATMPLHVQFTVSHFAMSTTDLGPNESFAQKMLRTTMDV DCPPWLDWVHGGLQFQAIHHLYPRVPRHNLRRAQALVMEFCRDVGIPYALYGFVGGNR KVLGGLAEVARQAAILEKCRRTVVERGEFVWGL AOR_1_860034 MDVLLDILDTYVFDRFYAAILPATNPVTASAGTYNKHIGLYYPL PPSPYADSSTWKRDDIVRQTMSLFLIGWIFATALYLIGSTIIYHTLFDKRVMRHPHFL PNQIRQEIRQGITSIPVIAVLTAPFFLAEVRGWTKLYDFADEAPFRAYNWLQYPLFVC FTDCGIYWIHRGLHYPPVYRWLHKPHHKWIMPSPFASYAFHPVDGWSQSIPYHVYPLL FPLQKSAYLGLFVFVTMWTVFIHDAEYLSQSVVVNGAACHTMHHLYFNYNYGQFLTFW DRLNGTYRTPKEDGFIQNENRKAQALKQA AOR_1_248034 MAANFGDIPGAEMFFRPPLITDEYFPTDLLSYGPTKLSEQLHEL MMELIETSKSMRPDDTEDPQLQLDIAQLAPLFTVSNVAIFISVFFHSLYWHLPVVHFP TFDPGNVSNPLLLSIFLTGATYSSSLDEAALLPRVLDVAEEHVFRKITTLSTQVAPPT HDLTRQIPTIQLLQAALIIEMLQFGQERVETRRRIRIIRHPSLVSLMRCLGIFQLKRS APPTVCTGDDSTWRALVTEEVCIRLASWVFLADGFLTVCFKNRPTISIFEMECPFPWR TELWEAENASAFSRIAATDEAKLPMPSVREAIRLLLDNSEPGPLPSSLPLSAEHLLIM IYALNSLAFLARTDFFGSVPLKKIKSAANKWKQIWNSVYQDSNNDQMLLLGYPKHAEE LWLLLTTMLDIATENSTKLPYLDNAATDDLGKLNEFIQWCCRDT AOR_1_250034 MVSASQLLFCLPVLGALATPTDSTTAQAVARSPEFLEHMGALIA NATGEATLERRDATFKTSKDGVDAAGFYYSLYNANGAGAEYSESENSGQFKLSWNTNS EFLGGKGFKGGSPRSLSWDGQFQAEGDFTLAVYGWTTDPVTEWYVVEAHGTGTPGNGH ILGQVEDDGGIYDVYMLPYRNVPEIYGVTNFNQLWSVRREARHTGTVDVAAHFKRWQE LGLKPGNPVFQMVTAEGFKGSGNLDFTLQK AOR_1_252034 MTMSMNGRKFVQNFTYNLERDFASPAGEPAAWAPGHPKRWGQER AKIGLGGIASTPTLSPDDKLVAVGVNEKILVFDVATQASLEVLTDEKRVESVQFAPGV VDSRDGKHARYLLASQGGSDENPQVIIWELDEKGKLVTTTEPRLVFEGELGSFSPDGK TLVFFSQNETTQEESREAAALPCINLWNIETQSFRHHLLGHTDRIMWAAMSPDNKLVA SVAWDGIARVWDAWSGSCLHVLGPMGGQLWSGAFSPDQEFLAFSQGDPRTYIHVYDIA TGKQVSRFDKLHMATRSLSWRHDGTMLACGADDGTLCIWDPYTGEERMRWCLAFDDFL MCRFANTRAVQFVDGGKKLVFQIREGTVEVYDFERNLKQQFTRGVDDKIDRCPVSEMV CSRDSKFVVVPDMDGSLRVWDL AOR_1_254034 MSTAESLLELARNARAECNTGQRKFSTALPALDALVSELEVYKS VMRKPVNSETLTNLESHLTPCAGALNVLLIIRRKYRSEMSAFERAKWKTTDGEKFTDT VNRLQNATNLLRGTLRMTREVQLHRMAETNPKMAKEEARETTAETMKANAKPDTCRNG AGCRVIEWIQLMLVARDVPDKYQQLRLRLEIEQGRLLSWGHSFGLLQEESEKEDTSRI SIPEQVRYMVQGYIEPLWQKVLHFTAETPGFVPRDKREETPSVHHGGMARRFRLDRMY AKARQGTIKFKDRVNWAYWQMDKLEDLVECMRHVNGSVIALAEVKTQQEIQETVKATL MGLLSLQDTVNGLKELIVAVEEKRLDNRSSQMPLGEPNDLLLGLANLKKMRLQIGHDK NDGSLQSDLKIQPERVWPMNKMKYPSPQRQDATLDGKKGFWVEYKDYSSSDQIAGPTK DEIERHIVRELAALLISKLPSSFRVAQCVGYYEEAGSFGLVFEKHAAHADQTIIPLSH CLSLETQMPSLGERIALAQGIVQTLFQLHVVGWLHKGLRPANILLFEDHNGGIAYDKS YISGFEDARPVAQPNLTEEAHSRLLNDDWYRHPDIQTVKPAHREFQYCMRYDLYSLGI LLVEIALWKPVLDIQSNVGPGDIKSFILSHNTQCLQRIQFAFGRKYAEIVMNCLNPGE EMDDHTQMDSYIYYFEKLVVKPMKQMSVD AOR_1_256034 MATEWGGQGIGEDPNRPPSYPGQKLCERLSGRQGHWSGKEQVNV EFRPNDVVPLKDDTQVEYKGTYGRVCRSILTVGEETIVVARKEMRLSEVSEEKVNREV KILQSLRHRHLVTFIGSFTARGFASILVYPFATCDLEKLLEELDYAQYHEQKDTVSTL LAELGWIPTTTKAAQWKPGDIFPNLRRICGCVCSALLYLHQHRIRHKDIKPSNVLVGK DGVYITDFNISKAFENDRSSRTMGPYAGTRVYSAPECVYDARSYPSDVYSLGLIFMEI YTYILGRPRSEMGEYTRSNLSLSKDSQIRHAALRVLWVRVIFDGAGRGDFGMSSGMQE LILRMISENQDARPDAFEVLSGLWVYSPSGDFFCNECRKHRGWVGYKGLEKRYQDSLK EKASLEKRSEQAREEVKGAPGQDRLWHATRVDSD AOR_1_258034 MPLFKKKPKTPPTTNSAAFRSVEHLPSSVAFPGDLDRRFSVSTN EPVPPLPPQHPAHSNVVHRSQSHRRPNTLNNYSVVPPAVAAPPRIVDADPSDQSWQHP PPAERPEEHENPRRSRRNLFSLHSHSSSSQAPRESTGFLERRRSVRKTSPAPQQQEQR PLSVDTAADPHSPSKSREYVVDTTQDPSKSPVLQDQGIPHEPRPPRSPQNPSITRSNT DSTLLEHIYRPSPVEPKHPDELDPGPVQQQQPQQNQLGLPRPSSRQSLEPPSPLPQPP AYSDHSASQAAMSDRPPSGQRAPTATPPPGGHSSYPQGNNNQDVTPRNNAAQQPEPGR STPSSTRMRDEGEIDVRTLVQKHDELQAKYSKVKRYYFEKEAQVQQLQNTVAHQRMAV SRTVLDDNEYANRFGRLDGAIKDLAFAFRKDWKSLPKWLMGYVNDDAHITGTKEMTAI GRAVISRWLVDELFERFFHPALDPTFSRQLKSIEMNLRRQQANTLTDEDKENAIARIS NWRRTTLDGLTDALHGPAAEEHRCQLIDHLVDSLVANLVSYLGDPPPPGLDNGARMIV ENAVGIADKVPLESRDVCIEYIHPGTLLHEPNMKVEAGLPPLTNVSAESAAARRSGDQ EQLEGPPDEADRESSGSTSQEGAPGGPHPGREPRMRSVISKVMGRRPNQGAAPNPGGN GDMRPPTAMEERNSMGAGRIRFASFITAEVRGRGPMNVLVKAPVYLMD AOR_1_260034 MDAAMSINVTPVVSVALVIVSTIVPILSLMSIILRFQARRIGRL KLAADDWWILAGWLLTFALSLTIWIFGSITGISKYKISVTTGIQRSFQCVFICSAILQ VSLSAVKISILLFYKRTFSIPKFQIATCIAITIVGCWGITFFLLILLEGDPISRAWTG KGHFRFDQVAMEFAQSATSIALNVVVLLFPLPMLWFLPMSPHRVWDLILVYVLGALCC AAAIIRLVLLHASIAKEATDLSVVHIHSKQLVFMIIETNCSIIAACLPCYGALLRGGQ SLASIVRSFLVIHGRASSRSGSSFSSLHSGKHYPIHGVRDLDTDTGSQVELTGPADRW SRTTTQVTIKVSGNAHQHDECRSPGIVVHTELDISTKGADV AOR_1_262034 MTKLNTKPITVWLTPSGPNPWKVVLILEELQVPYVIESFRFNDV KLKPYTDICPNGRVPAIVDPNTNLTLWESGAIIQYLEEVYDTDKKLTYESLNKRQLLN QYLHFQMSGQGPYFGQAGWFNVLHHERIPSAIERYNDQVKRFLEVLNTCLEGKAWLVG DKCTFADLSFVPWNCRLDMLLQTPPGEDPLAKYPNVQAWHHRMVDRPSWKRCMEVRDK LMDDQGLMPNGMPKGINNIQEYEAEIAREAAEKGKE AOR_1_264034 MSAIIDRLPLELLELVCDELDTRDLASLVGTCRDTYHRTINRLA QRYTEIHLDFSQDSFNHIHAIANNKVMRQQVHRLVVMTPEPYLGRDLQWQRSAAGHIH NPLQIPAIQRFRDDLVERLTNCRSFVISPVRTKFVPEEEDVNDSEHFNPDDAAGILLE IIADASLPMKLFWYGTGVNYTSNIMDIRRLPKNLFTNPTFKSGWAQLENLHLDHKLTP YNYSFILNMILHAPNLRKIYLSLAPRDMAIEFFSHLSQSPSLPSSLERIALCFTSVRA VDLITILSHSRQTLKRLILDDIGGLSSDGSKLHNQLQGCFPRLETIEFNKCQ AOR_1_266034 MAESSSVAQPPLRIGTRRSKLAIVQAEGIRDSLQKTAPNRSYEI ETLHTLGDKDKSTALYNFGAKSLWTSELEEKLTSGQLDVIVHCLKDMPTTLPESCDLA AITLRDDPRDALVIKAGLPYTSLQTLPEGAVVGTSSVRRSAQLLRLYPHLRFANLRGN VETRLAKVDNPESEYTCMIMSAAGLERIGLKHRINQYIGSKDGGILHAVGQGALGLET RKGDSSTQELLNKLVDEKSTLACLAERALLRTLEGGCSVPIGVETEWVDQSEYFLRMR AIVVSLDGTQSVQDTIDATVRTKDEATVFGKELATRLVTAGADAILKDINTNRPLKD AOR_1_268034 MISLLQSPVRRYGLLAVTAIILLGTLYRLYFHSNPLPQVIRPSG SSPDNSNPKGKEKETGRYGHDDPEAVPHPIDGLIRNAEQEWDRLLTKKVYNLTDAAAQ YRQRRGRHPPPGFDKWVDLAQQKDAILIEDLFDQLYDDLSPYWALEPKVMRTQASGWE PRIMVRNHTLSSAGHTGVNWLEVWMDLVSTIADFLPDMDIPLNGMDEPRIIIPWETLS EYREKDRASQKLLDPATAVDQYMALPAYDEAHPNEPFKPPFDGPDRPFWEIMRDACPP ESPGRNSNIPHMDFANPPPEFFNYRNFSKTGYIEDFERSKDPCWRAELQALHGSFIEP TSTSTTHELVPLFGGSKLTVNNEILIPPAVYWDDDPRYSGGWKNQGGSWSDKKDIVYW RGIASGGRNRVDTWTGYQRHRLVSMLNGTEVSLTNGSKSAGINFRQPDYQYYNIWAGL DGTLPDYLNEHCDLGFLDLCCFPREDGKHCSYTDPHYKIVEGMPMKKMYQFKYLPDID GNSFSGRYRAFLLSTSLPIKATVYKEWHDSRLIPWAHFVPMDSLYMDIYGIIQYFIGY KGRNGHDGQAEKIALNGKSWAEKVLRQEDMQLYVYRLLLEYARLCDDRRDSLAFVGDL L AOR_1_866034 MANLIFSLFFCSAVTLASSPFPPEPQGLRTLPSSHVDGASISYK KTQICSTPHLDTYSGYVHLPPRADDAHPHPSNLFFYYAKSAEKRTTPLTIYLGGGPGA SSMSSMATEVGPCSVNSDSNSTSPNPWSWTRESDILFIDQPVQTGFSYDVLTNATIDY STSTITPTDFDDGVPVANHTFGVGVFGSQDLNGTANSTTNGARVLWDFMQVWINEFPE YESPDKKIHIWSESFGGRYGPTYAAYFLDQNEKIRNNEVTEISSPSPITIDTLSIHNG CSDITTQGAFYPEYAYNNTYGVQAITETQYEEAKYNWTKPGGCLDMAVQCQELVQKLD PYNFGNNAEVNDVCFAADEYCYENVLAVYALSGRDIHDLAEVPTTTVPLPYSDGFFNR AWVQNALGVPVNYTMNSYTIYNAFWSTGNALITRGNAMDHFSSIMERGVKVNLIYGDR DYLCNWMGGENVSLSIKHDQSAEFSSSGYADLITNKTYVGGVVRQHGNLSFTRVFDAG HTVPAFQPETMFRVFSRIMNSKDIATGNVTLTEKRRETYRTQGPHSTFDRKNKLPSPA TPLCYTLDMPTTCTDNQQAALLNGTAIVEDFVVKWPVS AOR_1_272034 MVVYRAPESRSPFLTSDEERQSFDFFLHQTRYRFPIDFTDPVLR AAHSDEGLARAIISFGALQQIYECGDDPSLLSPQGQFAMRQYGRALEKVRVWLGNGAK DLSLVCCLLFACFECIRGCRRAAVVHIKSGLDILLQCGEELAWDVVSRDTMISMFIRL DNQLVGLLGTSLSRTLKRDGERGSSILERVALGPNGEYVVQSLHGVLNSIFHDRLDMA LIMEGHSPSVRPVDRRRTSRYLKEWHGRFVETISSPGLSRRYGTSYVGGQDPDIMRIW YIIGKMYVAVPPDGSEDAWDQFRPGFETIVSLVESYLLRTRQLSQKRAFSFDLGIVSP LYIVGVRCRDMSIRRRAIWLLESCERREILWDSTLAAMAARRVMEFEGSRDIWPKSLG RRVRNVTAVLDDDNGVSIEFE AOR_1_274034 MATWDGTPSRETLVIVGYTVPTVLLVASTVLRLFAKMSNEGLHL DDHLIILASALEVAYSVTILACGVGHGFGRHTATIDLKDLEIFLKGEYIASHLYNLFL AATKLGILVLYYRIFPIPWFSKTVIGCSIFVLIWITIIEAFMGALCRPLNAFWDRTVK GECFNSTALTYFVNSSNMVTDLVLFALPIPVIVRVRTTRKKKIALITIFSIGFITCGI SAARLAYVVAQGSADITWEGVPLGILSAFESLGGVLCANLPIIYRLFKTAAQKISSSV SGQKSKGSNLQYAYDSRAYGSKSHGRQNRRSTDSERWIQMPNESDSTEMQTHVQGMSP EMKADGFEMGPIPRDGIAVQREFHTTVEERV AOR_1_278034 MTHRQHDAGSVAIRSPPDTHSSPPAGRVTYYTHYEKWKRVTTIS RPVPLLPWSIGMPDVDDVCLSFYSSVMCAVGVTVDDERFNPLRSTVMRLVFRTETAYY AVLMASAHYLRSVESRFELMEIQIRSEVLRGLRRALMKDNLDWEDLLVPTIFLCSSAI SNSCDGSWVKHLACFQLIVKEMAYGHRKAPPVPQFFISYFSAHLVLAKSVFSIDDVLP VGKIPTNSTNHSSLVNSTNTEKVSWTSTKDLAKVMPADTLHEIDMWNGHSGHMLLIIN EILSLKHDAQALRHQSSDLSTPQLAVQEKHAAIADKITTLEASLATTTQIIPVSLYKD RTSAESRHGFRLLKSTSEAYRLAAYLLLSEAVSPRFLGYTPASTQSIEQLRDSAQRAQ YVDRIFHLASYVVSSVDHLPISWPLWPLFIASCCCSRDEETRTRALEIFGSAREKAPY ENIPRAQTLVELLWKRRDMQTESDNSVRIGRFEWESAMESLGWQTSFA AOR_1_276034 MSDYSSSPGSEPKAPSETVAEHQPLTAMEEKAILRRIDLCLLPL MFVSYLLQYLDKTAMSYTSILGLLPGTHMNTDQYSWASSAFYFGYMVASYPVSIGFVK FPIGKYLSIMMIIWAIILTCHAAASSFAGITTLRVLLGVFESAISPGFTIVIGMWYTP SEHALRSCIWFAGNGVASIFGGVLSYAIGHVHNRLGPWQWVFIIFGIITLVWSIFQFF VLPDSPLNAKFLSPSQRGPAYRRAQACQKTYQSREWKKDQFIEALIDPKTWFLFTYNF LVSLPNGGITNFSSLVIASFGFDTFNTLLYTIPMAAVALIFLLLSAVTCNRFRGLRCY WMIITLLVSLIGILLMRQLPVEKKWSRLVGVWLVTVFGAGFPLSLSLVSSNFAGFTKK STVTAILFIGYCVGNIAGPQLFKKNQAPHYYSAFAAILACFCIAVLDVVLLRVYMVWE NKRRDRKQGRVIEPETKEVEDSGDSTAGKEVGDVSDWQNPNFRFCL AOR_1_280034 MHRMLTDDRLYRVDADLLIPGKGDPIPHGAVVWQCKTIRYAGPR SGVPTEFQGATTTHVPVVMPGMWDCHIHFLGATAATMNAIVDTPQALAGARSVPDLHA TVMAGFTSVREVGGYGCDLAKAVGEGRIPGPNIYSSHSAISMTAGHGDVHGVHRDSLL DLCAHGLPLTIADGVPECLLAVRKQLRRGAKVIKVCASGGVVSAIDDPQHQEFSFEEL KAIVDEAARARRVVAAHCHGKAGIMNALRAGCRTIEHGSFLDEEAVGLMKEKGAILVA TRSVIESGLAMKDLFTPSSYQKLLAVADAHRKAYQLAISRGVTIALGTDQFISSDNPM IGYGRNGHEVRYAVDAGLTPLAAIEAATANGPLTLGYQAPQSGQLKEGYDADIIAVRE NPLENVAVLSNSKNVTHVWRGGMLIKS AOR_1_868034 MYQRIDRASKHPGRNAIRSLLDSFHIDGPEDKHHCLVHPPLFES VWEFLHRNPVQRLQCQVIHTDIKANNIMFELADNSVFTKFEEDELQNPSPRKELDGRS IYLSRELEMIPGKLGAPVLCDFGSAMLGDVEHLEDVQPDIYRAPEVILEVPWWYSIDI WNVGCMIWNIFEGGSLFTGHDPEFQTYQSRAHLAGIINLLGPPPPSLLARAKQSQKFF SNSGEFREAALLQDLTPLDQRETTLEGEDKESFLRMMRAYDAKDVAVGAGEA AOR_1_282034 MFRSLSRLTGSLAHLRQRDDREAQRGKAFGVLCDTFTRLKDMSS VYVQPNDFESLPVKIDIKVLPINDVTIEETPRATAHNDSNFFLPLSRESISSKYKMNL ESPEIRKRFSEVNQLTDGNCPALVKIGCWNEEEHLDSIVYQLMWEADVVPRMGTDGNS RPLRVVTGWKSPGFAFEARFEPCLHPYSPPYSEPAYCWVKGGLPHIKIAVYNTSNGDP ILLLRSEVVTIIAAIATRPMDGGLEKHLVIPVRESLLN AOR_1_284034 MLSSWQKKFLEKSDGPTEANPNPPEYGTNEDIHPGSKRPEEVVN DDVSREDVPDDTAQDGVAQAEAITLTWNKWSLGSAYILMWLLYFVNAFQSSITGNLSA YVTSGFESHSLIPTISVVSSVMSAATYMPLAKILNLWDRSIGFLVMVLFSILGLVLSA TCSNIATYCAAQVFYSIGFAGLIFSIDVITADTSSLRHRGLAYAFTSSPYIITAYAGS AASEHFYESNWRWAYGCFAIVLPVVAFPMFCLLRYNRHLAKKNGLLKPREKSGRTLMQ SIIHYIIEFDLLGTFLLAAGLVLFLLPFTIAGSAEDDWASAHIIVMLVVGFVVLIAFG LSERYLAPVPFLPYEILLSRTVLGACLIDVTYQVAYYCWFDYFTSYLQVVYGTSIATA GYISSIFDVVSGVWLFVVGFLIMKTGRFRWLIQGAVPLYLLGVGLMIYFRNPSWSVGY TIMCQVFLAFAGGTMIICQQVAVQAAADHNHVSSALAFLNCFGNIGGAIGGSISGAIW THTLPDALKRLLPDSIKSDWESIYDDLDVQLSYERGTPERQAIALAYASSQKNMLIAG TAIMALSLIWMFVLRDIKLTKQQSKGILF AOR_1_286034 MKLGKKLAGYPSLLLAGNPSKVDIGRLLLGMVASAASGVPFPLI AILFGQLLDDFNAVTCDETESTGSDADYQHDINGKILIIVYLAIAQFVAIYIHLSCWS LNGARLAQRLRETYLQNLLRQEPSFFDDLPPGELASRLNGDIQAIRSGTSEKVGICLS TLSFFITAYVVAFIKDYRLAAMLISLVPAYFLMSFVGSHYIEKYSGRMSDYSATAASI ASEALSNTVVVQAFGASYRLEDKFSKALKASEQEGLKKAAAVGIQSGFLYFIAYSANG LAFWQGSRRVADAVGSDTAGATVGATFTVIFVLVEATLLLSQVAPFLHLFTAAVASYQ KLRADIDRQPQIDATTESGIRLSQAEGGFEFKNVSFTYPSRPEITVLDQISLSIPANK HTALVGLSGSGKSTIAGLVTRLYDPTEGQVLFDGHDLREVNTRDLRSFLSLVQQEPSL LDRSLLENIAHGLINSSNPSHAHLRTTLLSTGLTDLAAEVREGVDLMAAAEKRGSEVV EIVNLVRKAATLADADGFISALQYGYGTLVGSSGRLISGGQKQRVSLARALIKDPAVL ILDEATASLDSRSEQRIQRAISNIATGRTMITIAHRLSTITNADNIIVMHKGHIVEQG DHATLMAKNGAYADLVNLQTLGSAPGKKEKTASADNVSKSDQASLSDAGVEESSVSKD GLETAEKKEVLASAAPVTEPAEPAEEEEEPETPKKSMWALLRGYAPTLRPHLLFIFLA LLGSSIVGGAFSGEAVIFGNTVGSLNPCHSESYIRSAGNFFGLMFFVLAIIEFFANLV SWTGFGWVSEKIVFTVRVLSFRSLFEQDLQWHQSNGRSPALLLSYITRDGNALAGLSG SVIGTLFSITVNLIAAIILTHIIAWRIALVCLALVPLLLGAGLMELHVLGKFEERHEN AYTKSVDIGVEAVTSIKTIASLSLEEDTLRTYRRSLKGPRKETFQVTLHASLWQAMTY FLGNCVNALAYWWGSKQIINGNYTQTQFLIVVFSLLVSALLWSQMFALAPELSAARAA MARILGLIEIGSDKMQGRVPSRSPTISSSEEKDVETAETKSVYVSGNNRASSVQLRNI HFAYPARPDIKVLKGLDVDIHPGQFCALVGPSGAGKSTIISLVERLYTPETGSIVIDG VDVTRHRGVDFRDSIALVPQESVLFEGSIEFNIGLGARPGHEATIEEIKEACKLANIH DVIEALPEGYKTLCGPNGSQFSGGQKQRLSIARALVRKPKLLILDESTSALDAESEKL LQDGLEKAAKGITVIAIAHRLHTIRAADVIFLIEGGKCIDRGSHEELLERSDSYRANV MHQTVAT AOR_1_288034 MAMQVDGTHNQSQHRNGSVGESSAGQADGDSFTSFEVVGLAADE PTTPTSMKEEVLLISWLIVLLRTRDSGQVQYEWAYKGRDNGAEQEPVKACLSMGELLT GLQDSVGQATAAISQHVKTVTSSECTTVSNPASLLLSTGSLSQTSEDVKDEGAIHLET RFENGRLAIRPAWRSETMLEFTVTQHIKSLVDTVKMCLSNPDASIEACLRPTASDLDA IWKWNHELPPSYNFCMHDVISEQSNRFPDKVAISSWDGELTYGQIEQYSTFVAGSLKK MGVDVHDFIPVCFEKSRWTIVAVLAVMKAGGTLVMMDPTLPLARLQNMAKQVGAKAMV SSRNQHELATTIMSDGKHIVVEADAFAHLSNSEPLPLLPAVPSSALMYIIFTSGSTGT PKGVKISHETYTSSAFPRAKAVGYTEESRVLDFASYAFDVSIDSMLLTLANGGCLCIP SDEDRLNDINEVIRRMKINYAGITPSVARILEPDVIASLSALGLGGEAAAATDVTRWG HDTRIVIGYGPCECTIGCTVNSDTATGRDYISIGTGNGAAIWIADPNDHNVLMPVGAV GELLVEGPIVGQGYLNDPDKTAAAFIHDPAWLVSGHNGYAGRSGRLYKTGDLGKYDPD GSGGIVFAGRKDTQVKLRGQRVELGEIESQLNARLTAEITVIVEVIKPQSSGGQPTLV AFLASQSTKKNGDTEIKSAELSDDLRKTLSKADAEIAKVLPRYMVPNAYISVNYIPVL ISGKTDRKRLREFGATVDLQQLDQGTNNGPARELTDLEQRLRHGWGQVLKLDPEGIRP NDNFFALGGDSLAAMRLVSVCRAEGLDLSVTGTFGHPTLSAMASVVSIVDSKAKTETP PFSMISQAVESACLEASEACGSDPASVEDIYPCTPTQESLFTFSLKSVKPYIAQRVAR IPSHISSDAWKKAWGEVVAASPILRTRVAQLQERGLQQVVLKETISWRSSSDLVQYLE TDRRERMSLGESLARYAIVSDPQTETRYMVWTIHHVVYDGWSEPVILKNVSDSLQGQS IETQAQIRDFVKWVRDTDEVAMQEFWRRELKGAVGPQFPRLPTRDYLPVPNAMVERQI PLETGSGWPFTLATLIRGAWALVASQYTGSDDVVFGETLTGRDISLPGVESIVGPLIA TVPIRVRIPRTSSVESYLRDVQQSVVARTPYQHMGMQNIRKVSQDAQHACEAGTGLVI QPEPEYVGSELGFELGDVVREALHFNPYPLMLGCGIRKGGFRICANFDSSLVEIPQME RILAQLEMACLQLTKGLSRRVDEISCLPEAELDLIWQWNQIAPLSLDESSGRLRANTN IKQGSVYPPTVVSWVCDPRNPSLLSPIGCVGELWLEGAFLSGDAIESPSWLVAGSSNF RGRTGRVQPTGDMVNLQEDRSLVFVGRKENVVPVHGHAVDIADVESHFSKYLPPNVRA AAAVFQPSSDDTHSVTEQELAVFIEQEPFEQDSVELLSAQYDITCEGSDTQNLSTSVR ATISVSLLVALKRLSKFMQDSLPSYMVPSAYVVLDKLPTDVGEVNHSLLKQLASNIPK HVLTQLREAFQQAWTKNLGQTNMSLPESILRSAWAKILGISPEKIDVDDNFFRLGGDS VLAMKLVSSLRAQGHSLSVADIFQHMRLGDAAKVLKLGQVSQQKVQPYKAFSTLGHLD VEQFLSEIVRPKLADVTWSIQDVCPVTDSQALDVRATIQGPRTSIQYTMLYLDKSIDR EQLFRACNDLVKTHDILRTVFIEHESTFYQVVLNELEVPVAMHQADKDLGPYIKDLCT SHTESFHLGSSFFKLLHVEGNDGQECLILGLSHAQYDGMSLPRLLQDLETLYTGGKIV DFEPFSAYIARISDEGVQTKAINYWRNLLNGSSLSVLEGTSVQPTDKAIFHTKPVDVS QPLDLDEVTTANLLTAAWALVLACRLQKPDVTFGGVTSGRNIDLANVENVMGPCYQLT PIRVAFQSQWTAMDLLRFVQRQSAESAAHDFLGFNKISKKCTQWSSEATCFDSLVHHQ DWDDFDTMPFAGGTCKVDILNPDGDAPYPLKVVSFVRGGQLNVGVAGSERDAAFVDAA LDELAATVKELATRPSEHVLIDGHMF AOR_1_290034 MSIEMQHPPVQGCLVSFPAPNILLLTLNRPKQRNSIPLATSAEI QRLWDWFDQESTLQVAIITGTGESFCAGADLKEWNELNARGETNEMTAPGLAGLPRRR GGKPIIAAVNGYCLGGGFEMIVNCDIVVASERASFGLPEVQRGIAAVAGSLPRLVRVL GKQRAAEIALSGLTFPASQLERWGLVNRVVEHGQLVATAVEIASAIAKNSPDSIRVTM EGLHYAWEIASVEEGSTALVDRWYPKLMAGENFHEGVRAFVEKRKPKWRASNL AOR_1_292034 MSLSLAESTPKSKPSYPWVKLPHPYLTSYKIHIVSESTARVLQL QLHDSSLGKPLPEPLHNNSLTYTDVSWDESAKEIPDNDNSPWARTRRAPGISFHWTSP EAPTLGQIWNVIHATFLTHPQYEILRLDLIGSGSEIIRDECIRTGLTVPFPSRRVPFG NENKSSDVNTLVLLRSAFWQGAASPLGPRPIWAVDQDIHGLLRKSVSQYPALAQNYEF SMKFPEERIYARHPIRPTKPTPGSLVYSRYIPHLDKHFSLMAVDWQDEEHLRLFNKWQ NDPRVAKGWNETGTLDEHREYLRRLHEDKHVLCLFGRFDDFKFAYFEVYWAKEDHYGA HYDAADYDRGRHSLVGESSVRGAYRVYAWWGSVIHYIFLDEPRTQAVVGEPKATNTTV LSYENAQGLTIQKYVDLGHKRSVHVHCSREKWFQLCPLFWDGRERPLESSDRMAFDAK L AOR_1_294034 MYSKFWPKGGLPGILHHYTETLVTFEYTTSTVRKPHSLLFVGGL GDGLATTSYMADLAHALQPTEWSLFTLNLTSSYQSWGLGHLDRDTNEIAQCLNYIKEY KTEKFGNGKIVLMGHSTGSQCVVHYLSRPNPHTTTPSFDPTLEHIKRMVLDGAIMQAP VSDREAIKWVLKWGIGGKSPSEVREIYDKVVALAREGVAKDKDSGFDTLLPINLTGTM GYPPNTPISCRRLLSLVSPDSPDSPGEDDMFSSDLSEEQLAKTFGKIKQGGLLKHKLM VLFSGADQSVPDWVDKEQLLEKWRKVTDRDGEAPIWDQEHSAVIPNASHALSNDDQAE PRKFLVEKVMGYLRGAEKA AOR_1_870034 MFGLGSLLSFFFVFATCLAHPGSLANRAMVTPPSADPFYKPPSG YENTEPGTILRHRRVTNPIALIDPIKLKLAAAYQILYRSTDNWANATATVTTVLVPEN ANTSRLLSYQIPEDSSSVDCAPSYILQTGIDDSDILSKTGIQSHTVLFRAALEKGWIV SLPDHEGPKAAFLANRRAGHAVLDGIRAVLGSSGFTTVSPNASVAMWGYSGGSLATGF AAELQTTYAPDLDMIVGAALGGLIGDIEVVTYTVNKGPFVGLNFGGINGIAHEYPDIA ALFEEQLVESKKAKFYDANHNCFLANIIKYPFQDLFSYFKDPSILSYPQMQQALKDNN LGQNPPKMPIFVYKGALDEISPVSSTEVVVSQYCAGGTVVNYKNVLTHEHILLQLDGF LEAFTWLEKRMDGEAMETDCSRTDELLPISEPGSLGVVVPTVEGVVSDVVGTVLG AOR_1_872034 MEVQYILGILHNLDLAIWAVLIFIAFVLVTQAHYKAQLAKLPTF ETDKGNEKWRRTYSDSARMLYQEGHKKFKDQAWIMPTSDGRKNVVVPGRLLSELSKLP ETVLSFPTAINKVLEVKYTKVAPDEPFAPYCIKADLNPALSRLNPIIYREVENALEDE IPQCEDWTPVFIYRKLLNTVAKVSGRIFVGAELSHNKDYLDTAINYTIELGNAVQAVK QMKPWLRPFLAWKLPEVQQLNKREEMAIRFLEPIIQARREASKDPDTKSPMTCCSGS AOR_1_876034 MWPRLRERLSMTWKEHEMETLIRNLILGFKVRTAGFKPDQPLIR VKPNNNDLELTNEFEQALTDVSNFSMQANFIAQYPDLAPYIRADIRSFAPTLPPSVSL PRPTPSLPVGTQALEPDTLWPQPRLFSQSTMQHPPNPLYSSPYLYPTEGYRKEQTMVC PGSTVPIMGGNRDNQPLFTHSSLDSFSNTGNAETLDLSGLQSGDYFDPNLPRSLPSFN PPVVDNPRDPCTAGSLDLDSWDMFGGGDILQIPPSQ AOR_1_298034 MAWKYSMGLNNGLQLLVEHFKAQGYAAHDVLHERLLSSDFNERL LGLLVGDSDTGASLPCEKQTLLPLSPLDVVRRKTAYLAYRIVAPWQDCCRSHAEMIAI FWTQFRHLLVRFPSPFSRIKAYRNFRSFLSSHLPKTSLDAPAGIDRHPHGFSTTIPDV AOR_1_300034 MSNCKKSVQTWGESRNVFELPDPSHSVPSRWWRSASPFPVMAGT LCAIAIMFNICTVSQGWQETENADGSITILSQQTWMVALKAISLALAALSYITLILTM MCKRNPQQGFIVTISGWLIAGALLFSLIGVNARRHPIMQSRQPWKYTQSYFYGIMAAG LYTLIAILLAVYTATARSVPLSRHDRRIVEYTSIILRTITFIAFLTGGAGVYSAVEGW SFMDAVYFTDYTVLTIGIGNIVPKTHLGRSLLFPYATAGIITLGLVISSIQSFGNSIR HMKLKFEIQEARNKLLEQKRPTHYEHNDSRTLCSIPSTTTFPQTSDVVELQRIRYDFN QRVRWMALIFFVVAWFVLWLLSAAVFRRSERGQNWTYFTALYFTYTSLTTIGYGDFYP TSNFGKVFFVFWSLLAIPVLTNLVTAMVEEAITGHKYTRDKEHFDD AOR_1_302034 MSTNTTLPTAKEVDSYVVSHLVSTDQALTDTISSCAKSGIPPIA ISPAQGKFLSLLTKMSGAHNVLEIGTLGGYSSIWFARALNANGKKGKVTSIEILEDRR ELAMQNLRNAGVKVPDEAEVLLGPGLEVLPRLEEEIQQGKRPKFDFVFIDADWDNQWQ YFDYGVKLAKGPGAVILIDNVVQAMLGDGIVGPEKRKQGAISVVEKVGQDRRVEAALV QTLGTKSHDGFLMALVV AOR_1_304034 MLPNSAQGMSDPTASSRPITRRKRKPLSCSLCRRRKLACDREYP SCTRCRKTGNSDSCSYDDSPAPSRNKQQRSDVLGQNRPVLTAAPAPENAASSAFLESY RDNHNNRPIVSDLTEHAGTWQLIGGDVDGATTIKERPAIKADVTELTYPPEPKPTEAV VFRGENYKTQYYGSTNPTSLIGHFPELRSYMKETIKHHASLPGVQKELKALDTKWKYE KPHNLPVKTADLLLLLPDQAEMDAAIRLYFDTLETMYRILHRPTFMEEYEQLQQDRTA AKPGFIVLVLLIMATVSCTTATDRTYVGSSSIGRERGSLWIETAEAWLGKQSKKNIYL VIWQIRCLLVLAKQMCRYKKKRMWSVAGDLVREGMAAGFHRDPSRLGGKISFFDQEIR RRLWATMAELELQASVERGMPSALAAIRMDCAPPVDIDDEDLRPECDSTQIQESPSHN TSTSFLHLCRHSLDLRVSLNSRMNDLTSDLPYEDILRYEDMIMSELRKLPSPTESNED TTDRKLSQMARVVLDLQLRQFLVLLHAPFARKTEINSRYAVSRMVCFNAAASLIDQHW RLVQSGNPMLLLLRHDYFRGALSLTHYAYVSSGIQADLCFPVDHNALLQYIQNALTML EDSITYLGTGFTYHWYISAAISFLRSQSQPAKPSALKQEAINQVVRQYYRVLASQEQF PRAKERIYSSRFRQGNPSEAERHFDVLDPGEMSLDDVGFPQFDPTVPSMDQYFFGDPA AWTFDNLWEVA AOR_1_880034 MLPIKSLFLVTHFLSFATTTPSPTRFRDYDPKDPCPRQCGLVGT DPQDWAVYYSPDALDGYSSLFYLGRQYPCPETSVPGLNSSAQNVTLQRIEYDGASGDS LVAAGAVFHIQRYLEQNVEQDNNVIMFSTSGNATVGFFGGALMDTQRMATSLLPELIR HITHDGFSNGVLEQICGTRRNAHEVWGIMVSFSASMSTVQETVRTWSQGKCVTPISPM AGVTSFHSAMIPTTAFNRRPRVLNARTPTLQRRAECSTIKVLEGDSCGTLANRCGVSG ADFEKYNTQSNLCSSLQPGQHVCCSAGTLPDFRPKPNPDGSCATYTVLVDESCKSIAT ANSITLGDLEEFNKKTWGWNGCGTLWQGAKICISSGDPPMPNSVANAICGPQVPGTEA PTDGQDLASLNPCPLNACCDIWGQCGTTAEFCTYSSSESGAPGTAAPGENGCISNCNA NVVSSGPPEEYLRIGYFEGYNLGRACLNMDAGQIDTEKYTHIHFGFGTLTEDFNVKVG DALSQFEFEQFKQLTGVKRILSIGGWDFSTSPDTYTTFREGVKSANRMTMAENIANFV EEHDLDGVDIDWEYPGAPDIPGIPPGSADEGVEYAIFLILLKGLLRDKSLSIAAPASY WYLRPYQIAALADVLDYLVFMTYDLHGQWDHSNHWAAPGCEEGNCLRSHVNLTETYSA LSMVTKAGMPSNKVITGIASFGRAFKMTTPGCTGPDCHFTGATSGARAGRCTATQGYL SVAEIDEILSTNPSADTWTDDSLSNVLVYNETEWVAYMDDANKADRDILYKGLNFGGT VEWATSMHSFHEVPSDALVPGGSLSDIIKEWPVFVETVINGKSPFIHGDRNGHWADGS ITCTDDAVVGVLDIPPKKRWEDLDCSTAWQDALDKYRQFDLPRNWDFTKSISDTFHGR ENMNCGILSAQADCSMSFQCEQSKGEGSGPAGYEVMNSLVAIHKMFESLYKGIVGAAG VVASKNLEFVNKFAPIPDYTMTFNLFMDTLGLVVPAGMAPVFNNVLSRTAYFSANPAM LDNAKDVVYTMVGSWVNIAKDSNPADYGWDEEDQWEFMGFMTDVWHTWQNETENTSRY LFSGTEDAIRDLSKIIRDGQLIQGSFEGATPPTEMSATEIQALIERAFYGYLIPRIWQ SSGTKAFVIDTNHACDGSNPIPDYLDDTNAETTAVCFEDKLYYLAYPDGNAGDTCQLP GSTPVCVPLKFKVPPGLDELTGAVKDYGGMKPVDIVASTVRSYQANGNKNGWTLKSMG DVQSVDDLNLDALITYQIGAAGFSTLPLCSAEEAHLNWGIGKDTDNYPCN AOR_1_306034 MRMPFLVGAILQPCIHAKRLIRNLLEQTTMATITLLFFCFILVN HSLAQEWPYTPQTIELDLVFPRNDTYAPLEYFPLILGLQNAEAAWPQGIKIDWMLEQL DADTPPLEGYFPPRDEKNYLDDSYHTRDEPPSDPFIYYHFPFTLRNFTTGHWRYSWRF GFAQNCTAPNSRSEGWFLSQPRQEVIFRTASGGRPVDLLDLPEDCFGEPVTFEIVDWT RTLWTDLDTCPILNGTSPKPNPCALQFNQTFVDNITAAIEADKGCKAGSLANLTDVCE SGAERSGSLGMLSFQMSVFLILLTVLLF AOR_1_882034 MYTIISYATLFLFTFQVYGSNSATDSSNPVPWDSLDLGSIFEDI FGSLIPDGTTNSTSENVGNAIDGIIGLFSTTLVKDVVSSITHMADLFDNQTSFQTKSL IQIGTNELTNETINEVTSLLDSANVLLTADNHAPEFSFVNETKSLITQVDPLLSDLSS NSSALNIPQLLTSVNQYIPPHADDIGNLLTNANDLLTANLPKQIASLIQEANSFLSSN ADAIQPLLNTTIDLLTTDVINSIGSIVSSTTPIFTTELVDKLNTTLDNASNLLTSNLI NEVNNILDEVTPFLTTSNVQKINNLLSNGNNLLTAQMVNNTEALISAASGLLSDQTVL TKAEALIPIALEFLSDEAINKISTLFQNGNGVLTSESASQTGSLVDSLASFLTNQTLD EVETSGITPELLQQVATLLDNAGQLLTTDGTDQILQLIHNVSPVIDPTVLGQVKNLTE RGHILVYPNCTNTITVLIDAVSELFPKVV AOR_1_308034 MVEVIAHSAQELAGTKPGNAEEDIPQRDASVNETAKRIKHREES IDGLYGNLRTGPGIETSVDLTTPGNVSFFFCPFLTLNGLAILPQEDVTYLASKGSLSV PDWSTINEFARQYFLQIHPCLPVLDEAGFWRISADSASHTISLFVLQALLFSSCPHVS LETLHKCGFSDRRKARTTFYNRAKLLFDLQAENDAFAKAQGSVLLAHHTSAHDPQASS IWLMRAIQNAMIVGCGPGPGDQGINLSLMKRLWWSMVLRDRVLSIGLRRRPQITSVEF NMGANWLTEEDFAEEIEQCKLAVLLTDMVALVFSSQGVSSPSLSLAEFQAVLAAIRRI KRYLTKWEDVSIVLPSTSHETVTFFTHLTWMYYYAARVDLAQYEALIIEKHLSFTGAN YRAQLLETGADLRRAMNGLTSAIEYFCSQGRAERIPLSVLAYTAVPLVLTAIDVKLSP TPAEMTIRKRRLERLGEIVRHSRMLYDVADYVAAGTNHILQLMEIESSSGGMSLDASV FNAKRVTNWYDAFLSSPRAYLRISVSLDYSLATGRLPYDNALPLLVRQTPWAKKQQRL PWTIGLDTDDSAVYPDAPRNGRVTEDISSISQISTIPEEQPVDIPEDDDTESQGDARF PFIAAIGESIREETLNLAGSSSPEEGSTGDDAINLNFFEFGSQQLDDILEMETEHTLD ADQSQHLGMGASRSGKERADDVGFGHGGFQSIYTSLLRDTVA AOR_1_310034 MDEKQDITTQNEKANGADEKLLTELENPQKIQKIVREGILLAGG GAAILLQVAMPGVGKGVDEHSNFSYRPLDRLRTTMTYVYCMAFGTPEEKKIIIEMVHK AHSVVRGPDYSADDPHLQVWVAATLYAVGIDLYEQVFGRMDEATAEAIYREYAVLAVS LRVQPEMWPPTREAFWIYWDEQINKLQHQITPQAKNVCKDLLFNKQVPFVIRISMPLV RLMTADLLPDRFREEYGLKTSRSRRGMQKVVRGLTKVVYPATPSFIRTYPMRYYMKDM RKRMKKAQHK AOR_1_312034 MEKKYSEKDTRATKLSALDAEIEYVENAGSDVPGQGKKATKLRV KRHFRRFWFCYLVAGIVFLAIFLPIFFIYIIPAIAQRVVDDTSLPVYAARILDPTPDS VTFSIDTSLKIPAGLSVRIDPFSLSLFNREVKPMVPFIDVALQGYNLKGTTKMSISSN NTAVLNREQFVEALTKAVYSKQFKLSAKGSTTGHLGALKAPVTLDKDVELAGLDKLSG FSIDTASLILPAEEDGTNLRGTATLPNHSVVTFALGNVTLNLKSADVVIGQGYLDNVV LSPGNNTMPLRAILNIRTVLENLLDILGAQASALMDGKLEISASGNSTVYNGQHIPYF EEVLNNLTITARVPIATILSGTLSGLRNSSLLDGLNGTLDSAGGLLGNIAKLSDILGD AOR_1_314034 MAQISDSINTIQRFTPEDVSKHNTPDNLWTIIGNEIYDLTDFQK EHPGGAKILQAVAGKDGTKKFRKYHREALLVKYKTLLAGELVVQPKGRKWLFFKTSK AOR_1_316034 MDSIPSSQRAVVVQSPGNNYEMIILDDIPVRTPGADEILVKLSC TGLCHSEIRAVLAWGAYNPIIGHEGVGTVIQTGPNVSPSLLHQRVGVKWLYSQKNTSR HVPGTLQQYVIADARFITRIPETVSDEVAAPLLCAGLTMAGAVGRLDDYRLSSGDWVV ISGSGGGLGHLGVQIAARIKGFRVIAVDSGEVKRKVSLESGAEVFIDYRTEDVAARVR EVTGGEGAHATIVVPGTREAFAMAPQVVRNMGLIVGVGLPPNEMDWPISATVSAARGL CIVGSSVGTEDQMSELLEQAGRGEITPAIEVFEFEETPRLVDRLRNDAIAGRAVVRIP Q AOR_1_318034 MATSALSAETLFDSLGQQYEDAYMNNPTLKETVTDAISLLPPQS HVLDVGCGTGKPVASNVALAGHNVHGIDISTAMIKIASSNIKGKFEKADMLTFQPTMK YDAIFSIFSMFQLTHSQTYTKMLNYCDWLKQDGVLVLGTIPATSLVHDETLYDSTGKL VRHADLIFMNHRFTGTLYTTAGWHDLVQKCGFEIVSEKFASFSSPPPYEKEIQDHYFI IAKKVVQHALMAPYPLPTKYRGPHPLSEGAWAPFSERLVRDEFDAVLDILKGNRRVLD VGSGHGRLPIELANRGVQSYSIEPNADRNQIQTAKAQEKGVVIRSGSAENIPFPSGYF DAAVAMWVLHYVQDLERSLHEIARVVDPASPESKIVIVQGAPDNELVNLLNDVCASLS ADNTAVDHQGYLLHEAARVFSEYGFGDIQISRVNAFCSFPEMDLKERCAKAAEVLAGF WFRDDINLERMKMALMPHLEKQFRDRPEEVGDEVAVLVARPFRN AOR_1_320034 MIALVNSFIVFVLKVLTGAFLCVVAYRLFVHPLASIPGPRLAAV TNLYHFYYSVVRKGGMLHQLKVLHERYGPVVRIGPNSIHFATLEAYRDIYHSRETSKD PTFYNAFFVPDGTFSTLSHADAKSLRKPWLRMFSGRESIIQAKQFISQSRKLCDRLDS SSGQQIDMYIAFRCFAFDLTMQYAFGSTFGSLEQPAFRCPILLGIDDLVVTLWLQNHW TWLQQLIDYFSPWSLSILYPASAELFAKLADLECHLDRLLTDGPDTEPKGNQLPFFMA MTMQGDDHQIALRSRSSLMSDAFTMMFSGAYTVGTTLTVATYYVMRDKHLLRKLQQEL EVAWPDSAKPCPSQTVLAKLPYLSAVIKETLRLTGGVNSPFVPHLPSSAQ AOR_1_884034 MSIENSLHSRAEALTSLITQHASGAVANISKSRSMRSTVQERDQ IQVVINACQELTALLTEPYEWIANAAWGYVDSVALSLVLSLKVHRHVPKNGGTISLVD LAAKTGSSVVLISEIPKHSGQAAFQDAFQTSKTLYEYYNAVDLRRGQRFASAMAGHYN TPLDDPIESIYPFNVLRDNALVVDIGGGKGHHSIRLAEKYEMMSFIVQDQTSVVETVQ TENLPQSVAGRIEWQAHDLHSPQPVQGADVYILSHIMMDNQPGCVKKIDPRYLGAIIN ACFLLAVPAQIYSDMPQTQ AOR_1_886034 MQAANLLQKSLKKGEPSFGGGQMLPGTNLTRTICRSARNLEWLL IDLEHGNISDDSMHEIVAATAACGVSPIVRVAEGQPWMIKRALDSGAHGILVPVTDTA EDARNVFVEQHPHGGEVIEITGMEYLQQANDSLVIAVQIETKAALENVREIAAVPSVD VLFIGPFDLSVNIGHPITNPEKMDPELVKAIQSIHDAARAAGKASGIYCDTGEQAREY SNKGFQMMSVMTDMVGMRKVFKQAFDAAKGDL AOR_1_890034 MCMKEAPMSDGIEDVSHGTDDYDAQDIEKPGEVVAGTGQLQRRP ENRQIQIMGVGGAIGTALFISIGGALPKGGPLSLLLGYAIYCLRLACVNNCLAEMTVL YPVPGGFIRLAGKWVDDAFGFMAGWNFFLFEALSIPFEITAINMVLSFWRDDIPTGAV CGACIAAYALLSVFAVKVYGEAEFWGSSG AOR_1_322034 MSTTTVRPPTISHTVVGPVRLTGARPTNELPQWLIDEAKIEEKQ SFDPKMHMNYHPPSKIYTMKEIGLEGQGISPNAVTAPFQLFNEEAIKQMRAEIFSRPV LDECQYTSSFVKNTIRGMGPARAPFIYDVWRSPEVLAKISEVAGIDLIPAMNYEIAAI NISVNDQTTTVLNNTKSEDEDLSAFAWHWDSYPFVCVTMLSDYTGMVGGETALKTASD EIMKVRGPAMGTAVVMQGRYIEHQALKAFGGKERISMITSFRAKSPLVKDDCVLTGVR SISNVLELYREWSEYRLKVLEERIRAELENERQKQLGQRPFSISDMKTFLIHQREYLD ATIAELME AOR_1_892034 MTEKLQLRNTHSAHTIEKESYPIRWDASPADDPPDISGLPSLDH ALYLSETVKFHLGQSYRLYNEDEFIHNVREFYHGDSVKMATEHRLWFILFLLVLAFGT AFLHRSKRSKDPPGSKFFIRAMSLMPDHAPLWKGSLLAIEVLGMAGLYLYSIDQRESA HIYVRQAIQIAQFEGLHTHLPEEQLGVDTVSRCRDLWWTLYILDRHFSYSVGLPMMTQ DSDISTPIDPPSTCSHRDIVMSLQAKLSHLLPNILNTVYKTERTQLGAFLEMTRSILH TLADHAQEVEKIIHVRFSNFVDTMPKGTRHVTLLYHQCVIVATRPLLLSPLIERLENL DHEREYWQSFLALTKTLISIGIKSAVKMLQILSKEDYLLEIFLLYGLEFTYAAALHLV MTNALFPQALDGQAYLEEAHWILDEMISNGNKVAEVRKAELVHLQMLFEELTARVKSQ GLQPLTLTSPTGAEAVPQTQIVEEDGEGETVTDIGVWDLPIPGDSLTSHPADMQMSSV ELLNNIGISSSDFLYIVDQIGNPDVSYSISDLGRAGGEME AOR_1_894034 MTPVEGECYYMVNTIKEYSNKKTIIIAVLKEEQSFTYLKRKYRP KKVIPSIDKDVQSAMREACELAGRRKNIKVIMSSYLPYMAYFQPSTIPSTAIVMMPKS YRGEKASSQREAVARARFHSPKKVEPEVSSKGKPGIAYDTFIEVFECCYL AOR_1_324034 MNPLKLARTNEARTALTKAFPNSKSIIKTNGTHSNKAEHVLTTI DQLVNWARQSSLWPLSFGLACCAVEMMQVSMPRYDQDRLGIIFRASPRQSDVMVVAGT VTNKMGPALRQCYDQMPDPKWVISMGSCANGGGYYHYSYSVVRGVDRIVPVDVYIPGC PPTPEAFLCGIFQLQKKMRHTKVTRMWYRR AOR_1_326034 MKQRMYREKISLASSVNEALHEGCDKDLGKYASCGKYEIPNMDS STEQWMGLFHLFIDTAALDNPDQDKSLMYGLADTQELSNTVSRILGLMKDMFESRFSN KGHHTMEGAESFFSPTNITLFVSAFFEHSYKAITLMGATCVSPQDASSAEGYSDVAEY LIFDGPEFGKVFNIDNPSLARENMEILQAAMVISVIQWSKGDVTIKRRIRTQRFPALV CAARALRLTQVTNQAVSDTESLDLDRYFYRESLVRAMAWLYLMDSHLTVYYRNPPQFK IAEACFGLPQHEELYDTMEPSALVNASQNATNAGLTLTLKSVIQRLMEKDSERFEELI TQPFTLFGLFLVIASLHCILFDFQALDTCVALSGPFGSLDTALDRWKKMWDLTYTTIM PSDIRKSGYMVHALELWWLAKKLTQKPTGIYLESGFALDSTATFHEMVRELKGFQPVQ AOR_1_328034 MSSPQNGIDYSTDSSVTTEFRRQDNTHQHALEAYKLRDLTSCLE RLTRAQLIEVLQAAGNDYVEVRKLVQSKVSEQMDDKTRIYRCEMSDVMSFRGCREAAR KAMEEAESRVRFLRLWNSLPDSGNDDFTNMVQLIADHCGAFAHPQTRLNGLTVLCEMC YIFMDVARDDFWEQDQSKYGWDVSIENAMLEIATAMTPAERQAVVDDDDLWSALVYVY QESDEKIFRAFKDVIDEFPKVDTGAEDDGGENDELRSLFEESDQDGEQNEVDMSEAE AOR_1_896034 MSSPRPTKRARANLTGDAHVTHLTEASTAQPGNGTINSEEVLRL VDQLSHDKLCDIVIKAAEAHSDVATHIHNTIEEMREKERNRVINFDSLSKSVWYSINV AHRKLKGSRQYDVAGDVWYEVVDTIKSIAQQCGPLTSLQTRLNGLSVLRKIGKTICLS DNDVVGHEVQKQFQSDHCLEEAMIEILSTMSEDERRTIREEESSEEGLWLKLLEFDQS ATRV AOR_1_898034 MTVNNPPTDRSQFGVGIICALPLEATAVSALFDTEWDSHLYGKA VGDTNAYSTGSIGRHNVVLVHMAGMGKIAAATAAANLRASFEGVQLAIVMGVCGAIPL RKQPDAEIHLGDVIISEGLVQYDFGRRYPSNQFARKDTPRDNLPRPSPEIRAVLAKLQ VELSEPFNPVIHFGLVASGDTVMKSGVDRDSIAARDQVTAFEMEGAGVWELFRGVLVI KAACDYADSHKSKNWQGYAAATAAAATKDS AOR_1_900034 MSAQSVRKSPYRDRKDRNPDRIAGTCEWFVGHRLFQTWEQSRSS TLLWVSADPGCGKSVLAKYLVDTVLPADRRTICYFFFKDDFEDQRSITKALCCILSQL FEARRDLLSEGILEQFEIQGDNFTNSFSELWDTLVTTIEARNAGEVIILLDAIDECED EGRFLLENALCKFYGRKRDSNLKFLLTTRPYGKIKRGLRPLDIPELPVIHLSGESDAE MYKISAEIEIFVRERVKNIRAKLRLGHEEQQLLLERLLQIPNRTYLWAQLTLELIESE VDIDKRRIVDVTTNLPNSVYDAYERILSKSRNSEQAKKLLHIIVGAARPLTVQEMGVA LRLQESHRSYLDLDIIPEERFRDTIRDLCGLFVTILDSRLYLIHQTAKEFLI AOR_1_902034 MAPLDAKTDLCMYVDAYPFLDYAATFWTLHLQGAHINADTIMQL LRRFCDTGLKDSRLWFKIYWSNTHGEIPRDFTALMVASYFGLDSVVKELLDDYKGDLD FVDSKHERSALSWAAERGSVGVVKLLLKGRRRSFIGIHVPSGKGAEINSIDIYMRTPL TYAAWNGHLEVVSLLLRKGAAINTIDEFGATPLLYATYNANKPVVDLLLAQGAKTRSG ENIIMAFCSAAGKGQEPVMRLLLENGTDPDARRSDGCNLISWAASHGYKAALTLLIES GGDINRGNYENGQTAIHHAVKYGQKGAVRLLVEYGADLKLGDKNGQTPLHFASSIGDR DIVQILLSKDSRPQLELRDKVYTRTPLTCAAAHGYTEVVRLLLDSDADIEANDLKWGL TPLSWSALNGYEAVAELLLDHGAELESLDTWSCRTPLSWAAFSGHEAVTKLLLGGGAF IEHMDHPNGFTPLLLAVKNGHQAVVKLLLDKGADVQYEDTYSSQDAIAWAAVNGHEAF LSLLLGRGVSIEGRSSSRKRTPLSLAVENNRMAAVLTLLDNEADIETRDIYSRTPLCC AAKHGHTSMVKILLERGANPKAKDIYGFTPLSLAVHNKHEAAALLLCDMETDIETRKS YS AOR_1_904034 MSTDPVKLLQSNNDGLPATRPDSQIDQAVAVKYWSDKPATVNGM LGGYAQVSRTDLRGSRNFLAKARRLVPGCPVTGKLKRGVDCGAGIGRVINDFLGQECE IVDAVEPVEKFSRVLSERRLTRNCALGEVLTIGIEDWVPGVKVYDLIWAQWSVPYLTD AQLVEYLVRCRGALTDVGLMVIKENISEEPEGDIYDESESSVTRTDEKLRRLFKEAGM QLILSEVQSGFPRQLRLLPVISYALRPRI AOR_1_338034 MGSVKVTDLDTYHNVVSGANYAVFNFRDSRRRSSDTDLAYDDLA SYAYSDTVAFYEVDVGDQKHISDFAKVERPTLILYKDGKEVERYSKPLPRQLEYLVSR ALCGLTEIGGRF AOR_1_340034 MPEGPVVNGLFRHNNTTPPAQESVMALFSLKGKTAVVTGAASGI GLSVAHALAEAGANVAIWYNRNSKAVEEAANIESKYGVKCRAYQINIRESEKVEELLN TCVRELNGRLDIFIANSGIPWTQGPMIDAPLDHYRDVTQTDLDGTFYCARAAGAHWRR QKTEGTDIFGNPLQGFTYGSFVATASMSGHIVNIPQLQAAYNAAKAGVIHLCKSLAVE WVQFARANTVSPGYIITDISTFVPDETKDIWKGKIPMGREALPHELKGAYLYLASDAS SYTTGADLVVDGGYTLP AOR_1_342034 MCFPTTGFDIVTPSQILEERFEDLRKGQYYPVNIGDIFRSKYQV VGKLGFGISSTVWLARDLEGHQYVTLKLYTRSESDLAEFQIYNLLNKGSSSHPGYAHK PMWESFRGLLYRNPTHRFTEELLKAGLMQVFLALDYLHNECKLVHTDIKGDNILQEIE DESILEKFTEAEMEDPSP AOR_1_906034 MFKTEWSYPVDIWNVGTMIWDLFEGKHMFYGNDPDGKGYSTRAH LAEVIGLLGPPPLDMLKRGIRINEFFTEDGIYYVVLGSTKRQQAAYQYSIGQWKQDIE IPDQSLEMSEKFLNGRNKEMFLTFMRVTSQWKPEDGKTARELLEDPWLNDRLD AOR_1_344034 MVSNANSWVWLAVVVLIALLRYVSRFLQLGTPKNFQMEDLLMIV NVILYVLLTVYLIEVEKYGTNGIPLDKVDQIKPSAIPDLIKGSKLVIVVEQLWLGVIW GCKACLLLLYSTMTSGLSQHRIVKLIGAFCALSFVLVEILFFAAWCHPFSAYWSVPPK NIQCSVYRNHLILVLALNIATDLMIMCIPLPLLIKAKLSLTKKITLCAVFSLGIFVIL CSILSKYYSISNPYGDRWVDWYVREAATAIVVANIPQTWTLFRRMFNWKSFLAHSSYN RSHSRSKYTNRLDSSTIHLSRFKGGDKSHTRSVDITASGEHINPDQPLEIWEHRQFQV TNEPGGSSDSGSQSSVSLEYDTTGLNPQGKTTVTTRS AOR_1_346034 MPGLAFNPDNDIPDLSGKVIFITGGTAGLGAQSVAQMAKHSPAR IYISGRNATSAEKIIKEIAETGSNTPVSFVECDLTSLDSVKRAADEIIAKESRLDVLM CNAGIMALPPGLTKDGYEVQFGTNHLGHALLIQKLLPLLQRTAERGADVRVIILTSKG YQLHPYGGIIFDDLKTTQDYGFLGSWRRYGQSKLANILYTRELARRYPAITVVSVHPG VITTGLVENLGWAHRWFIYATTYNQMVTLEEGAYNQLWAATTSKDGLETGQYYIPVGV ASNDKLTKTGRDDVLAGQLWEWTDKALEDYL AOR_1_908034 MGKRKNAVRGVAKASQEAKRARLALQNPPPVPPLAPPPPPPAPL GPPLSEDTLPRPPYGDLDDLLPPAPGGDSPLPPWGSPSPPPRSPSPPPAPPSSPPVPC RRCAKSLFVGTSSGVEGPLVCVSRGAGRPCVRCARLKKPCLALPGPARAEVARLQALP PSQEAVKATDDLTKHIEEATNRLGKLNKVHPCLAALRDINRNLFRLVNVQRELGGMEP LPEGDLVDWESLW AOR_1_910034 MVSTRSSSALPPVDPQGADGTATQSSATQGVSQREFPAAQNART SDVPMEPIVEEDESETPERGPTSERQSEEPMLSLSAIARVAEVLKTIMQTSSADNGFG GLKLAAPTPFEGKTIREVQNYIADMEDHFAASASAQRNDKDKVLYATSYLGEKAKQAW RLKRESLGEPSWEEMKDFLYTFVDPEADRHRNVAYRLINEKQNGRNMREWCARSLEVW QAFPNNSLTAQTWIQTLDQEVQREITRAARQPQTLGEVHDMAIQYWSMLHRERSLRDS EGSGRKRADGGNQGPAGFNRGRKRPRENETPATRPRGSRSSPGQPPFKARRWNDGTQA AHERKRDWQKKEGRCFRCNEMGHRIWECPKAADVLRHPKEPTAFPILGTVELQLQESP SISADIKPIGGWDHTVQTVKALLDSGADDNFISYRYLLQHGITVSKDHLQPPVRVRYA DGTPAPCYGKLIVKSQVTDWENQTRGYEMEYYITDLQDPDYQIVLGRKWMRHADPDVV FSAGTWRYRKEAPKISIENPKRFAKTMRHTLTMMVMYRPDTDDGPPELPPRYRKWAHV FSEKEAAELPDEKAAHPIPLVEGGVPPYGPLYNLSQHELQVLREYLDKMLERGWIRHS TSAAGAPVLFVRKPDGSLRLCVDYRGLNAVTVKNRYPLPRIDELMDRLVGAKYFTKLD LRDAYHRIRIQKGDEWKTAFRTRYGHFEYTVMPFGLCNAPATFQAYINEAMKGILDDY CVVYLDDILIYSQTEEEHVRHVGEVLSRLERANLYAKLSKCNFHQEEVTFLGFIVGRD GIRVDPERVRTVGEWPALESFHDIQVFLGFTGYFRRFVHQYARITKPLSDMLKGMQKG RKTGPFILTTEAREAFDELKAAFSGPPILRHFDPALRIKLITDASGFAIAGILLQPVD GVEEPRRRSDWQPVAFYSRKLTEIEGRYPVHDQELLAIVECFKVWRHYLEGAPHAIRV QSDHESLQYFYSKKTVKLDKRQARWAELLAAYDFQIEYRPGHLNPADAPSRRKDYEDV HVQRNVGLLPTLQRKLRAVPDDRNDVGAPSVAESEISIVSSRGPELLALRTMAREVAR EEVVCEATSHPLRDAILQAQQGDAFVGQIRGKLLPGEGKKESGHKSDVAETSGDLTGR WRVDGGLLYRGETIYVPPCSALRQEILRVHHDDPFAGHFGREKTLELIRRKFYWDGLR TDIENYVRDCPVCQKMKVPRKLPQGELASLPVPEGPWQDLTMDFVVGLPPSGRKGRVY DAILVVVDRYTKAARYLPTTGTVTAEELANMFLDEIVCKTGSPRSLVTDRGSLFTSAY WMQFCQGLRIKGRLSTAFHPQTDGQTERQNQTLEAYLRMYTNYQQSDWADWLPTAEFA YNNAKNASTGYSPFMAWQGMEPAVPGLEAIGPTTSNASVELRLKGLADIRTKLEENLK KATERQAEGYNKRHKATQLRVGQEVLLSTKNIKSWRPNKKLDLKYDGPFMITEAVGKQ AYRLRLPKAYGHIHPVFHVSLLKPYHRRAGVEAGEAQPIVIDDQEEWEVEEVLAHRVY YRKLQYLVKWKGWPSYENSWEPEENLKNAAETVAAYRKASEVPEAPRRSRRRA AOR_1_354034 MTEFNRTPRGGRHRSACDLCRHRKIRCDRAQPSCENCLLARVSC TFTVKPSEARKSIRQRLSETQRHVKDLENLITNRDAGSRGPAKASSTHHYSTQSHFHD TANFENAMSTFQQHLEYSWPGGDRSSHRANFCSAIFHHTGSHFDLDEFFSQATGSYHS QYGMEDKKQAISQWPDKRLVRNCIEYYDRKGLYSVFPIVDIRVIRELFDAGVLDDQSK ASPVANKACLVAFTALIAQLHRHSPMFANAKPDTYVRAVLSLLPDLFMEEADVRTLET FIILTIYIPPTGQSYPAEMMLAAAVRILYKLGGHRQRAPSENHGTHNHYHIRSLFWLS YWMDKEMSLRACREPMINDASCDLELPSTYVSQSSTYQFLQAPLSSDILLYPSDLRLA LIKSKIYRLLHSESGREKPESTRMQRILELDQELSALESSFPAHCQPHVFATPDCPLY AFHDLSMRGVTLHLDYYYCVKRIHEANAASGTQYSFSSGMGLSYQTSRFSGYMLNGCY RL AOR_1_356034 MQIISLSKLAILGLAGLAIAHPGAHEPSSMSHSAKRSFLNNAKR SLSQCSSHLERRGINDRIQARRAAAYEMYRKRSIDARDYNPSVNTSHHSTLDVTSQTT EEELFAKNPVCILSPEGEIGPFWVKGELVRSDVRDGEAGIPIIMDGQFIDIETCEPIK DLYWDVWNCNSTGVYSGVQDSSNGNGDDDSNLDKTFLRGIQKTDAEGVAQFKSMFPGH YGGRTTHVHVVAHVGATQLQNNTITGGHVAHIGQLFFDQDLIYKVEATYPYNTNKVSI TTNADDHVVQDETEDSASDPFFEYAFLGDALEDGIYAWITLGVNVSASYDTSYASLLT SSGGVSNSNSGGPGSTVNL AOR_1_358034 MLFPSFVLATLSLGAAVRSHAGSPSHYDFVIVGGGTSGLVVANR LSEMNNVTVAVIEAGESALNNFNVSNVMGYSTAFGTQVDWAYKTENQTYAGGLQQTIR AGKALGGTSTINGMSYTRAEDVQIDNWEVVGNKGWNWKNLFQYYKKSEGFQVPTKDQI AHGASYNASYHGRNGPLKVGWPTSMTNSSVFPVLQQTFEKLGVQYNPDSEGGKMVGFT VHPDTLDREMNVREDAARAYYWPYEARSNLKIISNTRADKVIWANATQGEAVAVGVEV TNAYGTETIYADKEIILSAGALRSPALLELSGVGNPAVLNKYNIPVKVNITTVGENLQ DQTNNALTWEGVETLTGLATFSVLPSVNQLYGDNVTALASYVKFQLVTYAKVVASASN SAVKEANLVEAFERQYDLIFNSQVPYAEVVFAPSGQSFSVEYWPLLPFSRGSVHIQSA NASDLPAINPNYFMFGQDAEAQITVAQYIRKALGTAPLSGLVGDEVSPGLDVLPASAS SSTWTKWVEANYRTNYHPVGTNSMLPREKGGVVSPELKVYGTKNLRVVDASILPFQLC GHLTSTLYAVAERASDLIKENYRA AOR_1_912034 QWEQLQAAKSLVIILYQLLTEHVTVLRKWASLKAYTILNALEIV FWAAVAVLTIQANVQMCVAPGCILGWGVAITGINLSALAIYSTIICYREWKQPKGGLR SDGYKRAHELRSVSPVSSV AOR_1_362034 MLTVCILLQALLCKSVIAWTNGASATGPTDPSVVDHCEYWVNNI GLSDSCELIEDYFGITRRQFRLWNPSLSPSCVMTRGWSYCVAAPSSATTSTTSTTSIT ATRPSDPPGTITYSGTAAPTQSGVSSSCAKYHLVHPGDTCYTIQDEYGDFTLEQFYSW NPSIGKGCIGIQPGYYVCVGTESKSTSISMTPTTGSSTAIPSKTSEGSSASDPQPHQT GIPANCNKWHYVVDGDECETIATKYGITLQQFYTWNPAIGSTCRFLWKDNYVCVGITD ITRSTIPPTSTAHITTSSAVATPTLPTTTAPSPIQPGTPTDCVSYYEVQPGDDCWGII NKRFTYLTEDQFIKWNPAVGSSCKILLGYHYCVAVKTAQPMPDTIDTCKKWHLTEDGD GCWQIQHDFHISPDDFNKWNPHIGADCHALWLGYYICIGV AOR_1_364034 MYFTGLPTETLCLIASYLPCQQDVYALARTNRRIYHALHDFLYE YNSRYYHGSALAFVAKHGNIGRIAQLLRGLKTAQTRSRTPPGPRWRSEVPSEQRWEED SDEVEWDSDDEDMYSLTRDISSHPLKSARYSVADIVQIQKALLAAIEINNEEIVTLLI EWGAQANFYRGNLRDDNPGRIRRRHSRAKDPPPLYLAVRCGHAGLVKYLLEKGADPDR YRPSPLYRAVEDGQYNIIAMLLNHGAPLSYARVLKLAVQRHDRDMLEFLFDNGVEAAV YGHQALHVAIRGHDQEMAELLRLKGANLDRSEESHDEWDREDGDGMDGTIAYDHESSY CTIEEEASEGRGEEYGSGS AOR_1_914034 MKLRGYSAQPRGLLLISLTFLLLGLVQPTLASPFGLLGVVDAQT ELEPSLHNLTLSSHPPHQQHKRGDEPKGLRFEPTCTPAQQSYLLSSFSEARTQAERAE QAMNDLIQIFKDKIQPKDWSPHKYTTLYRNLKLWGNFFGLPILRRDDGEVTIAQTIAN MNRVRIRFTKIKNALENSGRKFDLIVNCNSDWLVYAGDYINKKGIRFWRYKDTREKYK GKSVYVKTRAPRLTCTENPRAKAVSFHNEIVDQEEMVLCPLAWTRWAGDHPPYLSDFA GIPFSGMEGKELTMLKNRAAGNTLLHEAFHSWNLLEKHIGDEQVQDEGSTKVAYGALL VQALARKDPKQALLNADTHALFALGVYYDKCNWANPEGKCLDDNPDTICPEDEKTKEK KCITIDWD AOR_1_370034 MSPPLFSKWPFIISLMVYAVRPAWAGNGTCSPTEKCETGCCSRE GFCGFGPEFCGDEVCISSCDSVAECGKYATTNNTECPLNVCCSQFGFCGTTPEFCDVG CQSGCDAVREPSCSGTSSEAVYIGYYEGWNFQHPCDVVLPEDINVSPWTHLYYSFAGI SIIDFSITTTNPRDEDFWARFTSLKKKKPSLKTYISVGGWDVGGTPFSIMVRFPGTRK HFIKSALNMMRKYGFDGIDIDWEYPAAEDRGGAHSDTANLVNFLKELRAALGSEYGLT VTLPTSYWYLKGFDIANMVQYVDFFNFMAYDIHGTWDGRTSKWTKSVVNPHTNLTEIA AGLDLLWRNKIDPSKVVLGLGFYGRSFQLANPSCSTPGCAFYTGHGSSGGALAGECSG TSGILSNYEISRIIKSYDPTIEYDEAAAVNWMTWSSDQWVSFDNGRTLKQKAKFANNK CLGGLLSWAVDLGGPGSLKNPNLFTDSDLSMDGADPGGGSDGTGNLYVGAEVLNPDSN SVTAIAPVNIVFPISTLPSPTTIAPSGYPTSLEVAWPTTKTVTSGNIITVTSTITRYI QTTTIAVPSLTVREHGFYNWNITDKDTTHLVRALIPSIRLPPIVITDDPNPLKETSVS HTPAVTRTIHLPPWPWTTDGAKYPRITFTQGGPPGPTCTAGCGTLCTQFCDGPCLNNC GDAFSRDFISPLDDDPPTVAPCAGKDCKNGKCQGEICIEKGCTGADCQNRVCVGDDCK PTGCTGPDCDKGHCSGKNCQDHGCVGKDCDKQSGGCFGPLCLSWGCLGPQCSSTDFVC TGLHCRVVSCSGPSCKNGNCTGPGCMTEDGDCEAKEAESCTEWISSTMMTPASTYSTQ TVTTACKTISACNAKGTTVTKTIDEDGLVEGTVTYIENDVPTDAADDAIASSLASYYS SYWSTVDATSTSSTVSSTTTTTSSSGGGQTHDPIPNSLIILKEHVEDEHFDSSVSHYY KWYSVYYSYRHQVTEKGICALSGKVDGPVKSDEGEEYPTSLGPFALGQYTGCLYSGSK HRPGSVKCDNSELAFTCLGYKYNAHAADWNCGKTYTKDGTTHYSNYYKAVECLIF AOR_1_916034 MSYVEFRRVQPWGYDVAIIDTDGSELHEDEFGSLLAKIIESNDV PMLNQYKEKHPRGITCSSEAREMDPFFVACRHGSTDVLRVLLEHYHANLGQTASLEER EIVLLNVTSEYGQLETALFLLDSQPPLVSVGANMPGYETALLSAAQSIWNLSDELQYH ENEALDWVDKRLTRSEQLIDILLDRGASVHDVTMCPDEDIAEVKQQPLHTVLGLAVSR AGYKLLKRLIDEGANVHTRQECLGIGGPTFKEKHASFRDTTALHYGSMFWNVEGVQAL FDLRGTDVDIADMVSVRDSLGRLPLHWAAAGAGDSDKAIIPDGAIVQRVLDTFELLLV GNPTAINVQDNQGKTPLHYAVATHASGDRNHLYSIVKFLCESGANASLQDCKGQTVLH ILAFWSVYGEPMDLNVIDLLIAHGANINHADRNGITALHIMTRNLRQVKAAQFLIHRG ADVNAKTLKGDTPLCEAAGRGALLKRGVWTQGNEYVTLDDRIKAQDTMMGVLQDAGGS LDQPNAAGKTPRQLLEQKRAS AOR_1_372034 MEVNRAAVLKSPRASVEVEQIETWAPAAGEVLVRNEAIAFNPIE AKIQKWEMFQIEYPAILGYTFGGTVVSMGPNVDSVKVGDRVAVACWGRAAVDRRFGAY QKYPLALEENLVKLDSQTSLEGGSGVMANLATVVAALSVCMKLDYPPINERAPKNGKK ILIYGGSSSVGGLAVQYATDAGYEVVTTSSPANWDLVQRRGPSSIVDHTLPREQLLES IKASGPYDGIFDAIGSAEVTELMGKLLAEDGGLFWSTSPTPADSRLPKNVKKEWGGYS DILVSRDENKDAKVWYLQEYLPKGLSNGRIFSNPSYIFPGGLESVQDALDTFMAGKVS GKKVFVNPQH AOR_1_374034 MACAKMGIQYLWVDRLCIIQDHVHEKGIQINAMGTIYTNSYVTL VGLEGHDANHGLPGVFEEEPPRRQVLRVEDIVLTGLYDHRRYEKLVGRIPLFGAHMDP PGEEYWPSQTFSDLLEGYTKRAFSHESDILRAISGILNSFYESHHYFGLPFSVFDNAI LWNAADTTYSTRNPTSGDVFPSWSWVSVKGGITTYNLPLQTLAVWAVASTDSDFLPQP LKVIAPWERPLANERQYYKLMPNHSALLILAWKEGCFSGKLPEELKPAANWSKIERLA QKRWGANGQHRMIQDALGLGQNLDYNDKFSPEHISLSCSSPGGIMMYTQSVRVRVVPP QNLSGRFDEHIKLYAEAGEVVACMVCKDWRGRSLCKYELGLKNVPIVNLMVVDTQDGV SRRVALAETYLKIWVKAERKFGTFVIV AOR_1_376034 MPATRGLPILDFSAFYGENPKAKAQLVEQVRESCLHNGFFQITG HRIPLELQHRAMNCSKRFFRLPLEEKLKIDKKKNTFNRGYELLQSQMNEAGASPDLKE GLYIGREIPTDHPYFLEGLLNSGPNQWPDTIPDREEFQKSTMEYYHAVFDLAKDIMAV LALTLNHDEAYFDPLTDGAVATLRYLHYPPPPKQMGERLRGIGAHTDFSCITLLLQDE VSGLQVLDVPTNEWIDVQPIPGAYVVNLGNLFSRMTNDTYKSNLHRVINDSGNDRYSI PFFLTGNPKYVCKCLPGFQKDGEPGKYLPATVQEVVSSSYKETFARAERYKAEIQTKI QNNVTKEVAA AOR_1_378034 MGRAGNLAQPCFFPPLIAQFGRQSGGSEVVERRESVTVPLKELD IQLLTCWLHSETVDLLSLLRTAWAVVLRTYTAGDHIGFGLVDLENQKISASDWSDLRE QIAVYESTINSLTVIRELLHDGKQSSKSEGSESSDTANNTLHTSSWPFNSIVCIDRND ARKGNQFVRMSLSDMCREALNEFQIIVRIHRDELTGLLTTIIDYTTTVLSYEQARAVA GTLNKTISEVVQHTNQTVATLDICTDFDIQQMIEWNTPPLSMPRLEQCAFQLISQRCV EQPDATAVSAWDGEMTYGELHRRSAALAVQLAEVGVGSGVFVPLFFEKSKWAVVALLG VIKAGGAFILIDISYPLKRIRTICQSVSAEVAVASPQHQLLGREIVGLVVAIGDDCPE TPSLPASPKLSLIHSGTPESPLYVVFTSGSTGDPKGTISPSSVPSLQTLLLGGEAVKP AHIATWAGKVHLMIGYGASEIAGTAIVGSNLQLDNDPRNVGFACGAGLWVVDADSPDK LAPVGAVGELILQSHGLASGYLNGNEQVNQPFFHEAKWQKRLPMEARIARLYRTGDMF RYNMDGSLYYVERKDNQVKVNGQRIELGDIESHITASCTMVHSSVVLLLQPDQQATRS FLVAFLCPKEPPRWGTTTNSPLAIVETPSSQFYLDIERLSRELQESLPSYMIPSIFVP LSSIPLTLTGKANRRLLLETVARWPEDRFGTYRCGSQSSPTYKAPVNQRDDQIRRLVA TALKKKPEAISMGSSFLALGGDSISAMRLVTLAKQEGLYLTVGDIFNHPILSDLARVA REGAIEELQPRKVKFKGLNSYDGLLRRLAPDIADNIHEIIPTTAYQRMTLAELRPRYL RIALPSNVDRDRLLSACQQLLERHAILRTIFEIDNETNASQGEVVQVILRPYKLKFVE YHGIDDLDQHCLNESLMSPSSTGGGLQFQAQLLTMRDSRLFLVLRFIHAQYDGISLPI MSQDISAAYNRVSPDPTAPFSDHIHAVWANHNDEGLEAWRSILKGSEMTALKPKQMAT THVEKPVHEARPVKVIKRTRLISPPENITMASLVKAAWALAFAKFVSARDPITFCAEA VAKDIVFGQVVHGRGLGITHENRILGPCVNTVPVRVGLSKYTNNHDLLHHVQQQHLAT MPFENIGLDDIIRNCTDWKPGTKFGSVLRFQNFDANLSCVFDGVAHDASVYHLPNRPS EKIHVIIMPSESDMRIIMNGYDHVVGQKEAADLVDSFCAAIEDLAKTPTK AOR_1_380034 MNFFILSAPNMWPAGFPSQYETSLNGAVLFDTAYERKRKGLVAS IIHSKSLAKMGLSKEDIGEVFAEAIIGGRVSSQGALNVIPAAAIDQGMKNSDVAFSQN PILWSMIGPEATPSTPVEGQSRGQDLTLKEELIRSGREIDNLQKIIQRRFTAKLQKTL RLRKEDPILANTALFELGVDSLVATSLRSWFVNEMGIDMPIMNLLNNASIGSLVQYSA KEWLTQTAKQDEQGSSVSWAQGSSESSGSASPTTRSSGAASNLGDMPLCKGEYARVEH LSYAQSRYWFLQQYYEDRAAFNVTLLFTMDGQPSEADLQSAIQIVSQRHQSLRTCYLS EGAEMKSACQAILPISPVTLEVCDIEDESGLFDEYARICDHPYDTEKGECIRFRLVKT PAADSTFLIIGYPHMCMDGASCFILLEELDQAYRKLSLPPVSRQYPDFAAAQRISYEQ GMLQRELDYWKKELNPLPEPVGLLPMTKVRSRPPLKEYDTHELQFCISNTIMDRIRSQ CRRHRVTPFHFSLAVLRILLARLTKTQDCCIGVADSNRLDPSNESTVGFLLNLLPLRF RTLPDNFTDVLAGTRDACYGALTHSAMPFDKLLDELAVPRSNTHSPLFQVLMDWQPRL GGEVKLGDITSSCTKMTVGRTIYDLTLLVTESARGDATIHFRTQKALYSKEGSEVLAR SYINLLEAFTGDLDLQVHAPCIWHPSDLERAVEVGQGPTYKSQWPSTVSRRIEEVSVA QPSNTIAVMDTKGRSFTYRGMMDRVRTLAFALRDVGVGPGSFVCIFQHPTAEWVCCML AIWRLNAVYVPLDLRNPPSRLMTVIQDCQPTAIFCNDETDPNVRDLGCPGIALLNTSE ICAYGGLPLTVHDLSSPDAPAAVLYTSGSTGKPKGILLRHSSIRNQVEGYTRRWELGP EVVLQQGAMTFNHSLDQILTGLCTAGRVVVATRDIRGDPVSLTKLIGDEQITYTKATP AEYSMWLRYGSASLRTATNWKHAFGGGEHLTTTLSQGFQALELPNLSLYNSYGPGEIT ISSHKMKIDYKEPSVTPDDIFPVGFSLPNYTTYVVDEDMQLVPPGVSGEILIGGAGPC LGYLHREALTAEKFIESHFASSEYTQSGWTRAYRTFDRGRLLSDGSLVIEGRLDGDTQ VKIRGIRIELEDIENSIIQASDGKVLNAVVSIHGEDSQLLTAHVVLAPSTQGGAPSDL DGFLQQLRANLPLPQYMCPSVFIPVHDFPLTIHGKVDRKAIRAMPLPQAPTSNRPVEE LSGTEAMLREIWAQVLESTSLDATGVGREDDFFMVGGNSVLLVKLQALIRQTLRVSVP LVELFSASTLGAMAGVVQNAIPTIELNWMEETSLPDLAINHEPPRPVTDQGIVIVLTG ATGFLGHVILQRLLAEPTVSHIYAVGVRTEGRGIGRPLPSASPKFTALNGDLGQLMFG LDESTFATLAASAHVIIHSGANRSFWDAYPIIRGANVLGTKNVVALASHRKIPIHFLS SGAVEKVVESNAAPPTDGRNGYLASKWASEKILTTAAEKMNTQVTIHRLTQAPNVQPP PQDIIAAFSAIAEQMNCIPTAQGWGRLISLLPLGELATSIVRCALISVGQQVSAKVTI ENHMISTTFELSDAAEAVDRTVVGADQMDSLHMLYWLGRAKRESKFPWFVAAQDVIVN SECQTVRVELD AOR_1_382034 MRYSELMNQRATAYQQTTYQDVQLSKSGVRLVSSSGHHHLAIQP VVLEEPEKIRIQVTLSSQSAIKIGDAYLYLVVGKVLNGQSSVISLSDECASVVSVPSC WVWPFERIAQDGPKALMAVILALTAVAAVTLAGPNTTLVVHEPCNPLRALLVACAHIQ NVSLLFTTGSSESATSDRFIHPLSPNRVLVKLLPDNVSMILRCAEETGGIFSRSDLPL APGVTVAGIEDLFSSEPCIRGHKASSSTIANFLHIALGLTEGGDYARDPGCSVIDVQQ LAGLKYDTGLPAVVDWSKHSFVRTIVQKASSELLFSAELAYLVINMDGATAMLVAELL IHKGARKVVLIESSSAVDRDWIHEMAQLGAQVNFMSM AOR_1_918034 MAKAEPIAIVGRSCRFPGGSSTTAQLWKLLLEPQDLSSGPPSGR FNADAFYHNDPRRPGTTNAVKSYFLEEPIDRFDAPFFNISRTEAESIDPQQRLLLETV YEGLEAAGCRLEDLSGSSTGVFCGVMCDDYRSMVFRDLDTLPQYAATGTSQAIVANRI SYFFNLRGPSITVDTACSSSLVAVHLAARALADGDCHLAVAAGTNLILAPNVFLSESS LNMLSPTGHSRMWDANADGYARGEGVAAVVMKRLSDAIRDGDHIECVVRATNVNQDGR TPGITMPSPEAQKDLIMKTYSDAGLDPQNRPEDRCQYFEAHGTGTRAGDPQEARAIHG AFFGPSATASNDSSALLVGSVKTIIGHTEGAAGLAGLLKASLCIENATISPNMHFENL NPDIAPYAGSLRVPTEVLPWPTLSPGTPRRHSSGEIAAAHAAGFITASDAIRIAYFRG VFSRLARSRGGQAGAMAAAGLSHEEAESLCRESKWAGRVTIAAYNSPNSVTLSGDADG IHELCSVMQDEGKFCRALKVDTAYHSNHMLACSAKYKKALEDCRITPTQNSAAAKWFS SVLEGRIMSQDDRKLLSAEYWNENLVSPVRFNQAVVAVTRDTSFDLAIEIGPHSALKG PFQQSLSGPEIPYTSMLKRGSNDMLSVASMIGTCWAHLGPDSIHLAEHIKLCDSTREP QLLKHLPSYPFDHREEYWGVSRLTDVALNRKDAPDELLGILCPDSGEGEWRWRNHLRH EDIPWIHGHRVQSQIIFPISAYLAMLWKGARIITNAQPFRFVEISDVELLHTVVLDGH MEQSIETLFKVDVLTEDKNVVYLDFACYIEIEGSMRRCASGKSSLHKGEQSSMLLPTQ PFDDCSLTLTDSAPFYSTLAKLGYEVSEELKVISKLHHHVPHTRGFLRNLEQTCPKTQ VYYGAALDASIQTLLAAADAQSPRLLSVPFVPSKIRRIVLNPMSLHSHLLKNAVVFDS ILSNATSYKIEGDVDLFADGGQGIMQLEGVTLVPSREPDAGSHTLFSEVAWGRLVPHV TADNADLPIGVEDTDLEALERISLCYLAHAARLVPQHERIHTSGQTAALLDWIDNVLE QTSAGSHPTCRKEWMFDTIERVEALAPEGSSCRTYGILHTAGRNLLNSVRQQGEVEAS CDLLDQWQKNSVHLHKLRCRMRDVLEQICFRYPHLNILEVGGAGYAEANDALVGMGLA FKSHVRTQPPSYCATAQSDVDNQHEQQIIHLDLSMNPVNQGYTEHSYDVVLIPSVLYN HTAREQALLNLRRLLKPGGYLLAIQETNPSVLHTVVLAASVGLKGEEIWTPDMWHSTL QDSGFSGVDTITPAVGASLQPYSLIVSQAVDDTVNTLRDPLMSARTAADERDLYIIGG NTPETVNLLQGLEAILTPRFRSLVKVPELKAFRVVGQTQINVLYLDGIGTAYSEDLDE DRYNAIENMVNMSDNILWVKRGTRSSIWNQVSLRKSRIFACSALY AOR_1_920034 MAVNFTQIPTIDLAHAQSPVTKASFLSDLRDAIVKVGFFYVKNH GVPNNVERNFVEQSVKFFDLPLEDRLEVEMLHSKHFLGYVREQNEKTAQRTDFRETFN LGMDLAAPGEEEPAYRNMSGPNLWPNETAIPGFRAAMEDYVKHIQTLGDDFKVLVAEA LDLEPTAFLRFFDEQPQDRLKLAKYPVPSSIADSEPSGSFQGIGPHKDSSFLTFLLQG TPHRGLEVQNKSGEWIPAPPIPGTLVVNIGRMFEALTGGVVTATTHRVSLEEKNFVDR DGRPLGPRFSFPMFQLLALDLRRDQMTLDMPPHIVKLAKEIKVMSDAEKFFEEMFRDC AGSGLFMARVIKHEDVARKWYPDVLDNVLKAQKAELGH AOR_1_388034 MTLDTGQGPAIESIEKDQHEQPQQEKQSSYFQWFKLNPLRSSKT PPVPQERQVSREYGANLASLITFQWVNPIVKLGYKREMEIQDIWTVNPTRSILSMCLL VLAPFVVRRLIEFAMDAYTSQHNNLPGPNLGKGMGLVIGLVTMQLVQSISSNQAFYQS LIAGGQLKAVLTPKLFSKAMRLSGHARAGNGTGYSDGRITTLMAVDLSRLEKGCASLH ILCATPIALIIALVTLLVNIGYSALAGYAFLVAITCLLTFAVRSIIVRRRAINTITDK RVSLTQEILQNVRFIKFFAWENSFLERLRMTRKLEIDSLRRFLATRHSNTVSFTSMAN FASLLSFMTYVLSGHTLSSDRIFASLAVFNAIRLPLSMMNVVVTSTTDAVTSLNRLQE FLLAEEREDSITWDRNMENAFEFKKASFTWESVPDIEAETPGVESIPVSASASPVSSW DDATKPASKSKDNRPFRLMDIDFQAAPGELIAVIGTIGSGKSSLLGALAGEMRLTAGS VRMRTAPAFCPQYAWIQNTTIRNNILFGQEYDDARYDQVIDACALRADLATFADGDQT EIGERGITLSGGQRQRLNIARAIYSNCDIILLDDPLSAVDANVGLHIMKQAICGLLKD RCRILATHQLHILAHCDRIIVMEAGRVVDIGTFDHLVQRNEVLQSLVSVNHQEKEETP SSPSIADAVQVEKACPESKLKNRNAAPLMKDEERARHARRRDIWRAYAVSSGSMANIF IVFALAVLSAGGAILGGLWLSFWASNRFPQLSLGQYLGIYAGITAGQAAILYLFSVCV TAFAANASKVMLEDAMYRLLRAPTSFFDTTPLGRIINRFSKDVQVLDSELGEALRLFL YLFLMVVAIMILVIVYFHYFAIAVGPLLAIVILITIYHRASAQSLKRHEAVLRSVVFA RFNEAITGIACIRAYNMEVYFRQNIGRAIDSSNAAYFLIFANQRWLSFSIRQYTELEQ HINSAERLHHYGTSLEEEEKTAPLHRVEVSSTWPAQGQITFQNVQMRYREGLPLVLKG LTMSIQSGERIGVVGRTGAGKSSIVSALFRLTELSGGNIRIDGVNIASIALHDLRSRL AIIPQDPTLFRGTIRSNLDPFNEYTDLELWSALRKAHLVDPPPESPPDGDKDARQVVN EQAAGPSQLHLDTRVDEAGLNLSLGQRQLMALARAIVRDSKIIICDEATSSLDYQTDQ KVQETIAGMHGKTLFCIAHRLRTIIHYDRICVMDKGCIAELDTPVRLWEQQGIFWEMC NQSGITREELERGPVGL AOR_1_924034 MTATGRYGSDPNNHPDQKELSNIMRNLKSSSDGTAAFVMGTDGV LRTLTIDYDNIEDMFRGADGTKVTQEQWWRPDPSLLPPPLTAEQKAWIKKTNEENKEI IQENIRKMESGELKPCGVVIRSDYDISPR AOR_1_392034 MVSLRGSIFSSLLLATLVVAANTTTEVLPQCAVTCEQSTLQQNN STCVDTNSTCLCTDHRYQSAWEQCVLTNCTVKESFTAKRLQSQQCNLPTHHGRPAADP ALIIPLCLATILFVTRICAKYMRISGSWGWDDYSIIVAYMLAVTVFSLNVSMIHHGFG KDIWDITPFGEITEIMKIFYIYVLLYKIQISLAKISVCLFLLRIFQSTAFRYTAYTII GLNAAIAVTWVLVDGLRCTPVHLAWTGWAKEEQGKCIDFIAATFANSFVNIAVDAVMV AMPVYEVMKLNLSARKKLGVGVMFAMGLVLTIVGILRVVVFWYNRWNKNPTVELQPIN VWSVIECQVAVVCACLPAARAVLVRFFPGIMGGSAGESDARKATRPSAPGTSGKISQT MTYTVEYSRRAQERASNSAVNLVELHRESV AOR_1_394034 MSFATDNQHSSVSGSNRTSKLTISSYILDWIVVIVLAAIGGILY KITGSQHVFSLDDPNISYPLYSDTFSITVVGIIALAVPAVIIMVLSLLIPTSTITASV HGTRELSPWKRRLWEWHVGWLGLCLSLAGAFFVVLGLKDIVGKPRPDFLARCDPDLSN ISAHLAGGLGLRREGAAVLVRASICQNTDAAVIKDGFAAFPSGHSSFAWAGLLYLSLW LCAKFAIIPPSHRTGHSALTRNGPPAYPLTSRPAAPPLYLLLIATIPVGLALCICATR YSDYMHAGWDIMSATIIGIFFACLSFRWYHTFPSTRLISPTEDGGGWAFPPRSRPHAL WVAYGSRGYAENDNISGYEDLELRPVGH AOR_1_396034 MGIRSSLTRFVPFIAYHHILMGVCTVAIILLSVLLAGCTSSNGM NNIYLVSLKYRNASTSTPNDPSFINPSIAEKVYNLSQPRNTTIQEVRAGYMGLCLTRS DGAQFCSSNAAALASMVKDQGLQGSNDTADPLNLIWIAKNFKETIVFDGLIFIAVAVA FICLLALATFPGWHEEVDEDGSEREVKPFPSRRVSQAALGMSTLGFIFALISILWQHI NSSAAGTMSESLTYGAIESHVGTAAMVLGWAAVVCLGVVALALLVMIMSISLLRQLTE E AOR_1_398034 MAQQQPSRRVLDWIKQQHAEAGDASRRTRKLKARPRNSLEDILQ NDPAASGRTPQQIPLPGSRDGSATASDQRERGRNAAKSSLELIEHEDTFILPSSIPLP PSLPPSPKLPPVLEQATENPPKKRQTYAEILDRAGGVFDHIKNGNVRKVPRVERTSIT LYEYYSDNTASSVEIEDPEFISNYSDAPQGLSGRVFIVEDLSERTIHALGEAFGVTPE FFEEHLLNSGYGGAQYDDPPARSWKTAGLNKSYVSIQWVRPMYRRQPLFSSRDREDLL DLDHDGLDYISGNSIFSLKAETNIFRPEWDLRVDPRRTAKETREFGLVERASIWKKKA KNRDYEIVIVLLDPLPAISYSHDQTQVIPKIDMNSDSDHDYRDASDSDGAGTRPILII EGDDGVEALAIPIENPRRERTGRRVFDWLLRRKRPEVHRTKVNLERTIQVLVKQMASR KPVTIDLEEAIVTGDLLATLQDELNETRSTQQEIHHMVEKKTAPVSLLDTLFEIIRQD TLTLLRVLNEILSDMEVDILDDTKMEDRLTLWRQLLTKAERELLDLIASIKEFLAFFG ITHPANTSPAASDESSDILRSVSDLFDQINQMLARLRRASSSLTSNMGLLDSRRSIDE AHAVTRLTELAFIFIPLSFSASVFGMQIEPFEDSAPVWKFFVVASTVTTFAYLMRLTM RSQWLASLKQNVKQDVRRYAEQHSIPVQVRSLSMLLLLRWFGSVLNRSGKATWSWINK TSRKAGTELWQVIGFPVGLILITGIVAVAPIAVLWTRNMDQGVQGTVTAAIMLAILGL VGIPYWRNSDPDFRYALPRVILRLFERIPPTTRLILIWALGIMAFVAIPLALIWTRPL ASGIKAGLTAAIVVILVTGVGFLVMQLLYSRALNISRGTTISSSEGTSVSAEPVVQDL RI AOR_1_400034 MGFSSIIARLLLGTVAILSFVGAINEQDFAPDDIVIRDICILGG GSTGTYAAISLKDKAKSVVVVERHKILGGHTETLYLGNNQYIDYGVEGVFNDELSRKY LKRLAVDYKPLLPSAQTTEYVNFRTGKKVPPPSGIPSVVEAAKVYRAAIEKFSYLKDG LYNLPDPVPDELLQPFGDFVKKAGIEGSLQVVKIFAHGAGNILQAPLLRVLQLCGLPQ VDSLLQGGYITPKNGMYEVYNRASEILGSDIFYETSVIETERSESGINIFVQSANGIR KLIKAKQLLVTFVPIIESLNGFDLVRPESSLFQKWNWVNYYVAVVKITGMPNATTLVN ADPDNTPGNLPLPPFQLELQYPGVDGYLVSKIIGNSTFTAQQAKDLILSDLRRMGTEG TFPGGDPEIVVFGDHTPTTVSVSNIDVRDGFYRKLSALQGTKNTFYTGLAFCSDYSSL LWAYTETVIGEMVASSASTCSLCVQGYD AOR_1_402034 MHFFTILATLSGTAIAFPTWGRFVNGTANGEADIHKTTVGDNNI DKSRNSAAVSYDDHSPSMDLKDSNNEDNSKRPSLGSLLEKANVTVGPLTGDSPLVSGP LISLPSPLL AOR_1_404034 MRVPDSPDSRFDPRDMAAQDEKPHDHEVEEVENGIHDTSNSPEA APAYDTAAEKALVWKQDLRIVPLSAFIYLLCYLDRSNIGNAKVLNKDTGNDLLSETNM SNYQYTIALMVFLVAYALFEVPSNYLLKKLRPSRWIAFLMFAWGGITMGLGGSRNFAD VTGIRFLLGVIEAGLFPGLVYYLTFWYCVSERSIRVALILASATLAGAFGGAIAYGVG YLNRSHGLSAWRWLFIIEGAPSSGWLNAAELNLAKHRLRLEGSKSSAKTMSWSDAKTV LTDWRLYGHYAIYFGISTPFSSLSLFTPSITAGLGYENLEAQLMTVPPYAVAYVVTVA VSWSADHFNARGIHAAIFSCIGAVGFLASAVLPADAYQHRYGCLIVAASALNISFGAP GQIVGVWIYKANEATRGYPTGHWTNAALLFFEATAERAA AOR_1_406034 MPSAQVKTRQSATGVWTMPESWSCLQRRLKHVEATNRALEAKLA SHASPAAQSEANEYGNEVDSEMPALDDALGEATARRQEIERDDNEVIEEVTFLSSGAG GEQHFLGSASGVFLASLVSATMVSSRSQGTHEGDSRGRSSRFQPVSPLTTASSEAPAL PAEQVARNLHRAYFEHDHLCYPFLHRETALCALDQAYQDPSFLEQNAFASFAFDMILA IATASVHKFNIEALPDAEAYQIRATQRLNEDDKFDPGHINK AOR_1_408034 MAGLSYVYAVGRHFRARKRRAPSIGISERWNVTKHCHDVFNRLT DAMLADAIEYHSQTTGSASAQHKRAALGPDEPLLSVDNTAVSATPGIAPVASWDIGDP SSNSLGVDSVLRECFDDLRRFQMLEGHGDDPVGRLFHDWLGEIGDIDMNIPPMW AOR_1_410034 MLNTRPIRIGNVSGATGDHPQAMLRMAQHGNVDVIVGDWLSEMN IAWNAITKSQDPDLGYEPGFLAQLSDCLDIVVAKDIKVVANAGALNTSSLMQKVCALC KERGHSKVIVASVLGDDISEPIQKKEPGFQDLHHLDHDEWKLKDWPLKPHCAVAYIGA WGIVEALNAGADIVICGRVTDASPVISAAAWWYRWQRDAWDELAGALVAGHLIECGPY VTGANFSGFKPLLPNLVDLSFPIAEISPDGSCIITKCEAYGGAVTKFNTIAQLLYELQ GELYLNPDVATDLRNVSVDEIGPGRVRVYGAIGQPPPPTTKAMIAAPGGYQAEAIFYI NGLDVNEKAEMLRNQLDHALRGNGFCKLSIELYGSPAINPSSQQAGTVFLRIFTQARN IEDISAERFKTLIYALRMQSYPGYHMNLDFRMMDAKPFMEVFPAVIPLDLLYHRIILS NGIQQGAPSAPVTRIYPIQRPSYETKIPIPLSQFGAKEAAPLGSIVHARSGDKGDNFN LGFFVRNSDEYPWLQSFLTVDRFKELLGDDWPKRENEPRAERCEFPKLLAVHFRVLDF LDGGIASSSRIDGLGKGIGEYLRSRVVDIPKKFLERGWI AOR_1_412034 MRVLSITYVFAVLQVVKGIPLGRQEKVGCASLAGAQIDNAAHIH HAQNVPIGGLTLSSNGSPVRNELPLCHVQGTINYMAGGDATPDPRGNNTLTWELFLPD QYHYNGRFLAVGNGGFAGDIDNATMLTNLNSGFAVAGCDSGHPLLESEASGPNDSVPF LDDIAKVKAWIHNSIAMTTNVTRSITANYYAEQPAYSYFWGCSTGGAQGYALAQYHPT LFDGIYAGSPGNWYSHLILSFLWNGLHATGEGFMSQDALNLITKRTVAACDELDGVKD GLIENPLRCDFDIRTLECQPGQTAISNNKTDQRTGKQIYPGFDLGSENGWLAQETYLY SSYAAPILRQLVFKDRTYNVTSFNWGSDVDHLDYTASPAIDEISPDLSAFSQRGGKLI TLQGWADQYNAATWPIEHLKQIQSTMKGQDVPDFIRLFMVPGGGHCGANSAYTHVPAT YHALDALLPWVEDGIQPGEVMSSAPPDGSNTTRKLCVWPKEPRFLNGSPGDWQSYTCV AOR_1_414034 MSQKTFDRAETVELLSIPRDEEPLGPNSSSEDGTDLSDRTGVQS TRKHRKKRKTENWKRSLYLGSLSSIIVLLFNVSFVAWAVSHHDLTEDRGVLYTGDCTK TKRMSTGIHLVINILSTALLCASSYTMQCLCAPTRAEIDRAHQKNQWLDIGVPSMRNL FRISKMKLILWLILALSSLPLHLFYNSTIFSTITNLEYEIFAGNKPFSDFNANNVRPP HDFIDKNNTMYTNPYFSFSRMLDKGLHNELYRLENADCMSAYATNFQSEYGSVLLLTD DFHPNDTDFDFLSIQGASTPVKGNNPYAWMCCNQTVYACDMQTLCRDQLPEIRTHVDN WIVGGYRVNYCLAEKVPGNCKLEYSLPLAIIVIVFNTVKAIIICAVALTMTDLPILTT GDALASFLKTPEIRDRDHCLMTKALAKNPPSKPLPYKAKPQRWATAVSTVRWIICIIC YTIAIAICIGLLCFGLSQITNKGNLWSGLGITNTETLISGNTWPPSLLTNTIIANTPQ IIFSVLYFTSNSVLTTMTLAAEWSNYALHRKGLRVSSTPQGSQRTTYFLSLPYTYAIP LLIFSTVLHWLISQSLFLVNVETYDMQLERFRLFDFATCGYSPVAIVCAIVVGGVMVF GLVGLGFRRFGSGMPVAGSCSLAIAAACYPGVSSVDGCGDAVETMPLRWGVVYQGTGD GVEVGCCGFSGGEVGMPVDGMVYR AOR_1_416034 MGSELGITPETKPQAVYTPVSIWWACWAGVWTTAVALGMIYLIA NRNMPTLRIRGIGMSLSAIVLLHLYWASVQFGVMIGPIMPGDAQYWIMGTYLGCGIAL FHASNTRFLHVAKHQRKFAHHNSRISESVPDEKPKGGLFARFRRLEYTKRILILVAIA MSFQIFLTILLWVISRKWHSSWGIPGTEVTGTPSEQAAKQGTGWEWWPTAFFQFFWAW IVAPFVLWKARHIHDTQGWRVQTIGCAIANLHATPMWLIALYVPAMQVVNQYWIPPQW ICLSIWIMEIFTVFLPCWEVMRHHALRQETFNAIEQWESKMKKSGSEARSLNSIPTLV DSMMSGWKSHNGSVDTTGSRDSILTMGALEHVLERNPAPLQKFSALNDFSGENVAFLT SVAEWKNSLPKALRENTDPMDNNMKELLHERFNRALHIYVKFISVSQAEFPVNISSQD LRKLENIFEGPARSLYGEKRAAVDPVTPFDTPSFPMKSLSSPSFGNGSQVELHPVSSD DRVQFWGEVPEAFGPTVFNDAEKSIKYLVLTNTWPKFVKSRRSSDPIKEEAV AOR_1_418034 MSGPEAQFIQLTKATDLVPESTVENVYNQLKPVPPSFLIGKWNG GDIDTGHIGHKLLKEMKWAGKDFRSVDDGDPIMVHDAEGNRVWKEDWGHCSLREVVYR GVTSTAMIYDQKPIFDHFRYVSDDMVAGAMDTPKLFGAKGTYYFYLTRRSSSSL AOR_1_928034 MADPLEKEKRLAAILSLDGILQDALVNIAQSMREILQLVASLIH RFTVSSLAFNQGHDKMHPLEEPTALCNDIRLRSLT AOR_1_422034 MSRYAYPVNAAKAWIRSTKVRVVSTLTGLPFRQLALLAICHFAE TVVLTSIVPYMPDMLEHAGVPKSDVAKWVGFTTTITATCAGLMGVIWGMASDSMGRKR VILLELNLMLVFVFLFGFSQHLALLVLFRALIGLVSGSVGIMRTMIAELVPEKLLQPY AFSILPTVETIGSGFGPAIGGLLARPAEHYPGIFGRIGLFKMFPFALSSVASSCVVAF AITMASSSLRETQPGRKDSPDDGLMIAKILSSVWALRTRKADIRPEVVDETTALLGDT IEDVEEVLEQRAAELVGRWKSVISPQPILLVLISGVMSMHTVAFDSLFPVLLHLPKQH LKGNPDVHLPFKFSSGLGLEPNEMGLFYSIVGVSSMVVQLVIFPWAARKHGILQCLKL ACTVFPILYLVVPFVPLLPQPLSSVAVVALLVLKMAGAAFVFPCCTILISEVAGAIGM LATVNGIATSIIAFGQALGPGVMGPTFSFGVKLGYTILPWWLLAGFAFLSSLPVAWIK EVDIKLPEEGLLPNEEEQQVGDGENDMEARGRQPTLI AOR_1_930034 MTAYWTLVILTQQPFTTQNRFGINLHSKVKHEAMDKCIEAAFNI RALAEAYQRAFTLRRAQFGIPYAMHSAVLILLQSDSQGRDDYTEACRFFWSALLKYQK GCGSGLKKPLALLKSLMLRVAKLAPCITVNTSDAVSWSSLHAANIGTDLVPGTITLGE DGVEDILSLPFLESNQLPKIKFSTILVATTALLTGANAVPRLVEVHSTDVCWRVCFPE KPHCPEGWHDKKFGECYSCCKSYEDDEAVASKLGMFW AOR_1_426034 MVFYDPPYGFPLRRNGSCLTSETSCGKTWGDFYACCPGDSVCPG ATQSIQNNVCCPTESDCTAPLKATPHCANETGIMYNHTGYFCCLPGQTGFWTDDPDNA VGCSDEAPTARGNTILVTKTQSFESTSSTASATTATTSGSTSTSSIPAATTTSASDSS SSSHSSKHAGAIAGGVVGGVAGVALIVALLWYFFMRDRKQSQPPAGEGAMPVTSSPQP ALKPPTELEAPKRQYELESRMDQPVHELPTNRY AOR_1_934034 MVDWAYVRWWVSLVTSNLLGGVSPAKDWLKHLAPVLWPKDKAKV NSEPEADLSTTLRMDLRQDLQKTLDLLTVARDLNSQAEKRDESLVGRQADLKQQEADL NKRSRRLTELESRLCLWEKDLQAREDALPEVEEACSALERSLRGLFSDINGIA AOR_1_936034 MSLTIMTPFLAGKIRSFLRLFRRKSKPIPPPPQQELQDILIPPP PQQELQDIYIPSPPQQELQDIFIPPLLNLPLDILFEIFPLLPLPSQVCLALSCKPLYR LFSSTLQDEKLAWPSLLACKTFRLPDTLSSNEAPLSSPRTQLLLQLEDDWWLYCAACL KIQPRALFRQGIESLPLQCQKCIFEALVIDLCPCLSLTYFDQIRLERWLYTGLTDTLS QRIRKAFQPLIIDDRPSLLHQCSISTIPEVSVDLDIVVAFNDRNRLEALTRYRVRMSS YQPRPRGVIPWFSGPHDVAERIYLCPHIDLLPFVRDARYWPLIECWSCETSVCVYSCE EEGLYVVLQGVRNLGEPQYCEGLDLTWERIAREKSHDITGAMIAYMASLYGNSPLCGK VYSAWYRRRLLEPQVSRDTE AOR_1_938034 MIQLTKFLSIARYGREPFASALCQIPSINIWDDHDIIDGFGSYA NETMECSVFRAIGALAHKYYMLFQHHLSPGNVDDSMQDTYIADTIPEPQYVLGPRPGP YIRAQSHNIFTRLGARIAFLGVDARTERNREQTNYRETYDCLFARVNAELDAAAWCGR PIKHLILLFGIPLAYPPLTWVENVFEGSAGRSFQRLSDKLGIGKNAMNPFDNAIELLD DLNDHYTSNAHRNERRYLIERIQCVCAAHSVRATILSGDVHLAAVGRFFTTHKGDILP IEEDFRFINNVISSAIVNQPPPETMAKLIAHGDKIRHLNAETEECLFKLFRSNSTTSA KKPEGTTVAMPRRNFSIITENSPNNEG AOR_1_940034 MDLPYEILEAILLQTDIRTLLTSATRVCRSWHNIIQGSASLQAV LFLKPVKEPAFSITHSEKGARWSYCKHKRIRNPLIETDIWPEFFRKRFRSPSDRSWQV KEEFPCMDPDKEKAYLREEASWRRMLLHQPPTSHLALIEQHSSAPCPVVSQIRFYVDN SQFGVGDDFIRLGHLGKGVDTGYLIPGRDTLLFYDQGGRVTDRELKYTKYRAAATSKW LIQCDAVIFCYNSNFCEPRPFQGLGVISYVDDFLEELGRGRQVRGRRRVAVLEEDKDR CSSPDYPGR AOR_1_942034 MRELTPQSDSDLPQLHVGPDSRLGTKNDLNIYLPPEDLEIGNSS TTNLRALQGKSPFSILKVFLQRFAFLSANNRLDQWQFNEVFSWIVEKGGANILLFLCW LKSPLMKVFARKVFCSAVMFGDISLGRKVLQCGVRLKTDDPTQRSRLTDYLSTAIHGR HEAMVELLCKAGIHPEVNNRWSWRDDWDLQLPILHTLLAFGADPERFFIEEGTGFPLI NAALNGSLKAVQLLLDRGARVNLYLARYLIQHGADTNVPCVMQIEISRYCYFDDEMIP LLTPVQIAAKVNNPGLVHMLLQHGASAMACPVSAHPDFKLYFSRRAEEDWNVTQRYKP RYDSRQKVYTALQYGALNQNMEIIALLMCTGVAPDSRVAPYVNDTPLQMSTRLGNVEM FRLLWSWGADLDAPPAARNGRTAVQGAAESGNLMILLMLRRAGAQINEPAGAEQGMTA LQAACLNGNSLIAGVLLAHGADLNLGPSSVEGLTAIQAAAAHGDIRLVRDLVTLGAEV NAPASEGGMTALLAAIKHESLPLLELLVQHGADVNATGAYGFLSPLTEAASRNWLGGV QFLLEHGANVNDTPFDLAMSDESEEYAPRELLSPLGWGIKSLSLKMVDILLQHDADVL VAVIYNQSVSRSALMHAIHEGSSHEVIDLLLTKVPGLQNHPGWEDAFKVALVDSFEVD TIYCQRILAKVNSLPPLLRHRAIQKAWDALSSYDDDLNDADETLVETIELLIESGVSL DSRAEDGCTLLLRAAYYGYDKSCTSLIAHGAAVNIYPTNDWGTPLQEAINSSHVNIAN VLLEHGADINAPPAENRGVTALQAASINGMFELAVRLLDRGADVSAPAAPRNGRTAID GAAERGHFDMVQLLLNAYGKDADLEPVRRQAANYAEKEGHLEISQWLRGFSAG AOR_1_432034 MPWSIDTSISFITLLFTGISSILGIGSHVANACRRILHQGVESS TEASIAREQPRPSHVDPEILLESGLHNEIHRVQFVSQIVVVNSGTFVQL AOR_1_434034 MLSKRGETYAEAGLANGYLGHLKAPFDKENKEGVVCFSNAENFL MQDVILDYIRTRAASGLDRTSLTYHEGPFGSRRLREAMAKLITTYFYPASPITSDNIL FTSSVTSLNAVCALCLTDPNDGILLGQPIYSSFD AOR_1_944034 MGYATFYRVLSPRQLNVMIQAFSLVCIFFEGYDQGVMGGVNSSP RYVTEVGIGEPDGTVTNTTHQGGIVSIYYLGCIFGCFGGGWLADRLGRINGLLIGAFF ALVGGALQAAAQSSDFMLVARVVTGVGTGALTGIAPVLVSETSTADHRGAFLGYVFIA NWISVAYWLAFGLAFINRGYSDIRWRFLLAFQCVPALILLLFIKMLPDSPRFLASVGR YSEAQEVLNRIRCHKASQSEIDLEYKNIIATVEEGKSSSPVQFAKILIGRGGKPGANL GRRAWLCVWLQIMASWTGITAVTAYSPVLLRQAGYSELTQNGLAGGLNTIGILGTIIS AQIVDRIGRRKCLILGSVMLFIVELVAGSVYEASLHRPDKADQLAPAAVAMLFLFNLA YAATWGTVAFLIPTEIFPSNLRAQGNGFGITGWAIGVGMTTLVNPIMFGSIGSRSYFL LAGLNLLWVPVIYLFYPETRNRTLESIEYLFSTSRPFHWDMERAYRLCKERKDQEHID EQAIKASSQDEVQQEFYESIPQ AOR_1_438034 MDPNPSMSRSLDLTVLGLNSGTSMDGIDCALCHFQQETPESPMR FELLKYGEIPLEQTIKQRVMNMILHNKTSPSELSEVNVILGETFAAAVKEFCAQYNVD ISSIDVIGSHGQTIWLLSMPEEGEVRSALTMAEGSFIASRTGITTVTDFRVSDQAAGR QGAPLIAFFDALILHHPTKLRACQNIGGIANVCFIPPDSLGGVNACYDFDTGPGNVFI DAVVRHYTNGEREYDKNGEMGARGTVDQDLVDEFLQTHPYFRLDPPKTTGREVFRDTL ALDLIHKAESKGLGPDDVVATVTRITAQSIVDHYRRYAPKDLPIDEIFMCGGGSYNPN ITQYIQSQYPDTKILALDQAGIPASAKEAITFAWQGMEAIVGRSIPVPTRVETRQPYV LGKVSPGKNYRRVMRQGMRFGGDRDELSPVKEVVNYVDGKEWNNKW AOR_1_440034 MPQRPRKFQRTSKACDFCHRRSIKCTKLRGPLERCRNCADFDIP CTYERPSRRRGGNRRALQETHEPGSRPIGEVGAATTPSRPVHSADALQSGVNSRPSMA VYNSWPTAGQEYSSDPLLNPWKALAITCQSVVLDLAQVYFEIVYPIFPLFHRPSFLRA LHNKMHLEDQGLFASTMAMCSLASARARDGALYSNRRSPSQLLHPPPEVFWTAAAESI PRHLAAARGIEYMRACAILSITAIQNGQIRDMHQYLGHYHTLSIMEGLHDEKSWPNDL STVEVEIRRRLFWSMYTLDVYSATVWGGMVRFREIQSNVRYPREINDDLLDFESKSQI SADCTTPTSNTYEHSVWMRGWNFTTDLYRILEHAIDGQRQTLRGENESPWSLFRPSPV PGRLIMEHVHSMFSALPSQLRLTGPVTGDPENDIVGFQSANIQATLQLLNMILSSNED QGIEEKCEVAGNVLSVFTKVPIEYLKAISSPLLYHLGGIGFILGSVMEGSLSEASYQR VRKLLLEMATLLYQMESALTRSTGASERLKTQVKRIDEYMDKARHAGMHSGLAEIGQS QHFEGYVQPTAVQSADFDDPLACFELPSDLLDDWPWSSGAGSLGGMFPMALAKTDN AOR_1_442034 MSPITYNHLADCDPVPDKRPHSPAPATVSESESVQETQTPAAWG IGWRCPALMVGLVISGAMLSVGHHYYYKSFDGGRVASREEQTWAIRIGTGFAFLIKSC LVSAVGLAAVQETWATLRRKSVRLSGIDSMFAIRDSPLAFLTLDLWIYAKTLTVLGIV SWLIPLTAIVTPATLTVVTYPARDKIERLVPNVNFDPSFWRNEAQFDEMWHITSPSGN MARLFTTMASSTQVLPVPAPFSNSSYELSFWGPSYKCQRLGEALMEIDGVTQKLWDSE FPDPQFQTTRIYMGTAPSDLNNTIFISAAGSNPLWNDNATQPTELVCQLWNTSYVVDM HFTNGIPTLTPISVDHVAYANWSEGAASSSAAPSYSGLDPTVNAGFYIMHMILSGLLQ GELVQSKRAGVWENKTVASTTTYTDTSFAYTGLFSCPELWNTSSYYYSHGNDSTGLCR NGTLAQAIEDLSHNFTYSLLSLNGGNTTVKVVDLTYRNYYIYGPTSLLAAYMSALAVT IACVVVGFCSLRRNGVPQNNSFSSFLMTTRNPELDRLAIGHCLGSEPLKGNIDKVRLQ FGEVEGANLQHRHAAFGSKGSVTTLSKGEDYY AOR_1_444034 MALRFRPPKPSQKILPVKPTKGHFLFAHQEFKRVPWWKRKNMRT LYIYIVILIMTNTANGFDGSMMNGLQTLSYWQEYFNYPHGSLLGLFNASMSLGSLLGL FVVPYMIDAWGRRLGCFVGCLIMLLAVGLQSGATGFGMFIAARLLIGFGDCLVLGSAP LLIAEIAHPQDRAVLVTLSGASYHSGAFIASWVTLGTLKIQSDWSWRLPSLLQAICTI VIVCGIWLMPESPRWLMSKGRHDEAMRNLVKYHGEGDPDDAFVHLEYAEIKAAIDLDK EIDQTRWVDFLKTKGNRKRIGLITALGLFSQWSGNGLISYYLKQVMDSVGITKASTQL GINAGIKTEALVTNFTLAFFIDRLGRRPVYMVSTVGTCVVFNAWTIVSARYAITANQA LGYTFVVLTVLYGVFYDIKSGLMATYTTEILPYGLRAKGFTWLNFCVTAALFFNQYIN AIALDAIGWKYYIVYCVFLGMEVFVIYTFLIETRYTPMEEIAKYFDGDDAVDVGEIAV ADMKEQARESEGKAAAVHVEVKE AOR_1_446034 MSDTVNPIIPGFAPDPSVVLVDGWFYLVNSSFHAFPGLPIYASQ DLVSWKQIGNAIHRESQLSLAKSQANLYPVDDGKFLVGSGGLYAPTIRHHQGTFYVVC TNVIRAEGKNRDVTENFIVSTNDIWSGTWSNPVYFGFEGIDPSLLFDDDQKVYMQGSG GIGPGTTINLFEINLKTGARLSEEKIIWRGTGDIYPEGPHLYKHKGWYYLLIAEGGTH GGHMVTMARSQNVFGPYDSCPNNPVLTARDTQEYIQYTGHCELFKDEKAQWWGVCLGG RLDTQGRCPMGRETFLTRVDWDGEWPVFDQVELNPRGLLATRSSPRLTAEGGLDYLYI RDAIMSNYRLENDHSSLTLTASSVDLSHPADSPTFIGKRQRKLAGTSSVVLKGIEDSW SSAKIKAGLACYKEEHRFSRIYYDADQQAVVLELVNAAKKIVRTEKHTLGEAPCSLLF RIEYTEKQYSLLYSFEPHVGNDWTCLGTVDTLDITDPDFTGPIIGVYAVGQMEGVQVQ FDGLSVE AOR_1_448034 MSVIQIPSPVGSERTDVNDEEILPTADKYSWVHYVQRSRRDRSV ILQLDTILSFFRSGTPTLPLSNTDPITARSKEQNIPLRPQPIHVRNRGRSRLLTTDGT SDQVTEGSRRQRSISPWQPVVIDSRRISHVNRKKEIEQVLSPLLAESTNNVLLLCWGD KDRCHIIPTSIRHEADEVNIWESIRAAWYARRGYWRRYIPLYGVQQVDIVEVTMAGYE SVSLGGEISEVQYLGLYREAEPANKRSELEDNIAHYEPQDFPCQYNPSTGKVNCARNY CVSRPLLTQAFSNENVANGNSLLKNEKLLYSHSDMLKKLDEWHVPDLSEIPFHALLIT EGWDLGTRSVVIPLAMSFFFLLVVVSKVIYNDWGIVWNVACFFVSLAALILMWVNHAV RQ AOR_1_450034 MTASKTGVDLSNPGDLTLKKQLDLLEASGAIKRFDSHPFVKNII DSRSLPTRKDIEVGPNDPTTTSQLRRAAAKNNTLVVGGAVVKNLSLLLAKDQDTVAEV PLRAFPAVTKPTPKANSLLIAPVNADHLTLLLSPPSPTSYFQFTSHVAPDPVRASSFA DEATDADKSMPADIINGYSLSGDLENFWGIKGLTGKLYVYNGDTVREKVRFSDFAFDA GKNKPLSKLEHSPLAKFFPHIDMEPIKQLPINNVEFTYTEADNDFLYKKGLRLEGDVL CTGHLQPVADMLRRIYGDKDAPSKLHVSAHLSDERDWTKAPKITKLILSATLVDGKLK VWDFLAFDSVDVQILALQKDKQEKVKDDKDKDESNDAATEAKDEKQATEPESKAGKVA DKATEKVSKATGIASKASDYKAQKKAEATSSQEVSKKATKESTEKGKEDAKDDKQGEG EAQEDDTAAIDEKADQKAPAKPEKTWEFGLCILGTGSLINLPKATKPLWVKYRMERDP QETTKALYKVHITAEDWKNAFGVKNLNLTEPDFTASFEAGSFASTAQLNTTANVSFAD IKVELQGKLSRDDSYLLGQVGTFTYSQLLQAYAQIRGDRTPELSPLDAYGNDLKFDDV HLRLSRDMIDKALELSGKVTFNKHYSTNAAIKIGSAGLAIHGDVTDFKIEGTEITVKK AALDIEIGAKPPKIDKTNQGEKEEDEKEKEKESKAIEDAPKEKAANSKAKTPDSIDPS AENDKIEKKEEVIDKNLRNRKSKFSVTGDIDYSGRNFRVGLFYGRQAQTKKREWLVYG IVDRFNLSELFHNVKDTDFDFTLKNVALVAASKGYVDNGEINVKGYTIKDGISLWAII EPPMPIAKLNVNHERSLLALKLAVNYSQGIYTVGLEMPETFSVYSPKEHPKFGLEKFT AGMEVATDPKLTLGATLKIFMPDQEPLDVEIMVKGGMVNASASLRTTTPWINPFNVSK HIILEEIGGESGFDYATVAEQGPSDVAIIAKLQIEETKAGVAMKVSETDGEMLSVSVD KFNVCKIIRYAGQLTEIKELQKIGNGEDVFIINQGSLYISSGVGIGGKSYPRGISASA SVTIFDQTGQFDARVDDSGFTGKGSIDRFKLGALEVSAASDVTKPATFDIAMTQDEQK IKVDGMIHYHDIKLLALVDADLQKLPPMFNAHLLLEFTGQYKIDFFFNASLGSVKSLS EVNLDFSALIEGDLFDLICDGVNSFLDRTQKLVDQGFDSAKQKLENELSEKNKELKSL QEDLERRDQGMKEHEKKRQHDLEEAKRKVKENNDKLAALEKDVKDAKKNKEDAEKRYR NELKKQQEERNEIVARKRREYEEKLRKLENDERDYRTKKENLEATHRTNYGEKETALA WFKQHKEDTWNDFQKAQKTMHDWDDRWNNASWLDKSFDVNLKAGVNKAKWDLNVAAAA WVGVTKAEEGFVEFTHWPAYNALMQEINSAIKDLTKAVTAVQEFRQGGVDAFICAVVN DEDRKVKEKEDQLNLLLDSNSKEQKAIRDAEGKLDANKSNILEIIQENDNKIRELEEN NERKVLQQEYDNKRAECTKLENAVHTVEHVLNSLQADFDNGIIAIKGEVTAWRDFLPR ITRIEAKASSNALKSNEPIFVIVTAVYKGITKTFGVQWTPNSKSKPYDLYKAIGDSAK GSFPVPAGSS AOR_1_452034 MSLQTPSPLLRLPSELRLEIYRHLFGIAPHTRLRVGEACLDSDL PHSTERSWRLSKAFEVYMHRPSPPNASEYAEMDYKHCSATEQSRRNIYREHRHLFLAI LATCKTIYEEAMPFFYSSTFFAVSGNIAKASNWLYAMSHERRKYIRRLTFHFWSKALS ECFSNQGNMEHLAKQLTYMDQIDVVELLITDTRTEDELLDFADAESQGIPQYAVSRRP CEPLVLFGVEQLEAVPNLGCLRIVGRIDRLLVYTEDKEYLKAFAEGRKRAGLGKENGH RPVVELVQL AOR_1_454034 MVLVPYLKKIARWLAPPPPKSEDGRDQWPSRAAFLLAAMGGCAG QGNLLRYPSVVYNNYGLQWFIPYLLAVFLIAIPALILEISIGQAYRGGSVIAFNNINR RLKGVGLGPILVSFIVTQYFTVNLAWIMNYFRNSFYSPLPWEGRIEEFYMGDVIHNVD PVQGSLSEGNKDVVAFTKYPGLAVIGETVGWSAFIWFLIWVSIFRGVGLTGRVVYWTM GLPIVTTIIFVGRSLSLENASEGVRLLWATWRSSELASGTVWQTAVGQVFFSTGIGFG YFTSYASYNAKHSNAVMDAILICGSNVLFENFAAFAVFGVVGYLRRWPQEGERLGAFV VGFLTLPEAVLHMPGSNFWAILLFFTLVVLGFSSAFVMLDAVTTLAVDSGLKVSRPII VTALTLISFLLCLPYCTEFGFYLLDGIDRWINNIALIFVVWSELVGATTVYRWTDVVG QTGLPAFVVYNFGYFGGQIVGISVGHGTENPSVGAGAGFGLYIVSVAVAVCIATTPEA EAARFWNRNAVLRRFWFLVFYSGNQLRRDLNQIIGGGRNWKIPVFWPVLLRYISAPVL AIIFSFAYPEFHTLRYDPMMIAGFILAHLCLLAMLLGLVLPRYYDCFIPPSRYGESTQ GTIPNEPRPQDLGQPAMTSFHGTEIEAVPAVSALGDIQSLEFKSHSGHVGMKL AOR_1_456034 MFQAESHSHDKIAEKVLECKQLARDVKKKRPSRGCLPANLYRSF PDRRVMDELIEIYFATFESCYRILYAPSFREDYRSYINHPESARGPLLLQLALLMALT GTLHRDVNIRSEMMSKASTWIHIAQTWLSAPLEKDRLTLEGIQLHCLLLLARQVSRIG ADLVWISAGSLVRIAMQMGLHQDPDCLEEMSITQKKIRRRLWYTILEINVQSALDSGM VPMITDIDYNTQPPSIAINGEAQDNTQDEPSYDEVLALGDELALECGKAAMAIEHDVS DTDTRSVSFASSFCNHLLRRFPLCLHYRYAVKAKKNPIYCYSQKACLSGAQSLLLLLE DDMYHRLLLTGGGMFRDLITWGAMFIFLELCPEPDADMSMFARKTNRARNQPLLQDAR RVVQYARDRMWNGETNVKVYVCLSMMMAQAEARLGGLPVKDAITKALHESLGECHSLL KAMAADSSVSTTDPVLDSWASSGLMMPLFADLDADFDFLGDGNVDMSFLDTCFDLQ AOR_1_458034 MPQLKVLICGAGIAGNALAFWLSKLGHETTVIERFPKIRASGLQ VDLRGPGIEVMRRMGLEEAFRARSVPEQGLQLVDDKDKSWGYFPANRSGRGLQSFTTD FEIMRGDLCQLLYDVTKDRVEYRFGFCVKKLAQTEDYVDVLFSDEGRERFDLVVGADG SGSHTRKMILDAGAKDPVHPLGVYAGYFTIQKSLQPGEGYNATAFIAPGNKGIMTRRA DPHKYQAYLFCNPNSSHRLNSATKGDIEDEKKGLAEAFCGAGWKTSEILKGLVDADDF YCERMGVVTMDYWSQGRIVLVGDAAYCPTAMTGMGTTCGMAGAYVLAGEIGKHCGKGF KGGIPVPKNSITVALAEYEGRLRPFINTVQKGLTDNKNYMAKFPSSPLGVQMVYVLFW VASLLRLDFLAKWVLREDTKGWKLPEYKLMTDCACN AOR_1_460034 MHTGRAYIVTGGSSGMGQAVVKKLLSLSATVHTIDKAGQMPIVS LPDSDRLHFHPNIDISSHEKVTQTFESIIQQSPVISGLVNCAGIILPSNILEPVENFN KVMAVNVGGTWNMGTTYLRYVLERHADTMRDKKEGSVPEGIGSMVNIGSTASLFGSPG IASYCASKHAVLGLTRTWAKDFGDKGVRVNCVAPGATDTPLLGGVPPAFVDYYVKNVP LRRLARPEELANTVAFLLSDDASYINGQVIPVEGGFH AOR_1_462034 MNGYLHPPPQHLRCALSEIKSDPTLCRTPPLQAYLQQIQKSTKH SHHPGHENDKLYASDYIHQDDNKACDSCDSEQQLPRTPRKSTDPVIHYGTIASGNQVI KDAEQRDKLARQYDILCFEIEAAGIMNTIPSLVIRGICDYADSLKNKMWQRYAAATAA AFAKFLLSRVRTHQDSGINS AOR_1_464034 MPPVKQLVALRRKAGLTKEEYRDYHYQKHGAISTGSTPAETPSK YFQTHFFDTAYHADPTQKVPNVHPPWAFSDGVTELYFDSPEHLKHVFQSEWVAQKVGP DGANFSDFSAVLPMFMREDTIPLSITGASASQTHQLDGNAFVAMYFVALRNQQTPSGT IISELVSCINCYASQEVLAMVVNTPDDTDFNLGAYFGGEPPVRFQFVFTITLRGKESM GVIRKAQIDFEEKIESLDLPATWIGFGERAVVLDQTENVKFDARRQPFKRST AOR_1_466034 MAPPGVFFSLRVSQAIYAVATFALLCAAGHSYLTAFDHVPWEVS LAILSSCLSLVAVTYKAYTSLSPSQGLSKASTFALYWLVSFVSLVAFVCLAKFLSGAS ECEGSLCIVTKISTVVIFFSYAVWAAATTLVGIEISKDHGKAKTAVQEKLKALSDE AOR_1_468034 MQFKLFVAPLLFALAMAAPSFEDGHLAKRTCGTLTGEKLKICQE ACKAICSAGTAGIASGLCEKACDLGPLKREAAPEPEPSFGQKACDVACDVACNSTVLA LEQRKCLEKCKAKCDN AOR_1_470034 MTRIPQAITSKFQTSRASDGETSSVKIENCVGFTRVPLGVAGPL QVQGSDGTTGSFYGPLATCEATLIASCSRGCKALNMCQGVRFKILHDSMSRAPAFWFA NTEDAVAFFDLVPSLQPKFKKDAESTSKHIRLRTVIPHIVGSSVHVRFEYLCGDAAGQ NMVTIATQRVCDRFSASAEAHALRLQRITTDNQMSSDKKLAWGNIIRTRGVRVLVWGS VSNDVSKRVLGCSTELLYQSILNSKEGAAMNGQLGYSVNPSNVIAAMFIACGQDAASV AEAAWSQLTAEYDADTKLLRLISYFPSLPVGVVGGGTAYPTQRESLEILGCNVPGTKH RLAGLIASFSLALDISTLAALATQTFSRSHEKLARGRWSPETKL AOR_1_946034 MKNEPLPFNWVTIVVSPITGFLAAVYTPLKRETECFAVIYYFVS GIAITAGYHRLWSHKSYGATLPLKWFLAIFGAACCQWSIRTWAECHRSHHRYTDTDKD PYSVRHGLVHAHIEWVLQPEIRAGPTDLSDLDADPVGGFIYAGVIGLFFCYQAIFSIH SIAHWVGDQPYDDRHTPRNHTLVTLLCFGEGYHNYHHEFPADYHNVVEWYQCDVTKWC IWVWKQLGLAYGLKKAPDNVVGKGTYQQARKKLERKANKLDWGLPLSQLPGITWDGFQ EAVKDGRQLIILSGMVYDIATFIPFRPGGVKILVSHIEKDATKAFNGEIYSHFHCRTK LVG AOR_1_472034 MPLITDFKLPTSPKQLELPEGADAKAFIVFVTSDDPTTGQSWCP DVRAAWPVLEATFSGVNAPALRVVEVGQKPEWKDLNNVYRTNWKVPCIPALVRYERVN GETAETGKLVEGEILDKKRLGEFIGTTL AOR_1_948034 MRLWIPHSSSLNQVKEANNQIFGRLESEFCIKSTDFRSKATKLC MEYRKDPELQHMCERILDRASKYNVQLLELDNEGKRTIRQSMSPIIFSNVGKRGMRDE SDRLAVIANCLGYFVRLDSQRLEREAYSLSISMLALFLLNGEILMNGPDNSQSAIRSN IFNNLRSQSLRTFQTPDIDQKLTFIKSCRFAEVELLEQGVITSGHLWRLGRIVEDLGR TRPPQRGHDYQLSWYQRMRLGQLARHLGPGECGSRYGYIASAIDEYLDQDERWGDKDV TFSKFYKDLTAEEVVKAMMDDPRSPRLRLGALISQGDRTGTDPYSGIFIREAGHRWEK DETYVLTAVCPAEKTVDGIEKHVSLEVELLGSPKSRGPKRLVIKRWINGLFFFDRNSP ITKVVFPWPESLLV AOR_1_474034 MSDKEYKYFKVTVPEPWIAHVEINRPGHVNAFLEDAWREMRTVF DRLSTDPSVRAIVFSGSGEKGFSVGIDLKWVSNKDSPFMARPDEIVDPGRRAVTTLRR FGVEFQECISSIERCEKPVICAMHGYALGMAMDVCSAADMRICSKDTVFCVKEVDIGI ASDIGILARLPKVVGSYTWVKDVAMSGRNFNADEALRVGFVSTVLPTKNDVIGEAFRV ARNLSEKSPVAVQTIKHFLDYSRDRTVAEGLQYQLAYNVAAVQTKDVPVAISSILSKE KPIFGKL AOR_1_950034 MPFNTALTKTLGIKIPVVQGGMHWVGYAELAAAVSNAGGLGLHV TALTQPTPDDLRKEIRKCRSLTNRPFGVNITLLPSLMPPDYGAYVQAIIDEGVKVVET AGNNPGSVIRPLKEANIIVIHKCTTTRHAKSAVKLGADFLSIDGFECGGHVGEDDLTN LILLNRARQVLSVPFIASGGFADGHGLAAALALGAEGINMGTRFMCTVEAPIHIKVKE AIVAAQETDTALVMRRWKNTTRLYANKVAKDALKVETQSESGKFEEIAPYVNGKRGQQ VFLEGDVDSGVWTAGQVIGLIHDIPTCADLLARIEQEALTSMKRTESLWTGEASQSRL AOR_1_478034 MFRIISALHQASLCIKIFKTGAISSTITSTSITRRHHYRSALLS STILSQIIGNSFDLESIPVKQKQSRESPLTRRHKDVLRELGFTGLHRLGGNGFQAMYD WTHGDYPGVLERHNFLKHAGYADPGLNVSPSLLGDNASTDRVTVDVTLQRSTNQSLET VTESIRELTGQHEAAVTTNEDTSTLHVAVRKEQVIEIARLRGVNTVHEAYEQVPYSNV ARRIFKVDEAETSD AOR_1_480034 MAGIQTQLDQGAVPNAISNVCPPGTKFQVLEVGWLECDKGFVIR GGNTSTKSTETGSFVNERCEMPMYCILIDHPHEGLILWETGSGKDYPTVWGPAIADIF ARVKYEPRHELRAAVEATGHKLDDIKKIIIGHLHLDHAGGLDEFLHRTDVEVWVHEKE LTNAFWSVATGADVGVYLEHYLKLSLNWKTFNDQTLDFCQGITLHHLPGHTDGLIGMQ INMLNTGTFFFISDHCHVIENWRDGIPQGWLARDHPSWFRSTQRLKHLQRITKGQVIP GHDKETFLALQSQANVFT AOR_1_482034 MDVPGGMSFHESDTLSAGKKTTTVDLEGYGQIGLGGAFALVYPS AFNTTTGPLHWELLGRARAVDNQGYGMVTDPMGQVVAGTNQSEDIAYALLHPETIKRS RQRVEETKVLSGPQIGVGSPTEAVMIPKLSTLLPLIAST AOR_1_484034 MADLDTCLPLTVDPFHTAHRSISLYIHRTPVLTSKTLDRIASTP QERSALEGTHFEDQEPAKPVFRLYFKCEKFQKIGALKARGAFHAVLRLFSGLGLEEVR KRGVTTHSSEPSFQGGDDGRHGLAAGSRIPTVSTLTIADGLRTPVGEINWTVIPDQKK VRGVFAVTEDQIKDAMKLVLERMKLVIEPSAAVPLAVVLFDEEFRTIAEKEGGEKGWD VGVIFSGGNTTVEAIWNLHGYAKLLGIYSHSSLSYAGTKPQEAMQHLIKEIEGCKEGL QRHLQFFPLKDLVISVGATTQALSSQFLLQDGYPDPELNTLRNLLANPFGNDLDAKVK IEIHAGVYPLLNMQQFSTNASIEMGRPEDDIAILVLAEVCSVCNDGERPRPEALLVAG TLALGRPVSFTRPIVERISQEHSIVSWEEKQADSSGIPRSRTVLNLKAVLESAGSILE KTVEVKVFLSDMEDFEKMNEVYLQWFGDIKPARTGVAVKSIPEYTDIEMKCVALM AOR_1_486034 MLDTSDSIALTAFVVSIIALAATASQLLQQYFTTAYGYNRCSRR FIGDWSRFRHRRYIWREFRFETTFVRPHIYIGFADAKFNAPAPPSPSWNVLDLIPPVR KDNAWQSKYLGKSILISQLGDDFSDVRTHVSWTLFLQHIKMFNDLSNRRMQTVSSSLH AGTDRDSETSRLYDQLSDSDQIDDRELEDGSGYVLSAKADHSVEPNRHFGICVKFEPC TWDDLPGTANILTGTVSLRDLAVFGRLLGLQWNCGPFMGTPGRLEQQGASAGVDSLPP RGGFQAIGNSLALTEKELPQLRYEQREQSPPGFRFPRMETFIIHEATVAMFYGHIVPD DDLLPGYLPIRVGDAAAWETRLQQMWIHQRPAASSTFVHCLVPLLSTVISDRFTYAVR VPKPHQFTIGPFARPNITDSFRETVDRVIQSCSHLDNMVQERRPGTLVRKIAAAIDDL RQYPRRANTGVQDLNYWTVCQGEASAWAHFENDNNKEAEIFFHKVHDYIDMVQEELSS LIRRKDAAIGIYTNLYFDLVCAFFEFAIEQNNNGQSGKANKTRKGPIMQWRATMEALF DNLNVIEASFRERGKRRAIMHANGMSAGTLGDQFDVVVRCEGIRGYDSVDSDEIREAT IAMVFRAMCWLVT AOR_1_488034 MTKVFRACVVLTLFLLANAADDRTCYYLDGSTANNHVPCTTNSV TNCCGSNDICLSNGLCYLQGSQGLSLSRGTCSDKNWGTECYKPCSSYRRNTGMPIMNI GYNYSSTQYCCGTVIVDNNAVGCKYEDPFELTEATVIPGVAYLANNGVSGNSTSSPSS SSCIAVEAGVSIPLGVIAIAAGIWALWERRRGRRQLRNYSPMSDAEGTVSVAPAPSHQ HQTAELGGQGVAMSLPELMDTRTEYREAPSKP AOR_1_490034 MRLLLIAPLFSAVSYGAQATNSSISICPPATKPANASDAIPATF PGYAFEESSFVYYAGNKTNPNRISQNLIRAVSQKTKTRPVIRVGGTSLDRATYDPNLS DPIYNPDPNSGIPTHLKIGPSFFDLFANFPEAKYVIDIPMYHDNLSNSILFAASANKR IGASNIYAWEIGNEPDNYGNTGADWNEKQFSEKWGNWSKAISKALNASLDSQTYQAVA LSSQTGVTGTPGGDADSWKIYQIKANETSDLQADLMNHDEVIKGTAFIKTALQNLATI GVDVPIVLGEVGNTLGNGSSGVNLEGVLGSALWEVDLSLYTMFLGVHGISMQSGTKFP FALWHPQYSNTAGAVLPAFYAQIFAAEFIGSHGNVTVANIDLGQPHLSAYAAYEGANL ARLAIINLELWDGRHESQEKRHSKQMLLHVPAGTDSVTVKRLTSPAGGMARSSNQITW GGMQWTYENEGRGENVSTGAERLTVKDGTVHIAVNASEAAMVFF AOR_1_952034 MDQFFKFLAVNPRIKYATPTWPDFGSWRAGFVVDEDTIPAVIVR PRFAEDVAALVSVLATNNVPFSVRVGGHDMFGRSQIHDAVTIDLREIAYVDIDHTGHT ARLGGGILVAELIKELEKDNLVTPHGVIPGVGYVGWATYGGYGLLSTQYGLGVDQILD AQVVDCEGRIRRADKDMLKAIRGAGGLLGIIVEVTIKVYPLGQVLAGAIIYESSNLSA AIRHYNNGYHQLKQDYLPSSLSLYQSVMNGPSGKALTVLFCWASSDMETGLLWLSKVQ AFSPVAMCTVSPTTMAAFTLANEPLMPKSVHGTMLTANIHELTPEVVDVIVPSLDSVI YTRSPHFVLEIGAIVETPHLLPDAIKWGQSFYNAVHKTDLANIMPSTYLPLTGPEKVD MGAIYGPNLEVLRDIKQRYDPRDVFRHALVKLLRGALEGSSVGGVLNTIAGAKLGDD AOR_1_954034 MASGIYQSANPHSNARELAYQFKLTTPHFILASQETLVCALEAA EMVGIGRERVYVFNHAPLAKDGSGNDDSKTGVKHWKNLLASTEIGRSFYWKRLTPSES KLTTVYMIMTSGTTGLPKAAEVSHYGILSNCVQTDFVMSLEPNLRTKELAAKNSSGHD QGPCSGSGKYDLSSVTKTFSCAAPLGPEPTFQYESLWPEGQVNIKQGLASTESCCNTI GWDPTLTAVAGSVGEPIPNCEIRLMDDDENEVEPGQSGEIWFRGPNLMKGYWRNNKAT HETITSDGWLRTGDVARQDENGWYYVVDRKKEMIKVKGVQVWRAELEALLLDHPAVRD AAVIGVRKDHEEHPRAYIVAAPETSVTSDDILQFVNNRVSTIKRLTGGVVFTNTIPRS PSGKILRRIIRDTIKNESKL AOR_1_956034 MATITRNPLDSMKSTWRSWDRTQWTAAHWLIETLNIHHIDLDKE VPIHQKTDKVPYAPELQFHRWVLIHASIPLIIHQLYINYIGQPSALLVFIFYSLSLEL IAIHEVHVLRRVGHKIGFFDGDKHPRDGVPDVGVRKTVQTLLSVIFLRPMATVIISYR ADEPPSSIRWFWLIFETGVYAVVLDFWYYLFHRSAHETEFLWQFHRRHHLTKHPNPLL TAYADLVQEFFDLVGTPLITYGTMKLMGFPMGFYEWWFCQQYIIFTEILGHSGLRMIA TAVNPWTSFLRLFDMELLLEDHDLHHRKGWKSSYNYGKQTRVWDRLFNTCTTRIEGHR DNIDYVNTAEIPLH AOR_1_494034 MTQITSNLSSPLQAPGQQAGCTLDVIVHAIDAHDKELQLINEQI HKNPELAFEEFKAHDNITTLLEDLGFSVTKHAYGLATAFVAEYGSGGRVVAFNAEYDA LPGIGHACGHNLIATSSIGAFLGVVAALKASTLPGRVRLIGTPAEEDGGGKIKLIEAG AYEDVDACLMVHPAAHKRFPDGVTGVSYVTSNAIVKFRARFTGKPAHAAGAPWQGINT LDAPHERIHGVIVEGGTKPNVITASGTVDYFCRSTSLEEAEALKDRVIKCFDGAAIAT GCSVEYETREAYADLRPNKALCANYDSAMATLGFPVASSGATQPGSTDMGNVTYVCPG FHGGFAVPADPGAFNHTPSFTKAAGTSKAYELALNTAKGMAVVGWNVLSDDSLAEGVR NDFEEDKKMRESSRR AOR_1_496034 MSDRKEALIRFVDPGSFEEHQTEVMSIINDDTETDEFIMQPSFP PIICPPPLTKQAVNKLKALSGVQVVLPNDEE AOR_1_498034 MANFARLLKLINRPLLQTAIVFIIILLIFLALPHSSYSGATANA SGATRRDVDAVKNETLGFEKVFFINMPNRPDKRDYITLASSILQFHPEPVNGVFVDDI DKKAYPSNWDHGKLPAEMGAWRAHMNVMQRIVHERISTAFVLEDDADWDVNLKKQLQR FASASQLVQGDTGPSHSPYGDLWDLLWIGHCGIQYKTGPIHVTTDDITTVPLPELPRY WHGFPAGADNGTRLVARMHDGVCSLGYAITYLGAQKLLSALSLTPKGDGAPFDVAIGR FCQNGWLRCIAPFPSLIGLWKAAGPKARESDIHNDDGWIEKETPVGTVYSAMDNAHRL LNGERTVHAVLNDAPAPEIDPTKLELPEGTLKMLDDTGISEIIKGNV AOR_1_500034 MATQLDFPALSKQMMGKWTTEGFDILVSYSEEKVNQLLRARSEQ LKSILKMGPLETSYVDPLTDETIHLNVFMDLEHPLLQFEDEHGNITLTFDIQKGHYVI IAKNITKDLPSGMAISFKTTLNNVKGTVELSQSEDESTGKGVKTASANELVIFNPGEK DISQHVCITFEKASADFIGTTEESKKRVAGMAFLLGAVKEYFQQHAELKYFVAGVSNK YNPESGSDSLQPRSFRFNTLKGKTENDESALCMWISVKEGTNRPESYTQAFEGTFYAN GLIPIPRGRTCSLIMHNDLLIKQFIMPNLSKGFKSFKDKTSGKGGLNLSATMIADDID IKEMKKKESWGPGGIKTTTVDPMKFSLSDPETTISFGDGIISKSNKINYTNKQQVKWK IDTVTGRVPGHEHGTTNLEFKWTATGSWKDKKTPGHPNLLGFDWAGDKNWTITKSAED VHWWEAFGGASNKIPEPLQNLQVPSPNTKLEMNTLDYFLTTNLLYPGKHIFDADDPSS GSTDKGLAFPHDLILTGETKIK AOR_1_502034 MAPILPDNNYAYHSNAKVTIILSTGKYTIDDKFKFSTNACLIYA ETIHIASSIKAPGQSIGLFCHTLTTPSRVTINVSGDEGRAGANGVDKDGGKGGDGQNA GNVWICVQSLPRENTFLNLEIKAYGGSGGRGGDSTSSQYDAKKSKGGDGGNGGNGGDI ELLFGTAVMDAARALVEIQKRPWPEQALCLTEPILSDSLPGYLSQEDTQLLGPLKSLH SVLRAIVRQLKILSGAGDSKEIQDTASSLIREVDTDLAANDKAPKNVTTDTFKSLQGI LESIRSLNQKTPNLNATDILANAKKAIGTVVPQNDSKMISITENLQNTLLRTILDIET SVYDIAKFNSRGAAGFGGIGGIGSQNGASGKAGQKDGRSRARNLHFQGTKRDADVWQA YIFPEQCQMLLNKADDLFFSSNTDDWKSANTIYNTLLARLQVLHDQGNSSSGLFSALE HLETELNVTYNPIEQLRLVYEQAISRRNRLLLGQDMFGHVDSWVPRLSFGFYAQSVEQ RFEVLKSAEYLTAEYEEAFQKNNDLRTTVEKGISKMLDAQKEAEAKIDLLTSSNGPLV TGIYKISSLTKEVKTKRQLLTKKLTNIQFAAKQFDWTILLDAASTLVSLRADPKSIVD TVKQGYEIYKKGTDESTAKNLHGDAVKKEYIIDQLAQCSDTLESLEKAFTTRKDNQIE IDDPGALKIMATKGNIQKILREFKNAIVEKDKKDIESALDDYIAVTLNRNNAVLDYNS SLQLLFEASNAREYSKSQAESLGQRRHTLDPNTPAILFWLRKTRDNMRLQLMQRLNYE SRAIRFWGLKKHLDYSSPGPLRSFIELRDGQSKLNAAYEDSLNSYANNIRVTWPREEK EKGLFYILSNAELKSFKQRQRLTTSKGDDGVYSASIRLEPGAPPFGPGRADVRINQVR LWLLGVEVKADNAGRKQLMVKIAHSGNETLENTDRQALGFSHDAVNIQFEYNTAKVQT PDDFKTDVVFGKQGLENDWSGGDSKPTASTFAAIGPFTEWRFSIRESENVGLDMGSVT AAYVEFRGANRPFSVDYRKA AOR_1_504034 MSISPPPTPPSRALADNEWKFEPITLPCEWVEDYRPGGYHPVVL GDIFNNGQYKVIRKLGEGSYSTVWLARDLKNRRYVALKILVSEISGSTTELRILRHIT EVAPAEAGRHITRLLGEFEHHGPNGVHRCLVFEPMGPSVNTMVEELPQFKPRMRGMKI RYPLRMAKSILKQSLQALAFLHENGIAHGDFQPGNILFTLDDIGSTPEDVLRQEEDVQ AESISPPVQRLDGKEDKWAPRYLCVAQSLVPFTYYAEGFKVKLSDMGGAYFFTDPPTK PVTPLGLRAPELILTGAVDNTLDIWSFGCLVFELITGQPLFCIPGSDFEDDDHLLSLT DRLGALPDELFKHWKTSSLYFTSERKLFNCQLGGVAPGGEPLMVEQTSMEELFDQAGP DLDEEEARKVKALIRWILQYDPAKRPSPAEILSDPWFCEIDVESESARV AOR_1_506034 MARSLSAEGLEVARVEGLEYNRYAEGLHESGRSITASTTSSAPV TSGTSGIAANSCPGINETIVTGSTGSAFTVLCGVDWPKGVQAINGKGKVSDIGRAAVY SIQECIDSCLGSHKDDCKGVTYSANLTSSFDGGQDGNCFFKDQAGIYFPGGDTIISAG VIGG AOR_1_508034 MTDSLKEVSQIQGIEVVQAGLEPIPNQNNPHHVVVPVLANESVP RESRICGLRKMTFWLAVIVAALVAIVIALAVGLGVGLTRSHSVSTPPMATTSSKSTST PSSSPSSTSSDTASSSSTSTSAPSPTSTTFFNKNTNYTCPDANNTEIRNVSGGSGNSS YYIFCDADISSSSKKDLSSSVQSSFADCLALCNSMNNFQDRTDVGCTYNFEGTGSQDK GTCWCLSGGNKSIITNVGNMAAVLSTENALLNL AOR_1_510034 MAWLMSLGFVHLVSLLSLGEAKVLSDLSDGFCRSYTFGANIVND VLYMVDLDGGLIPGDTNSSNNYLVQLDLSSSFSTDDGEKYKMSLVDSEVPKIKGQALW SDKANTTLFTYGGNYLDVASVDQGLWTYTIADGSWKLQQTSIKPVRLQGGAYVDAPQI QAAYWVGGFQNSDTTPAITDSTVDYATGMIQFNTTTGTFTQLDAPFAPVQQGALVYLP IGEKGVLVFVGGEVPSIQNGINATLTPNQWNYAWVYDIAGNKWYNQTTTGSVASRTQF CAVVEKDLSTSSYQVYVIGGADYKSKDSLTDVSYLSIPSFKWFQAASLNKPRMTHVCQ AYGRQIFGVGGRLAWSDDAGAGCYDMPAFVYDAQSEVIRTQFDPGLSTYSLPSATAND IKSSPYPSTWADPALKSLFVQKPNDTTNSPDAQPDPSTSTSGSSTKVGPIVGGVVGGV AGAAIILAIIFFALRKRRRDYQKEPQGEKWPDNAPVTMGRVGGELPAEAPRRELDARS NARSELRGTTSFTPQYQGLEDLYRRLREKYDEDFVIGIPL AOR_1_512034 MAVENAIPTTSSTDAQALPDEPKPAKKGYGWRFWAIFPGLCELY VWVINGYFVSQTAVQPLYGQVANIFGRRWPTILSVALFALGSGLGGGASSTEMLIARS LLPTWCLPVYFQLVLEATPKKSGIDLFASFIPMVPGAIVGGVLITVTGKDKPLLVAGF ILMAVGMGLFTMLDDRTNTVRWVIYQVILALGSVISLIATLPAVLASLPESDVATATA TWAFLRSFGSIWCVSIPSAVFNSRFTDLVGRIASPQLRGVLLGGGAYQLATKAFMESL DDTPVVKAQVVSVYVDSLKLVWQVGIAFAALGVPLSLLIRSLALRDELNAEFGLEETR TENVEEVRVQ AOR_1_514034 MPKSDWVQHQVTKGLRAVIGQVCRHPIHTFLATALIATTTYLQV LEVTFRAANRGLSSKTDAAPLNVESFLWGSRSLRVGETSSWRWQVDDLSEATAGNGRV DHHWALVTLTFPVASADSSPALMNTLPDSVGAEPITPTSNFFTSISNEFSLAYRVPYT QLSVFLEEVEFVASDKKDHSWATRSPHGEGRLSLGRWLGSSWLSFLHRAKHAETVDLV IIGLGYLALNMTLVSLFRAMRHLGSRFWLAASVLLSGAFAFVLGLGVTTACGVPVDMF LLSEGIPFLVLIVGFEKPIRFTRAVLHASKERRRVSPPREAADSKDNRQRHMIPNTML FAINREGWSIVQSYLLEIGALALGAVLQPRERFGQFCFLAAWMVLFDAILLFTFYATI LCVKLEVTRIRNPNTLDLADEQHGPRIFGYKVNATSVARWKLIMVGGFVLVNVLQLSS FFYRVMGGFMTKAAFTPTTVSPLKVAANGLNDIYLDARAGGVETRVTVLPPIRYVIEA SGLDVSTGRRPGFDGVLAGLETPLGRLCLMGALVLSLYLNNHLIHAARWHVSPDAPKE SAAPAPSSSPAQVPSTASPPVPPSRSFEEIEALFRANQTESLTDDELAELCLRGKFPG YSLEKTLENIVSAGSSSTATTRLEAFTRAVRIRRITVSRTPSTQDLSGGIQNSLLPYH SYNYELVHGACCENVIGYLPLPLGLAGPMVIDGQAYFIPMATTEGVLVASASRGCKAI NAGGGAVTTLKGDGMTRGPCLGFPSAKRAAEAQRWAESPIGRRVLTDTFNATSRFARL QSLTFAQAGTYLYIRFRTTTGDAMGMNMISKGIEQALQAMTAHGFPDMNTITLSGNFC ADKKSAAINWIGGRGKSVIAEATIPAETVRKVLKTEVDALVELNTAKNLVGSAMAGSM GGFNAHASNLVQAVFLATGQDPAQNVESSSCITTMKNIDGNLHIAVSMPSMEVGTIGG GTILEAQGAMLDLLGVRGAHPTDPGANARRLARIIAAAVLAGELSTCSALAAGHLVNA HMQHNRSAASSRVN AOR_1_962034 MYTFFDSDFFHFEFLRVLGTAPFEGCDIGKCLETIACIKTPDAE SWFDAWVAAGRKAEAAARWREFALLADPAGYGGEVLSLEVPYEHGLMLPAYLFMPLRE AGLTEPIPVVVSSGGFDSTQEELYFYIAAGARRRGYAVLTFDGPGQGIFHRRLDQRAG FPAQAPEGAYMRHDWEAVIRPVLDRLWTYSKANQHLHLGLNRVSIFGESMGAYFALRG AGGPRIKACIAMDGFYDMWDIADSRIPPVFVKAWDRLGDRFFDRVIRSLGRVHFRTRF EFAHARFALGLPTYAQATRAFQKFSLRGDGEQGEYLARVQCPVFVTGAADWAYFPAQG NATRIFEVFNQLHPEMAKLWVTKGVGSSGLQAKVAAISVVHDKTFE AOR_1_964034 MLLSYEMIERSLVEPLLPPNCGSCCILPATSFARFPPNDSTQPA FIIHDEHHGASNAQHPYLLEEDPCVFDAPFFSWNAREAEAMDPQHRVLLETVYECLEN AGTSIQELQNTQTGVYVGLMTNDYHDIHLRDMETIPKYSGTGTTRSILSNRVSYFFNW KGPSMTIDTAFSSSSLVAVHPGGAKPAVRRDACGDCSGRESDIRARDTGTAVEKVLLR LCSRLSREAVISGRPGSGCQPVLTSGMQKHVGLAEEDSAPPLWLSNPWFSHMKWEDKK VLPGEATTAASARIPVMDQLKAATQVAQAESILCTSFATRLAAILQMSADSIAQDTPL VEVASLANLGIDSLIAVEVIGGASIRDICRDVLDKLSLTFDASESPSEAPNDKPVSVT TVDQGKSPFFRVSSIDANRCYIDESSIDASPTTSSTESVSEDRNTPTPSEDTDDVSGE FDPRSDGLSLIKDNIALPSLQSLAKLQGHLDCDRFEAAIQAVIQRHEALHTAFQVIST TGDPEQFTFCREGFVLGRKTIANGSDINAEFLDFRDHVFDLDNGGTLKASILQLSRDT HVFMLCYLHIVMDGISLRTFLGDLNQSYVSPGVSQPASQYLDYAIAESKQLKGQAIKD DLKYWKQQFETPVDCLPLLPFSRVQSRPPLSISKSFTARAFIKKETVARVKECSRQSG SASFHFYAAALQVLLFQLLNGSFDELCIGIADANRHDDRYFDTVGF AOR_1_966034 MYAALAHSKVPFDVLLEELKVPRSSTSSPLFQVLLNYTLGIREM STFASCEMDIVGVEDARSGCDLVVSIVETAGQDTALSFTMPPSLYLDQDCARLLDIYF DPALISEIGSGGVVERWEGWETTVSQQVDVASQRYPDNIAVKLGFTNTEITYDMLNEL VGRAARALKDLDVGIATRVGILCEPSADMIVFILAILHVGAAYVPLDSRNSHERLSSI IGDSSPRLLLSDSRLGECASLLGEEHIMPVRLMETLLIVDSPDGPYEGNVSHPDHPAF VLYTSGSTGAPKGIILDHLNWVNQFAAVTQEYGLVQEKVLQ AOR_1_968034 MAIEQMFIALCNGGTVVVARSSFRGDAVELARLIMEERITYTMA VPSDEWRYAFSSGEKVTDRLRDEFKSLALPACPILFLGRLEGDSQIKLRGQRVELDEL AHALLLVSKEKLANAHVCVRGTGADTFLVAFVVFSNDPLDEEVDRITYVKQLRQRIPL PRYMCPSIMIPLQEPPLSVNGKVDRKALDAIVLPDSSVDDQVLVDLDEDKVTLLRMWQ EVLPDTAAKVRLQSFIKERLGIQLPLVELVESCSLEAMARRLWSNHSVSSSHFAWEDE ACVLISDLDLEYANLEAESASLTGDAGKIMILTGASGFLGKQILQGLVSSPSVSEVHC IAARSQTSMEKLNANESSKIIVHTGDLTLPRLGLDITTARSLASRANIIVHNGTDVSF LKSYSSLKKSNVDSTAELVRLAKPCRIPIHFVSSAGVAGFVPRNELPLREVSVAAYPP PRDSSAHGYQIAKWVSERLLEMANQQYGLDIVLHRPTGIVGEDAPDANILGNLLHYSR QLELAPDMDGWDGYLDLVDVEAVAQQIVAVLESPNVSETGVRAVRVVHTCNPGAFPVH ELAEYLGRTRGKPLGALPMTEWIGRAMQAGMNEMVGLYLQEVTSKRLSW AOR_1_518034 MAESTRPGEVSYPDTNGSSVKNAVTNGPVVDHIKSEAARTEQEL RELKNARVTPSTTTATGQHLTYYHSLLYSLLSWEQPRATAVSFATVVTFIFAARYLPL LRWFFKFIYVALGFTAAAELGGRLVLSQGLASSFRPRKYYTIPKETIEGVYEDLEQLL NFVLLEFQRILFAENIVHTVAAFAAAFSAYWLIKWLPFWGLSLIAVTIAYIGPLVYMN NREVIDAQIENIQEVVNSQAHQLKDLAEERTSHATGLMKQYVDDYSAKAQEYIGQRRS VSPQMTKVSTPEPVIKKEIDTEPVFKTTDFPEAPKEEPVAVKEEPVAVAESIEHPALN AEKEPLLAA AOR_1_520034 MAASGARAQKPVGSAAWISTEKENFTNLLNQEMEEVEYPVRHEM DWLNEHMAEIFSNNQFNFTEAFKTPGKLRGKTPRTARKRDPAENRVPLSEIFSTSHNR FEDKVASPTPHRSPAKQKAPVSVPTPAPAAATPTKPKHATENAPRLQYPDLSKDLNSL TTYNTDSGYHEMPDEGEEEEDDDVVLTQVQPESQISTQPFDSQPTPKDVQEHRSSISR RSTAASFHSAHEDVQQRGNTVEPMQIDSTPKEATKEHTPQPLPKPKEPEPKPETEQEA EQVEEPEAAPETQLPKTISSPAKSSPMKTSAPQPSEPREEVKDDAKDDMALDDENLDD IGSPSDGSTPDRPLIRKSSLSFASLPAREPLMKKSLGGSRISRTSHIDIAKINNTGGS GFLGRQTGGHKSTQAALDENATNGEKMDLDDDKQGSQEDTDADTKASKLHNKSSTQRL HEKISMLGKLQPSRPTKSIPAVQGLSSTQVTYPELPSSKADTKPETASQKSHGTPAPE PMETEDDDWIRPFGSPSKANFPRGQTADAPEKRSGVGASHATDHDDAPAARKESAASE EPARSSAKQGLEAVLGKYSTPTYSSPQRPSHQAKVSTSHLDSQVSTTPAGSPLAQDGL VSASKSRLQSIVRSAKGLFTNSGGVAAAARMEASSPDEHRVQRSERVEPDRTSRKVPQ PTRDHSPPRQEGRRTRSSTEREERRKQKELEDRQREEEEEQAERARQQEKERQRAAQL KAAQEKLSAENESRKAPVAPTPQKMSQLQKHSSREPEPSYEAASKPTARPSVSQQQST RQRPPRPTRETLQKPKPQPQPVSIRVGSALSRHIPLASSSVSGAAESSVPAPTPASAS KQPTLKKKASNSSLHTASSNSSFKSSVSSQTQRKAQLASERKREQEEREARRREEQRR ELERKRAAQQQEETRRQEMRSRAEAERRERLASEDPKKAAQMQAIEKRRLENARRLER QGSQQPEMNTTHPPERPASQAARPASRLGSMQPYNRTINPPQPNPAKPPKRGLDDEPG HRSAAPKPGTMQPSGETKRRRTEDEHNPVMRPTMAPPIRQSNIRKESMIRKEPTKPSM YGHAQPGSSIFKTAQSQRPAHPMDMAKYASGKIPFAEPSNAPQPATHKTPVASSSAKK SAHKPSPNYPNGENIHLPEIATDSEDEDSDAEMLPVPKWAQPKELESLLRQQEGLEAD SIFGPIAPFSLEETFKSDKRIKKFRERTSSANWAGTDALTQEEIRRDLAERQRLRLNG GWSFN AOR_1_522034 MSLASLLVCRRSLSVATTTSTTAAVTVSSSSPAAPPPYYYHFYS TFSTAEHLSTESGGPLTAFQWSPVPSSSAAWSISGRTRRPLADQLPGPQLCSYAIAPA QSIHRHEFVRSIASLAPPLGSHPRFKNFSIKAMDLNGDAGAKRKRSSIVPAERPAKHL KPESSALTPGDSTPANGTVYDIEDEDDASRLLPIGPAQADSPEWQATIEEVVKSVVSI HFCQTCSFDTELSMSSQATGFVVDAERGYILTNRHVVCPGPFWGYCIFDNHEECDVRP VYRDPVHDFGILKFDPKAIRYMNLTELKLQPDAARVGSEIRVVGNDAGEKLSILSGVI SRLDRNAPEYGEGYSDFNTNYIQAAAAASGGSSGSPVVNIDGHAIALQAGGRADGAAT DYFLPLDRPLRALECIRRGEPVTRGTIQTQWILKPFDECRRLGLTPEWEATVRKAAPT ETSMLVAEIILPEGPADGKLEEGDVLLQVNGELLTQFIRLDDILDSSVGQTVRLLVQR GGQDVEIECQVGDLHAITPDRFVTVAGGTFHDLSYQQSRLYAIATRGVYVCEAAGSFK LENTLSGWLIDSVDKRPTRNLDEFVEVMKTIPDRSRVVISYRHIRDLHTRGTSIVYID RHWHPKMRLAVRNDETGLWDFSDLADALPALPPVPRKADFIQLDGVSQPAASEIVRSF VRVSCTMPLKLDGYPQAKKTGFGLVVDAEKGLVVVSRAIVPYDLCDINITVADSIIVN AKVVFLHPLQNYTIIQYDPSLVQAPVQSAKLSTEYIKQGQDTIFVGFNQNFRIVVAKT AVTDITTVSIPANASAPRYRAINLDAVTVDTGLSGQCSNGVLIGEDGVVQALWLNYLG ERTPSSHKDVEYHLGFATPSLLPVVSKIQQGVMPELRILNMESYVVQMSQARIMGVSE EWIEKVTQANPSRHQLFMVRKVDCPPAGFDNMADTFQEGDILLTLDGQLITRVSELDV MYDKEFLEALIVRNGQEMRIQVPTVPTADLETDRAVVFCGAVLQKPHHAVRQQISKLH SEIYVSARSRGSPSYQYGLSPTNFITAVNGVPTPDLDRFVKEVSKIPDNTYFRLRAVT FDNVPWVVTMKKNDHYFPMSEYLKDPSQPCGWRTVSHNKSKHKDGIAPDAANLNPDAM EQGYDGASDIEPEAE AOR_1_524034 MDPNATGFSMLQQQQQHFPVTSQSPQQFPYYPNAIPSYPQQKAP SHLPQQHSFGAMPMQAGAPGGAMMPAGFPQHSANFSAPFAQPPVPATMNQFMPPQTVA TSTPASNVPATTAPSFPQNMASISANNLVPAQPQRPAPQQNPQQASAPPVTPSPATAR EKARVTVLLDINSMLLQEVVNLQAAGKAGGPPAQPQDSNPPSDQNPDTAKGPTQKPSQ EYIDCMRRLQANLAYLATIADRAKKSGGVPPTAPSIMTPPPHMPSMNEMYKKLAELFP RTAPGTTGTPQPSPQGNGKPSPSPATETVV AOR_1_526034 MSSARDPQSPTFFEQRSMQPQTMAQTPISMDAPLDGLSSLSSVH FALIYIDENGKLRFEASPSIASNCQSILSPNVTDSFLRAVALSNKGDPGVIGSPRLNQ EHMAGKSPMSPQSPGTSNLSRKNSMFQTHQDGHQAKRKRVSHECVVPMSINCHQKTML PIRNHGLLRKYYEKAFESLQQINCRILAKAYIKLVEPRKQVNYPYNGRKIISGSSQQF DPELTKPAWWPSGVTHREPDHLLKAERIRLLVHILCELRSSHAICVEKLREADQSIRR QILPGERLQVLDEIYSVREEEEAFLDGRTDGQAVVCVSRVNLPDMAEAQAMGSPGSTM QTDLNPVYRKEVPDMESHTSVFPSTGSSPTSDTTKHSMASTKSTSSVSTTWDPCLPPS LPSLSTLKRTRPGESSYPLEFNTNIFQHEPPTTVSIDSHYPLKYYSEPHLGHNQHSQP LPVMGMTGTADMGCANPYYFTSY AOR_1_528034 MRFQHLTTALAVGVSAHLAQAAGLHDAAVAKGLLYFGTATDNPE LTNTSYVTQLNNTGDFGQITPGNSQKWDSTEPSQNEFSFTNGDVIADLADANDQKLRC HNLVWHQQLPNWVSSGSWTNETLTAVLQNHITNVVKHYKGRCYAWDVVNEALADDGSY RDSIWYKTIGEAYIPIAFAAAAAADPDVKLYYNDYSIEWGGAKSTAAQNIVKLIQSYG GKIDGVGLQAHFTVGQTPARKDLASNLKAFTDLGVEVAYTEVDVRMETPATDANLQQQ STEFSNIPSSTATGRVKVYYQCGGINYQGSTECEEGLTCKKWNPYYSQCIQA AOR_1_530034 MGQIEELPDDFDESLDLNKQTPETQDAPPAKEVETSFPVDKERA KEFEKENPGAPKMPPAMEAVRSHTTDEIADMMNKTPLFMTDIDKAKDENGENVFLDAL QALQNEGTRAEVAQNFREQGNEAAKELRWIDAKEFYTKALAVIFAKVDKWEKPEDLDA EQKLLRQVEEASYINRALCNLELGNYRQCTLDCASTLKMNPKNIKAFYRSAMACLKLD KVDDAEDAAKRGLAIDPNNKSLQIAAEKAAERKAAIERVSAKRKAEEERKKQEKKLLD VALKAREIRTRTTDNPADLQDAVMHLVPDPLSPESTLEFPAVFLYPMDAQSDFIKAFS EMHSIEDHLEYIFPLPWDANNEYTIKSVDCFMETATGGLIKAGKKLPLLQILSGSKVE VVDQLVKFFIVPTAKSGQFIAEMKKRKQV AOR_1_532034 MTIAKTYNILVLPGDGIGPEIMAEATKVLSAFNTSTVQFNTRSE LIGGCSIDLHGKPITDAVKEAALASDAVLFAAVGGPKWDNMRRGLDGPEGGLLQLRKA MDIYANLRPCSADSPSRSVAREFSPFRQEIIEGVDFVVVRENCGGAYFGRKVEEDEYA MDEWAYSTSEIQRITRLSAELALRHDPPWPVISLDKANVLASSRLWRRVVEKTMAEEY PQVKLVHQLADSASLILATNPRVLNGVILADNTFGDMISDQAGSIVGTLGVLPSASLD GLPSETRRRTNGLYEPTHGSAPTIAGKNIANPVAMILCVALMFRYSLDMEREAQQIEE AVRTVLDSGIRTPDLGGKAGTTEVGDAIVAVLRGQKL AOR_1_534034 MSTPGDYDAVRRDIVAQLKKPDYDDGSAGPVFVRLAWHSAGTYD AESDTGGSNGAGMRYEAEGGDPANAGLQHGRAFLEPVKERHPWITYSDLWTLAGVVAI KELGGPEVEWKPGRTDLVDDSKVPPRGRLPDAAQGAEHLRFIFNRMGFNDQEIVALAG GHNMGRCHMDRSGFHGPWVNNPTRFSNQFYNLLLKLEWTPKTLENGIQQFVYVDPDAE EGDEQLMMLPTDVALITDPKFRVWVERYAQDKELFFDHFAKVFAKLIELGIKRDAKGV IINSDNVKGGYVSAPKKSNVPTGLSQRGGGCPMARL AOR_1_536034 MFAEISPLFALAPTPSLSGLESIIEDTALINEQLNGPHQEQYRA QSNVQNNAQYATQSSSQLHAGHNMQQTTQPSGQQHGQADRQYNATQTNTQYQRPYNPH HRTQTTAQQPNGYNAQQHQVQHNAQYRVQPSTHPHEPHGTFNDTSFGLQDIMHDGLFQ DWTSGGIDDFGFSFPELSSSIFFSPKPSHASSTNSAPAPVENSIKHSHQNTNNGGSMP QYIDPSVLTAAPQNPSSLPQQVRPRPVDGQRPASHVAPAAGIRWHSHGNVASSHMSAN QTPQGSKRKRVDEAEPRRHPPNLR AOR_1_538034 MSSENSDARSLKEEDDFKYNPELNYPMVKLADLPEPPKNWRVCG RTAEEASKARKATSEEAKKWVRYHSWGIDMGVKGDLTNLRCFKVLWKLFYYQQDKHRP QDFDQIKDFKTRDAGPRLLQCSLDVPGLLPISRYYPHPPPATRLPAEGFHRPLDALAS RPDSHIEQMLYPVFGVPKEVDNFPEEIETPTAQATGNSTGNRNQHKIRLPKVMARSVM EAIERTVMQFAYEDLARDCSAVAQDGQVHLTAKTEEDLRQANIGSFPATSHVKLAVDP DNSDRLVFSADGDKYPYRGRGPVYRNNSSGIDSIIVLGKLLDAGSTVLDRKDPEWRGR FTNVEKAFIEATDVNWDLCSRGDSRDRFWAVMAAEAENVGVGVQSPLLDMWNVSTEHF DQFLFTYDEQTTFCSPCTNRTTNAAYQSATVAPPTCPEDMKGVSMQQLISRSFASEYI SRCGKCQDKVVKCRRMLHGLPMRLTVTLDGSVPVKKHTRDISFDYITNGGERGTAAYR WLGGIYCKADHYRVYWNDTKRGEVDTGQIQMYDSAMLSGVIVGGIAQAHRDDKVPETW WKNKPVPLLVYERIMNPDDEVMNVALHALGDMVKAFRGGDLSIEKKIAFT AOR_1_540034 MSLVSGEKTNFQYILRLLNTNVDGKQKIMYALTQIKGVGRRYSN LVCKKADVDLNKRAGELTTEELERVVTILQNPTQYKIPTWFLNRQRDITDGKDSQVVS NNLDSKMREDLERLKKIRSHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKKG AOR_1_542034 MRVLPATLLVGAASAAVPPLQQVLGRPEEGMSFSKPLHAFQEQL KTLSEDARKLWDEVANYFPDSMDHSPIFSLPKKHTRRPDSHWDHIVRGSDVQKIWVNN ADGEKEREIDGKLEAYDLRIKKADPSALGIDPNVKQYTGYLDDNGNDKHLFYWFFESR NDPKNDPVVLWLNGGPGCSSLTGLFMELGPSSIDENIKPVYNDFSWNSNASVIFLDQP VNVGYSYSGSAVSDTVAAGKDVYALLSLFFKQFPEYAEQDFHIAGESYAGHYIPVFAS EILAHKNRNINLKSVLIGNGLTDGLTQYGYYRPMGCGEGGYKAVLDEATCESMDNALP RCRSMIESCYNSESAWVCVPASIYCNNALIGPYQRTGQNVYDVRSKCEDESNLCYKGM GYVSEYLNKAEVREAVGAEVGGYDSCNFDINRNFLFHGDWMKPYHRLVPGLLEQIPVL IYAGDADYICNWLGNKAWTEALEWPGQKEYASAELEDLKIEQNEHTGKKIGQVKSHGN FTFMRLYGGGHMVPMDQPEASLEFFNRWLGGEWF AOR_1_544034 MPIMQPSNQIKFTNVSVVRLKKGKKRFELACYKNKLLEYRSGAE KDLDNVLQVPTIFLSVSKAQTAPSAEIAKAFGANTPADEIRQEILRKGEVQVGERERK EIIERVEKEVLDIVSGRLVDPTTKRVYTPGMISKALDQLSSASGQMQQAQSQNNNGEA SGAGDESRPAQPRKPLWTGVTPNKSAKIQALEAMKALIAWQPIPVMRARMRLRVTCPV PLLKQTVKSAAPAGLNKEKEAPSGGNSKSNKKGGKGSKKSARQQDSDAEGGSDAEPSQ PKAPTNVKDKILSFIESVESQEIAGDEWEVVGFAEPGAFKGLNEFVGNDTRGRGRVEV LDMAVTHED AOR_1_546034 MGAARRQKSPRSDSESPPRDENAEDNYDEHAGELDDGTDSDYFE EFASDTTSINSMITAYRYENGRRYHAYKDGAYWYYNRATFYRNEQLDIAHHMFTMLLG NKLCLAPISDDVQRVLDIGTGTGIWAIDFADEYPSAEVIGTDLSPTQPSFVPPNLQFE IDDAEDSWAYPENHFDLIHVRALYGAISDWPAFYRNVLIGLRPGAWFDQLEMSIQFRS DDGTVTSDHILAEWSRIFIEAGERFGKTFRIADLARQHMIDAGFENVTERRFKLPVGP WSNDEHYRQLGRWNLLHCEQGIEGWSMALLTRVMGWSYEEVQVFLAKMRKGLRDYSKI HAYFYVSSVYGQKPLKGPVP AOR_1_546034 MFTMLLGNKLCLAPISDDVQRVLDIGTGTGIWAIDFADEYPSAE VIGTDLSPTQPSFVPPNLQFEIDDAEDSWAYPENHFDLIHVRALYGAISDWPAFYRNV LIGLRPGAWFDQLEMSIQFRSDDGTVTSDHILAEWSRIFIEAGERFGKTFRIADLARQ HMIDAGFENVTERRFKLPVGPWSNDEHYRQLGRWNLLHCEQGIEGWSMALLTRVMGWS YEEVQVFLAKMRKGLRDYSKIHAYFYVSSVYGQKPLKGPVP AOR_1_548034 MTRQIVSPVPADDEYYDLGSFGHSITTNSGDAQIWFNRGLTWVY SFNHVEGAYCFEQAIAHDPTCAMAYWGLAYAVGPNYNKPWEKFDLGDLHRSVQRGYEA SREAAKHAVNATHLERALIEAIQSRFPTDQPATDYAALNKGYAAAMKLVYDIFGRDLN IATLYADALMNMTPWSLWDLFTAKPNPNAPTMEVKAVLERALAQEEDGPYLNPGLLHL YIHFIEMSPTPELGINVADHLRDLVPDAGHIHHMPTHLDILIGDWRRSIASNHNSTLA DDKYFRKSGAKNFYTFYRLHDYHSLIYAAMFAGRRKTALDAVTRMEATVPEDVLRIQS PPMADWLEQFMSIRLHVMVRFGMWEELKRKELPHDQELYAGVTATTHYAKGIAFAATG DVATAREEQERFHQAWARVPATRRAYNGKIVDVLGVASAMLEGEIEYRCANYDKAFAS LREAIDLEDKLPYSEPWSWMQPVRHAYAALRMEQGYLEEAAQTYRADLGLDHSIIRQR RHPNNVWSLQGYYECLVRLGRMDEAAMIEQPAKLALAAADVPIKASCFCRLDTAEIPQ VLNGCSKGKCC AOR_1_550034 MGSIGYPDVQPLEIFSKRGQNAVELGSKRIIWDVISDMWNPDTN PSGILSIGMAENTLLHNTLLQYIEANFRLSAEHLTYNNGSMGSNALRKAVSHFLNRHF NAFRPVEPSHILMTNGCSSAIEHLSWAFVNPGEGVLLGMPYYSTFIADISLRPEAVVI PVKMGNVDPLSIESVDLYEKAAVEFEASTGRRVRAVILCNPHNPLGRCYPRETIDKFM EFCQSRQMHLISDEIYALSVWENRVDKDIPFTPFESILSRDITRLIDPSLVHVLWGMS KDFGANGLRVGAIISQSNPELHIAQKCLSLYSFVSGMSDQITASILSDDHFTDKYIEM NRERLSLSHQFLVHALNKHKIEYLRGCNAGFFLWVNLGAKYLAAHPEEEGRATDLTDR IFQKLLDNKVYVAHGTAYGSENPGWFRLVFAHPIPWLMEAMARIVCAIQ AOR_1_552034 MESSPVELKSHERPVPDKPRENMGIYMENATDKAQGEPVDHGAD TQLHRTLGTRHLTMVALGSAIGMGMWLGSGTSLANGGPASLFIGFLISSSIIWSVCQS IGEMAVIYPLPSAFVQWATIFISPAAGFALGWGYWFSYWITIANELQGVVTVLNYWTD EVPKAAWISIFWAVIILINIWAVRFFAEVEVVASTIKFGWMFICVIALIVVTAGGSPQ GGPIGFRYWNAQPVNNGFKGFISVIPTCIFAMAGSENAALVATEVANPRESVPKAIKS VWFRLGLFYILGSLMITLTVDPNDPSLFGGSGSNASPFVIAFKNAGIPILAHITNAVI FISVISTGSISGYGGSRILMGLAHVKMNHKVFGKADSVGRPWAGYIATIGIGGALAYL NVTHTGAQVFTWLSNLVSLLTLFGWSMICLSHLRFRYTWTLQGRNEAHLPWRSWAYPY AAWWGLIWCLVVFGIQFYLSIWPLHEKTSAKNFFANYISVIAVAIIWVCAQVWYSCPL WADARNIDLDMCRRFYADTVDEESTPVAKSLARKLRVVCK AOR_1_554034 MKATTASVLLALLSAVNGRPTVDNRFPYKGPAVPVGDWVDPTIN GNGKGFTRLVEPPAVKPASSHPTNNVNVISLSYIPDGIHIHYQTPFGLGQSPAVKWGT SPYHLVNVARGFSHTYDRTPSCSQMKAVTQCSQFFHEVSLPHLESGKTYYYQIPAANG TTESEVLSFTTARKAGDPTEFSVAVLNDMGYTNAQGTQKYLTKAASEAAFAWHGGDIS YADDWSSGIMACEDSWPVCYNGSSTSLPGGVITSEYKKPLPQGEIPNQGGPQGGDMSV IYESNWDLWQQWMGNITKKIPYMVLPGNHEAACAEFDGPHNVLSAYLDHNEPNSTWTK NDLNYYSCPPSQRNFTAFQHRFRMPGSESGGVTNFWYSFDYGLAHFVSMDGETDYANS PEWSFAEDLTGDETFPTESETFVTDSGPFGAIDGSVKNTKAYEQYKWLKKDLSSVDRT KTPWVIVMSHRPMYSSAYSSYQKNIREAFEALLLQYGVDAYLSGHIHWYERLWPLGAN GTIDTASVLNKNTYRVNPGKSMTHIVNGMAGNIESHSEFSAGQGLTNITAVLNTKEYG FSKLTVANATALKWEYVKGSDGSAGDTLWLVKPEAAGFQGRGKSPYGKKTRS AOR_1_556034 MSLREKVRRVFRRSPSGSKSKDNSNSIKIEYYKRHEIPPSKFKG PFDREHQKRLAAWSFDDAQAERPRSPDLSLSPCASLPDYLRPRVQEDSVAPDEVPSLA PEGLSDASATISDNERAERQDDSGSTSSTAYDPDSYSSSMMTLFDEAIRQDSIAQFKE TIRYTSPVVRAISPPPLSPKGSYMPFAPEDLTRALNAVQICG AOR_1_558034 MPKKGGKGKNKNKGGKAGGAGVAATKKVTDIQNPVTPGHEVEET VAKGEGEVSDVVTEPVEAVTASPTATVPDAITNIPSAAPPASTEEAREILQDAVNKAE TGPTDKPELVEGEAIGAVEPSVTDEPLKLTPETLPATTGTETSLPERPKETVTDTSDV HAKRPYESSLTAKDDELPHKIAKVDDTVAAAGATSGHVAETGSLARKPGVPAETGSVQ PQIVPGLGADPNDNVSTVLNVPGLSPIEEKSTVPSAAEASAVPAPNTSTEPKGAEDIA PTGAPTSDANADATEKSKDSEISKAEEETVATEPKGAEDIAPTGAPTSAGNVDVAEQP KDTELSKAEETVASEPPTTAAPAVASEPEAPSTAELTTAAAPSEVTGTETVSPSGATA PSATDSTTKAASASADTGTGTATSAPAPPAEPVLKVPSSIHDGPDSKVTSANKSAADQ AVSNVEQEAEGASANTQAPVTETIPEETKPAAEVQEGTGAVKNQVPENQPTAREAQEA GAGAAKQPEEIQKPEEAKTKEPRAVQDAQEEGAATVKKPEELKPEDIKKPEEAKTKEP QAAQAAPADATSKPEGKETVKTEAGKAQDQAQESAKAEAAKLEKRKSGFFGWFKRKLK GEKA AOR_1_560034 MKRSETVEENVPQNGEERAKEKPRQSDKLPELIALLLLCDADPK LPNRYNRLPLDFASLEILKNRELCAALYEEGARVTDLATVGRIYEFYEEASTKT AOR_1_562034 MVHLAQVHRNADLDSTIKRVDSIQLENTDEDGFYSSVYGTRFAT EQLPQTEMPEREMPREVAYRMIKDELSLDGNPMLNLASFVTTYMEDEAEKLMTESFSK NFIDYEEYPQSAEIQNRCVNMIARLFNAPVHSEDEHPMGTSTIGSSEAIMLGTLAMKR RWQNKRKAEGKDYSRPNIVMNSAVQVCWEKAARYFDVEERYVYCTEDRYVIDPQQAVD LVDENTIGICAILGTTYTGEYEDVKAINDLLIERNIDVPIHVDAASGGFVAPFINPKL EWDFRLPKVVSINVSGHKYGLVYPGVGWVVWRSPEYLPKDLIFNINYLGAEQASFTLN FSKGASQVIGQYYQMIRLGKRGYRSIMTNITVTADFLAQELEKMGFIIMSQRRGHGLP LVAFRLPAEREGQFDEFALAHQLRERGWIVPAYTMAPNSNNLKLMRVVVREDFTKSRC DALLSDIKLGLKTLGDMDKAMLDKYTQHVRTHATHSHKSKHNHPHYKGETHSLQGKHG KTHGVC AOR_1_564034 MRAVVYTGKDTISVEERPKPSLLEPTDAIVKVQHTTICGTDLHI LQGHVQTCTPGRILGHEGVGIIESLGTGVTRFSVGQPVLISCITSCGSCNFCRKRMPS QCTSGGWILGNTIDGTQAEFVRIPHASFSLHALPNGLDPKVAVTLSDVLPTAYECGIL NGQIQPGSTVAIIGTGPIGLMALQMARNLFGPSMTAVVGRGQPRLDTAKEMGADHAIS VLGGQADAVASALAVTSNQGFDVVIEAVGTTDSFELAQALVGPGGTIASLGVFGTPCA LHLEDLWHRNICIRTRLVDACTTPDLLKIVESGKISPHFLVSHNFSFDQIYKAYETFQ TPSKSGSLKVVISMAELQPANPRL AOR_1_566034 MASIESLLNPLPDASQFSLPSPAPTSSDPVPCSEPRQKRPKIAK DAPIFNRGKIRGELRYPPCEERSEALIQAHRQFQLHPMGDIAEYPRHIPYNSDKKSFS EKTGRESFEVFQYTFKIPGQEKQWTVTWDYNIGLVRTTHLFKCQQYSKTTSAKVLNAN PGLRDICHSITGGAIAAQGYWMPFEAAKAVAATFCWNIRHALTPLFGLDFPSLCVPPT NTSQYGHMLIDREIVQKATETAHYYRMLELRSPVDTSLRPPKLHNSELDRPASSPERS TPDRYYGTPTSPFHNTFTPVNTPRSTEAASSSPRQVLASLSSVRGIPNDDSSSEVTHS STPYSESMDTPTESDDEYHASDSDNGNAIGNIIGPQNPTNDDDEYNVETRARRKYRSA LFAREVKAAHALLSLCMQDATGSDEEYVWVDRGRKRRRASA AOR_1_568034 MQSYLQYRRIGRAVRKQFADHPEWGQRVQGESTDPSGNTSENDE TVWEKRSESRPLALPPGVQRRDITDSSGTPSSVFLVSWEQDQDPMNPRNYSMTARITA TLIVSALAFAVGAASSIESAVIPQNAAAFNVSEVVASLATGLYLLGFAAGSLVSGPLS EILGRNAVYIGSLTLFMIFIMASGLAPNIGAQLAFRFLAGVFGCPPLTCAGGTIADLW NPLEKTLTFPLYAILSFGGPVFGPVIASYMGQGTLSWRWTNWIMLIMSGLVMGLILLL QPETYGPLLLKWKAAHLRQVTGDKRYRSAMDVQKIALIERILGACKRQFSLTVHEPII LLISLYMTVIYIVLFTFFDGYPFIFQDVYGLSQGLTNIVWVAMYVGIAAAGLWVPVVY GWTKREFEAASSSSTTTTSGTGVVPCVTGIDPNVNAEGEQGQQEQEPEGGRDEQNTKN PHPARPENRLWFAMLGAPFIPIGLFWMGWTDYEKISIWPPILASTIFGFGTITVFISS YMYVIDSYDIYAASALGFMTVSRYCAAGGMTVVGIPFYRNLGVQYTLTILACISVLMT PVPYVFWFWGSRIRGMSRFAVSGV AOR_1_570034 MDDSIMDDSVFEDDGSDFEPEPKPKAKAAPKKAAAPKKMTQTTL TGKATGKAAASKKRAKPDSDDDDMSDGDPLDDEDSVLSHTPPKKAKKAPASKKGGSKP LADVENESFTNEADAGPKDSNVSEKYQKLTQLEHIIKRPDTYIGSIERTSQQMWVYST ESDGMEFREVSYVPGLYKIFDEIVVNAADNKQNDANMDEIRVTISRETGEISVWNNGR GIPIEMHAKEKIYVPELIFGHLLTSSNYDDTQQKVTGGRNGFGAKLCNVFSTEFSIET QDSRQKKKYKQTWTSNMTKMGKAKITDAKGDDYTKVTFKPDYAKFGMDGMDNDFEALV KRRVYDLAGTAKVAVKLNGSRVPVRNFKKYMEMYTKAIRRERGDDGPAAKDEIITCSP DPRWEVGFAVSDGSFQQVSFVNSIATTSGGTHVNYIADQICSKLADQVKKKNKNGATL KPAQIRNHIFIFVNAQIVNPAFTSQTKEQLTTKSSQFGSKCVLEEDFYKKILKTDVMS NILHFAQQKADQMLKKTDGGRRARMNNPKLTDANKAGTKDGHHCTLILTEGDSAKGLA MAGRAVVGPDLFGVFPLRGKLLNVRDATFEQISKNAEIQNIKNFLGLQHKKEYTDTRG LRYGHLMIMTDQDHDGSHIKGLLINFLQAQFPSLLRIPEFLIEFITPIIKVWKGDPKN PTKQRSFFTMPEYEAWKEEHKHERGWEHKYYKGLGTSTTEDAQVYFRDLDRHLKEFHT MQDNEVGLIELAFSKKKADERKEWLRQFKPGTFLDHSVSKISYTDFINKELILFSMAD NVRSIPSVVDGLKPGQRKVLYTCFRRNLKKDMKVVELAGHVSGMTAYQHGDISLQQTI VGLAQSFGGSDCASARYIYTRLSPFARRVFHAHDDPLLTYNEDDGEKIEPEIYVPVVP MILVNGADGIGTGWSSSIPNYNPEDIVDNLKRLMDGEETRPMQPWFRGFTGEVTDVGG DRFKFSGIIKETGEKEVEITELPIRTWTQDFKDKLEDIIKAEKTPSFIKDYKDYNTHT KNMKSALSEGLEEKFKLSKTVATTNLVAFDPEGRITKYATVDDILKEFYTYRLKFYEK RKQYQLSQLQKELDKLSNQARFVQEIIDGKLVVSKKKKNVLVQELKDKGYKAFPKVAE AVKAGEEERVVEEDDDESDSQDTEVLSSAYDYLLGMAIWSLTQERVEKLRRQIGEKEV EVDALIKMSKEDIWKRDLDDFIAEWRFQLEDEHRRQRKVAMMGRRTSAKLMTAAGRGA GAKKRKAALGDDPDDEDFAAPKTKKSAAAKKAEPKGGLLNYLNKPATKSKSPPKDEDN SDEDFAMEVMPKKNRGASKPPKEEDEDDEMGLSEVEEVMPKKSRSAPKAAAKAAPKAK EVDEDVMEVEAPPKRGRPAAKAKPKPKPKDEADEDDLDDDDFAEITKAEAAKSSAPPS RSRKPVKYAIESDSDDNGDDLLGDVSQMVKGIGAKDSATSDSRQLFSEHQPGSSSGLQ TSPPKTSRISSDFDDETDYGKLLPSKSPRRSLQVKPKEVKVSDDNFAEEDDEEEPVKP AAKAKPAARGKAAATAAKPTTAKARGRPKKDATAAVKPAAATKQTTLSPAAKAYASKQ AKTTTRKKQIVDDLSDDDIDAMANDILDSPAGGKKAADSEEEDEEVRPARKPAGRPAR RTAAAKKTYVIEDDDSEDGGDASEDDFEEDSD AOR_1_572034 MDNSETITPQIHSPGVPKNIPAIHQHSDSMVTVPLSDVQSSSEH TQPDWRTLDIPQTPIEVTSPTGESVDDDEPTSTTPTRDSEPQILQPRTYRASVVSRSS EEIFRGDIDGVDWRELDKSEEQEPRGEGSDESTSLLLARLEQENNALATDPKSGLQAQ QFRGVRKSRSQSLRQVKQLINDDPRSLRYSQLPPPPLTELEFWAALVSDYPQTAQRLP TLTSNKIRCGIPPPLRGVVWPSLAGARDTTLLAEYQRLCGETSPYEGLIGKDIGRSFP NVEMFRDPNGEGQQMLARVLKCFSLYDTKIGYCQGLGFVVGPLLMHMTDAEAFCVLVR LMDHYDMRTCYLPDLSGLHLRVYQFQNLLARLRPALYAHLESLHVEPIYVSQWFLSFF AVACPMPMLLRIYDIIFLEGACETLMRVALSLMQRNEKKIMACAEFEDVMQLLLSRSL WDTYACHADDFVNDFVSLTSLVTKESLQTLEASYNQSQGVPTGISFPQMQAAASRFLG RFWAGSGSHTSAKPFTLNPNHASSSASIRRTTSKQSMTSTLNSIESTSDASTAPTELS TDAPKPRVKSVMSQHKDRDLHSQIEDLLMALSDLQRQHADLTRELQQEREEREADQEL SKSMLSYIKELETPPTDLITKVEERFGATDAPARESIGQTKQQLLEDLNRWKEMHSVE SGRCHDLTRRIDEHEKENASLREQVREARGRIQDGYRERQRLERMLRELRTARNPRSS AETSTDYGSPVSEPGEGIPGGLRELRLVRTNSQKSTFTRRTSSLGLQNVLSTENNKPA AEEALLLELVNAKTAEAVAKQELEEMKVKMEGMRKLIAASQRSPSQNGDRNSIVRSPS SAGSLHKASTEPATGGGGFFGWGRRAASTSNEQYAEK AOR_1_574034 MPTALQSTAAGWLLISLGHTLSAKDWQTLPQVRTLPNLAYTCAR AGWYQGSGFFLMNALINYNWSQNPALLNDPINRAVAALMTAIVGISSGWYLKRGVKSN GIVVALMGALQAWAAFGN AOR_1_576034 MNKSLPRIACFHGGGSSAAIYEIQCSFLTALLAHEFQFEFFEGP FDSIAGPGILPAFGGFEPYKSWFSKGESNGHNWTEQDSLEWVWTMMEERRAGQGGEWV GVMGFSEGTRIASGLLLDQQRREKLGLRPAVPSIQLRFGVLCMGGGPPMAAHFDYVSA GTTTNDQRVIRIPTLHMHGLRDKFLALGRDQYNTYFDPSRAFLFEVDYHHAMPWLEKE SLALAQRIQSLHKKTQASR AOR_1_578034 MCGIGAFLDFHNHSQPVSHQQLESEIDNGLDFIKHRGPDARGKW VNADGRVGLGHIRLSIVDPSPSGNQPFHDSRGDIHAVVNGELYDHERYREQLASEFDF KGNSDCEIVIALYKHYGASFLSHLRGEFALVLWDAKRELFFAARDRYGIKSLYYTLVN NRLLVATEMKSFLAFGWKPEWCIRSLRSLSWQHGSNTFFKGVYNIRPGHYLLSQSFGP LEQTEYWDCEFPDKRALEPRSEEEIILGLRKHLLEAVKLRLRADVPAGVYLSGGLDST AVAGMVSHLMKNGERLGNNPDGLKSQLHCFTVQFDRDSGYDESDVAERTATWLGANCH LVPVDEAVLAAGFEDTIWFSESPLPDLCGVGKLALAEAVHKEGIKVVLTGEGADEHFG GYSYLRLDALAEPDPSWPAAQFPESERLQMHEKLYRQVDSSGSVGRVESAERMLNGSF YGQEMAYFNFLPFASWTDLYASVPPHTALAESFSGSTRDRMMNKWHLLHTSEYISIKT FLSTFILRNAGDNVDMVHQVESRPPFLDHRVTEYANQIPPSLKMSVDPVSKTFRDKHI LREAVKPFIPDEIYSRPKRSYAAPVRYPKDGPLCQLFNQLVTEENVKKLGFVDWGKAK GNLHRAFEGSDDMAFRFTLTLAQFVVLMQRFGVATCCPSPNALS AOR_1_580034 MGSIGETVKAWNHNDGVENQWMWNAKVPTCAESTVHELIAQRVQ DQPNAAAVCAWDGSLTYQELDRLSRRLACHLVQKLGIGEGSIIPLCFEKSMWTPVAML AVMKTGAASVALDVTLPKPRLQSITQQIQPNVILSSKAQCSLAGNLAASPVLTVDCPA LDAMDTAGVCLPNVDPSSTLYVVFTSGSTGTPKGVMISHRNICSGLRHQRALGYANAR RVFDFASYAFDAAWLNFLHATVSGACLCIPSETDRRENITTCMQDMRVDFALLTPSIA RVINPAAVPALRTLVLGGEAMAEVDIMTWASQVDLRNAYGPAECTIVATAARIGDSTG QSGNIGYGLGLNTWVVSLQGDCLASIGSVGELWLEGPLVGKGYLNDPGRTDASFVHNP PWLTQGCGLVTGRRGRLYRTGDLVRYEKDGSLVFVGRKDSQVKIRGQRVELGDIEYHV QSGLSQQLQGPAVAILATPCGSDKTFLVVFLSVSRAFMESYHERRRMVQDLTSALHDK LVEDLPMYMVPSAYVPVAEMPMTATGKTDRRQLREMANAMKVEELVQLHPKWEGKRAP VTEMEKRLQELWAQVLNINSSSIGLDDTFFSHGGDSISAIQLSAKCRAAGFSITIPQI FRHKSLQDLATAASPLPSLVTKPIVETNNARFALSPAQHGLSLPTNDVSYRGYIEVVQ GFPVDPARAFWKKYLEGVKPSIFPSLRSDTPTDRTHVELPVTRVAQELQANIHTFCER HGVTPSNIFQLAWALVLRYYLNDEAVCFGYIVSGRDAPIAHVEDIVGPFINMLVSRFD LNDSVTVLEILKDTQDNYLEGLDHQHYPLASVLNSLGMTGKQLFNTVLQVQRNVTSNE IEGSAISVDIIEYDDPMEFDISITVTENTRDMAISLQYDPAIMTETQAISLIGSFQKF VEEIIQLPKSKPDNLIAIGNQDLSRVWGWNKEILSPNSICVHDLFSECLDELSTSLAY RLLAHGIGPGSIVPLCFEKSLWTPVAVLGVMKTGAASVMLDVTLPRQRLLSVINQLEK GRVGASVILSSTSQYKVASDLVAGLTICVDALDQINQPPGPKLPNVDPSNTLYVVFTS GSTGTPKGAMISHTNFSSGIWYQLQVLGLSSTSRVFDFASYAFDVCWSSILHTLVAGG CICTPSTAQTRNDIHGALAMMRANWALLTPTVARLLDPATVGHGFVLVLIGEKMTDED VTKWQPHVDLVNAYGPAECTVINTVNRLALRITIIFFLLAVQVSY AOR_1_970034 MGRRDDQVKIHGQRVELGDVEHHVRQILHDQFQEPDGVVAEILQ TGIGDAPVLVVFLTAGKEDGVSGHGTYPALHRHSGVLREGLLTQLPLHMVPASLIVVE AIPTTATGKTDRRKLRELGGLLTREELAWAVPTHTEGVDTEGSPTLNSMELQLRELWA QVLRISPSRINGQDSFLQIGGDSVGAMRLVAAARNQGLSLNVEKVFQSPRLCEMALAT GISHETRQPIPCFSLLSSDVHVSQMCEDVAQRCNVPVDKIQDVFPCTPLQEGLLALSA KQPGNYVNQLTMKLSQGTNLAQLIGAWNKVIQETPILRTRIVDTPGLGLVQAIISEEV TWRTAYDLSVYLKQDTQTHIGLGTPLVRFVVVKDEQSGEGSIVWTIHHALYDGWSMQL ILDQVHKVYHTKDTLPLGQFQRFLQYTLQTEDSQDEFWQRYLSGADIASFPSLPSPSY RPQANAIVSHNLTNIKWPESDNITSATVIRAAWAILQSQYTNTSGVCFGAIVSGRQAP VVDIERIAGPTIATVPIHVNIDWMKDIRGLLLQIQADAAAMIPFEQTGLLKIRRTKSD VAKVSEFQTLLVIQPVARDEQPPHVGDLWSIEKSAHDTSAPGLNDFNNYSILVDCELK SNGAELTVSFDTHVIDECFVQRMVTQLEHVIESICNHPLDSTLVKDMTTISTTDLDDI RRWNRTVPEPHTVCVHDWIIDQARKHPAKMAILSWDGSLTYEELDKLSTSLAQSIIPM INPGSIIPLCFEKSMWTPVAMLAVMKAGAASVALDTTLPKQRLQAIADQVKPRLILSS KVSATLALTLLGDVKGSQVITVDYDGIKTLGVKSPKLDLPIVDPSSTLYLVFTSGSTG SPKGAMVSHANFSSGLFHQLSALQYNTDTRAFDFASYAFDVSRTVCLRTLAAGGCLCV PHETDRRNNTAQSMCQLGVNHAHLTPTMARLLLPSEVPALRTLVLGGEPIGKDDVDKW YGHVSLINTYGPAEATSTNTIQRINNTEAPCIGRGIGCTTWIADPLNPNHLLPIGCAG ELLIEGPLVGQGYLGDGESTMTNYIENPPWLLKMGRRGILYRTGDLVRYNSDGSLMFI GRKDAQVKIRGQRVELGDIEHHVRSILVASEFQGSIAAGVTKPQGNSAAFVVAFLTGD KTHSEPNTYIQSLTNRLNDGLANELPSYMIPRVYIPLNAMPLTATGKIDRRRIQEMSE RLTLDDIRKFQPQHQDRHAPTTDMELRLAQLWGRVLNISTREIASEDSFLQVGGDSVG AMRLVGAARDQSLSLTVEQVFKSPRLRDMALLVKAVESSEKPVAPFSLLHLGVPEEGC PNIDTWRARAALLCNVKPRQVEDVFPCTPLQQANNPNDPNPENANH AOR_1_584034 MTFGTPLARVAFVEESNQSGDNAIENKSTRAVYIVWTMHHAVYD GWTMELILNQVHNIYREKDNRPCLEPFQKFLQYSIKRNDAEERFWNDYLSKSDMFSFP ALPSTSYEPQANTSLQHEINDLTWRRKDDITASTMMRTAWGILLSCYTTTPDVLFGAT VTGRQAPVAGIENVAGPTIATVPVQRQALDMIPFEQTGLQRIRRISANTNRGCQFQTL IVVQPPPSNQKVREESIWQVVGREGEGISSFNSYAVLVECQMNSEGAHIEVSFDSQVI DTNTMSRMLKQLHHILRLLCADQSNELQVSALGITGPEDLHTIWSWNSLQPAAYETPV HEMIVARARKSPDALAICAWDGELTFKELDDLSTCLADYLLAHHSCVGSIIALCFEKS MWTPVAMLAVMKAGAGAVALDVDLPKQRLQSIVSQVKPVVILSSVASYELANGFNGGA VIVVDHQSIYSMKCSLNSNMHKLTSSSTNPSLPPVDPSSILYIVFTSGSTGTPKGVMV SHGNFSTALRYQQDVLGYASATRVLDFSSYAFDAAWLNFIHSTVAGACLCIPAEHERK TNVGACIDRMRVDFALLTPSVARLIDPESVPTLRTLVLGGEAHNPTDVARWKSDRVDL RNAYGPAECTVVATVTRLANGTTKPGNIGRGWGLNTWVIDVSGNNRLAPIGAVGELWL EGPLVAQGYLGDSKKTLESFVKTPPWLARGIPTVFPGRQGRLYRTGDLVRYNHDGSLT FVGRKDSQVKIRGQRVELDDIEYHVRQSLPKQFRGAVIADVFAPRESDHAILAVFLSL AEDVTLSNLLEGLGDKIMDLLPEYMIPTAYIPVHEIPMTATGKTDRRKLREIGSSLTI AELAGLRPSQNSEPPVSEREWQLNAMWAEVLNVEPSLIGRNDSFFGFGGDSITAMQLC AKCRMAGISMTVPQVFHCRTIARLASVVTADVAVQHHNEVPETDFSLSPIQLRFFEYE PNGHDHFNQSMLLQLTRQVSSLDVAQALESLLVHHSMLRAQFSKGPQGAWTQRINATA GGSGYRYRSHQMLTMKDSIHAINESQKSLNIREGPLMAADLFTTEEDGQYLFVVVHHL AIDLVSWRVLLEDLEEHLTTGGISSATPLPFQAWCEGQVRYARKHLSSHILPPLDISS HAHDYWGVDMTNNIYGTVLEESFTISPDITQVLLGPANTTYQTQPVEIFHAALVYSFA QVFQDRRPPPIFTEGHGREPWNLALDPSRTVGWFTTLWSVLVTPNIADGFKDTIRRVK DSRRRVPMNGWASFTAMYLSSEDNRRRYDVPEIMFNYLGLYQQLERPKGLFRLADAPA GALSNIADNVHRFSLIDVSALVMQGGLHFKFSYNRFIRNQEAIADWIIACKSVLEEAA STLVRSTQARTLSDFPLLPLSSYDELDTLINHTLPSHGIMLDQVEDIYPCSPIQQGIL LSQAKNESRYWTRKRWQVRSSSPICLQRFKDAWNKLVDRHPILRTVFIESALPDAYLD QVVLKSVTPEIHIITLADVDLESSLIKHKRLRKPQDRLPYVLVLYATPSGRVICELLI NHAITDGMSMRLIQDELQLAYDDALPLCSTPPYSDYIAHLRSLAPDTSRTHWQQYLVG VSPCMLPHMNVNHQESDSVKRLPAMHLGNELNSCLRDLCKRHVLTASNIFQVAWALVL HFYTGHETPCFGYLTSGRDAPIDNVAAIAGPFINMLISRICLSHDRSILSIMQETQAD YLRNLEHQHLPLAEILHSLPASTSPLFNTAISVQTSRSGPADSVTSTIRLQDTATEDP SEYDIAINLFVDDGDVQVNFSYSTIAFSDEQVSTIRGVFMQAVREIVLSPLQEIGQLN IMKWSSMVE AOR_1_586034 MPPPICNDHLFGPVVSGCSGRTFDFTRTFEDIFLSLVPNTLFLI TALVRIGYLVRQVRVANGLLCQLLKLGLVLWLLSLDLARAILLTTKLDETIHKLTTAR TAMTVVSAAAMIPLSFLEHTRSPRPSDLLTIFLVLTILCDIVLTRTSWLAGSQSWQIG DACIQTAAVVVKCIILAVESKSKDNCIMNHSGVEHSPEETSGLLSLAFFSWLNPLFLQ GYRENLRVDSLYALDEKLKAKTMFSNLATALNGFTFSQPFFINALVANIAESNSVSAV NDGYGLIGACVLIYGGIALSTSLYSYYSLRALHMLRACLVSVIYQKMLESDTNTLDNG TTVTLMSTDIERIMTGWMDIHSVWASVIEIALGCYLLHSQLGMAFLSPIIVILVCFSG MACVSAKAGNSQSAWMEKIENRVSMITTLLANIKPCKISRMASEFAHILQTARDEEIQ VGSHFRWLQVIAATLAYTPMCLSPVVAFAFAGQHLNVAGFFTSLSFLTLVTSPLTAIF QQIPGVIAGFTCLSRILLFLEGGIRSDRRTVFRLQDNQKPAASSAPSAALVSVTNGHF GWTQTHSVLRNINVNVPRGQLTVVVGPVACGKSTLCKAILGEVPFVEGEVLLTSRIPS VGYCAQTPFLSNLSIRDNIIGFSPFHPGKYQEIITATALGPDIAMLPAGHDTIVGSCG AMLSGGQKQRVSLARALYQETPLLLLDDTLTGLDQATEAEVFARVLGAEGLIRRRGTT VILFTSSWRYLSSADYIITVGKDGTIREPGHETELLEQKDELFNPEKNTETAFPASTD SNASVTQQSHNMVVKGKASQNLHVRASQVRDLDVYKNYFGTIRTAVLVTFALSCTLFG FGGSFPTVWISFWSSNSYNAPNSYNAPNAFYIGIYSLLSFLQLLGFFLAALMALGPMV TDAGSKLHSNALTTVIRAPLRFFTDTDTGAITSLFSQDITIIDGELPERLFNITAGLC GIIGAACVIAVASPWLALVYPVLVIIFWIVQRLYLRTSKQLRFLDLEAKGPLYANFME TTQGITTIRAFGWTTQKLEHNHYLLDQSQRPSYLLGVIQFWLLLTANLITTGIATSLT VLATQLRTDPGFTGASAVTLMTFSGLVTIFIRDYTAFETSLGAVSRLKTLSDNVKAEA REGEDLHPDEQWPKKGSIEIERLSASYE AOR_1_588034 MTTNRVEFSNNVFIYSSGGKLKEIPPSTFDNLLWLITGAIHEKK LLEPTAWPLKQLCWGPTLHNGTVFYNDYAVKEVWHDIQPVHHLENRVVLKLMGNYLMH GSLSGRINSTANFIKYQYAPMTLCICCSSIPYDTT AOR_1_590034 MVLAQPENKHVLKAFDLSGKVAAVTGGARGIGLEVSIALAEAGA DVALIYNSSKTAETLATEVATKHNVKAAAYQADVANQEDIEKAIKQIAADFGKLDIIV ANSGICSNVPAEDYTAEQWHNITKVNLDGAFYTAQAAARIFKEQGHGNVIFTASVSAT LVNVPQKQAAYNASKAAVVQMAKCLSVEWVDFCRVNCISPGFIATDILDIHPKEWREK WFDMIPAKRMAEAYELKGAYVFCASDASSYMTGANIVIDGGYTLP AOR_1_592034 MSGRNINLLSLDGGGVRGLSSLIVLKEIMESIDRENPPKPCDYF DLIGGSGSGGLIAIMLGRLEMDIDQCIHAYKLLSKNVFSQKRLLPIGSNLRSRAKYDI KKVELALRKILRELSYEKDTLLREEAGCKVFVCATDDTNRRLVHLTSYPSKYCSNELF KSAKVYEAGAASFAHSPLFDSVKIGPSGRRFHDSSLEANNPMREVWIEARGVWPAGAL ENQLKCMVSIGTGEPSIKRSRRRLFGLVKGADVDAVDPEIDTNRFIQEHTELDDENRL FRFDVPNGLGEIDLDSIEEMETIVDATQDYLEKELVYKQIRRCGRALA AOR_1_972034 MISGSEESKAFYEWVQGVMRSRLSKNPELVKTLIPTKINRITPN GIETDEGEEEFDLIVCATGFNYSFIPPWELIGRDSRRLDEGWKDTAEAYFATCAAKVP NYFIFGGPNYPVGHGSLPAAIYFSAG AOR_1_596034 MVKDKNTVIEEFNDLVNMTPNELRNWLKEEQSQSSGWSGESGET IGHESGRKIVDILEHNPSKDPEGYSDQDIDHMRRVVSYCKRHLAQEEKAKQDPNMMFL FFPFAPNPAWSSYYASGKEIQEYIVSTAEKYDLKENIKFNTKLVKAIWCETQGKWKLQ LQQGGLIIEDEADIVLDGSGVLNQWKWQEIEGLDTFKGKLLHTARWDPEYNYEGKKIA VLGNGSSGIQVIPSLQPNAAKLVNCIRHAIWTTPNLGG AOR_1_598034 MGTTTDGTKSGHFMPITYVYHPILDVGTSFDANLFKFLQHPDEG VGGPGCYSNFIVLYPSELSIYWPSYLPCCRQRKHWKMAERMTRELLDAIYEDSCRETR DDGVMPPELQDMSSEVRMRKETELIATSVKSAAYMYPNASPVRAGISSQSMLLVFLHD DVVETSPLDAVSQIVPKTGIAQSRREALGGFLTAMIEEDPSLGKRLLSSIFTWLNHTK GYGSISPAIFESLRNYLEFRSDDIACEFIIAQALFACNIHLSEMEIQVFNNVVRIYVT HISLTNDLYSFEREREEYERTDGLLINAIGVIRKVYQVSPVVAKQLPWGFILDTECAF SGEFKKLISSGLLNSAQIRFVKALAECLAGQIFYSISSGRYGGDKAARVIST AOR_1_600034 MPRYISHASPLEDGSGDALEGLLTDLSLTDARETGTVEKGSSAF NPFLALLSPAPVTGPIEKNTGGFNPFLPYLVASAGEPNPEQTIEKLTRDVIPQQLAEL QKPRRFILNETDDLYLQGRRFQKKWGISEEGFNNWKSLLLGWVEFPAILLLNPSPWDH LPFDEMVDESPTLSWLQKTLKELQLQLEDVIILDTFPMLRDKLGDDTLRQMGPARRDE LARESFALTRASLALIQPRVLVSCQCCTRPGNDRWGFFNNDELAEQLCSSGVRARSRQ VRELDLSGHKMHVVQGMHPQYVMEREPTQKEVLVELFTQVFRPFGMWQSRRAAMQQQL RDAGAVLLGLVMLLQQQMKLYGQLCAQSGSGVEGPLAAEHVEELRKQLAEWEDGNKLK RKEG AOR_1_602034 MDNGPSEVVFTTTSSPIESGVVFRYGQGSVKVPAPRKRGRPIGS TKRRKTGNDVDEPERKDHERFQFINLGSNSANIDRDTRKYIRKRVMLNHTHTNQKRKQ LSTERTKEKTSTATGLSPEVMPSQFGRVDPFDTLPIQFEPYMHDLLSLYITTIWETLY SIEKRSGCNPMVNYWLPLAFNDPALLHSLIGCAASFLVTANQLCGYPFFVKHLNEAIA IVNQRMADSTISVSDETLVVVASIAMIKKMLGYHDEWNVHMQGLKSLVDLRGGLDNLN DKPLIQSKLYRADLCGSVDAAQSPYFSARYQGNCGSGSQTYHLGHGFWELDRLLNLDI LLKESICNLQYVTKTLSTIKNKNHQAEAAQVWELKDSSATSPGDMPAFSLALYSLYLQ AOR_1_604034 MMPQYNAPTTAEEVANDCRASIANKTILVTGVTLGSLGAGFATA IANYAPSLIILAARDVTKAQQTATEISIINPSVKTRILHLDLGSQVQIREAAKEVLEY EEHIDVLVNNAGVMAPPFSLTQDGVESQFGINHIGHFLFTNLIMSKLVTPGKSSRVVN ISSDGHRLGPIRFDDWNFDDGNTYDPWLAYGQSKTANMLFSVSLAQKLGTKGLISVSL HPGVVSTQILRHDVDESVKALVKWDRIQGNRQCWDGFKWKSISQGLATHVFACFHNSI SENNGGYLENCKFMEPAEIRCWGRDHVEAERLWKLSEEIVGQKFDY AOR_1_606034 MLSPRHADIPDSGPGVEHHWGYLNRQLPCTSGVEKCAYLDTVYH SHDLSVLYSAIFWATILGIILLCFIGHYCNPISRKSTSSCVQKEGEIEHRSQSSFYRM RRSLGSIYHRYFVQESLTFIFGRTTRFNILILAILAGYLVIFSFVGIVYKTWYIPVKG TNLTSTPNGIGPWADRIGVLAYALTPLAVLLCTRESLLSLCTGIPYHHFNFLHRWLGW IIYLQSALHTFGWTLMEGRMYKPQPSTWNAFIAQEYIIWGVVAMIFLSFLVFFSTKWA IRLTGYEFFRKAHYVVAMLYVGACWGHWKQLSCWMIASLVVWLLDRGIRLLRTFLTHF GPHASETYSFWGLHIPKARMTSFPNDEDGDVVRLDFEHDHSPWEIGQHFYLCFPGLSI WQSHPMTPSSVPGGSKQSHTYIIRAKNGLTKDLAHMARQPQESNSEEPPSTSIVLSGP YGQTIVDNDLHCSDDINLFCVAGGTGVTFVLPILQAIVLNRYFSTRRSLVELIWIVRR KSDMRWLSDELEIFRTAAQACTHFRIRVYVTREDDRANVRNSFTPQYITDSEIKRPVS TVSHETHLSDSPFSVHCLRPGNSDTSVHPDVPADLTDFVQRTVHGPTRVIASGPTGLI SSLRTTVASLNDPGQVWKGNERYDVELIHDDRLEY AOR_1_608034 MSPTNQHTQSVPVNRKASSSWSFNVIRYITPGLSEDDPGSSEEQ NSPAEEVPPTENIQLISKIVQWLAYSTRTLFIEELAELVTISETGTDVKKRLSNPRSI LTICPDTLIATTPPIPTNPPPENEFKPNQHQIHFTDPTIKQYLQYPEILQSNAQNHLA ITEATSHTTIAKDSLTYLLQFTEPYTTTPSKIKTSYLLSYATNYWAVHARLAHPETDP ELTALILRFLGSETAYLNWTAFLDGYTPFSGTDGSSLIRHPHQIYYATSFGLTNIVTA LLDTGAPVNSRGPSGSALAAAALAGHLDTVKVLVDSGADVNLAGPFGTPLVLASGRGF VDIVRFLVERGADVEARGEWSETALVEARKNGFEDVVGVLLGR AOR_1_610034 MPFSRSLTVVGCHSEGEVGDVITGGVLDVPGKTMYEKLAHISTK YDHLRQFLLNEPRDAGFLIMESEEYAPMSGSNLICTTTVLLETGMIPMKEPVTELALD TAAGLVTVTAECEAGKCKTVEFNNVPAFVFELDFKVQVPGIGEVSVDIAYGGMMYVLV DAASVGLKVDNSHARQLIEIGERIKRAVEASYTAVHPENPGVKGFSVLEYTEPIKIED GVKVAVNTVVVSPGRFDRSPCGTGTCARLAVMHARGEIAEGEVFKHRSIIGTEFICHI RGTSMVGDYKAVLPTVKGRGWITSFKQIVLDSTDPFPEGFRVGDQWHMAPN AOR_1_612034 MYALQRLLYGFPAPAPHKRTKPVEVLCLGMPRTGTESLSMALRT LGLQTYHGWDLVFEPDGSKLQLCAELVRRKYKGARDGDVHISRAEFDILVGDSQAVVD SLCILFAPELLAAYPEAKVVLNVRPDSNAWYRSINKTIVEEVDQSWVIWGMQWFSAEF HWLYSLYLRDGYPGIFHSGTTQDGIQRNAKWVYRDHCNMVRGMVPKENLLEWSVEDGW EPLCKFLDKPVPNEPFPRTNNPGDYAERADKLIKQRLAQCLRNLTLTAVTLGGITTTV VIWWQGRIPKVTRLGDLLVRFTKMT AOR_1_614034 MRNRSLEVLISAPSKYLSRSTYCHFSRQVAPFHSRNQAIPSDLL YLQKKEGTVIAAQEEDFYRYTTKRWLSNDTEEASQRYQRFDIQELLDIAARSIGSDAR CNHVMKYPEGFYNKAFLLTFSNGSEVVAKLPNPNAGPRTLTTASEVATMEYARTILGL PVPEVLGWSSNSSNPVRSEYIIMEKAKGTALGDVWYQLPTPSKHKFIRQVVELEARLA SVPFTAHGCIYYAEDVPRECSKNQLPLYGDDLKKFCVGPVVDPILWSDERVEMGLNRG PWHHLSDYATSIGTNERTWAMQYAKPRMNYYRSNTDFEMPTEYIDLIEKYLRIVPHIT HCEPDTADLLQPTLWHSDLHLNNIYVDLDTETITDIMHWQSITVAPLLLQAKIPRMAR HINPLPLGWVMPEKPEGYETFFQKDKLKADKLYESALCQKYYEVCTAKKNQRHYAAMC HNDTWKSPLILPLKSISGAWSSREVFMLRSSLMEVVDHWAEIQPAADCPISFTDEERN LHNEEMENRDYIEGLMEEFQGAGILPSDGIVDPEDYEVVQKTNYAQKERFMSLAEDEE QREWMDKIWPYQDRASEA AOR_1_976034 MSPNLELTSHITLPPFTYPTLPPEPYTTRMIRLLPHKDKSAPIQ CEMFNYDLSEIGMGTHLYQALSYVWGSEVKPESIILNGCIFHVTTNLHVALSHLRNSL FERILWVDAICINQDEEDQGHEKSKQIPLMRTIYAQAERVIVWLGGATEDGDKALEEI RCLGESADIWHDRERQRYTNSILDILESSYEEIRSPGEAAAIWYEDDPDPIENSDACL RLLQRDWFSRIWVLQEVGVARCVYIMCGSVYINGHIFCEGLNILRGFLDFPSTIGPVA YLIRGAFYRPKYELGSRGSISMGELIGMYHGHNATKQHDKLYALLGLSADPIAAAIRP NYSLPWEVVFKEITSHLFPECSVETWTETGTVVIQGKGWILGHVHFVGEYFSEFGKQN IEVLFNDNAKSLGCTDHWETDWKLQHAAVLIQDGDIICLLKGASKPSIIRLCKGHFTV ITPAVTPRQKRQKESTPAAMPQERCSTNGLCDILLTWKTPLAERENKEKSGIMFPLMN KPLKFREEPYEAEKRLKHIMLVVIDIAMRTLAQAIFRSKVIKQLLRQSGTKDPIIQEL VADDTMINQSQKKQL AOR_1_978034 MELFLQHQGANLPVSEDVVKAVAGSLYGGHKTMETLFRYRGENL PVSEEVVREAARNNGDHGPQVLEVLFQQRENLPISEEVVRAAAGNNGRYGLDILNILF RYRGENLPVSEEVVRAAAGNNGDYGPQILEVLFQQRENLPISGAVVMVAQRNNGNYRP EILEVLSRHRGNYMREAGVHLVSYERRTSFANLANLSTRLIKKSTMKFPILITSSLLT ISLAQEKATFTLYGEGDTSGSPHCAIATKPVASPTSPESPLP AOR_1_980034 MSGFFSRKLLGAIALTFSFLNQASGQDTGANEFDVLDYVDPLIG TSNGGHSFAGATLPFGMAKAVADTQGENQAGFAFDTETVTGFSHTHDSGTGGSPSMGN FPIFAQPNCPDDDLNQCKWQQNDRAVAWVRDSPKARPGYFSIAMENGVQAEMTVTNHS ALYRFTFNNVPTESLSPVILVDLMDLPQSRKGGIASVDSSGRLTGNATFNPSFGIGSY ELHFCVDFKGGDIRDTGTWVKNRANSSQKTVSLVEDGSNTPATLSAGTFARFHTLRDN TITARVGVSFMSVEQACSNVETELPNFDFANTVSAAESAWRDKLNVISVNAEGISSDL QKVFWSGAYRAMISPQDYTGENPLWKSEEPYYDSFYCIWDSYRGVHQLLTLMDPLSQS RMIRSLGYTQGGSNADVLIADAFLKNVSDVDWDTAYEAIVKDAEVEPANWGVEGRGGL RSWKGLGYIPTDDYDPDGSGLHTRSISRTVEYAYNDFCIAEVAKRMGHDSDYEKYLMR ASNWQNMFKDDQRSTINGVDTGYVGFMQPRYLNGTWGYQDPIFCSPLMNFTSCYLNPD GHETYEGSSWLYTFYAPQDMSLLIKRLGGAQLFTSRLNYLHDSGILYVGDEQAFLTVF QFHYAGRPALSAARSHFYIPSQFNTSVSGIPGNDDGGAMGSFAVLSMMGLFPVHGQDV YLITPPFFKEISIRNSVTGAVATIRNLNFDPTYKAIYIQSATRDGKPWTKNWIGHDFF NQGGVLELELGLTESAWGTQNEDLPPSMSHY AOR_1_982034 MLRTNENQPKVFRGLVSQAGPRNTHRPVENTVTSQSMPSVAASS SERCDTGSISDVEKGHIYDEWIRAGKPHNLVCSGCRYPGNLLLCETCCRSYHGPCLPS QDASLLTGSFHCPSCRHKRWDQSPPQFDRSAPSSNASRGSTPGVNGYSRVTSPREYAS IDGRRTLTALGPLAGPTQVLSIRSPPDVDRHQSSEDHGNFATPETDVLSRARNLLVDY GQLDADKDIRPELLLKLASMMTELEAQQSLQQEVQELKAENVALRNENANFRGYFTSR LPTNEPMINSSSNIIPPIFPRPSSDTSGKTWDRIVMDLI AOR_1_624034 MPGVPSNKACERCKKRHLKCDEARPKCQRCTNAGVDCPGYVQTR KFIDQGASVRRRYAPYQESHTKPHTSKGTETATGERLLSSNQHGDQQSAGPSQAHSAL PASWRSSESSQPGAHSPTQSIRVNATKSPVRMNAVNFMANQPITDTTPTEIIGLNQSR STSSRSAGHSPLNFNNATSPHVPGNAYNIVRSRPPSSNTASGSPSQPSERDEFQDIFS ELLTGTEHEIAFLVRHFSESLGPWLDVSDSTRFFEVHVPIRAINDPFLNFAIAALAAK HLGRMKGATSPASGGMFTSPATMEIYPNATQVDWFLKATNYYYIAASRMNSAISEAYT SVSSSAILEESAIQIASRWLSSQPQQSSSAAEEAAASTFSRKAENILAASTIMTMYKI LDEPAENWQSHLSGIKSLFDSLIEVHSGTSPIPCLLPQGARAAFWNLARLDYLSAYIN RVPTQFDQDNVSLWRAAGISIDESGNISLDAKDMTDKALSHEDLAANSLTWLVAKVIN FLAESKKSQWEQLTGLSSSDPPTPSSASYPSTSTWLKLCFDFQTWFEGLPETFRPCLR LDYPKDLTKLPEIIYLPFPEIFYGLTSCAATMQQYHFGRLALSLNRPTDAVSGPSTAF DRLQGYRELMKETEYRGREICGIALGRPRSAARVYTIPLLFAVGQCFENPEERQIVVD LLRGIEADLGWETSVRIQKLEASWAQR AOR_1_626034 MSPFNSGTRSESSSPVQKNVKKWWKESTVYQVYPASFKDSDGDG IGDIQGILSKLDYIKSLGANILWLNPIFCSPQVDMGYDISDYYNIYRPYGTVEDLDQL IAAIHERGMKLVLDLVVNHTSDQHRWFQEARSSKANPYRDWYIWRKPIYGEDGKPQPP NNWKSYFGGSVWEYDEPSGEYYLHLFAKEQPDLNWENPYVRAAVHDIIRYWLNKGADG FRMDAINLISKDQNFPNAEITNPDSPWQDGTKHFACGPRLHEYLQGIGKILKQYDAFS VGEMPEVYDLNEMLRSVGSDRNELSMVFHFETVSLDHGPGGKFTSRKWELHELKTIVS RWQTFMHENNGWNTLYLENHDQPRIVSRFGSDEPEYRVPSAKMLATFLGFQSGTLFIY QGQELGMPNVPKHWGINQYRDIETLNHWNEITSNAPQNTPLHEACLAEYRLKSRDNAR TPMQWDDSPNAGFSSVRPWLPVHDDYQSLNALDQVNDKESVYHYWASVLRLRKAYPDV LVYGSFELLSPEHPDLFVYARMASSGRAVIVTNFRPHEVTWSVPEKAFNSSGDVALSS YPGRTSHSLLQPTVTIKPFEAFVWLSGTETSRL AOR_1_984034 MTPGSWEKISNALIQLQRRLKKELKAEIKKEISQEKTSVVGGSS ILTNHDPSGARRKADIERVRIKAEQNRVAEAFKRLEKEEGASAHQASSSDVQPNASST QHGHAEYLAECDICSRETSRNCSLCQRDFYCSQSCEDKRSGRHLFTCAKRPLTSADVL YRSIGEDRMPEEEDVCAGFGFNYFESFADKSKLLGLYKGLWIQKVPVEDIHKWQVEGS LVANIREVFYQATERTVTTFFDEARSYLDKEDRDKHFNELKPEAKRDCFYMLALTLHM AYPNPVEPPWFNFGFCTCYDEYQERALGGLFGKLLSGDNLFDDVPTSILRMQNIQTAT FTEFRKAYESGTMIQLMDSKGFKQYRLQFPYLEEFFTSPPCGPHLSVWSLKQFLAIYN PAEFPPDNTISADYGFMNCKTFTETCTLMELYKRLLRKASLLDLHEACVAGKLFEFAE CFHKMDQAHR AOR_1_628034 MNEQFTWTSPNLNQHHFITFANLLALRNGGQVEPASSPHDSEEK EEEEDNPNNTNDADSINTTQVHQISDSGNTKLKRKFLDCLAELAANDKGASRNEGFRE VDYTVFERLGRLLRGLGSGDSEGRYGEELWSEMLVYYRARLESTYIPALRSSLKDCVH LFRDDQGDGFTTIRQGLLAFQNLIFDGNTPGQVMTDRHSILVIKAYELRMMKLVRDLL QTSPHSTPRTKKLWENICFLGRSKVAFNTFKDIALNLPSFKQVTIIPIPRDIGTQKAL KTPST AOR_1_630034 MNTEFQTTLTNLENKLNTLITSLTTSPTATGAPAAAVNLLDADD ALTTSISTLRHHQDNYARILRLRNEAASLEEKVKEIVKTVVNYEKEIRTVCNSDEIDS DSDFDSDSSGYDSDAEMQDAGGRPRKLRGIREVDYRLLLDFARRISKYNHQAAADAEA GAKARVQIGEDRDTEMTGTGAIGTNGVEDGEAEPVSSVTKDATSWLDESANMTRQVYM LPYPMEDRIRLGLMGQIQLAAGEGRPGFDPDQEVERLIREAEGLGAADAPPVANVSDE ESRVGEAAKAAVKAGSGAVGSGAGTGGVSAAVPKPKPKATLDLDLDDDDDEDDF AOR_1_632034 MSSASASTPETIRDDVKVAETKAVNQTIAQIRSLVAGAAGGVCA VVVGHPFDLVKVRLQTAEKGVYSGAIDVVKKTVAREGLVRGLYAGVSAPLVGVTPMFA VSFWGYDVGKTLVSKFSEVRVENNTPQYTIGQISAAGFFSAIPMTLITAPFERVKVLL QIQGQNPPPPGQKPKYSGGLDVVRQLYKEGGIRSVFRGSAMTLARDGPGSAAYFAAYE YIKRSLTPKDANGNATGQLSMPAVLAAGGAAGIAMWIPVFPVDTIKSRLQSAPGKPTI GGTIRSVYASGGFKAFFPGFGPALARAVPANAATFAGVELAHNFMKKFFDDE AOR_1_634034 MKTTALSFALLAAATTMVSADVSVGECAQMCISNMNAKASELGC SSGDLDCLCKSGNYSYGVRDCTTEACPSEDAAAVVAAALASCPNGSSDSSATGTNGGG ASKTGDSASATGTDASKTGDSTATGTATGTDASKTGDSTATGTDASKTGDSTATATGT DASKTGDSTATGTDASKTGDSTATGTDASKTGDASTTVTGSTLSTSASTTTDGSSSSE TGSNGSSSTDGSNGGSNGGSATETAPSSTSTNSGASKTVLGSGALGALALAAFFVL AOR_1_636034 MTTPNPIPLPTLSTFTQTTLNIPSATLTRHPKIEGDDHMIFTIA ESPNHLLRVTKPRKDRPLTGTQMQKFDIAVRELVASEYVSRGLDGDIIPRAVAHEVLS GDGVYAVSLETMLDGTGLCRGGLGEFLSERTVGGLVELMSVMKGVDISGLEGRLRDLG VGFEVPFIPWPEIRGMRERAVIAWRRLVSRGQILAGDFGVTDGDEFEGLGERKTAFID RVKRPDEGVSRKVLIHNDIKGEHILVDSDGGITGILDWADAGVGYPATDIAGLVLTVG MRLAVRIAREVGYNEDEVLQGLMQARCECVLRLDDRLNGDDEMTPVDLLKGQLVLSME DCELVDCM AOR_1_638034 MLLRFLSLTGALCLSSCAALSLPEHKVELSGLDPEFRSRIISNA RPTNVPTALCSFSDSECSKDIDLHSQLTLDFSTENGTLLANHDPIFPPTFPMRFHAVR HFQSGRETVDLAYELDVRPMPSRPDEALGGVFLLKLRLFDLQGRPASDHLVTITLNQD SEGNLQITQLETNPVLGHHHHDGPPSWMAQLTASLQAMKDAVKDCMHGPGPRHPTARP QHGHMQPPVDHHRTIAPEHHRYHHRPGYWAGREKNFGRLMRPVVMPALLGVMAGVIAC MVGFVLGKIFISVFYCVRGYRKQHQKQNETAIPRIVVVESAPSEKERLMAMCDDQC AOR_1_640034 MAAPPLPSASPVSLGQNILLQPPLSRCGRGPGLIIIRPYSYAEC QAKNTSLDPEPVQKWAEESYAVVQITLEHEMSADGGGVLGLVERGIAAFESSNECEKD RFAMLIYGSPADYAPGFGKILGNVITALNKKLAAAVFFSSWDMSEESNPILSHIPGNF QPTGPAKKDNHTVYSYADVSSAGFIVPGHADFKITSAGVAHTRSLTFLKKHLNGPYFD LEKIWEEHTWYEFGDRSVEKTMATMVQEPYVNHIPTMTGGIGRARLSKFYLENFIFNN PTDTALELISRTVGTDRIVDEFIFSLTHNKEIDWLLPGIPPTGKPLRIPFTSVVNIRG DRLYHEHIAWDQATVLVQLGLMPEYLPYPYALPGGQLPGPGKRFEYRVPAAGVETAMK LQDEHAVPSNGMFEFKVREVDDE AOR_1_986034 MAIQKYDTIGASYNDVSQLATGKLQLAAIQALIGDIKGLTVLEL ACGPGFYCRKAISWGARHATGVDISPTMVHAARANAKGDKRMEFHIADCIQPFNFNIG QFDIILAPWLLNYARNESELVGMWRNVYNSLKPGGKVIGITTNLHLLDNPAAFPTGRR FGQELEVLGAIEDGGLEVRATLFTSKPFSFTNYYLPSVLYEKSALLAGLSDFRWISIT EALLGDCEVDWDAFSKCPPFLTFTAVRPLDVLK AOR_1_990034 MTWTGKEPTVIQNNPSFVLRKVKDVVIEDRPKPVLKDPDDVIVH VKQTGICGSDVHYWQRGRIGDYVLAGPMVLGHESSGKVVEVGVAVSHLKAGDRVAMEP GVPCRCCNQCRSGAYHLCGGMSFAATPPWDGTLAKYYVNTADFCYKVPDHMSMEEAAM VKPGQRSGCDRQDGRPPRSPDSACLEVAKSYGVDHVFMPEKPEPGADPIAHAEKMAQK LKEECGLGEGADVELECSGAEPCVQMGVFAARHGGTIVQARMGKEVINFPITAVCTRG LVVKGSIRYLTGCYPAAIDLIGKGIIDVKRLVTNRFKFEEAEQAFELVKAGRQDVFKV MIAGVV AOR_1_992034 MSLFGYDQGLFSGAGVTEDFLVVHDLVGTSKTKTLSTVTAIHDV GCFFGALVAFGIGERLGRKKSILLGTTLMAIGTLKCTSYSLPQIFIGRVILGFENGIN TSTASIWQTETSSPKWRGKLVFLEMVMNILGFSVVNWINYGLSFAGGAVAWRFPIALQ FMFIFVLFATVPWLPESPRWLMQKGYEKEAIEILSCIEDKPIDDPYVVAQKNEIEYTI YYERENAIRWRDILLRRKTDSTDSKSLRRLILGAGTQFMQQFEGINIMSYYLPIVLMK FVGLSDSMVRLLTAVNSVTYLICTCCAVGLIERMGRRGLIMLSTAGQFFAFLIITILL RYAEANAGTV AOR_1_644034 MADQSANNAPHSESSNSFLDRLKGFFGFDNDVDPDELRNSKDIE IHSWNGPNDPENPFNWSLKYKWLLTVTVCFISILTGLPAGTYGSGNDWMEEKFHVQNS PFPNLYWATTSWNMGAAFWPLIFVPLTESSGRMPGYFVAYVILVISLFPSAFANNFAT LVVTRFFGGGASSVSINIVGGSISDVWLGEKARSLPMSLFGFTSVVGIALGPFIGSAI VQIHKSDPWRWIFYIQIIYNAALIPVFYLILSETRPDVILKKRARKIRKETGRPVYAQ AELNKVNTLKLLQISFQRPTRMLLTEPVVIFFTLWISFAWGILYLFFSSVVQTYSTNY GWGVMATGLVQLAISVGAVIGTAINPIQDWIYLSSARRNSEKPGKPIPEARLYTAIPG SLLFAAGLFWYGWASMPDVHWIVPTIGITAAGIGIYSIYMAVVNYLTDAYEKYAASAL SAASLGRNSFGAFLPLASPQLFSNLGFGWAGSLLGFIGIALSVVPVVLVLKGPQIRNS SPFMRESMWTSDEVDEVDEPSAEKVPTAEIP AOR_1_646034 MDPFKATITLSARLDTFITINTFFKKDPKDDDVWYDPKEAPTNS LNQYDIDNLGTLDLKSLSDALIQAAFGHDADDQHNEGELENVEGKEYSSDDGDLKGDD AOR_1_648034 MVVSSLVRRGMELASDMPMNSKNPEVPSIHLSGWLAGLFVFSVL AFFFVVFSIEYTYGMVVAALAAVEETNPDIYIRVKTDSNPDKDVDAAEPEADILRPQP ITSKLRTTIKHLRARAGFWSRFRGFGLFFTYSLAEGFLFSILPVSMTNFAGQLVARMI IGMALANLEMTWVHIVISEPSPKRFYQRIPGFKSWLRIAPVVAFEQGAVCAAFYIPLL IAGAAGALSDLVVDPNANLPPAELVSRAATVIAIPSLLAGLVSIPVRAVTIRVAASML PKEDEAIIPFDRSFGGKVVPATLGGSGKLSIKDAWTTFDGPARIRYLKVIGKVFAMEF AATILFSFVLGCQVHAGALAYGVRRSEVSA AOR_1_650034 MATSPAGRMLVRQLQQMQSAKDLPGISCGLVDENNVFEWEVMLM ISDDVKLYGGGFFRARLSFPPEYPHMPPKMKFETPLFHPNIYPNGDVCISILHPPEED KYGYESAAERWSPVQTPETILLSVISMLSSPNDESPANVEAARLWREDPKEFKKKVRK CVRDSLGEE AOR_1_652034 MASYQTCTEVTPLCPVEATTYGYYPNYGGNIFFSVFYGLCGVFQ LGFGIYFRSWTLMVALVVGTLLEMAGYIGRVLMNKNPWDGGAFKLQIVAIILGPTFIA AGIYLTLKHIILSLGPEHSRLKPKLFTWIFIGCDIGSLILQAAGGGVAAAAGSEQVDL LNAGNDIIITGIAFQVATISGEKARDGGNGRIKLVVFADIFAYLTVLIRCIYRIPEMA GGWGNPLMQKEDEFLVLDGMMVALAVLALTVFHPGLFLPSLRKGNKNIEAA AOR_1_654034 MPRRAHTKSRNGCDQCKKRRVKCDEKGPPCSNCVTRELGCSYLN TPAARSIASSSSASPNSIHPGQGQTSDGGGHPFAGVAASVPFTYSRKRELELMHKFTI DTYRSLCNKESDHYVWQIVMPRKALEHDFLMSGILAVASLHTASALEPPEALSYIDTA LEYHNQGLAPFRHAITNLTPFNCDAVFGHSVITIMICIALPRLPGSRGESSSMTENLI FIFELLKGVTKIFTITRSWLENNLFASKNGFYEKSVTPLDAGTEAALTRLADLNDTLL SSVEPGQHSIIKDAISHLRRCFARYAHEQDAASVLSWLAGVDKEFVHVVCRRQPLALL VLMHWGVLLAELDGKTWWARKSGSALVSEILIALQGGDARWEEAVLWPKQKLGL AOR_1_656034 MTLSMHPFDPLTPREISKAATITRSALPGESPIFRVITLKEPPK QDTIHFLDREHSGQPLDVRPTRVARVQVITRSESKNKLTELLIDLDHAAVVKQEHLAG KHSYIDSEYMKSVEQACLADARIQEEIKKLKLPTGATVIVEPWAYATDGMNDMSERLS MCWFYMRLLDNPDANYYAYPLDLCAEVSEQLQVTKIYQLPSSSEERIHNHPQPYDQRK VHSTEASEYHPSLRPPPRNTTKPYQVVQPEGPSFNVEGNVVTWEKWRMHVGFNYREGL TLHDIRYDGRSLFYRLSLAEMFVPYGDPRAPYPRKAAFDLGNDGAGINANNLRLGCDC LGLIKYFDGWHNTNSGEPLKLPNVICCHEQDDGILWKHTNFRTQNAVVTRARVLVLQT IITVSNYEYIFAFYFGQDASIHYEVRATGILSTCPINIGHKVPYGTIVAPGVLAPYHQ HLFCLRIDPALDGHSNSLQIEESIPIPLSDPTNPNPFGVGYTTTSRIIEHEAGLDLDF TKNRTFKIINEHSTNPITGTPIAFKLLPYYSQMLLADPSSYHAKRSEFASHAVWVTRY HDDELFPAGRHTMQSAGGEGIASVIESRRRQSSPHSSVRNQDIVVWHTFGSTHNPRIE DWPVMPVDKMVVGLKPVNFFTGNPALDVAVSTQERNKSVLFEGVGKASSGGGAACCKL AOR_1_658034 MSTGAPVSSVDTISPTFHEMFAGALIASPWIAISWYYTHHAQWT ESQPAIDNESFAPSGTGHLDHIPLRTCILTAITMIILGGGQLLRVAQRDRRDSLVALP KLHPTTVTASFAQMSSIALPIYAALKVGGFLVAFVLTLAMSSGVPTIARGYDFQDSKG RLSQKKLTIGVIATVVVLSFYGMNTAWDSEPFLGYVSLLASVFVIRPPFLAFTFDPAY DPAVAQEKLSRAESSGVSPSDPMLTALLGLLLVVGTAVVGGLSFDFSDIMYVVAAAGG FATCLMYVAPANLRSPHKIGLAIATGGAALLCALLPRDGVYVVFVFRGVLAGIAVLAS RFEDRQLRLVMQAHNHHHHHHTHSIDDASNITKLILRYSEPYPLLYSILKESDSRRIF YFMTLNFAFMLVQLSYGFLTGSLGLLSDSIHMFFDCLALVVGLCAAVMSKWPPSARFP YGYGKVDTLSGFANGVFLMIISIEIIYEAVERLSSGSQMHRIGELLVVSAAGLAVNLV GIMAFDHAHHRHDHGHDHSHDNENMHGIFLHILADTLGSVAVVISTILVHYSGWSGYD PIASCMIAILIFASAVPLVSSTAKKLLLTLPADVEYNVRETLAGVSTLRGVVGYTVPK FWLDDTAKSDHDHGCSHSHSHSHSHNHSHHDHDHGHEHSHNHSHGHGHDHSHNHNHSH SHSHSHSHSHSHSHDHDHAHEKSNPNVLGVIHVIASRSSDLEDVRQRTVDFLREKGMD ILVQVEREGDGRCWCGGGGNKSS AOR_1_660034 MIESVVSVAFYISTAVTLFILLLPSQYAPKRSTAQDASTDPKTT VQILVLGDIGRSPRMQYHALSIAKGGGQVEIIGYHESEVHPDISSDPRISIVALPPHP AYLQTSNKLLFLVFGPLKVLFQVACLWWSLAYRTRPVKWLLVQNPPSIPTLAVASLTC FLRQTSLIIDWHNFGYSILALKLGNGHPLVKLSKWYEKTFGRYATAHLCVTTVMASVL KKEFLLEAPILPLHDRPANHFRPILDDNVRQEFLLSLPVAASVQSLINSGALRVLVSS TSWTADEDFSLLIDALCRYSQLAATTMPELPQVLAIITGKGPQKEMYIKQIADLEKAG KLQKVTVRTAWLTTTDYAKLLASASLGVSLHTSSSGVDLPMKVVDMFGAGLPVVGWNR FEAWPELVTEGVNGRGFGSSNELVEELVDLFGDTSKLDRLRVGAQKESTRRWDDEWNP VAGKLLGLI AOR_1_662034 MLGPLELQLFPSCFNCISWSADGEIAVAAGEYVQILTPKHTTEK EGGNGPGSQAATKNWNVTRIRTNVFTNGEWPIIYPQKRENFSIGPEQSISTVVGLAWS PPGLAKYRRCVLAVLTSGLLLSFYDISPQGKWTRVAIVNDCLNSYFKSLIDDEELRLR KSNIRSFTWCPPLKVPIPEQDATSYAVPPPESRWGMYLLSVTNDDNDVILLQARRSTD PTSASLYSFEVLSLTSLYEHTENQNVQPGSIFSSALRNRARASFMSPGPWIYQPTKEN KGVCSAIGNVAITLGVKLKMVRHVVTLILDNDQTDSAVKYKARCVSEENTSYGGLLNN YHLTGALHWIHTEGSTEIGLAVASFAGMIPLRFTRAAYQGEKTAKKGIQVKELLFYEP TGSDMGTDSPRHWEQTSAMTVALDKVSQTPILHLGTVGGYTATMTLSGIQSSDELPET PWKKQLDNAREQFDIARDLGGYTISRIWGLASHDSLVVAAFTLHPGDTVEYRTSAEER TMLVFSHANAELTEHDDLAFPYPLPDRSPDTLRRKREAALGYILFTEGGDYSRLALSR KMLYAAACCAIVDSQNDKILSQARKALEWLASGIDVDLSNEIGKCSAPGSTIDAKTAE QLEGSGQQIFEQCTICDAGLSWYSAVEAQCAAGHLFVRCGVTFLAIQEPGLSKFCSRC GTEYLSEDLVHDELKHTCRILSDVFDTCIYCSGKFQA AOR_1_664034 MAIQASATRLFKRAAIPASRQWQRQFSAAAPALKEIQDAYILSA SRTPTAKFNGSFASVSAPELGAVAIKSAVTKSGLPVEKITDVYMGNVLQGSVGQAPAR QASIFAGLSPTVESMTVNKVCASGLKAVALAAQNIQLGLADAQVAGGMENMSRVPYYL PRSSQLPPFGEIKLEDGLIKDGLWDVYNKFHMGICAETTAKKYEISREEQDEYAIQSY QRAQKAWNENKFADEIAPVTVKGKKGETVVERDEGYENLRVDKMKTLKPAFLRDGTGT VTAGNASTMNDGASALVLASKDLAREFGQGNRALARIVSSADAAIDPVDFPVAPAKAV PIALERAGITKDQVAVWEFNEAFAAVIKANEKILGLQNARVNPLGGAISLGHALGSSG SRILVTLLHQLQPGEYGVAAICNGGGAASAMVVQKLDRVD AOR_1_666034 MSTSARRRLMRDFKRMQTDPPAGVSASPVADNVMTWNAVIIGPA DTPFEDGTFRLVMHFEEQYPNKPPGVKFISQMFHPNVYGTGELCLDILQNRWSPTYDV AAILTSIQSLLNDPNTSSPANVEASNLYKDNRKEYIKRVRETVEKSWED AOR_1_994034 MASSGPNSSNTSIKVVARFRPQNKVELSSGGEPIVEFENEQSCQ ISSKEGTGSFTFDRVFPMNSKQTDIFDFSIRPTVDDILNGYNGTVFAYGQTGAGKSYT MMGSDIDDDIGKGIIPRIVEQIFASILTSPSNIEYTVRVSYMEIYMERIRDLLVPQND NLPVHEEKSRGVYVKGLLEVYVSSVQEVYEVMRRGGTARAVAATNMNQESSRSHSIFV ITVTQKNLETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINA LTDGKSTHIPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETISTLRFGVRAKA IKNKAKVNAELSPAELKQLLRKAQSQVTNFESYISALETEVHVWRSGESVPKDRWTPG RNDVVSATKAEARPRPSTPSRLQEARSETPRPDSRVGDRSSTPSLVLEKDEREEFLRR ENELQDQIAEKESHIVNVERGLREAREELRALKENSARSGKDNEKLNTEVNELRMQLE KVSYESKEAAITMDGLREANSELTTELDDVKQQLLDVRMKAKETSAALDEKEKKKAEK MAKMMAGFDLGGNVFSDNERKLQDLINRVDTLHQISEAGETIAPDDLLELRTSLSETQ GLIRQAELTMNDRGELSELQDSRRIEVEQKLADLERDYEKLLERNLGEEDVEEIRERL EKVYVTRKDAEMQAASELRKEIAHKDEELSKLRQSLADSQTRVSTNGAAGKNLQQQIA EFDAMKKSLMRDLQNRCERVVELEISLDDAREQYNNVLRSSNNRAQQKKMAFLERNLE QLTHVQRQLVEQNSSLKKEVAIAERKLIARNERIASLESLLQESQEKLTQANHRFEAQ LTAVKERLEAAKQGSTRGLPSMDSGGNFSFGGSRIAKPLRGGGGGSESSAPVAGVQSQ ETGKRTSWFFDRR AOR_1_670034 MATATQQPETSGLQNISALLETITGCLTAAGSSLPKDKRDAPSE VSIEPPQDGISLLDTKSDLLLSYTHNLVFLMLFQLRGLSKDRDDEAEADQSLREETVK KLAELRVYLDRGVRPLEGRLKYQIDKVIKAAEDAERTERTAAKTKATEAEYSGSDDES ASDGEGDGADESDEDQEDIDEMAYRPNVSAFAKKVEPEARAEKSNKMAPSDGIYRPPK IMPTALPTTETRERRERGPRRSTVIDEFVNAEMSSAPMAEPSIGSTIVHGGRHTKSKK EREHEMERTTYEETNFIRLAKESKKDRAKRGGQAARSTYGGEEWRGLTEGADRISRLT RRAKGSGGALDRSRKRKHGEDGQRGDGAAVGQIFEKRRKKIEGWKR AOR_1_672034 MAFNKRTFSIYSLLLAAPSLTTAFYLPGVAPTSYDEGQSVPLYV NHLTPGLAQQDEQLHSVFSYDYYHPAFHFCAPENGPKYIRESLGSILFGDRIQSSPFE LFMGKNETCKAVCKEEAKFDSRSAKFTNRRIIQGYNFNWLVDGLPGAQINVESVTEAK FYNPGFALGSLNDNGQAVLNNHFDIFIEYHAVGYGAKDKYRVVGVLVQPDSRGNSKVQ GDGTVDCGSSDVPVILDEDSETGVTWTYSVYWRESSTPWATRWDKYLHVYDPKIHWFS LINSAVFVVFLVGMVSMILVRALRKDIARYNRLDMINLEDLDGTSAAVEDGIQEDSGW KLVHGDVFRCPKSPLLLSVLVGNGAQLFMMTGATVLVALFGLLSPANRGFLATATLLI YTLFGFIGGYVSARVYKSFGGEAWKRNIIMTPALVPGFIFSAFFLLNLFVWAKGSSGA VPFGTMLALVAIWFVISVPLSVAGSWLGFKQRAVEGPTKTNQIPRQVPPMTGSLRTIP SLLLTGILPFGAIFVELYFIMTSLWTNKIYYMFGFLFLCYGLMIITTAATTVLLVYFL LCAENYRWQWRAFAGAGMTGGYVFLSALLFWATRVSFGGFTGAVLYVGYSALIGFVVF ILTGSIGFFASWAFVQRIYGSIKVD AOR_1_674034 MGKSQSKLSPSQLDELQKATHFDKKELQQWYKGFLKDCPSGTLT KEEFQKIYRQFFPFGDPSSFANYVFRVFDSDNSGMIDFKEFICALSVTSRGKMEDKLD WAFQLYDIDGDGKITYDEMLAIVEAIYKMVGSMVKLPEDEDTPEKRVRKIFRMMDKDE NGSLDMEEFKEGSKRDETIVSALSLYDGLV AOR_1_676034 MAFSCTNLSHASENELFEVLGTPEIELLARVDGAPLHNGLPTQL VKAAEWVEWVDEDDEEIRLLDVGESFLQGEEPNVLAQPGTLRCDGRTPCARCKSLNTP CLRQIRAIEFRDQGPALRQKYRPTSQPSSRLSSVLDREGDPPLRSTRSNVQYLPVAFP INEKVSHSLSVTAVSSLQTQVFTNFVLSAFPCYFKCTETQVPVNWVEYVENRRGTNSC FDWALRACTTSFAGAVHSDQRLLKEARVMYIQSLRSLGGLLSDVSTAKSDEALATAIT LAVYEKHHCTDADSWLKHAAGIRTLMKLRGPPAHLHGFGCAMYVAYRGFLVTAALISG EECFLQEPEWQALNEEIAANNAKLPDSSLYTDVVERGFLEVLKIPGHVKQVRTLLSLA SEERARRQPGVLRSVQAARAALRGISTEFGISVSMRRAGQDARQSFIGPSPHFFLDGY STLFANGVRSGLLILNQLVLAIDRTQRASLELECQLLSGDSCSSPASSKGSVICPSTP PDSPFAPQIVVESLITPSYRKPPTTDWMDHIAGTMGMDGVRVSLLE AOR_1_678034 MPCAAPCDHIPCSRRCEKPLPCGHQCPSLCGEPCPAAKFCQTCA TDDIKDISVDFILGQTYKEIDLDENPCIFPPCGHFLTVENMDAQMDLGKHYVIDEMGK PSSISKSSEPFSISDVRNCATCRGSLRSISRYGRLVRRALLDEATKKFILYVNQKYVP MARELTQLVAQLPDNDGTATAKAFQTELTLKVQGPPDHQIRLMHQHLKKHDSARWKDL IALRQQVTEYYKKVKVEEQPFNQVRNMVEDARRRKRKTGQFEFDENVLQTKGCVQAAS LLLRLDTALIGDFLSLYKQTPSGSNKCVLHLDLQANRKEGENLTAMAVNSQRVLHQVE GYLFRAQLCALERQSSDQPTRAEDLLNEGNECIERAQKLCTAHPGQVRGLADEIEGTL KMLRGGTFYTPVTSEERMAVVAAMAGEFRGTGHWYRCENNHPFTIGECGGAMEISTCP ECGARVGGQGHRTVAGVTRADDLEVNMARLMI AOR_1_996034 MGSAKICRDFARTGSCRFKNCRYSHGAGPSKPPGKGSNSRPSAR SQQPSHGTNDVNGLAAWKRKAPKSRLAIRPLGAELGQFFATARRLIEVDDDSTAQEVI QCLSHEGGLQRIQELIQRDYSLMPNATKQDIFQKQFVPFLESITHHKVLGSLVMEEFV VRIYNCIFGPAGRRAEPFLNFLADVVQLDVELHSDATSTYLELSLQVFCKIIELNSTA FIQEPLKPVARRFYDLFMILHALKTAGSLDLSRHHLEVLQRRLKIGAALPPLLGSSKP LQTYKSPVTFVTPKDPPGGRHDNDHADICSVQILPTFQEIMSPRSEYLPGKHPQQWHI GGLAGLLDRNFRLLREDTIGQLRDVIHAELMPSHLRQVQRSQVRTNVYQNVQVRGLDF DRLTGLQFVVQFAQPLNVRTMSEAHRREWWELSKRLQSSALVCLTDHQGFAIFCTVAG PERPRTDGRQERRQRKVSSLWKEASVVSVTMELVEPTEENRQYILDCYRPRHSNRAVS LIEFPGILLPSFQPTLKALQSMKKAADLPMSEFLAPDEMLTGLVDMPPPKYAAVPGFS FNLRCLMNDQSDLLLSPSQPLDLKKLQEKSTLDDAQAQALVHTLQRKIGLIQGPPGTG KSYTGVALIKVLLANKAKVKPRLGPIICVCYTNHALDQLLEDLLDDKITTQIIRIGSQ SKSERLQPLNLRTVARQIDKTRLEKQEQWKLQMNFDEYENSFDALRLDAIGTDRSLEF HLKRNHPRHFSQLFDKDEDGFEKVTGRRRQHLLQTWLRSGPATKGQQRAVNDLLEVPV DNMTSKERRILYEHWIRESREELHTQAHSILTSHQENKASYDKIRDELDLRCLRNADV IGVTTTGLARNLNMLRRLQSKVIMCEEAGEVLEAHLLTSLLPSAEHVILIGDHQQLRP QIQNYGLSRENRAGQQYSLDRSLFERLVEPDDDAGIRLPFSTLETQRRMHPSIAQLVR DTLYPRLQDAPSVSLYPEVAGMRKRLFWLDHRRPEGNSSVQDAMATSHWNDHEIELTL ALVNHLLRQGEYQAGDIAVLTPYLGQLHRMRRKLSQAFTITLGERDQDDLDKAGFTED ERPTTPSAVSRSTLLQALRVATIDNFQGEEAKVVVISLVRSNDQNRCGFLRTPNRINV LLSRAQHGMYIIGNSETSRGVDMWHKVLNIFEGHDNFGTSLELKCPRHPSTPITVSQP DDFVQFSPEGGCSLRCGKRLQCGHPRVVPTPAPNCVVMLVQRDAASWYLMQAGSSLVV TQWPIFLVGKHKISA AOR_1_682034 MEDPVSEIPTVIQHLTQSPPAQQAQTIDKYFTPDASFVHPFCRI QHFRGSRWVVKKIYQWYKVMSPRIELKIHSVAYDSDNQKLYVTMSQIFTIWIVPFHVA PVTLTTVLSLTTNPHGYLSSSDSSTAASEKAHEPKEEEEASYADVVANGNPDHKTPLT AHTNGHRKLYYITKQEDLYQTSEFIKFVLPHIGHWIVLAIHAFATVFCVLGAFLWWPF VWMEEQGWIPGLVLRGGNIAYDIRWKKRAIGAGSGPSS AOR_1_998034 MSEQQQRRKRERDINEGISWSGRSLTTIYAGISVLHRDYEDSRA AIAFRNSAYLFDYIVFDLLAESSNTPVHTICNRIVSELRTYSEKHKEKIVGLAMPLSL ADKYPPLCPQLWRELDILPLVLEHKERARADADQGELATFASWNTKELDEQADSMVRK CLRSFGAGHVLQGEIGLGSLVGVDRNFRVRLADLQDYQETLILPWEAGYPYEALTGEV LTLPGVNVQWYVPKPRPGINSIIRKMQDSLEGLGERDDSITVDEEMCILQWVYENARR YWLCEDDPLQARSKGGADIVVIDDVPLTPAALLSKQTDPGRPVIIENRLQVQKGALQD ASNFSTRPWNFLRERLKHVDLVVSQAPKELLPNIMPQKKVVYIPVSVDQLDGLNKCMS DFDLTFYGREFNTLCRSFETPTLNYPNEEYILHLSQFRNLDETITVLNAYQKFRSHCM DAGMNTLFPKLLIYHYGPSRSSRSTLIYDAILSYIETDMPRLKSCIYVMQIGPPDQLW NTLLTRAKVVIELSMCEGIPAMLLAAVQKGKPIITAKEIGQFSFTKGVNNILFCEKGD ADVIARLLRDLWTDPRLSELLLPGPRRLRDEYTTVGNAVNWLFLAAEMSREGNVVEPD GRSIYELAGQGAGLCA AOR_1_1000034 MKTHKRTRRLFAAYLRRLEADSKRLQNLLKSESLKEQRVEPTPQ DESDPLSQEEHGIVNPLFETQPKRSCDKQTEPGFTGEASCIAFGDRLLQCISRSSTPS NATLCYYHPTKTANRVLDDNEYTLPDRTQAKLLVNVAWRFIGNDHHLFLKISFMQEIE AVYQTTIIPGTNYYLQALHMLHDTYEEATLLHVEVLILIAWYSNSLGRIRSAYSYSGV AMRLALSLGLHRSGSAPATATAVELESRRRTWWMLYYFERMSASKLGLPITVRDEDID VELPSMNGLTEEEQQEFADPAHICANVKLARITGNILTEIYCLPRRANGMFVQRVHSI LKQLRAWNDALPPELCVRESRTPRPVASLHMAYNECIMQTTRPILLHLFRRRCQGDEK DPSPESHTLSPITTLLADSCVNAAITSCRIMEGLFIEGSIATFGYWEAQHIFSSCLIL VISALLNPNVATSDLLQTSINILRTMRDHGNIPAVDYSKRLSLIQAGVSTGSGSEHAN PNLGRSQQTDRVQQVGRTRNHGRPSDIAELAVQHSISHSLVADSGMNCADTLAHPLMD TFLDENLSAWPSSMFMDDNAWRSLATEMEEHFLF AOR_1_686034 MIRNGIRIAIDRGGTFTDAWADIPGRPDHVVFKVLSVSPDEYDD APTECIRRILELASGEEIPKGSLLDLSSVESIRMGTTVATNALLERKGDRVALMVTKG FRDSLLIGNQARPNIFDLSVQRLKQLYETVIEVDERITIEGYSEDPEPKPIDTASDPN LVVGLTGEVVRILRTPDLDAVRSQLDTLQSQGYQNLAIGLMHAYTYPEHELQIAKLAE QMGFKVSASSVLQSMAKFVPRTQSAVADAYLTPMTASYLEGFRKGFRGQLDDENTNKV LLSQSDGGLATWNSFSGLRGVLSGPAGGVVGLSRTCYDAEEGRPVLGFDMGGTSTDVA RYSGALEHIFENTIAEVTIQTPQLDINTVAAGGGSILTWETGLLKVGPRSAGANPGPA CYGKGGPLTVTDANLLLGRIIPEYFPKSLDLDIVKQKFAHLTDVVNRDKGGGDAFTSE TLALGFLAIANATMTRPIRKLSEGRGYSAASHNLGCFGGAGGQHAVFIARDLGIQKAI IPRYSSILSAYGMALADVVVENQEPVAWKFEDDTLSELQARLDLISKKGIEALKLQGF DEESIVHECFLNMKYQGSDTTLMIRKPDQLSDFGAAFNTRHAQEFGFSQSRDILIDDI RVRSIGRPRVVDTSSPFNELNKLDDRSTVQPPAPAFTRRVFFDILGWADTNVYTLPDL KPGTRINGPAMIIDETQTIVVDHASRATILPEHVVLEVGSVNQEQLSTNAVDPVQLSV FSHRFMTVAEQMGHTMEKTSISVNIKERLDYSCAIFSADGGLVANAPHIPGHLGSMST AITAQIERHPPGDLKPGDVIISNHPAAGGTHLPDITTITPVFDDDENPSAILFYVANR GHHADVGGIAPGSMPPNSTELWQEGAAIESFRLVNQGVFDEAGLIRHLYEIPGTFPGC SGTRTLSDNIADLKAAVASNQKGIQLIQALIKEFTWPVVEFYMKAIQENAAQAVRDLL KGFAQRYEGGVLEAEERNDDGIPFHLRVTIDKETGKTIFDFTGTGPEHSGNLNSPQAC LHSAIMYCLRSMISADIPLNHGCLAPIHVVCPKGTILSPSITAATVGCTTETSSKIAD LVLKAFQAAAASQGTMNNLTFGYGGTDPITGKVIKGFGYYETIAGGAGAGPDWEGTSG VHTHITNTRITDPEILEKRYPVILHEFSIRRGSGGAGLHRGGDGCVRDMEFRIPLQVS ILSDRRVTAPYGMAGGKEGKRGENVWIRKDPVTGSTRKVALGPRQTAHFRAGDRIVIL TPGGGGWGEEISRDQKESVGLRQKIDSAGQSLLKTQGSLYERNAIAVGN AOR_1_688034 MRFTSAILLLATAIGATAESAITIKVCNGANLEGDCVDLNVYLQ HQCYNLNGTPVSKDVRSVSIPDGYRCRFWSSTACNGGGTGDIQYPGQGSTSPPSVNSV KCYAD AOR_1_690034 MDNTKSPEDPVVKPPVDLGKDEPETRVVAGDSIDACAERALVRK FDFRILPVLAIMYLFNSLDKSNLGNAKTAGFSAETLNLKGDQYNIILSIFFIPYVLTA PFLGILGKMYGPNLVLPCMMLTFGLCTVLVVVVYNFGGLMAIRWFLGMSESAFFPLVI YYLTTFYRRGELARRLAIFYAAQSIASAFSGLLAFGVFQIHSGPLTPWRYLFLIEGLA TVLFALFVFWYLPRSASEARFLSEAEKALAFTRMQLDSSAVVNEKLNLRDAFRIFKHP TSWAIVGIQICLGVPLQSVQLFLPQIISKLGYGTVKTNLYTVAPNVSGAVVLLILAFC SDWTRWRFPFIALGFLFTFLGMIIYVAIDPEKNINVAYFASFMMTWGTSAPSVLLDVW YNNNIASEGKRIVLTSIAVPLANLMGVVSSNIFRNQDAPKYLPALITTASFGGTGIIL TLLLGFWMMLDNKRRDRAQGIKLRAMDVPTERLAEGPSSPDFRWFL AOR_1_692034 MCACHNLEKRRFMEKTRQRNFANKRSRTGCRTCRARHVKCDEAP GACGNCTSSGRSCDGYDMQRLPPPARSNRKKTPWTRLLPEVGGGIRWITNTDERRCFS YFQYYTIPTLSGFFNSTLWEKLVLQMSYAEPAVYHAAVALSAIHQDVEMHGMPLPGQE LELYNTWHRFTLEQAGRSFAILNERHFSQDPRLREVMLLCCLLFVLMELLRGKYDDAF QHLEGGLRILNELKAQRQLVTWVPHESPVEEALVAVFAQLDIQAASFKVGGPILRIEN ELEASVTEDCLLFSNVREARRAIEPLLSRAFGFLLDCWSKSDKEIICHYKWLQQEQQK LISELNRFSAQFDNFYNYSYTRVSRKDQRGADMINILRHTTSLAIRTSLIRDEVLLSQ YQPEYKVNLALIEGVMYKFRERPNYTLDMGVVPPLYIISIGCPDYTLRWRAIELLRSW PHREGVFDSNWAAFIAEEHIKVQLQLQGFHGIDGLSSYVGDSGSPTSSVESKEDSGTD EKLEKGRWSTLGQEEYTIEQGLEKTKCMEDWPCVQSLMAARRRCML AOR_1_694034 MVHLKALASGTLFASLASSAVISRQAAASINDAFVAHGKKYFGT CSDQALLQNSQNEAIVRADFGQLTPENSMKWDALEPSQGSFSFAGADFLADYAKTNNK LVRGHTLVWHSQLPSWVQGITDKDTLTEVIKNHITTIMQRYKGQIYAWDVVNEIFDED GTLRDSVFSQVLGEDFVRIAFETAREADPDAKLYINDYNLDSADYAKTQGMVSYVKKW LDAGVPIDGIGSQSHYRITLTALASTGVSEVAVTELDIEGASSESYLEVVNACLDVSS CVGITVWGVSDKDSWRSSTSPLLFDSNYQAKDAYNAIIDAL AOR_1_696034 MSQNETTSNGWDLIAAASQNALNTQLAKIPPVCVQADVPFKLLG NTNVAHVDITIHQPELQVKDGSGRQLDIPAGDLAFLVTVLKETIKQHLKGGREYKVAS FALSNQDAEKYNALIPRLADFSFVKDPEKPGRSNLLMLMQTASPSKGEIYFNKPLLAS GQEFMVLISNQVFLQNFVMPAMIENVKKQAKQKDKVASQIAVKSLSEPYLYQVYNTQD INLQKDHDPWISSLTASVDTTEKALCFYLDVKADVTFAGFRVETWDKSWQKFQIDEKQ KITLKQTKEDKGKSTKAEPWEWLVAAVSWITLLIFGVMYAVVENKNQDLGGTVVETAP LVVQWPNQKYVTLKSITTPNHVVLDLSVQF AOR_1_698034 MSTTRYKDPIPDGVCVFTTLDEAAQIQKANPHAIFYPENNGHYA KDPDGTVVAVASDEMCEEIDRRNFERAWILVTLVIGIMPLLMGPWDITRRVVMR AOR_1_700034 MTLPTPQHYHIFGQGISQSLSPTIHNAAFAHYGLPHRYDIQECN SLQDVKSLIDDPTFGGSSVTMPHKLSAGVFCAHVSDSATRLGAINTLIARSIESGDGT LTREIHGDNTDWSGLYSLIKEYASGERKQVGLVIGAGGAARAGVYAMAQAGIERIYVG NRTLAKAEQIARDFEDLCRVIPVQFPSALPEAPDVIIGTVPGEAMPQEAFKGLFRNEK GLCIEMAYKPRLTNLLAVARENPGWTTADGVEVLLRQGFEQFRLWTGLDAPEAVMRKA IEAATEGKVGGTSSL AOR_1_702034 MPDLHSLPAGTRPQHAIRNNGPPQLALERYMLRELAEGWPCYRD ACEWENLLSIFHPEAHIYTTWTGLTHHKKFIEASQAGMDKGAFIMHRIHGSTTDIDPD ATRAVTKMKATITQRFSDVSCVSGGTCDADAESDCRFIFFWEKMMDPAYPDLRGQWRA RFVRHWYEKDKLIPVNPGRVPVLDEERLKKYPPGYRYLAYMQEETMGVKVLLDLPGHR REGSTINGQKHDLLYFQAKDWMDGKDVAW AOR_1_704034 MPSETDPRAYAYLVGVGVTHSIAPPMHNYIAKALGHDWTFIAKE CPTVEDAVELFRRSDFAGGVVTMPYKRTIMDHLDGLDEYAIRLGACNNVYRTSDGKLR GTNTDWRGIKGCLLGASAEGRGKPAVLIGAGGAARAAIFTLHDQLECRQIYLVNRDRD EVKVLLEEVKQVYGDGLEIIYVERVEQVAGLPSPYYIVGTVPDAEPSTPDEVEVHQII GSFLSTPQEKGVLLDMCFKPRKTRILQAGQANGWKTVEGTEIIGHQIHEQYRLWCGEE ESSQIPVTDAWAVLRKAAEESPAINF AOR_1_706034 MPCAPAIASMSLGRAWVHSLPEKLSQAAKAGFKGVEIFYEDLEY LAKEKGPVNDSTLFAAAQEARAICDHYGLEVIGMQPFLFYEGLTDRAQHREKIERLKL WFAIVKILGTDIIQIPSNFQSEGISGDLDLIVSDMIEVADMGLKEEPVVRFAYENLAW GTFISTWEDLWEVVRRVDRPNFGCCLDTFNIAGRVWADPASASGKTPGADAALAASLQ SLVRTVDVNKVFYVQVVDAERMQQPLIEGHPFYVEGQPARMSWSRNARLFLYEQERGG YLPVVQVAEAFLKRLGFEGWVSMELFSRSMADPDPTVPETHAQRGINAWRKLAEELDL AOR_1_708034 MPRSHLNKRQRISRACDQCRRRKSKCDGEQPECAICRQAGRRCT YQDNGRRRGLQTGYVKALETILGIVFQQIPDSESLVQGFLRNPRHHSGFFATEWSEKY TSIWRNSKVAKGVSRLLAPVSQEEIYEALGDGDDDHQWESCDTHSPERTTQTPVNVSN TDMESIPAPPPPLHITSSNDTLSVSFPDDVAELVDFYFVYIQCWFPILDRRDILRTMH SDPSTKDRDTGCPLVLWAIIAYVQTMRENASGEACLEPKHLETSIRLRLMLDFNNLHL SHVQALLIVALLNIGRGDLTQAWVLVGQAMRIVATLPETARKPRYKHVFQGCVFLDNM VSALLEKTPCLSLEEQSEHKPIAEDDLEEWETWTASSDQVPSQRPAPKGPLRALSMFN LVHQLMQLLTQVLHCPPGQEGIQQIVSKLRDWQSVLSTRYPYPPRHSLNPPLLNLHLT SAFVTLCFVSKCNVGDAAITTMALHALRSCLDLLDQYIEITGKTKSSPLLYWFFFQAQ RCLRTSILISGCGEEDMLQKRLGQLTGKLKLASPTRLDRSNTSNLRPGWNVDDLDNLL PAIQALNNTYTPGIPVNMAHIAATAPIINPTSMRDAPTYLASGLSNTPELTVAPTIPT PISSSHQQTPHLLDETANFDALFEEMVASITPMSHEPTFAHNLGFHAGDLVTDFVAEL QQPAHG AOR_1_710034 MSFQVPSSHVQHHGLPSSSRRYSSDASLVLVGFPGAGKKTLGIM AAVALRRRLVDFVAVFQQRHGMHPQAYIVSHGSERYRKLELEVTEEILTEHRKGCVIV GLGWLASRQQQVLLEEFASCHPVLYIRRDRRDLQQLIATSQDKFERMWDAGNSFFESC SNLEFYNVTEGSTDEARSALPAYLKLKETERTFVRFLHRIWGREHLTRYSADPLSASY TYALQVPMACLEGPLESYEELENGADAINLKVELSEFHGRRPSESMARAVAMLRRHSR ALVIVDIIPSSVPDILSYRKLLGMALRTIPDAITCSMAHGDDLAQEVAAAKGYIKAIA TYHQEYSLARNETRPELFTFRQKAQSIGFDAIHITGESTAPGDSLHGISFPHTLAKDS LIPTIAYDTGLFGRTSVCLNPILSPVVLESMKPTGVTLREAEMAVSACFLRRRKRFGI FGQQLSHTLSPAMHNAAYAACGLPYTYGIAERERLSDIREILDDESYGGVAVSLPYKT EVLPYLDEISPEALDINAVNTVVLHQECQPNGVRKTIRKGYNTDYLGIKDCIYKHLSP ANAIRDGSTALIIGAGGMAHAAIYACYQLGVRRICVYNRTLSNARRLVDYYREWVKSK DKAPLELTILSSADDPWPAHLRQPTVIVSCIPGQKCDIESSSALNIPDHWLQSRTGGV FVEVAYDPLETRLIRSMRQHVSRGWIIVDGLSVLVEQGIAQYELFTTRPAPVHVMRRA IQQSILERTV AOR_1_712034 MPSILLLNGPNLNLLGTREPHLYGTTTLNDVETAAKELAASYGA EVECLQSNHEGVLIDRIHEARGKAQAVVINPGAFTHTSVALRDALLGVGLPFIEVHIT NVHARESFRHHSYLSDKAAAVIIGLGTFGYQVAVKHALENLVGLEERK AOR_1_714034 MSEHTLDTEALLRDSYKYGTSFFSPVSQKDTQTLKTYLLGSPLR NSLAPLLHNTLFSLAGAACTYEAIETDNSEKLLELLVKPDSLGTAVTMPLKVRSMDMV DAVTDEARSIGAINTVFIRRGDNGRSRLIGTNTDCIGVKESFLQNVDAKDLAFGSSQP GLIIGGGGACRSAVYALHFVLGVKTIYLVNRLKEEIDDIIESFRRVPDFKAKLQFVDT VESAAELSRPYLVVGTVPDRDPETEAEILAKRIVDTLMGCDAGGMQKSQGVVLEMCYH PRVQTSFYQFAERNGWRVISGTEAMIWQGVAQHILWTEKGEVFEKESTLSTIRAKIRD ALMRRN AOR_1_718034 MPAIACPVIKVALSGATAQMRLPSSKIKSESGLEGGKCEEGRRS IPSDVTKTVEIIRDAWNSCDLDRGVEIRRPDSKLPPPNLATRLVARAAEPVKIQERLT PVSVGEPAPGTWVFDFDQNIVGFPLVNLPELPAGTTVKVAPAESLAANGTVDQASLGP GDPGTDLFNTYTTAGRPGGETWHPKFNYFGMQWVQVTGLPRGYKPSRNLITGLRVQAD VPAASSFTSSSARLNRIHKMAWHSMASNIMSVFTDCPGREKLSYPADYTQPFGALARN FQFPAFLRTTAHHLVEGQSIANTGRFGDEINWGNAIVLVPSLLYDLYGDTTREKARGH IVDAALADWVEDSSQTSGRITGTWGYYLTIKAMARIANLTGHQADAHRYADLSHDIRN AFNTAFWNDSNHRYTDAGNNDTSNATQTAQELALDSGLVPEKHRQQVLNALVELTYDY PSRDGVGPHLSGGQIGLGPIVRALSAGGRDDVLWDALQQNDRPSYGYFVAPTVANPNG FTTIGEQWDHSDSKNHMILAQIDEWFHAGVVGIQPVALTTLSSTWENRLIFQPKLVGD LTSASGTYQMLQGEARCEWQRTAEGNFHLTVNVPANAEAEVRLPSVGKVNASRRARFV RVDSDYTIYAVPAGTHVFNNETAHAVGG AOR_1_716034 MKARSADEGWSCTLGKWGIIVADGAFCWFYPDGGEAGTFPSRCD AGLRYCGYIDTWSRDYHMYYTNWSMDDVAGQLGWGNIWGFSGSDESEYIHQIMEIFPR GEPEHGIGFRH AOR_1_1004034 MPPSCLGLKKRRILVNSTVVFFNLSGFFVPIYYLPIYFRSIKGT SPITSGVYNLPLLIGGIFSMIAGTLLTATQQFVPFMVVSAALSAVGGGLIYTLDQNTS TGKWVGYQIIAGSSTGFISQIPIMANTACVEMQDMSTASAMTLFFQLIGGSFSVSAAQ SVFGNVLFNRIQNTVPGLSPEAVIGAVAANLRTSFSAEQLPGVLTAYMDGLKGTFAVA TVLLAMSAPLVLLPKWERLRPEAPQSIDLEVAVEKSPIESESK AOR_1_720034 MSIEILTTASDDGNVLADIFFAAFNTDFDRRILPPTPDVRDWLT AKFNRVSKAQYVSPAGSVLIKAVDTTTGDIVGFAQWKLPPIELSTPGENVDKEKQVTW PKSGDTALCEKHFKAIDEKEEEFMGSTPHFSLEMLATHPKFGGRGIGSQLLGWGLEQA DDERTPTFLTATVAGKPLYEKKGFQVVGSNEITEGFVQIYMVRPAKA AOR_1_722034 MASETVLITGASGFIATHIVESFLRAGYNVRGTVRSERTANRVR YAFQEYKDKLSFVIVSDVVAAKAFDEAVEGVTGVIHTAAPFQTEVEDKERDLLQPAIE GTINLLDSIKRNGHQVRRVIHTSSFGDILDASKGDRPGHIYTEADWNPMTYAEALSES TPDVVSYCAGKTMAEHAAWDFMATENPSFDLVTICPPYVFGPVKNATTSLVNLNTSSM DVYRLMSPMSKPSHAVPPTLVWAWADVRDVADAHLKAFEVPEAGGQRFLVAQGRYSYQ RIADILRDKVAEVRDRVPLGKPRSGMGDVYGIDASKSENVLGLRYRPLEDSIVDAARS YLKLEQAN AOR_1_724034 MIAPSEPVPSSNSAAALTQFFPNANFKEAFDEKVVLIVGGANGI GASLVELCCQNGAYVCIGDIDTARGEALSKKCRDKWPVYLDPALPPKPPRASFYTTDI TDYQAVASLFDRVFKTYKRIDHVVVTAGSMEAGNNWFDQKLNLESVQQPPSTKDIDVN LIGSLYVTRIASVYLRHNRGPGVDRSILLFSCAAGFKETPGVSIYQASKHGVQGLMRS LRPYFPSPYKHNLRINTICPWMTETRTTLTKTVQDRWTKEGLPVSTPQEVALVSAGVL ANDSLNGTSMYVEGGRAWEIEANIDRLEPEWLGEEPSKTLALGQKVLNDAWAA AOR_1_726034 MASPSVCARTVRISLFSYGHANGPVVQQHREAQYHKTLAYNIRH LPNPPRHLRLKATGLSRRLQKEFLQNDNVEAFLVKVQREILLVVKEGCDQLLYSTEQG ETKQGPEEADKHSDSNAPSSEEVALEGAGIDIAVTICCEEGRHRSVAFVEELARRLAM FKYEDGFSQHWQLIINVTHRDIGDLEDCEQSSGQNKGSNKTQAKTRQRERREKGNRYK PRLGDDYDEDQVTTH AOR_1_728034 MIQKIPREESDVLPVSVIDCHKREIVPVCGPCDYVALSYVWGQT AAQEAPKGDSLPHHLPRIVEDAMTVVRELGLQYLWVDRYCLDQSKKAEFQAQLNQMAD IYRHALITIIGAAGSDGDYGLPGVSSRHRIKQPRIKIGDYTLWYSMTDPRKLVRESAW MTRAWTYQEGVFSWNWIAFTDEQVFFQRSNTEQTNLERWWKTSCEMFPDGGLGADANC PLLNMYDKVWQNEGAIHQLLAQYTARKLTYQSDAINGTLGLLKRCGNGPYRMNHYFGI PILGPLVIHRKAMGRDPSRRWPLMEAFLVNLCWKTKGPGPRRAEFPSWSWAGWQAVYE GSAQPLAHIGLTGRSLTKVKLSVKMKQVLVDWEAMCNMIHWDLYGDLKSLPPELYIQA PTVPLTVCQGLKESEDNFAGHAAHLAPMSWCAVLNDNEYQILIEIDLVDEEVASTLQT KDSLLLKGIILRHLDPHAALEYNYYDNQVWAFALVVLEDKGGTRRVGSLELRPDNYLV RWKYSVDHSSAKSGKCWVRGLLKEVPTIVLEKHAAISKHPRAIGFTSRTLEIYRWLGI ADQIPEVPKDFNLMRARVESMTGKWFESTSWSDTGNSNKRSSQEVPAPKKQYSPSRGA ALPQDQLEAILQVTAIDRGVDIRRQHWVKNVMQNQASVIVTVVDPQGKEIQIEGSYLI AADGSRSTIRELLQIPRNGRGHMQTMRSVLFRAPLEEYMQGVHQFNIDQPDLKAFMTT YNDGRWVLMFHDDVERDEPTLRSAINQAIGRSDLSVDIITTGRWDLAALVADTFQSGR VFLAGDAAHTLPPNRGGYGANTGIHDVDNLAWKLAAVLSGKSSPELLDTYDVERRPVA LLRHDQIFARADYKVHLDKATPAGKKLDDDAMEFGQLYLSNGFIGVDNNLPRALKPDE WAGQPGTHVPHFWVIQDGTPFSILDRVGEDSWTLLSESAEWGNVVAQVNLGSTVTLKH VCIGRDVQFADEGSFQETLGVSATGASLLRPDGYIAWRTKEMPANPAKCLDDVVTQVA FRVNSRQN AOR_1_730034 MAQGKNRSGLQSRSEDRSNARRSIVACNRCRNRKTRCAGNPPYT CAACEDAGQVCVYSEAEKRVSIPESYYRQLQSQARTQLQAQDSRQSSLFTTTSPTQST PYTSTEVPFDRDDWWYQGTDHLFLNRSGEHQFVGASSATHLAKRLHPTSTNLAWDVRP LYDDPSSLRRSVARALPQLPPFEFAKRLFWVQYAYIGTIFSLIHPQDFEERLQVVYNQ PPDFSNREACLVYCQVLLVIAFGLMYSVNQWSGDDGPPGFKYFKHGLRFLPDIHEEGS IFFVEVLCYVAYYMQNLNRRDAAFLYIGLALRMAISLGLHQEVSDPAISEDDRNRRRR AWWSVYSLDRLLSVKSGNPITIQDEDIGIKWPIPAEASPAVPWPSVVLTYYTQLSRIL GRIGEEIYRKKPRSGSNLLASVQSITNDLSEWLRRIPDRLRIDFSALDTHINRELVSI FLHFYSCVNMTARPLVFYVIQRRLDAEARGSATEDWKDGLSQNTVAVIDSCITAARAT TVIMDAAAKHNLVATYGYLDGEYIFSAALLLVMVNAAFPHNETNARAMETALSLLRGM ADRGNTYLGSRHSLLLELQSAIGPNRTRGEDAVLKAPVTPDSTQQPTPSTNVVEEQPP AVSPWPLEQDLPTMRDITFNFDINDDPGLWEEVLHQIDIDMDTDWIENTLRK AOR_1_732034 MVVCVITVLILGFLASVRALCNYTRLSTVPGPLFTGLSDLWRTY ARSTSDYGCSLAGLHMKYGKVVRLGPRSISVSDPTAIFPVYNGRPSERPIFEHGDRIV FTQGSFTKSADIESSLMSKVDRRRSLDSAMRYEGIIDQAANNLITSLRQRPLVRLTTL LQGFATEFINRLVLEESATQVMPFSAQGASCHWYNRTGKWLKLPMIEYVLLRSPAARL KRRRGISFVPRKVQGGINGSQSSRAMNDGGISYNISLPRSYSNADGAYACIAMAFVST FSSLLKHENVMTRLRSEIDTAFSKGLLSDPPRWQELGKLRYLDAVIKESMRQLPSLGY NREVVTPPEGAIVAGYYIPPGTMMELHSEALRDDPGIYGEDVHTYRPARWLTADLRQR WAMNQNLQQLSTSINDCPKVRVTWLELKKIVVLILLKFNLQLVRPGEGPIPSERSDQG LPPSMAYCTPRNH AOR_1_734034 MAGDGKITISIDRGGTFTDVHAIVPGKPDIILKLLSVDPAHYQD APTEGVRQILELVTGEPHPRGQPLKLDRIGSLRMGTTVATNALLERKGARSVLLTTKG FRDLLKIGDQSRPNIFDLSMARPGVLPEGVVEVNERIVPCHPSADKDCFSGARIVEGV TGEKFRVVQELNLEEVRSELQRYKEQGYQSLSVALVHSFAYPEHERQIGELAESMGFS VTLSSKLQPMIKIVPRGMSAAADAYLTPVIKTYIDSISSSFEGGLERQRECRFEFMQS DGGLVDFRRFSGLKAILSGPAAGVVGFAATSWDPTEKTPVIGFDMGGTSTDVSRFDGH LEHVFGSKVAGVLIQSPQLDINTVAAGGGSILSYRNGLFYVGPESASAHPGPACYRKG GPLTVTDANLFLGRLLPEYFPHIFGPNEDQPLDIEVTTKLFNELTQKINAERKEKGQP EYAPEEVALGFLKVADESMARPIRNLTQARGFETASHHLACFGGAGGQHACSVAASLG ISRIIIHKYSSVLSAYGLALAEVVKESQEPVSADFETSQSSLEKRFNDMTDSATAEMS TQGFSADQVRHELYLNMRYEGSDTSLMILKPEDDSGFLEQFKTRHRREFNFNSDRAVL VDDIRVRTIASSNVRTEKSPLVQLREASLQDVSGTPDNTTKAYFDGYSSRIDTPVYLL DKLQKNARVHGPAVIIDKTQTIVVAPNSIAKILDTCIVIDLKEEQTTVNGVPSELSSE IDPIRLSIFGHRFMSIAEQMGRTLQKTSVSTNIKERLDFSCALFSPDGGLVANAPHVP VHLGSMQFAVRYQHQKWLGNLRDGDVLVANHPSCGGTHLPDITVITPVFDKPGGSEIM FYVASRGHHADIGGILPGSMPPKSTELWQEGAAIEGEKIVSNGVFEEERIIDLLVNKP AEHPGCSGSRCISDNLSDLKAQIAANTRGIALIQSLFAEYGVETVQKYMYAIQATAET AVRNLLKDLYKRFNGEPLEAVDYMDDGTPIRLKVTINESDGSAVFDFSGTGPEVYGGW NAPIAITHSAIIYCLRAMIASDVPLNQGCLAPIDIQVPSHSILSPTKTAAVVGGNVVT SQRITDVVLKAFRACAASQGCCNNLTFGTNSKVDPDTGATIPGFGYYETIAGGSGAGP TWKGESGIHVHMTNTRITDPEILEKRYPTLLRQFTLRSGSGGKGLHPGGDGVIRDIEF LAPMECSILSERRVHRPYGLEGGEDAQSGLNLWISKDEETGEERIVNIGGKNTVSMKT HDRIVINTAGGGGWGAAQ AOR_1_736034 MSAVETDGLTITHSSERTGTPDLRLIHYNDVYHVEPGSAEPVGG VSRFQSVINHYRSDPRFAGQPDVLTFFSGDAFNPSLESTVTKGRHMVPFLNKAGTDVA CVGNHDLDFGVAQLRHLRSQCQFPWLLANILDPALGEDVPIANCERTRMLTSSNGLKV GVIGLGEREWLGTINSLPPDLIYKSAAQTALDLVPRLREQGADIIVAVTHQREPNDYK LAERLPPGFIDIILGGHDHYYAHAIVNGIQVLRSGTDFKQLSYIEAWRKADGPGWDFN IIRRDIVRSIPEDPATVALVAKLTSSLKSKLEKPIGYTVRPLDARFSTVRQRESNLGN FVCDLMRFYYAADCAMMAGGTIRGDQIYPPGILRLKDILNCFPFEDPIVLLRAQGRAL MDALENGVSQLPALEGRFPQVSNITFSYNASAPPGSRINWAKIGGHPIEYDRTYSLAT RGYMGRGKDGFASLLVQSAGGEVEEVVDEESGILVSTLLRQYFLSLKVMGRWQRWSKS LARHWDTVHQNLHCNGWLKPASGQTSPVTEKAPVRPQRPTLQRSKQYYQYGRFTEIDT EESPEKEAQSHEDMDSDSDSDPEILTSPQPTTNYVTLPAQSAAEEERRLRLARRVVRK WMQKAGFQPTTLNGTDDAGFTPTWTPGISPRLEGRIVIEDTR AOR_1_738034 MTYKWMWSAIIRTLLLLLCVRDAVGGSEIPRLHRAQRPGLWLPK DYHIQQEWTKKIARDTIANPKPLHPAVQDLKDLIEGDSRIYLLANGMYDDIPANFTDP SGQPVVRSYTDMLSIMNHLLTIAPSWSEHENQVGLVGLPMHALLDWPMGTFHGHGFFL DPAVNAILKRVLDVWKDYLQSPASAEVLNNSTTGWFSPAAIKQLTAVANVDGSSYSFN ELFVCDPSEKHYNFTSWDGFFTRAFRSERRPVAEPHNDSVIANVCESLPFAIAHNVKR RDRFWVKGQPYSVEDMLGKDELSEHFVGGTIYQAYLSSLSYHRWHAPVTGTIVKVAKF NGTYFSEPLFASMEIYGAWPKAPRYSQGYLSAVAARAVIYIQADNPAIGLMAVVEVGM SEVSSCEVTVKEGQRVVKGDEMGMFHFGGSTHCLLFGKGVKVSGFPEPGSRTDNVPVR SRLAVVEEL AOR_1_740034 MKEPTEEQIITPGDNENAPSTNEQVGPTREELETLPRIADKIPY TIFMVVIAEAAERFTFRSITGPLQNYVQNPLHDDRLPGALGKGQATATAIGYFFQCWC FLMPILGAVIADCYLGRVKTILLGSITATIGTLILFLTSLPVSLENGAGWPGLLVALI IIGLGAGGIKSNVGPLVADQYTGKKPVVKITADNRRVILDPDVTVQTIYSRYYWLINI GSCAGLIAPWVERKVGFWATFLIPLCIYGCATVVLIFCRNKYIIRPPQGSIAIRAAHA LWIGFKKNRTMDHAKPSYLRQQGERIDLPWDDQFVDEIKVALMACRVISLFPIFWLCY GNTVGNLISLAGLMNTMGLPNDFLAGSINPLSILILLPLFERVIYPSLRRVNIPFRPI SRITFGFVIMSGAIAVAAGLQSLAYNSPPYSVNFLSILPIYVLTALSEITAFLSSMEY AYTKAPRSMKSLVASVNLLLCALGSLLGLAISPTSKKPQILVQFACLSGMMFLAAILV YVLFSKYNKVDEKMNQIEREADSDREE AOR_1_742034 METKHPRPRPPMEDIVSPTLEDLIHLALRRMRYLVAPDLDNNHP PPLLFPLAESMRGNPFTVDDWDTYGNLESEYGRLTYLISVYPERGAPFACEEVLLDLL QRPSLLTEWPNFLPTLIAHAQATVHGVVQRMVSSSSNRTRSAKSDYVAIPVDYKATSS ARTIALQLWKRSLGEMASQLGRGHFDGALAFLQIHAFLKDPIYGLSRPFSLQQFFFSH LLGKCMAATRVDILHAKDLERLAAQAAQEASYLANPLLQRLTLVHFNAHHQLPYVNVP LDRLARAEFSVPMHVLEVVEEMLGAVAMSDGQACPLVPILVATYPRYAHDDQWLIPII DGNHRATATLLLRFLATLTLPVDRQAMLEGLRGYCTAHHLGRKWQIDLCDVVTELHSP TNRKMYDQITSQAALVRKFAWVRYIPALVVQEDDFYTICKQRSAGKHKPVLLHPYHQA LFNDDDIPIALPQKAGQTHGRPEPFRLMSLTPFGGEHSGGVDVGVARICQAKVRPSMN GLAQEPQPHEKVQQHRAWSCVVS AOR_1_744034 MTALDDLDLLPEDFPQPSPFMSEWPLRRDVVHMDHGSSGACPTK VLEHQNALRWELDRGSPEFFLSRWSPRHRAAKEALARFVHANYDELLLTPGSTLGLNI VTQSQQFQPGDELLTTNHAYSSVTMLLRHVANRDGAKVVIAQVPFPVASEEEIVQSIL ACVTERTRFAIIDHIVSRSGLVFPIKRIVQELADRGVDTLVDGAHGPGQVPVDLHDIG AAYYTTSCHKWMCAPRGVGFLYARRDRIRRLKPLIIARSGHWRDSDGAAYSWLEHTFE WNGCHDPSGVHSMPKIIEFLETALPGGHAAMVKRNHELAVDARRKVLGILGIDLPCPD DMIANMVVFPLPDSVLPETQGILPLCKTLWENDRAEIQCYHWPAYPKRIFRFSVQLHN SMEQYVWLAGKIKAALDEETRIAQAHAAEVNGLGT AOR_1_1006034 MSPHVKPRLATSSDKLTIETLVNEAYTPYIERIGRRPGPMLDDY GALIDAGRVHVVEKDGVVSAILVLIPEEGTMLLDNVAVAPAAQGLGLGKYLMGFAEEK ARECGFKRIRLYTNEMMVENVGIYERLGYVETHLGLENGLRRVYMVKVLG AOR_1_746034 MVGISSSSKPIFLVTHPRAISTAFERAFLTRDNDIACVHEPFSD AYHWGPEKLSERYENVEKLRAENGFQDYTYRVALGLVNDSKQNGKRVFVKDMAKCLMP LPGADPRIAPSLHYEQRAINRMDSLQNHTAIPNPTVFPLDILSGFHYTFLIRNPRQSI PSLYQCSIPPKSHITGWNGFKATDAGYAELRILFDYLVQVQIIGPGTGNDICIVDADD LLADPEGIVEEYCCSVGIPYDPRSLHWGAEKDQQRARDIFQNWIPFHDAALKSTSLNP QPPRVTTLEDDIAEWTEKFGAEAAMLIHQNVEDNMEDYLYLKQFAIKT AOR_1_748034 MDKTDIAHLEVLSFAKLASKDQTELNKLLDACRKQGFFYLDLAG SNVSHGLHQRLKALSLMKDWFDRPNEEKMKLHKDSVTNGYKPPGTLSGVVKHMKDGFE NIKLPRDNFLGTGDSLPEVFKNDKEVFRDDLEISHGVTLTILSCLSDLLQPPVRLEDY HQENMSSQSTMMYFRYAKQCADKSSGVGHNMHTDLGTLTLLYCEQWGLQVYAQATNSW KYVQPRPGLYVVNVGDALRFLSGNDLLSALHRVVPVPGHESEYRYSTAYFLRPGNETE FRTSENTVVSALNWHDQKYNVFKAAHVEQEKNTILTGGIGAF AOR_1_750034 MGKAPAAIVIARHGARLDAADKNWHLTSPTPYDPPLSYGGWLQS RALGARIGNVLKSLDNDILPEQTDGETLPSFRPHPSKRKRRIVIHSSPYLRCLQTSIA VGSGISQHYPDSTGPGPGWSQPNGFLSAPVMSPAQEPTTGAITSAPAAPGDQRSLLRV DAFLGEWLCPDYFEEITPPPKSERLIAAAKAELLRRDSIVPEADTKPATGFFPGGWGS LGNKPLSPPIEEEDRKVYSVYTSNERREGQRNRAGSCDTLRSADTPRTRRLLSKINTN LPPIPDGAYMPPTPSYAISPSDPIPTGYVTHARDACVRIDYPWDSMRDPPNWGNGGEY GEEWSTMHTRFHTGLERMVRWYQEHDASLPSGRRRRHSQLSVSESAEESKIPDDEDEP TDTILVIVTHGAGCNALIGAITGEPALVDINTASLTLAVPKDRVAVTEKADTIGGPVA PYRPGNDQVELRDYKLQLVASTDHLRPVTNLSTSVLSSPSSLSSPSSAYRPRFSTRPS LPQGGFVIGPSAVSGPGTGSWTFSRPSTAPRGPSGLWGSNSISAGDTADDIIPNFGDP WSGSNGTSNNDHHSGKKSEESSDWTPQLPQRTLSQRGLWGSRPSKEPHVKRRWTVTER RV AOR_1_752034 MMESDMYDATPSPSASRSDVSRNELNGKLPDDPNDDPEALTRTD TTLSAVVPPKELWREILFVVVVCMAQFMTQAGLCISIAPVYIIGRSFQTSTPGELSWF AAAYSLTVGTFILVCGRLGDVLGHRLMFIIGFAWFGLWSLLAGFSVWSNQVFFDCCRA LQGMGPAMLLPNAIAILGRAYPPGLRKEMIFSFFGATAPSGFIIGGVFSSILAQMTWW PWAYWVQGMVCFAFAVLGVLVIPHTSRPHFQKDLPIWVRLDLLGAAAGIIALVLINFA WNQAALVGWATPYTYVLLIVGFIIFGGFLWIERIAQCPLLPSAVFTGDLAWVLGCIAA GWSSFGIIIYYFYQFMEEIKGNSPLLATAKWVAAAPSGAIAALLTGFLLGRLPPSVIM FCAMVFFTAGLSVFATVPVDQTYWAQAFVASLITSWGMDMSFPSGTLILSNSMPHHHQ GLAASLVTTTVNYSISLGLGFAGTVESNVNDGGRNVLRGYRGALYLGIGFAGLGLVVS ILFMFVSWRRSQVSHKSG AOR_1_754034 MEAHNIAVATIAAQVHQFHKNQQPFRIYHGSTNSTRQSQHSAAN TINTANLNHVLAVDTDRKTVLVEPNVPMDELVKATLPHGLIPLVVMEFPGITAGGGFS GTSGESSSFRHGFFDATVNRIELVLANGDIRTASQKAPDEKELFWAAASSFGTLGVVT MLEIQCREAKPYVELTYHSTSSMSQAMTVFREATASPKTEYLDGIIYAPDHIVVCAGR LVDLPSNQTPIQRFVRAQDPWFYIHAQRQTRKIHRPNAEPPASVTYYAPIQDYLFRYD RGAFWTGRYAFSYFVTPFNRITRYILDTFMHTRVMYHALHESGLSKQHIIQDVAVPYK ATGEFLTWLDNKETFGAYPIWLCPLHHSQGIMARGAEAGPHQSQKEEDPEDDGDCLMN FGLWAPSPHASDTGAFIAQNRRLEHKVRELGGKKWLYAHAYYTEEFWSIYDRKRYDAL REKYHAAHLPDLYQKVRVNLSPKSDGATEGWIGWAKRMAWETWPVCGLYGVYKAWRGG DYYLIKSKKD AOR_1_756034 MAMQGAPVLDKFLSGISEIIGNRDGSKLQDFLQIEPPLSDIYRQ MVEELRQQYPNGPKEADLLRRCEGLVPRTRNGSSWTAFPTFMKLYFTFLRDVNVDNLL ETYNLLKGLVNQSVLALGDSQYGVIVLPTVLYLSKVLAKLAMGLDRRPELIAHLLRME GRSDQDESIEKVTLVEKSANVVREAFIKCLTDRSGTPGVHGKPEGKRVGIYLMANLCL KLLFQCGKLRNAEQMFSSISAQSPPLKYFPASQRVTYLYYLGRYLFSNNLFYPAQIAL QSAYDQCHRQALSQKRVILTYLIPCNIIMGRFPSLELLQRPESEGLADKFVPICRLIV RGDYIAFREHLALDSPATEWFAQKGILLALRNRCEIIVWRALARKVFIHGGFHGEPQG SAQRGPPPFLYLHKLETAVRWLQSQHAQSLHGSLGFDPSGQNRDAQAGQNEFGSQLVY KLPDHDFAGVDEVDGPESKPDPELLSKYEDFLTPDGCFDDMGQWQSNPPGVLVDGQPD ADYSQYELDPYTHRVELDSETEQGKPTPMMRELESILASLLTQGLMRGYLTHKNPRFA IPGARLRGALPTGFPNVWQTISARESEDDRVPGWVQPPPPVAGGSLAAAGGGRVVNLS GARPVGVQ AOR_1_758034 MVQPGTSQSSWVDFIKHPFVGTFTFFYLLFQAVLNWFFAPAPPP PASLVNGLPKKRVAVIGAGLTGVSAAAHCVGHGFDVQIFEARSKAKGLGGIWSRVNST SSLQIHSIMYRFHPSVKYDNAYPTQGEIREQIVDVWKRYGLQKHTAFETPVTSVKQAK DGKWIINDDEEKYGRFDGVVASVGVCGDPKMPPLPDQEQFKGNIYHSSELDGKDVEGK KVLIIGGGASAIEALEFAVQSKAAEIDVLSRSDKWIIPRNVLVQSLLALNIFGMETSF SWIPEWFLHKFFYRDLQDIAPSGGLFTTTPMANSELFDKIREGKARWLRGDIVSVKEN GILFNRRARKVPKGGPGHESVVTGDVIIMATGFKRPSLSFLPDDAFEEPYTPPSWYLQ VFPPKYPEICANNSTYVDAIGTVGNMHIGIYTRFLLMFLTDPLTQPTEGRMKTWIDFT RFVKQHSPTNAFDFFTYSELIYWFVFVILVNPFRWKWAPFVLFGVGRALPMGVVRQEE SFRKQLKQQR AOR_1_760034 MADLGFYDDKSFLPEQWTEFGLGVLIVFVRMGVRIRTVGVRGFQ GDDYFAFLAIGLLTMDAVTVHLSYVLGTNLEIPHGLHGSLTPTQYSSVVAGSKAELAA WYSYTALIWVMKAKMLFL AOR_1_762034 MTSISNNWRVYPPPSNKCGLKIQNVIVTCILNVTTDLALKLVIA VFLSSGIFVITASIIRTALTLKAEPSSITVNRWGIRETFVGVATVNLPILRPLFTKRF WKSNYVEDGSSGHPYGYHGGSESYNLTTRTKKSSRRESMKAINESSQDGGYDVYVSTS YNVKVEQKHTREGESSDGHHPSSVWEIDKKSIV AOR_1_764034 MDRNHLTLLRICERKLDEECSHPDRDLRLVVGHTQILNALLSAP IPISSLVDQHEESAAIQLGAELKAPPESLPMNTLGLLSADRLDYEVLLPSYD AOR_1_766034 MVYRSNLNTVARRIPSLIAITSKPRVQPCVVATQGRLPKARAMT LMPQFHRGPSTIKRLLDDYDRYLSGHTLDPHPRAYAPTFDMRESKDTYQLEGELPGVK QSDVDIEYVDAHTIVIKGHTEHASEVEEGSWWISERSTGDFRRSFSFPSAVDQENTRA RLKDGVLLVTIPKVASTWDVKKVKVDE AOR_1_768034 MAGISPAFITAFLSVGPAIALGTAVVNQGNASVVPECVQACSHL SNIFGPDAYSLGNANVTLWDAKQQETHSACWVQPSSTEDVATILGVIIDTSCRFAVKG GGHARNPDDSVSAGGVTIDMQKMRSVEVSPDQKTAKVGSGHVLLSLYEGLEKYNLTTL GGRVADVGLGGYLLGGGFSHLSPKYGLAMDNVFEYEIVLSNATIAIVNQETHPDLYFA LRGGMNNFGIVTHFTMRAVRQGQMLGGVRTYTADKRGAILEQVYELTTSWKNDTNMAF FYSYGYDQERDDFTLAVSQEYSLPILSPAPFEQLNQIPFEHSTVRLDRTSRFSIESAS ATPPGGRNLFATVTYFPSADLDKQIQDIMAREIQSLKKAPGFYPNLVIQPLYEAAIRS GKQRGGNAAGIDADGPLTVALLTVLWENADDDDRMNAFAQVWVKKSTATTKDTGKHHP WLYINYASTDQDPFLSYGEANLQKLRRIQREIDPQGVFTSEGLCRGYFKLQ AOR_1_770034 MHSSLLPMLAAMLLSPVSAAGVSGTAFGFAQGTTGGGNASPQTP SSLDELKTWITDDVARVILIDREWDFTNTEGHTSGKCCSSDTTTKCPGGTSAGQAWIQ DKCDDGTWVSCTYDNAAKKPLDVGSNKSIVGVGNKGVLKGKGLRLTGGANNVIIQNIH ITDLNPQYVWGGDALTLDGTDNVWIDHNKFSLIGRQMIVSGWNKGGHVTISNNEFDGV TEWSAGCNGKHYWSLLLLGLEDWYTFSGNWLHDLSGRAPHMGTDHDDSKIYFHGVNNY FQDIDGHAFDVDTNTWVLLEGNYFDNVKTPMTDTSLKSGAQLYTTSTVNAASGCVSPL GYICEWNRNGGSTGAWPDRTDASVLTGFSNLKEHLISHTGVADVPTNVKANAGVGKL AOR_1_772034 MSVEIAQLHSEVEALVEKFDVLSKIKEIQEAGDVRSSVPVTISV TTDATISLLEDKSTIGESTEAPKSLSKGEKSSFNITQGDELKYTVTAGELTSDFKIVF DVDKSAPKLKLSDELEDDNAPIGFETTKTETKTEIEIEREVEVEKEWTKGKKPERKTS KETKHEAKAGAHSLQHEVETKFKQEKDKSETETETEIEISNKKVEVEYVIY AOR_1_1008034 MKTSTLCTIAFSATALAVPVSVTTSDHGLADPVPAHAPEGEDHA RLQSRTFFPMGGLCPPCGEEGASLKARTFLPLIEGLIQHKMDKFGLCSPCDTSTNSNE NSNSNDNSNTNDNSNTNTNTNTNNNSNTNNNSNTNNNSNTVTNNINGGTPPGGTPPTG GTPPTGGTPPTGGTPPTGGTPPTGGTPPSGGMPPTGGMPPTGDVPPTGGTPPTGSIPP SGSNPPTGGTPPTGSTPPTGSTPPSGGTPPSGGTPPTGGTPPTGGTPPTGGTPPTGGT PPTGSTPPSGGNPPIGGTPPSGGTPPTSGTPPTGSNTSCEEDDDTCTPTGGTPPTSGT PPTGGMPPTGGTPPTGTNTACEEGDDSCTPTTGGTPPTGGMPPTGGMPPTSGTPPSGG TPPTGGNPPTGMPPTSGTPPDGNNTGTNKGGNTSSGSSGSSSSSSSSSSSSSSSSSSS SSSTTGGTPGCAECQAPPPEDCEE AOR_1_774034 MSISALDSRIFRNLFGTEEVREIFTDEAYAKFLVQTEAALARAE SKVNAIPADVGDAITSVLGNIELDFDRLSRETEIVGYPVLPLVMQLVENTPEDLAKYI HWGATTQDVMDNASMLQIKRGLDLVKRDLNKLIDILQVMAEKYRDTPMAGRTHLQHAL PCTFGYKCAVYLSSILRHRDRLRQIERRCLLVQFGGAAGTLASLGSDRTGILVRAQLA KELELEDPMITWHVARDNIAEVLNFLALIGGTLGKIALDIIVMSSNELDEVAEPFVPH RGASSTMPQKRNPISSEIILATSKLLRANASLGLDAMVVDFERASGPWHLEWVAIPES FTYAVGALYQTTFALSGLCVKEESMEKNLHSTRGLIVGEAVMMGLAPFVGRQRAHDVV YEACKSAIEHDRVLLDVLKENTEVSEHFNEAKLTQLCDPLSYLGSGQLMVDDVLKRVA EGKAGLKAM AOR_1_776034 MRPSSFMGLCGSALNLAQLLLIVCPAFILFGYNQSNLGGLVSVT DFTNHFPRIDTVHTEGEQKSSNATIQGVVVATFTLGALFGCLSCSYTSDRFGRRIVIL AGAILTVVGEVLEASSFQLAQLIIGRVILGAGVGMLSGTVPTWQSECSSSSNRGKHVV LDGLFISIGYILQAWINLGFYQVKTGSASWRAPIAIPIFFSLLLSLAILAMPESPRWL SQQGRMQEARSTLAALKGLSDDDASIIDELSAIERSLEQSGRTAASLGDMLKMGQDRL LYRFCLCILLQFYQQMSGGNLISVYSTVIFQEGLRMDSETSRILSGGTLTWKLLSCFA GFFAIDRFGRRFVLMVSGTGMATCMMGLAVATSFPHSNFGAQVSSVFFIFLFNFFIPI GFLGANFLYCTEVAPTKLRVAMSSISTANHWLWNFAVTMITPVAINTIGYQYYIVFTC IGFCIPISVYFFYPEVNQLFPVFGGIPSVFSTVRYARENPHLALEHVLEHEKGEIRHE ENV AOR_1_778034 MQVGESLCNTNTDLAFLHLAIGAACKHNREEFNGVMGGLTGLSG KESSVMDADRRYIEPTEELIQQLRQELESARAQLQLFSAREKGLQETIVSQNKEIEHL RELLTASRPGGYTQHGGERAGNGSVVAHLGRLVLGDGNSEFFAGSTTGVHFVLSAQQL YQTTFSSQEHFPECLFRLHILRHKELPVALEQNISIASCLENGQLTSSNIFEPLRNHL RNVGVVAVRKAFDKYQQCWGILYPVLLSKQFLDTFDATINDVWTTPLEPHLRIPFLLQ VYALMALDNVDSSTAATEIVPLPYHLDTILSNLLGQMPCRGDISSLQGLILYLLYLQM TSQHSLAIRTCGMIVRLAQSLGLHRHTRRFKHTPGESELRRRLWWSVYVLDVQSSILY GLPRNIQAADTDTDLPTNTDYDDMHSDQLSYPLPGETTHIEPFLQYVNLAQILSRCLE QMYTTTNRRGGVDKIHRLQRELDVWKQDVQSSLPNIARTSELIQMILHNIENTTTAYK SVGLHDFISLWLFILGELATMLIHRPALTFGQQEPQFADSLRACKEATTHLILAFELA SDASFVPGIWPSGHHLIFQSGLMLLYDRWFQNPIQSPGISSEPDTLPKFIHIAITLLS RSAAYLDERNPFARHRPIFTIFVREH AOR_1_780034 MAGLFKGIHGVVQDKTGVAAGDVQEAVTAVGEIPKGILDIIAND KKEPQLILHVYSYSRESRLIKEKCCLPFKNVKDEEMQLTDIRKLLIGENILEPRLVWS SFCNQRGAVVQDITNFKAYLQILNEKSSEVAETSEDNADTYRVYLLSEKIINQDVINK AILDRGAKVTTDKKLAELPTASQPEPIQAPTSFSHNIFVNPTTTFSIVHPADMSEKQW SVVIRNNSLLNPYRVVDLGSKGGKFVERSIHSAFVLKPRAFQDYQISASGAKTSVSAQ QLLRIPFFRIEDDSYVEQFEETKSVSRAVAASSMSQFDASLAIEGGAFGFSASASASY GDTSSSSDSSSSNEENKVMNITYNFPRVTIDFDHQSLDLSNQCKADLKAVSTAADIES FKNKYGRLFAMRVQLGGRLHAAEESTARTSAEKAEHAKSQRAAAALSFKSPYVQASAN VSKSSAEDSSSATQNSSSNKSMCWEAKGGDTLLCNDPPAWAYTVGSFYNWRVVKQSSV LAIEDVISSIPGYQDTKQIFADILDKNSKKEAPGKTEQKPGTIGFQLRSKMVDKYVTI GQQATKDEVAKHISELTAGKPTTKKRLHFISRLSASITDAAQLQFENKKDSTPQKFYV DVESSNDTTETKLKYNYPYKIYGKDGNEDKLWLFSNQTMPGFMSTAFVWAAKEKGATS FRFLLPSNLDNSPSRDIEDGEEASVQLFDKHDHEINLATRFDRRGDKVGTVVDLDDFA KLANELDTTWEVHYL AOR_1_782034 MFSWYQRSLIQRPVLTQSLTTACLFAVGDGLAQQGVEKKGIARH DVTRTARMALYGGAVFGPVATKWFQFLQNRINLGSPGKTLVARVATDQLVCAPTMIGV FLSSMSLMEGGDPREKLKKTYWEALRTNWTIWPALQTVNLYLVPLQYRVLTVNVFNIG WNCFLSFLNNADNVEKVEFQEIPAL AOR_1_1010034 MTLPIKPQTWTKDAFIISTDKTLLSVSAINTAFDNDFMYWTKSY PENILKQIIDGSFCFGVYKAKQNITGQNGDSNSIPSHGPTKLIEGVDQIGFARLITDN VTFAYLTDLYILPEYQGHGLGGWLIDCVDEVLRPLPHLRWFMLRTSAEKSKQAYEKRL GMHVLDTSCVSEGGIMMGRKGKANMA AOR_1_786034 MRWLISTIWALAVGSSFATARKAMHFAMEQNLDMLPRTHSARGL PAPGKKGVMLMNRIGPSSSELYVANIDGSNERKLLGNNSRFDYHASFSPDGQWITFTS ERNGDGNSDLYRCRADGSGLEKLVATSSVEDAGVLSPDASKVAWVSTANGYTTNVWVM DLKTGEQRNLTSLEGVKGDPTKPNGYFRPSWSPDGEWIAFSSDRNTEWRGHGNGTGWE HTQELSVYVIRPDGTDFRKIASREGWSLGSPRWSPDGSRIIFYEITTENTWDAHRPES VASATSQIVSVDFATGLDRIQHTNGTGVKVSPQYVTADNIGYLIKGGSSEGIHYTAES STGTVINGTMRSPSWSPDGKSVVYEKSEFEARPMEKKLYSWDPEWEYRFTDVFPDLSQ QGRLAITQKQLGNSSIVTMRPDGLDQKLVFDPLTQSNISSSEVGQGLAGAFQPSWSPD GEWIAFGIGAWFQSRATGKGYIARAKADGSYHEILTNGTINAGFPSYSLDGRYLVYRV WGTEFGLRIMDLTDKSVRVLTTTRDNLPFYSPDGQRIVFTRRTSYTNFDVCTIRPDGS DLKVLTSSGANDAHATWTADGRILYASGMYGFRDEAAIYDNTFQPYGQIFVMNADGSN KTILTDSIWEDSMALYLPNEVLE AOR_1_788034 MVLSAVSRKFSRATEDPLDTPSPVTDNDSDRISNVDKKLASVDE RSSSDEEHVKKPSETYAIEQETEEDPEIAALPREVRQLVSLTDDPTLPTITFRYFLLS IIFIVPGAFLSQMSHFRTTQAPYSIFFVQIATHYVGNFFARVFPAWEIRVPFTKWSFN LNPAPWSAKEHVLVTLTAASGATYNLGYTPIALAELFYGEKLNPAVAIFFMFAIVWVG YAFAAIARQLLLYDPTYVWPQALMQTTLFETFRKQDVSSPLARRQLKIFFLSLVGMTL WQFLPEYVFPFTSSLAFLCWVAPHNPVANFIGSGLGGMGFLNLTFDWSNINWNGSSIL LTPWWTQVILFLAYVVSCWVLLPAAKWGNLGSYKHGLMSNSLFMANGTKYPVLDVLTP DFRLNQTAYEEHGLMYMGLHNAWATFFDYAKVTAAVTWIATFGFYQVKSNLKKAIASR RKSEKAKGQNINYQYHDRLNVLQRQYKEVPLWWYVALFMAGFIILLVATACGYLWIPV WTLFVGLATAGVFVLPFGWLYAISNYQLAVGTFNEMLYGYMVHTKAGASHRHPCGPST YGAIAGDAWYRAQYMLQDQKIGHYMHIPPRTVFFSQIFGTVLGIPVNYGVIRWVLNTK GNILKGVEKDPLNQWTGQSIIGSNTLGVQYAVIGPQKMFENPELRILPYSFLVGAVIP PILYILHRCFPRLRVDLWNVTIFFSGLSVFYGNISTGYTSAIIGGYVVMYWAYRRRFE TWKRYSYMVAAAFDAGFNLNMLLIFLFFGSGKQIKMPNWWGNNADSVERCFAL AOR_1_790034 MKDELGPDAGHQKKSDGMDEVSPTAEHSEKPRRSSIYDIEGRPA NKLSAVFKNPLEGIPRQQLMEDVDRFCAQYGLETHKELFRKGALISQNPQDAHNMPEL TEDERAAIRREHTHKWSQPWQLYFMASMCSLAAAVQGMDETVNNGAQAIYLKELTIKS EYITGLVVGAPYLACAVIGCWLTEPMNRYLARRGTIWVSCFIAAVASVWEGVCNSWVN LFIARFVLGLGIGSKSSTVPVYAAECSPAPIRGALVMMWQMWTAFGIMLGNIMGVAFM GLPDNLSWRLMLGSTVVLPLIVCAQVYLCPESPRWLIEHNKINKAYEAFRILRPSDLQ AARDLYYAYVGVQLEREVNKGKNFFTMFLELFTIPRNARATLATWIVMFLQQFCGVNV IAYYSTTIFTESGYSIQEALLASMGTGILNWVFALPAFFTIDTWGRRNLLLFTFPFLA ICLFWAGFSFWIEEGIKYSKKRVAMVTTGMYLFEVFYSPGEGPVPFTYSAEAFPLHVR EVGMSWATATTWCFNFILSFTWPSLLRAFQPQGAFGWYAAWCLVGWVLVLLFVPETKS LTLEELDQVFSVPTRKHAMYQLRNTVWHFRVWVLRQKLEPLPKLYEGAEHLSEAGEK AOR_1_792034 MANDKSEAIIIVTSIFLALSLLAVSLRCYVRLHLIRAFGADDYM MVLAMAFNLAFAICGIAGGSTGLGKKMNYFADKPTDLRDSLRFWWLGQVFYALTGTAG RTSIAISLLRITIVRAHLIIIYATIALSIAVGLLFFFATLVECRPIHYVWDYGMKSPH CVSKDFLLDIVYTHSVIAALCDLTLGILPLFMIWKLQINRRAKFSLGAILGLGCLAGA AVVVRLPYNEKFKDPDFLYATATLSILANIEAGLGITAGCLSTLRPLTLNIVAHQDDD LLFLSPDLLHEIQGGRRVRTVFLTAGDAGEVSSGYWEQRQAGSQAAYAQMADVSDIWT QSDAGIDGKNIPTFTLDGNPDISLVFLQLPDGNGYGNGFPSTGSVSLQQLWQSEISSI QTVNGSTSYTSDELLDTLATLMSDFNPDRINTQDYAHAYGDNDHSDHHTTAYYVQKAA ERYSTTHTLTGYTGYSIASMAQNVFGDDLNAKQSAFFTYAAHDSKVCHDSASCGNGNE AQWLQRQYAVTGEPVANARLLNSRRTVGLGENVVLDGTQSRDPNGASLTYQWTQTSGA DVVLSNATAARPSFTSPKSSETLGFSLVVGNGNTRSTPAKVTVVATNLENVARNATAT ASSQNSDGGQTAEKALDGIIDGYPGTATTEWATVGGKAGSTLRLTWAQPQSISEVYLY DRPNVDDQVTGGILQFDNGSNITVHVLDNYGRPNRIQFEAKTTRSLLFIVTSVSPSTR NVGLAEIEVYGASSG AOR_1_794034 MTTPKITLYTNHRCPWAHRAHIALKELGLNYEEVIIDLTKPREP WYLDINPRGLVPSITYGEHIITESAVVAQFLADAHPSHLLPPSNSENGALQRARIAFF VDTFFSKVQPHFQTSLRASTTEERDAAAEALVAAIKSELEPQLPEGSDGKGPFFGGSE KLTLAEVLTGSFLLRILSFHRHGLLSEKLPSLLEDTPRFKRWAEATVQQDSVNYIWDE KVSADAIKAKLAALAKK AOR_1_796034 MRIIAVAGGTGSVGQTIVEALVAHGKHTVLILTRKPHDPVDELT YLAVDYSNVGATAKALETANVDTVICAFGMESDAISEAQVNLIRAADMSGSTKRFVVS GYDMLFKEEHIPMVPTAKWALAASHAVEESSLEYTRVVNGLFLDYYGLPHWRSHLKPW VNAVNVEGKWAVLPGDRASKVNFITSQDMARFVARLMDLSEWSPVSFIAGQTASFKDI LRLAEEARGERFSVKNESLEDLRNGRISFPEFEETGLESTGRSTEYIFALFHYISGTG GYTISRDGTLDAQFPDIKITTAAEVIESSWRNP AOR_1_798034 MTRYLSFLFLLILFGNSVFTAVIPKSYGSDDHEVTAEREDEPGN DLVLDDMWSLEDGSGSLNTRATDAADSSDEAALLDERQPLAPEDPSASKRDDTSSTDL PLSDTIVARAPEECRRALGTGVDSCKKSVQSGVANCKKKIQDDIAKCKVDAKGEIDRC KKKAKDPFSKARCESRRPKLMAQCESRRAKIPLCEKARPKVLLCCERMRPQFQALCAI PSFPVSVVRSRLQDAQQQCMKGFII AOR_1_800034 MPSEPTIPPDSPFTLHNIPFGVISTTSDPKPRCATALGHHAIDL CLLWKDKDDLEPNQSLYDIFSQPSLNAFAALSAKTRSRVREILIHDLSTGRVPKQCFI PLDQAHMHLPMKIWGYSDFFCSLEHAQNCAPLTGGEVARNFFYAPSVYNGRASSVMPS STPVRRPKGIRWDHESGQPVFGPAVQMDFELEMGYFVSKPIPMGETIKAIDAPDHIFG FVLLNDWSSRDIQAFEMTPLGPFHSKGFGTSISPWIITLDALKPFACEPEHVHSATEF DHQRYVERATATFDIRLNASLIRNGERYNTTESNLRYLYWTPYQQLAHHASAGCGIET GDLMGTGTISGQHEGELGCLFEATKGGSRPLKFPNGTTVRYLEDGDEIVLEAWCDNGT RRIGFGECRGKLLPSL AOR_1_802034 MNDYSFLDPDRQHENATSLEASELDVLQRYGSCGLAWAKSAVRE ASSSAIEQPSLVTTQALECLQLYWFGIGNFQSCSLCLSLAYRSCHLLGYNRRLNDGCN DLDLSLESELNRRCFWACWISTCVSMEPESCIRAAWKEVAMVPLPAYIQDCGAGQEII LREKMNQDWEPRPLELNMRHHSTSAAAFLVKIMGVWAKVQLLVNDWNASSPSLNIKSV FSLSDLATSIFNSASSVTDPMTVNDIESESHPMKLLAESLFHQCQIILHSMLVPLFSG ASTGPEMDVENVKRSAEKVIRHAGLHEKILNPFLYGSGDITVLPPLVGYGAFIAGIVL LTTETPFQDKISHATITESRRLRAVRAILRLLNVLCRHWRTLGHLPEQLSSALDRQLH PFKSQEPSTERNRMYGIDRMQALNEATHLVDVAQESPGPPTLAQHLETMNHSIYQANN PRGDSRSSLALTQNCSGVGEADSVDPEELLMEPPGVPLDDSWYSLSIGDAYLEGPSGF EPLALFQQGWRMFN AOR_1_804034 MENLFPHPRRIVTGHDNDGKAIVVADSLIPCEPTRINCNFAVLY ETHQFPEVNDQWIDPTRTRTPDLANQKGVVLRVVDFPPRTKTMFHRTESLDFGILHAG EITCHLDGDERIDMKPGDVCVQRGTIHGWTNYSDAPARVFFVLTVLINNRILGTEGFK REDVASGGKVDTQS AOR_1_806034 MKEDGYWSDNKKSEYDEKIWDPKRSELPIKELPASTACSSLPQK VKGGKFGIFEKALDFFGDGSFFLVDSPGHLAGNISALFRTRSRDGEPRWIFLAGDCFH PHHFVHYPEAPFGDILIAPSGCIHVDPEAARETIRKISALRESDPSVRVWAAHAGSLE GYWEFSS AOR_1_808034 MLGNKLAISSLSLGQHPSHLLDHKVEVAASHGFAGIEIVFSDIE VYAREKSLSLSEAASEIQKICETHGIEVVSLAPFENFEGHNSPVEERLQLAAKWIDIA KILKAAYLQVPAQYSSDCTGEEAVIVMELQQLADLARAQQPIIAIAYEPMSWSTHCST WQSALHIIQRVDRPNFGLCLDTFHELTRLWASPFDPSGKLPNADQNLRESLCDFQDHC PLDKIFYVQLSDGERFDPPFSMSHPWYLEGEAPQFTWSRHARPFPLEAEMGGYMPIPE VARSWIADKGFNGWVSLEIFDRRMREPAFQPETAARRGSYSWRKLQDALSITSHI AOR_1_810034 MRGIVALSFLSVALGVTADLTESNLHKYPKALALENSFNPIKEA YWTGYPHHRRTPFSVSPDGKSAYVAYLDASETDIHVQQVDVDTFQSTGTSVTVSGGKE AGGLVAHNDGFALLTNEAMPSGTTNAPPSDTPVPVLYRYTDGKQTWKTWLGGPGVHEA DGLSASPDLNGDLVYSEQAGLYGAYFVVTDYSGDAAGHYGDSIQYVADNGTLVTITGA SSSWGCSHNTGIAFESADEPPFASICAEDQGAIWLNSKTLGMSNDGVKISNENTTNGA SGEPMGGMSGSYSALARFAESTRYIFAWVSRGAMDLTENTWMGSGYTHALNRTNNRNV AISLFSDKYTIVGEQATSEVGAEDGDKQINWLTEGANDCSNAHAATFGNNSALVTWEE ISDPICDFVAMGCRGQFAGTFFQQVDSTGKRVGSPLKSMDTFVAGDMVTMPDGRICWP YVSMDWDLSQAVYALTSSTTTTMSFACIDLTGDSS AOR_1_812034 MANATRSRAKKEFRHKLCALRIAGQDMVMVTTAAQIAAIDKDSP TFAFEPFVDLVYDEIATVSRESKPLLWRTPAEGYKSLFPSAKQMTAAHTGIHLLHKQL TQPDAMHRFMTNSLVHVNNTLQWDSFYNTSVLAATADVKVVSLECLCRDVIIDAQLTS FFGPRILEIEPDIRSFLKAWDSISWKVSYRLPSFLAKHATQLRDHLIEVLVKYYAFPA EERPGSVSFVNDVYDDYKQAGIPDRDIAGIVFTILWGLNSNVNAISYWMIAHLMNNPT VVNEIREEIAPMMRALDSSPTIDGTILADVSKDPLLNSCPIMNSTFNETLRFTATGSS FRETTRDTTMDGRRIPKGTKNIIPQRTQMMHSAAFGPDPETFDCYRFYRNKSLLRKVE FRGFGGGTTLCSGRVVGRHQVLAYLALLFWRYDLEVIGPDQEVLGVRGKGFPRLDEAK PSLGPGKPIDGDDQILRLTRRNV AOR_1_814034 MLLLLLETLALAYVAWSLVAMEINYRRACAMKIPLVRLCIDPQN LLWVILEPHLWPWLDRLPINWGNFGRYSRRGWYFADRGESHRRYGPIWALVTPKEIYI NVADSEAIHDIFQRRTDFIRPVEQYTVLEVYGPCISTANTTDWPRHRKVLATPFNESV MSFVWDESVEQTRQMIDIWASPDLDKISSVAKDTRTLSLNVLAAIGFRKSYPFRSGNG NSHREQSDSVSYRDALQTVLDNAILLMIMPRRLLSLSFAPESWQQLAKAATDFKQHMV RMLDEEVQALNEGKAGSGGLMTSFVRAMNLKQEDARSKGLTTDEIFGNIFVINFAGHD TTANTLSFGLLLLAAYPEVQDWVAEELLLLTNTKGQYADLFPKLNRCRAVMLETLRLY PPIPSLPKWTNDQAQPLKVGDRTIMIPPKVGINPSLLTMHIDPQHWEDPLEWKPSRWI TPTKLVRAISIEDEQLITPVRCTYFPWSDGPQNCPGNKFSQVEFVAVIASLFRHHRIS PVANAGETFQQTRERVLATTRDVDLQLLLRMKDAERVHLKCRRV AOR_1_816034 MANFHVPVRAFSVRIASRSLCRRPLGQIQARFSSQSAATGSITQ LLDRVHNTTKVYLDFVKSLNLPEPSYQYGDGLDPRQPLPQEVADARESAIEATYELHH LLLGPLGLLFSCPGEQLFLLSLQYIYRYKIAPQVPVEGTITFEELAQATNLNIKDLTR FLRVAISRHVFDEPEKGIIGHTAASRLLINNPMVEAWLLNIAEEYWPAFTRTVDATEK WPGSEEPNETGYSLAFNTNKNPFDEISNDPRRQAQFITAMRFSHLHPSYHLSHLLDNY DFGTGECTIVDIGGSHGEVSTEIASRYPQIRCIVQDLPETIADWTTRVPTSLQDRVTC MAHDFLTPQPVHGADVYLLRWILHDWSDKYCVRILRNLVPALKKGARVVVNDICIPEP GELGPKADRDLRFMDIAMKAFNNARERDVETWGELFKEADERFRFLGVTVPAGARMAI IEADWMGP AOR_1_818034 MHAKISKHAFLGVLWAFTATDTVFVLFRIFVRIASFRRLFVDDI FVLLAWAIMLTNAIIWQIQGQVLYNLYAISTGQESYTPAVLPMFESFMRYIAPMTIMF YSGLWAIKFSFMSFFFRLNSKVKSHRIWWYVVMLVVGGVYIACVADVDYKCSLGGMEY IITKCSDLDHVHYQNRTFWANCAGDIVTDILILSIPILILWNTRISLRKKLILFSVFS ATILIMVVAIIRVVVNNSLNSSVDIGWLYLWSFVEMGTGVLSPTIQLFVTSQNQHLFG KVKHTPHNPLLGVSGKKHGPSQESHVGDTESQTSDAAIVPMDAVHVRSDFEVVTSSKK AOR_1_1012034 MPAISLSLIGIGVVAVGTVWALWALTRKLQVPNNYPNAPRSLPY SIPFLKSTIPFVLDGLNLFRQASLYCQDRWPLRVDLLNGEVYIVQGAKNIASIFSTPG LTVTQAYGIALKHCFGMEQKAVDAYLADTSGSWHRPIPGSQTPWHGRVSYHTHENLVR GLLGAGLDPTTERIERLLLASLEGAVSRTSEWTYGMDLTEFFETHLGSAILQALYGPL LVTKNSDFNRNLWRYDKQIMRLAKRLSSWLIPEAYRLRDELLGAIMRWHQQATLLSET IPSCERTSGGEADPYWGSAMMRERNKMLLSIEGQDAKSVASTDLGFIWASVTNVVPST MTLCTHMYRDHSLVENIRLAALNCIRPGATLRFDLNKLGKQPLLLSMYAETLRFGVQI HIPRCSPHQPLSVAGVTIPPDKMIFINTSLAHTDESVWNTRNGEHPLDTFWAQRFLID PKDEGSGPTRKECSQPLESTPRGEYKRMDGQGSSSGQFTLEGLDGSWIPYGGGQHACP GRILAKRIILLASSMMATMFDIELLAPNSLQFGSPRFGFGVRKPSAQVPFRIRRRKSV SQDPFIC AOR_1_822034 MGQAEIFTPAVRQESDLDRKSNVTGGFLHGAVLISLLQYLPLLY QAVQLETAILSAISLLPIVIIGVVIAAASMLMVPLFGGYDAANAVAFIDKLSSLDVPH GTLGPVLRVYLKCFQTIFYTMTDLSGLGLVISISVNELDLMSQELGNQRFEE AOR_1_824034 MAKQSREGEIRKFLESCTAISYTPCTERQINFLFTFYDFMDDNG ATRITHGSNKWPFHERGNMKQTIPAEMETEDYLLIGEKSSMRWARTKRR AOR_1_826034 MFGKWESTTQALGYEWENCKEAGGYQIGDRSANLSPLPSSSQAL LIESFKQLPPPIPGAKTYVYKTIRDLKLEVDVFIPDNLPYKDTTTAVLFLHGGGWIGG DRTEYCRPLFDEFLAQQYVVASANYKTPTGVGLYIRPTRRHSRSIEMDA AOR_1_828034 MSTATTTITASVAAAAPAPENVRMRIDGSDPKFGDFRDDLARDG FAVVKGAIPRERALKYADEMFSWLENLKLIKLSNLGFDRHDPSSVHKDNLPVINEKGM CLQYAVTHEKFVWDVRSEPGVVETFEKVYNDKDLIVSFDAVNFGFPNRTDLPANKPWP HQDQDPEKPGFRCLQGLVNLLPNGPDDGGLIVCRGGHLLSEQFHRELADEERIPAWTP EWYGYTERGMKWLDDHGSDTTNDDLSRKKEAFENWLGTTHWPNAKHTGSNVAKRDGKE CPSNRFKPVNEPQLSERAFKLTGIPYLKAEA AOR_1_830034 MTTTSTPFQIVIVGGGIAGLTAAIALRGPSRKIIVLEQSRLNKE IGAMISLQPNATKIVYKTWGLGKELSTSRAMVDQGFRVYSTEGELVNEVPLTTKTEYG ASRVLWHRKDLHDALKRAVLSPSTVAGGLVEIRVSSRVVRCDPWGGVVTLESGEEIRG DIIIGADGIHSNLRKVVVEDGPTPMPTGLSAYRLMIPSNVLETEEKEFCDKIDPRAPF TSMVVAHDCRLIMGPGREGDVFGIVALVPDERMNEDPNATQSWVSEGKLDKLMETFSE FPRWITDIFKHSPDIGLWQLRDIEPLNTWHRGRLFLIGDAAHAMLPTQGQGASQAIED SEALGAFFKEIMEPPSLEQITRLFADTFQSRYARASLIQGYSRQAARPGTVKHEKTVI MKPDEFMAFNSRYNGAKEWLRSERSLAGLA AOR_1_1016034 MDDKPAIELAECVEAGTKSRGNILVDADGQVQRLPVPSKDPNDP LNYSTWEKTAIIVSCCWFSTMSLSCIGGLGAILNVFFQLYLPQGYTTNQVVWLSTFPS LFVGIGNFLILPLGLLYGRRIATIISTVVLLGATIGCAVCNTWEQHLALRIIQGLAAG ATESVLPLILAEVTFVHQHGMVYGLYWAAQNAITGCLTLAASYEVASLGWRWFYWVFA IAVAIGLVLVVFGGFETGYKRRSQFVNGRMVITDRFGVTRMLTEDETREVLESQGHPS SGEEIPEELRPKKTYWQMLKPWSHPTESALTFIPQILFQIVEALLCPGILYATLLSSV VLASSIGMSLSYNTVLEYNYHWAPESIGLINLGGVFGGFGGMLYAGFLGDKFIVWKAT RNGGAFAPEHRLILLIFPGVLGVAALLLYGFTADGGATWGGPYMGWTLFQITFVSVLI LSTSFAAESWERNPGPALVAVVGMKNIVAFGLSYGINPMVEKYSYPTAMGILAAVTGG VFLLGIPVYILNPRWRQYMEKKQQRTAPY AOR_1_834034 MTRDVLQRHQAVHEKDEARGKASLRWTKERAIEACEACATAKLS CDNERPCKRCHSKRINCVPRPKGRRLSRERLSYSLSLPPYSPQVGESPPGLSTLTPAP ESGLSDSFPGNVHERYDADVAQTSELVLETVIPYTDAQAYLPMNGFPAFFEQVMLPVV DADDAVHDTQQPRVFDFMQDTDFTFLDTDIFGTNFIPDLDKALDVPMAFTEFENPPQS PLDDQESASQRAAAFGRSLWLWVPEKNQHAFSEERQIPLRDTDRIPSSYQNRLDAVKI PGKLSYQARDDILKLVIRTGGSRLSVTTFPSPDYLDTLIKIGIGKRTETDAWIHPYTF YDSDYQQLRPELLMALIGAGCVCCGMPSINKTGIILQEITRVEDDNSVLRDLQYLQAS MMWLDIGIFCGYTRKMQIAESYLQPLCTAVRRSAAFDRSTYTVITPYSFGTDNESLKR AWHAWVRQESLKRLVYHLFGHDVEVATTMNRPAIISYTELTLPFPSTRGLWFAPSAEA WRDIWIAYQLTGCSELNLRDLLSDPSLMTQLAPELDIEVARSALLQGLALQVWEFRQQ MLLSQTSLSGPRATTQLWLQSRQEDLYTTLRAVQQDSLSVPPVTTLMSEFVMMYLHID IDAIQRFVGRMGELDARRAYPGLRDWSRTKEARFAIWHAGQMFRAARNVAAYQFRGFE SLAIYHATLVLWVYGLIQCGETKRLEVTTPMSEADLTAPVPLDEPENQVTKSFLSHGV GRPGLMMLQYRGKNEGDVKVFYELAKPRAVTAVAQQVFEGNCRLPFSDVSLPPIIQNL CALIKDLGNLQ AOR_1_1018034 MVSTRSSSALPPVDPQGADGTATQSSATQGVSQREFPAAQNART SDVPMEPIVEEDESETPERGPTSERQSEEPMLSLSAIARVAEVLKTIMQTSSADNGFG GLKLAAPTPFEGKTIREVQNYIADMEDHFAASASAQRNDKDKVLYATSYLGEKAKQAW RLKRESLGEPSWEEMKDFLYTFVDPEADRHRNVAYRLINEKQNGRNMREWCARSLEVW QAFPNNSLTAQTWIQTLDQEVQREITRAARQPQTLGEAHDMAIQYWSMLHRERSLRDS EGSGRKRADGGNQGPAGFNRGRKRPRENETPATRPRGSRSSPGQPPFKARRWNDGTQA AHERKRDWQKKEGRCFRCNEMGHRIWECPKAADVLRHPKEPTAFPILGTVELQLQESP SISADIKPIGGWDHTVQTVKALLDSGADDNFISYRYLLQHGITVSKDHLQPPVRVRYA DGTPAPCYGKLIVKSQVTDWENQTRGYEMEYYITDLQDPDYQIVLGRKWMRHADPDVV FSAGTWRYRKEAPKISIENPKRFAKTMRHTLTMMVMYRPDTDDGPPELPPRYRKWAHV FSEKEAAELPDEKAAHPIPLVEGGVPPYGPLYNLSQHELQVLREYLDKMLERGWIRHS TSAAGAPVLFVRKPDGSLRLCVDYRGLNAVTVKNRYPLPRIDELMDRLVGAKYFTKLD LRDAYHRIRIQKGDEWKTAFRTRYGHFEYTVMPFGLCNAPATFQAYINEAMKGILDDY CVVYLDDILIYSQTEEEHVRHVGEVLSRLERANLYAKLSKCNFHQEEVTFLGFIVGRD GIRVDPERVRTVGEWPALESFHDIQVFLGFTGYFRRFVHQYARITKPLSDMLKGMQKG RKTGPFILTTEAREAFDELKAAFSGPPILRHFDPALRIKLITDASGFAIAGILLQPVD GVEEPRRRSDWQPVAFYSRKLTEIEGRYPVHDQELLAIVECFKVWRHYLEGAPHAIRV QSDHESLQYFYSKKTIEYRPGHLNPADAPSRRKDYEDVHVQRNVGLLPTLQRKLRAVP DDRNDVGAPSVAESEISIVSSRGPELLALRTMAREVAREEVVCEATSHPLRDAILQAQ QGDAFVGQIRGKLLPGEGKKESGHKSDVAETSGDLTGRWRVDGGLLYRGETIYVPPCS ALRQEILRVHHDDPFAGHFGREKTLELIRRKFYWDGLRTNIENYVRDCPVCQKMKVPR KLPQGELASLPVPEGPWQDLTMDFVVGLPPSGRKGRVYDAILVVVDRYTKAARYLPTT GTVTAEELANMFLDEIVCKTGSPRSLVTDRGSLFTSAYWMQFCQGLRIKGRLSTAFHP QTDGQTERQNQTLEAYLRMYTNYQQSDWADWLPTAEFAYNNAKNASTGYSPFMAWQGM EPAVPGLEAIGPTTSNASVELRLKGLADIRTKLEENLKKATERQAEGYNKRHKATQLR VGQEVLLSTKNIKSWRPNKKLDLKYDGPFMITEAVGKQAYRLRLPKAYGHIHPVFHVS LLKPYHRRAGVEAGEAQPIVIDDQEEWEVEEVLAHRVYYRKLQYLVKWKGWPSYENSW EPEENLKNAAEAVAAYRKASEVPEAPRRSRRRA AOR_1_1020034 MGKRKNAVRGVAKASQEAKRARLALQNPPPVPPLAPPPPPPAPL GPPLSEDTLPRPPYGDLDDLLPPAPGGDSPLPPWGSPSPPPRSPSPPPAPPSSPPVPC RRCAKSLFVGTSSGVEGPLVCVSRGAGRPCVRCARLKKPCLALPGPARAEVARLQALP PSQEAVKATDDLTKHIEEATNRLGKLNKVHPCLAALRDINRNLFRLVNVQRELGGMEP LPEGDLVDWESLW AOR_1_1328024 MDPISLIGAIGVAYQVVEITIDAIDYWKRTGDVADDIIMMVARL DMIRARIKSWSFDWGMREQRHLKHPKFRDYGHLALQYLVIIQRRLVRFSEFEAKYTGL FAGARSRRTGSVSRIAAVAAIEQTATNEGHVSSEEELLTQLKSDVGRLNRSNMLERWL WARKDKRGQMMVEHIDTLVKDLEDFFPPPRSDAAGAIVFNLALSSSDTESLQALLKLE AMPEADDNYIIRDVSNLASLKIIAANLGQRAARAKDQTLRWRSITTEEGNSLSLEPCV DGREIAQWRPTTRGEDTEIVLVEWKNIPVSLPREQKTQLDARIYDLGKMLQAADKPAK LLTLDCIGIVQKPVSDSSDAQYGLVFRVPPNHRPTSLYDHIIAPASWLHKGIRSSNIL FFTSLPDGVVDLAAPRLAGFEYSRLDEPGALTENTTDFRDHNLYRHPQHRGLPVSDCT GVNNQVSRRRNAFTYKADLYSLGVVLLEAGLWRTAAEIVAGSRGANEYRGDGYANQGN RNDADDNEDEVRSAFLRLIPTLRRTMGPIYADVVYRCLTGKFEPEDDEALETVPEAFY VNGVQPLDSCVV AOR_1_24 MVLVALITGGTSGMGLDVAQELDKTGLWKVHIIGSNANRGEEAA ASLRNTIFHQVDVTKYEQQGAAFDKIFNEEKRLDFVLANAGIAEDTAMFFASHPTGIP PPPDMTGLTDINFTGAIYTSYLAMHYFRRSPEKTKGNRHLIIMSSIGGLYPCAHTPVY SATKHGLVGFTRSVGKRLLDEGVKVNTVCPGVVLTPLMTTELQAFFPEKIVMKMSDVT NVVLKLISGTEVTDSNGTSVPSDQLHSRAILISGKQYHYVEMPEYFDEKTQLTHQHMM G AOR_1_2024 MASPRSGFAVVTPASRGIGFALARYLLQHTDLPIIATARRNSDN LRHRLLCGMENSAAEQRLSVFDVDVTEEPTVRSLADDIRQTHPKAPLRLALTVPGILH VEKSPSQIDAHAALESLKVNTIGPMLLMKHLTPFLPTRSSPLFDPFDGEVKLPQHAIY AMMAARVGSISDNRMGGWYSYRASKAAVFQLAKTLDLYLEGKCADRAISLAMHPGTVK TDFTKSYQDGREMLSAEESAERLCGVLGSLGLEGRGRCWDWKGCEVRP AOR_1_1332024 MTLKNLRLGIAIGHGTGRELTVVFKKVIQELARQHSLQVDFIES SRIYHSYHSLTTSREEKDLIQHIAEETTLDAEEYRKFCETATANGIAAIFRTAIHAQS LYLVRGQLKAVKIENFNLGDSNALLFIRDEAQGFYAGENEYHDSQHSIIRTSPFTRST FDQILEYALERAKEEWGVENVPKTVTLVYKFHLFDGVFQAWAPHWQHRFGIELDFIQP DTMNRNLMASGIQGRRIIIAGNEYADIIQPVFLKWFSNATIETMCAENVYLGPSLHRL SEYQTVHGSADAIAEQGLVNPFATIRAAAAILERHGGCPGVGFQSQTERVIQTLLMKK LTTPDQGGSCSTDAVVEHFLRAMADPATIDNPSIGRDIATGPIDAMAGKKTAVVVVDY QNDFIASTPSHVVSELSSTMPRLVQYARNEGNEVIFVRFLGNSSYQGPSWQCRNALFG KPEKCVEDTWGAELGSGVTPRPGERVFDKKALFDSFLVPEFSEYVSGQGFEHLVLAGL YSDVCVDATARTAFQKGLWVTVVEDCTTALHSYHNDHLKFMEKVYGARIVKLASLIEM KA AOR_1_4024 MSRPNIDQMDHVVNSGFNLGNELDYMLDRSYTAASRLNYQFYLW KDALQFNLHPSILLPQDTSTPLWIADLATGTAVWLLDLLRDPAIAHYPSLQLHGFDID LTNAPLPEWLPPPITLRQLDVFEDVPRDLLGRYDVVHLRLLVLVVQNSDPLPIIHRVH QMLKPGGYIQWDDLNYPDSEVVKSQAMSDVPTPAHDAFLRFAQSSGRNDWVLDLAYHL MERHGGFENAQLRNYTDRLEMRKANGDQYILVMEEFSARLKRAKKSEEAANIDLMIRG LAEESRLGVGLSMPRAVCVARKVG AOR_1_1334024 MPIDTSVWYKKHIGPRLSAPMQLILEEWSDIPSGELQRHLHAVR DKAWKYGEFPCVGQWMFLLPGIAAFPQFKHVLECARQGGIVLDLGCGLGQNLRLLAAN GAPPERMWALDLRPELWQLGYELYRDHERLPATFISGNFLQDDDCEGLLKLYAEVDIM IAGQFLHLFSWEGQKQAGKRIVALSKPGTILIGYQQSRGQAREYIRPWGMMFYHNLES FQQMWQEISRETNTEWTVDATVVDLEEWGMQAEDTE AOR_1_6024 MVRVLQSLLLLAASLSATLAADESTTVVQILNGHYNTLAFSDLR GSVVGGNAQATTYAVNCKEDSSSCPLTKPITITQGPSTFTMSAVYTMTTMGAKGTGTI VQDCDITSSTATAVCSVSVGAAVEYKGKSTSTSFATTATATGSAEVLYEPLTITAGLG NFNKAGPTGATATGAAADNHPARVGMAGAAAAAVAGALVL AOR_1_8024 MVVDSTKLLEDEAVELSEIAPTRKRHGTWASTKSWYSRTVLRSG KGYNRLPVKAQNPTHFHGWRFGALTSCILVGICLGLNVAATIYVRVTYPPSSDNLGLI QESDCDHIHSVDSRLHYALNVVATVLVSASNYNMQCLTSPTRAEVDQAHAQRRWLDIG VHSIRNLSFIGRAKLALWLALLVSTLPLHLLWNSAVVMTTTFNDYSGLVVTENFLESR SDIGLDCTSRAMEEYRSSNMSSYVTCWLFDQARNNRSSLTQMDPSRCISTYQAGLEGR SFNMLAVTKQSDALNQSTTFPPPRNTTLPVLAYFHPLDYPDQIEQWCSGLCQRWGQGN NSTKFCFDENWDEAAVPFACQEHKVNGTGWEPNALSQTSSWMCHPDAILYGECSGSAA TRNATKWTILPEHYEIDHCLVTNASHTCQLLYSPIILYIAIACNTMKFSSILLCLLVS REPTLATIGDALDSFLRSPDMASKGQCLRSKMDDTIFPDPDDMGSTQAQRWQHGEGWW LASIIVGLVFLFKGINLVGAQNAFTMGFGALSLNAIVNTSGYQGGTAASIVTTSIVAN LPQLLLSGLYFMYNAVLTGMASAYEWSMFAYQQTTLRVTLPWGAQRETYWLQLPWRYS LPLLACSTIIHWLISQSIFLINLKIYQPNNELLTRPNTHFPSASDSGVITACGYSPLA IITAIAVAILMFAVLTTVSTFKLKPDIPVVGSCSVAISAACHPPEEDSDAAGKPLSWG AVRHQEGDRPGHCCLTSQTVETPRYGELYAG AOR_1_1336024 MTAQQSLRGKNAIVTGGSRGIGKGIALELASRGANILLTYNSSR QQAEEVASQIRRVGVDAIAVEGKADDLSAPKNIVDAAAERWGCIDIVINNAGAREDYP VEAMTYEAFDRQIAVNLRFPVFLIKEATRHFGKTPRIVNLSSVYARDGQPGCLAYVAS KGAMESLTRSLAKELGHKYNATINCVSPGPVNTELWASTLQSEEKEQWDQVIQSTPAA PRVAEVDDIAQIVAFLSEEGSRWTTGSLINANGGLLFV AOR_1_10024 MRLNRTISVVGCHCAGEVGDVIVGGVLNPPNCKTMYEKLVYFQN EADDVRQLLMNEPRGRPAMCMNVVLPPCDPRADAGFIIMESDEYPPMSGGNTICTATV LLDTGMVKMTEPVTKLVLDTPSGLVGVTADCEDGKCKAISFDNVPSFVYKLDLPVEVL GLGTVKVDIAWGGMHYAIVDARSIGLKIANENGPKLIELGERIKQAVRNTYKPVHPEN DQIREVTIVEFTEPLHQKGDKRVAVNTVVVSPGRFDRCPCGTGSSARMAVLHARGELQ AGESFVHQSIIGSTFTCHIRGTTTVGQYDAVLPTVKGSAWITGFKQMVLDPSDPFPAG FRVGDQWHVRQQQ AOR_1_12024 MAVFNRQMDLMQQARASSTFNPMKLTVIIYDNEATVSARRAAFH RVEDALGLLDNMKLPHVYSGLDREGLYLEGVRRARVITNDMITHGHSHFKWLNERYQI CNSSPFGMNFLMFRRTIELQGTAEQRRYWLQEIDQMRINGAYAQTELGHGTFVRGIET TATFDVDTDTFTIHCPTPTSVKYWPGGLGFSCSHAIVAARLITHGKDHGVHMFIVQIR SLEDFAPVRGVELGDLGMKMAYNGTCNGYARFNYLRVPRSSLLAAHAQVSRDGTYSQA QAKAGTLPLSKRVYSTMLDTRRNIVRCVAFGLAQAATITARYSIVREQGRLMFSDAAE EETAIITFKSQHYRLLTLIAQSYAILFAAKDFDFRYDQLVREQENDDHARLPFMHALS TGLKAWATTIASAGATEARKMCGGHGYVALSGLPEIEGSVSATATFEGENYVMWQQLV VYLFKQVRASCAGQDVDAEVKDYIGGVRSYLGNGGSPSRIQSCDKKTLFDGSTLVLIY KKRSQRVLAAAYSSYRDMCNRCSAAEAWNACMMTSLAAGQAFVEYIVLESFVKRTSPS ATRDAPVSQALSHLFSLFALTTITSPTPSFAAGSFLEHGLLTPDELNEIRVHINELLA SLLPNIAALTDAWDFTDSSLCSALGCKDGNVYERLLSWTRQLPANSGRAVEKAWEASE GIEEFFKASRL AOR_1_14024 MDSFLFLALDYSLRGFAVSLFGTLWIALVFWYLATYLISPLRKI PGPFLAGWTNLWRMYHVTQGQSQVVLHELHQKYGPVVRIAPNVVDLDLPEMIKTIYNT KGDYRKTEFYHGSSAKSNGRIIYNLFSECDPDIHAQQKRPIAKYYSLTGVLPLEPHID EVINYLCQRLEEEFINGLNAGITCKLDQWLLFYTWDVVGQATFSEPIGYLKNGFDFDG TIAISDTAMDYFSLVGQLPVLDHLLDKNPIYRIGPPAFGNITNISITHLLDRLQGKDT SYHDANKPDFLDRFIDAKDKYPDIVDDSQIISYLMINMIAGADTTAITLNAAIYFALK DRRVWARLQKEIRACQSSLDAAPSAVPYNIASALPYLNAVVREAMRMHPGVAMTLERY VPPGGLTLPNGQYIPQGSIVGMNPYVIARNRSVWGEDSDVFRPERWLRDDSQESEEEF QARLRLMNNSDLAFGAGSRICIGRNLGLLEVYKVMATLISRYDIELAHPHRDWKTHNS FFVRQEGINVKLSRRS AOR_1_16024 MLAGQGVKAIVVMWVMVIISFILVPLRLYTRVYIVKALGLDDHV FNLGWVFLLLYTVFTTIAGKHGFGQPITSLSMDEAVQAVYMEMVGQTFAVLGMAIAKL SLVVSVMTAIIFWTQRLPSKAIYDPRVPGRTIVSVTPFSVLLGSWCAAVDFYFAILPW IFIWELNMRFKEKMTIAISLSLGFIAGICGVIRTIELGGLSSANYTEDTVPLIIWSAV ELAVTLICVGIPTIRPLYRYIVHGSSVKESHEVYKRQDESGSGSGSRGKPTFAMPMRN FARAGRKDELLTTTTTTVDIPDANDNRPDGNSRSYVTGAGQNDEEALVATIEEENSRY RDHICVRQEVHVERN AOR_1_18024 MDTDLNKYNSKWVEFHIRDHLKDGEISVRHTVIEDGEFQDPNNR RKSIHEDVIDEIVIPSDGIGEICAHGRRGSEGRLDLFHGNDKICELHWDDRDGRRENL VEMLDESDKYRIEHGGWSPEANGPLGHVYVDVWAKDKSK AOR_1_20024 MSSSKPGRSSQKGSGNDGCMSAMDAVSFVNKVKNRFAEHPELFS EFLLILQAYQRESRPLRKVYEQVEELFDAEPDLMKDFKKFLPEATAYKR AOR_1_22024 MSELPKCERDFDIAYQEWERDSAEWFDQEAWDKALESWISPFLE ERDFGYAILQRRRRLLSIKPAARPKCEDKSQMKSPDYQEAERKREEEVNELMEAYWTS NRTLLAMDETMPLAFNVVEIVLLRSHRDRHGRPYSWVMDRLTCALTGGCCGRACGCCE KPLLTYYHPLNYKYPDGKMEVGVYGHCTAECPCCIQVRHRYHPHPRLPKSAF AOR_1_24024 MPFTIEAAHLWKAFVLMLIWVVTNSSRPLFVVFFDWLSVHFKHW LERMWPLPEGGSPEARPDQENKGSVGAEESEEGKKKKGSLGDSEEESDDESEGGVKLS EGSVGEKKRAWRRVWRVVVEEEEEEEEEKFVRSDLPGYGLLAQTKDILKPRVEKLRYV VVRPPFSLPGC AOR_1_26024 MTSLLVTDRELVCSSTIATAPVSTMSIYRPLSGLPRNCRTETFS NFKRLSTVINSVYDELVEHHGEGNQWIVVLGLSRNAIQRLGDERSRDGISFRFEHDGS TGIIKVPGPGHEITTDRVKTKISFLMLRMGIEDQYWVVATRYQGTGGSKSKEGDQGFL PPTRQPVGTLATGVCPFPTLVIETGVSESLPKLREDVLWWFNHSRGDVRIVLVLCIRK RAQQPVMLIEKWQLAPPTTPRPLTRRALQQLQQQVPYPMPPEVQQQPSSQSAYCAQSI EITPQAVTGPSLILPFLAVFGRPPVAPEADLILTTAMLASCIQHL AOR_1_28024 MEGYDLLLVTSFFASPPWTKQYGTLQLNDKYELSAAWQIALYNG PAVGEIVELCLNDLVVERIGYRRTKGRTYAELDILFEKGVSARRFANTTADLFSRPSR VMSSRMELTC AOR_1_30024 MKPYLPEYEGHEPQSGLTPAVAPFAGRLGGNQDFVVDRSDPRNE KVLEKVPDAAPWMSLSEIFDLRGFLSLDLWKFACLECIASMMNVFISAWVTLHEPAAV EAPKTEVGIYHTVTFFSPLFGGLTNLLLTPLLIYTFAPSSGGHISPTITLATLFARII TFPRAILYMAGQTLGGALAGFAIHTAYGSRDFTVGGCHVDTTLVPVNAALIIEFFACL VLIFLAFGVALDPRQAKIFGHAAGPWLSPHEAPDLDIILLGHSLGGIVAAEVALMPTQ SATGRVNTGLNHRILGLVNFDVPFFGLHPRVVTTGIGRLFRHKLDETSDTTNSVTSMN EGIGSPDTTFNPAFQNDVKLTRWTGWDGAWHFISKYSHHLSRSILQYAYSYYDHAGCM NNYPELFKRHKQLMKLEAIDELQEQKAQSRGAARVRFVNYFTKSTGIVRADRTDKTLE AHIVENEGLHKPNHQQSMESTTDMLEVDYKPGRRKSAPPCSVDADELLGSKNVMRPKS SGSQPSTLHSESAVTMIEESTVSDGQDEDTTVTRESSHQSQEARRQFCYLPKDAYRGR EHLWIPVHMEGIDEITAHQSMFLPQGTYYDKLVGDTVAFIERWISDDLTKRAILGGFF AOR_1_32024 MATSTVSAQQLTEAKAKFKQDGWAVVPNVIDPEKTKEVVDRLWK AKEESERRGDPTYLDWLDPNSSNVRIFYLMELDPIFRELISHPVAVEMVQSALGQNFL VSNFTANIALPGSKSMGLHSDLSLQCPDPWLSTWGLNVIWCLHDVYYENGATLYIPGS HHWKTKAEVPPEEEARKLLVPFEAKAGSIIVMDGRLWHTSGCNVTQDKERALLFGAYN APFLRGQVNWGVGLSEETKKTLSPQLREWLGVNRDGNLGVVTGVNDVFAEGAPPPAQA AOR_1_34024 MGINENLQPVTWAVSMPFVVITSLSCILRVYSRLCLSKSFGADD WFMVAASITWLATQAILGQMIVFGGGKQDKDVPPENLLKIVTLLFVIEFVYIFCQWLI KMSFLTFYLRVLSISPLYKKTVYGVMVFTSAQTLAVLLFYGLQCLPLDAFFHPEAHPD AKCIPTAVTLYFPASMNVLTDLLIYILPIYPLWTLQMSARRRVGLIVCFTVGGSTIIV SLLRFIVLVQLASGSRTFYVYGSVAIVTTIELCTAIMTANMPSLRSVWRTHVSGTLYG SSGRKPSSYELGTTSRARGNRKMIKSSIVQRLKQGATNVSHADSEEELCRNGGDIVVS TQVNVSSVADPSVNSPQLPPSYYKPR AOR_1_36024 MGLIRFYTSLALISASAFLVRGQSDDLGLANGYTNLKTNNFDLQ LVTDAQILASLKPSGSSFDFLPSDYLPYRAANGQYHIGDITFRYRAVGEKEWTAGDSS QARAVVKSLDANALAAADITSTLPSSSALQIVRQWLDVEGDLGLSFTLTNKGNSSVEI GSLGFPIESNSIFTNRTADEVTAQCSLVDPYIGRDAGYLQFSPTSGQGPTLIITPLVN TSTPFEAWRNLDEVSDTYTGYGSQTFEGFYEWQTHSKAYAEKEWAQVNPWNEPTARSL KPGESTTVGLRFSVVKDGVRGIQKAVQGTNTPLTIGTGYIVPRDLTAQLFVFHSANVS KVVSDNNAFDIARPSSNLVSLSPTQSAWGRTRVTITYADGKVQTVHYFITDTAPDVIS KLGEFSTTAMWFDDEKDPFGRAPSVITYDEATKAQVLQEARVWIAGLMDEGGAIFLAS TMKEHGLPNAAEVAKLEEFASKVLFGNIQNANFTVRKSVFYYDPDQLPSYEYSNNIDW GNWWSWNKDASYSTDRAYDYIHVIGAYWSLYRAGRDNPTLLKVHPWQWYLGQAYNTTV TCFATNSAGDGLVGYSRLGLMGETVVGELLADLQREGWTEEADAVEAAMKLRAEAWDR QSEPFGSEMAWDCTGQEGVYYWSNYFNLTQTTTKTINSILGLMPTVSHWGWNGNARRY WDFIYAGKLQRIERMIHHYGSSLNALPLLAEFRQNPSDTYLLRVGYGGITGPLSNIRE DGSMYNAFHSFPDTLKGDDYSGDYGPSFLGMMLGAGTYVVDDPDVGLIAYGGNLSVES DRVTVRPRDAVRRRVYVASMGVYVTISTGQIEEFSFSATQPNSLELDIVAGSSNTTTA IVWVENPGTTDALKAPPNASERMFLLLIKLATYAAAVAAQVDSSSPFSFTSKGNPILS NGSWYSGDPAPLVVNDTLYIITGRDSAPPDENAFVMNQWGMLASSSANPEGGTWTLYP DVADPQAVFAWAEPGSAYAAQVVQGHDSRFYMYAPVTQADSANEDAFAIGVAVSDSPT GPFQDAHPSGPIISQSVPPPGNTIQNIDPTVLVDDDGHVYIYFGTFGQLLGYQLDPDM VTVASNVTQVTSLTGYFEAPWLMKRQDVYYMLFAANNAGADSPCTPTSYHACIAYGTA SSPMGPWTFQDVILPIVSSTTSHPGAVEWNGEWYLVYHTADAVGGGHFRRSVAFDKLI WDDSQAPAKINVVQQTFGPKSPSPPTHNVAPRAVASSVHSTPIQYWVQALNDGIIREN PLPPDYWCSYEATDSPQTSTLVYTWNETVQLNGTSMVFFADHAAGANEGVAPPQEWYI EYKDGSGTWQRAANTSSYPLEVTDTPDVVAFETVDTVAIRAILVASGAQGQYAGVGVK EWEALSTTLHSY AOR_1_38024 MKYAAVLTTVAALASRALGAGVSGTAEGFASSATGGGSATAVYP TTTDELVSYLGDDEARVIVLSQTFDFTNTEGTTTETGCAPWGTGSACQVAINKDDWCT NYESSAPSTSVTYDNAGSLGITVNSNKSLIGEGTKGVIKGKGLRIVNGVENVIIQNIA VTDINPKYVWGGDAITINQADLVWIDHVTTARIGRQHYVLGTEADNRVTLSNNYIDGE SDYSATCDGHHYWNVYLDGSSDKVTMKGNYFYKTSGRAPKVQGNTYLHAVNNYWNDNS NHAFEIGSGGYVLAEGNTFADVTAAVEDSSFEGELFSSSSDADTCSSYIGRACKANSF TNSGDLSGTTVDVLSKFKGETVATADTASTAPASNAGQGNL AOR_1_40024 MFYEPGVTEHGLPHDPFKACVVPRPIGWISTKNKKGQCNLAPYS QFNNLTFDPPYVMFSSNQTATGARKDTVVNAEETGTFVWNLATWDLREAVNISAEQTP YGTDEFELCNITKEQATIVDVPMVKESPVKFECEYHTTVRLPGNPPMGTVDIVIGKVI GVHIADEVLTDGLLDIKKTQPIARCGYYQYTVVRDTFEMIIPNMSADVLYGLEGNAAR NRQKNEENEESSSNQ AOR_1_42024 MEAVQSFLSSLWQSVGFWKAAAIFFALLNLKTLPIVWHIRVYRY FFKHGYLITPAVEQPPRPSTEILKPVSIFSRAPIMELDFNMHKSNSTYFSDLDVSRTA LMSSIVVKGAALLEKNLKAEGKKGFLGFILGSVYTNFKREIPAYMKYEVKSHVASFDQ KWIYIITYFLKPGKGSSKKGQDDSEVQKKRLLAVSISKYVLKKGRYTVPPKDAFEAAG YTPLLGTSAANGHATGVENGHANGAAVQRQETADGKSDEWDRLKAEIDRGLTIVEPFI DQEDKLMEDYVHKMGLPGFA AOR_1_44024 MEDYIDHLYPLLPIVHRPSFRRALREDRDCEDSGFLGLFLAVAA VVVATLPSRFEHYRSVSPPLQFQSRREMIRYCADRIMRLRTATYFDEINFQKFAISYL LYAAFLQLGDHNRARMLDVETMQIARLLNLHCISQYNGLNCIETQLRKKGFWLIFYSF VHARLQNMFGERLLYLDPALLQTINPEDMMPLEVDDEFIMENEDLAPRIQTPCVVTGF ILHSRVFWAAVRDPLPGHSAEEPCPCVQARDPLIQISYLQDRLQNLKYLLHDIPAILR PWGPPNEDLLNSGGTAAISRLNFATMRANLHVTHLWLQSLLIDQLEAAHAGQRGALPA SRPGHSLYKTDPKSLWLEREELCRQLFFVLSTLPQASLEANGLHLAYKVRDIAASILA CPFHPQEPEAKRAAEYVQDATSWLSRLDRSESINALHLQSWVDTDRVRSEATIP AOR_1_46024 MSFVESVPDRVANGFDRIATADIEQIIQQLTRDEKVALLTGEDF WHTVPIPRLGIPSIRFSDGPNGLRGTKFFGSVPSACLPCGTAIGATFDRDLAVRIGHL LAAEAKAKGAHVVLGPTINIPRGPLGGRGFESFSEDPLLSGIIAGNYCKGLKEKNIIA TLKHFVCNDQEHERMAVNSLVTDRALREIYLLPFMTAIAIGEPDAIMTAYNKVNGIHA AESPKLLQDILRGEWGWDGLLMSDWFGTYSTTESIQASLDLEMPGPTRWRGSALAHVI TANKVSMATVNARVRAVLRLVQKASRSGIPERAPEGQLNREEDRRLLRKIASEAIVLM KNEEGILPLDKRRRVAVIGPNAQVATYCGGGSAALNPYFAVTPFEGITNAALEGVDFA QGVYGHENLPLLGKRLRTPDGHTGFVLRIFNEPPTATTRVPIEERLETDAMVFFLDYS HPHLQSTWYADAEGYFTPDESGLYDFGLCVQGTGKLYVNGHLLINNVDVQTPGSSFLG SGTVEERESIQLEAGREYKIQVQWGCAKTGKFKVPGVVDFGHGGFRFGACKRLSPAEG IEEAVRLAARVEQVILTAGLSAEWESEGEDRTTMSLPPHTDQLIERVLAANPNTIIVL QSGTPVEMPWIHQAKAVMHAWYGGNETGNAIADVIFGDVNPSGKLPLTFPRRLKDNPT YFNYRSEGGRVLYGEDVYVGYRFYDEADIDPLFPFGHGLSYTTFELSSLELTKGSSHN MKVKCTLRNTGQRAGAEVIQLYVAPVSPPVKRPLKELKEFRKVWLAQSAEEVITIPVD LIRATSFWDETSSSWCSYQGTYRIMVGTSSRGNFLEDSVELGETTFWSGN AOR_1_48024 MGTTKAESTNKPAGEEELAAVLPTGGPAWYRQGYLLRLNSIILA LVMFSSANGYDGSLMNGLQALDQWNNFLDYPTGVRLGWLNAIYWLGCGVGYPTAAWLA NRFGRKPGVYVGYLFLGLGCALQTAAPNQTSFLLARLFVGVASALFGNSVPLLINEIA YPSHRGIVNSLFMSGWYVGGTVSGWVIFASRDYPSSWSWRLPSLLQALLPLVALPGFL LAPESPRWLISVGRPEEARTILTRYHAGGDTNSPLVNYEMLTITSAIEAEQEAQSSAS YLEMLKTPGNRRRLFISITLGIFAQWAGNGVVSYYLSLILDTVGVTSVKDQTLISACM QMWNLIFAITGAYLIDRFGRRPLFLASAVVMFVSYVFVTALSGSFAATQHASTGAAVI PFLFIFFAGYGLSLTPLLTAYPCEIWPFRLRARGLTVTWVASICAIIFNTFVNPIALD AIEWKYYIVFIAVLLIFGITAYFFYPETKGYPLEQIAAIFDGPTSQGGIDPERAAKCL DVFDDVKSLSVTHQESI AOR_1_50024 MTTQQSNAQDLEAARSKSDDPTKQFDPDFVTWDGPEDPENPKNW PRRAKWRNTVAVSIFTFISPVSSSMVAPALSQLGADLNMQSDIEVELALSIFILAYAI GPLFFGPASEVYGRVRLLQISNLWYFAWNLGCGFAQTSAQLFVFRFLAGIGGSAPVAL GGAAIGDIWTPEERGKAMGIYTLAPILGPVVGPIAGGFIAEYTTWRWVFWSSSAVAVA IQVVGLIWLHESHPATLLNRKRNRLVKQTGNHKLHTGIGPINLSSKIGGALVRPVRLF TTQPIVMVVALYMGYLFGTTYLLLATFPTVWGTQYGEGQSIAGLNYVSIAIGSFIGLF LNFVLIDRIYRSLKEKNSGIGVPEFRMPTMFIGSGMITIGLFWYGWSVQGHIHWIMPN IGVAIFSAGTMGCLQGMQTYIVDSYTTYAASAMAACALLRSLAGFGFPLFAPYMYRDL GYGWGTSVLAFISIAIGFPAPLLFWHCGAKLRAMSAYASG AOR_1_52024 MALPAQRTVVQQRQEEISTRELSDNEGDDQAAPMAMDLPIDDPL SATLLGMSNDLSSYHPPYPDAMKLWTIYVKNVDPLCKILHIPTTTEMIEMVSQQPTKA TRAQECLVFAVYYFAVYSITDEDCIRRFEKTRTSLMSKYQYAMRQGLVNASWLKTTEL QILQAYVLFLIAMRTRIDPHTFWIWTGVAVRIAQRMGLHRDGEDLDLSPFDVEMRRRL FWQLIPLDGYAGQVSGTGISIAPGDWDTKQPLNINDDQIYPDMKQPPNAQDGASEMIF CLTKAELSEFYARIAVKMNSVSSKGQMRDNAHLERLIDDLESDLEMRYLRYCDIINPV HILTLGIVRAAANMVRLRSQMPSLKSQKIDEAQRRELCVLAEKILDTDNALYANSDLR RFQWHIKTFFVWDAMICILSGLATEGFLSRTELDRKWEKIIVAYSNHPEIIESKGALH AVVNEMTLKAWISNPPSNSTVEPAFVSALRSQHETKGFAHVHNPDTTTRHDKPAEAAD FLDTLLQSPGGTDLYFDGNINYGAADWMFWDQA AOR_1_54024 MYEPVFASHAPSVGCIDITNASILIDKEDFEGIHIAAEALAEDF ARVTGNGASPILYDRSQDFDTEVAIVLGSITRSPTIQKLIQDGKLDVTAIDGQWECYI TTVLHDAIQGVKKALVIAGSDKRGAIYGLYTLSDQIGVSPWYWWADVPPKQSSEIYAL NVVTKHGPPSVKYRGIFINDEAPSLTGWVHEKYGPKFNVNFYKRVFELLLRLKANFLW PAMWFGFPHPGSSFFMDDPLNQETADKYGIVMSTSHHEPMQRAMNEWFDHPYYEPEKS WSWSKNKPKITKYFQEGADRARKYESYITMGMRGHGDRAMDAEDPSAVLKDVLSTQRS IIKNTYGEEDAVHQLMALYKEVQEYYENGLHIPEDITLLFADDNFGTVRRLPSGDEST RHGGAGIYYHLEYVGVPRSYKWLNSNSCAKVWQQLEQTYNRGANDIWIFNVGDLKPME VPLTFVLTLAWDARSLQMNQLQEFFYTFVEHTFHLGSAVSQQCSELLLKYDRLVALRK HEHIEPETFSLIHYGEAEDILRRWEELLSQAEELEKSIPAPMMPAYFQLILHPIKASC IYVALRVAQAKNQLFAVQRRNSANTWAYEALRLFEEDFNLSEEYHSLLNGKWNHIMRQ PHYGYTQTWHAPSRDMISGLSFVQNRQDSNPVVGWIGVAVDGHPGIRPGLTNEESDRT HPSRRDLVAGVTLPPMEPYGPKSRYFEIYCRGTKSVSWTLTSTHRWLTLSPSSGTIQP KGGDERVKITVDWERVPSQLDDILLVDLRSSLGDYEQIHIPVSNRTVSADATGFVEAD GHVSIYATSFINSPLSAYRILPFIGRTPTGGVALTAGTPVSHSEYLQYPFITFTPTAA ATLILEFTLTLDTDTSLPITYDIQLDDGALASHRLVPQVEKPGKLPDGWQESVMDNIW TRRHSVDLSLPGLHKLRVRLQTENCVLEKIVVDLGGYGKLVESLYEGALY AOR_1_56024 MCTRYQAYEIKDQNKIVDSTRTICYQDEHEGLYMIKDTHLLGYS WDEIWSFENDSDNDYSRVVTTTKCSRVRQGTQFDTNFKVSAGFNGLGLSLSTECGPES KTFNESETTKTETTEDTCTVKANTSVFLYQKVYHFRTDIWFRLDSFAQMWTVGNYKRP GVALVSSDVDIHANSFFQDDKSLVGKGDLDATTTRSVDEKTNIKPFEKCSDRCQDYLH ERGV AOR_1_58024 MYCFKSSTIFAVLSLLSQALSSSSQEPLGIKDFHTPEPTAKWVH PGVLVSQPQLDFIRLKLAAEEEPWTGAYKAMLGSRLLSPLLEPTPFTNVVCGPFSKPN IGCTNETHDALAAYGNALAWAISGIETYALQSMRFMDAWSQTLQSHNCSNAPLQSGWA GSVWPRAGEIIRHATVGGQNAPWPPASIARFESMLRDVYLPLTARGSDYDSNWELVMI EASMGISIFIDDKSNYNKAMELFAERAPATIYLTSDGSYPKTVHCPNCSKHEIETYWR QSVFQLNGQAQETCRDLEHTGYGLASISHIAETSRIQGEDMFTTDIGRRLMYALELHT DFEDGRAAPCWLCNGTLEGVLSPITEVGYNALHTRLGYDMPKTGKYTIKNRPVAHNGL FVGFETLTHASNPR AOR_1_1338024 MRHSLTDYVSLTVVHYLQGKIKKPSFLENGADQLGIHVVQSVIA LIILGCSIYGLTIASTTTGFGLAIFTAIATILVAIYILTASFIAKSIFKFWLLVGANC VTAIFWIATVGTLASQQHWNHQCQYNHGHCYRKRDGNDSHGVHAATIALSVIDGLLSI FSIGYSVWAKKNHRTKTPATE AOR_1_62024 MALTNTSAINATMRAVVWQGDAYNVAVVDLPRPTIINQTDVIVR MSRAAICGSDLHIYRGTTEGMPAPFGLGHEGFGYVSDVGSGVGSLRVGDPVIVPFTVD EGHLHTELTTGLYGAFGNGGDLGGTQVPTLNYTDPSTNDSVSLLNDYVMLSDIFGTGW ASLDYAGFEAGDTVAVFGAGPVGLMAAYSAILRGASTVYSVDYIPERLQLAESIGAIP INFRDADPVEQILALEPNGVTRSIDAVGYEQVNRNLTVQSDVIIRNMLAVTSTGGGLG TVGVYTHESNNTSTAPRASTVNTHVDFSLARFFYGEFTWGAGPSKPIDLAPELLHLVT SGKARPGFIVSDVINIEDAPEAYARFERHNTTKVIISFD AOR_1_64024 MSSSPDSRKNSTAPDKGLDQKTPAPAQQATNAASAIPNVPKGPW GDRGVTSPTAGLEKPNKDEKDSSLNVRINLDLRADVALELHAVIQGNVTIGLF AOR_1_66024 MVIGIAMMSAMVPTIIGLNEATKGARESEDKRRENEKKSRFHLV AACDIDADSESRRQEVHNASVYLGSDKRLYITKHPTPSMTAFNGHFFELPDLAQGNLN GLVAISGETPPTLRWVYLDKNTYEMRWGGKQDREGHIGGPFDLTRDGDYLALNDTQRW LALRLEDTEQDATEAVAGVWRLCCDWDENNGESLSIDTPSMPIYLRRVLADS AOR_1_68024 MATSFQAHVSHPFGSSLYTNSTLGADNASVSNSVFRWSTASQSS IKSDGEKSMLADVVDSLARRSSDAMISTKILETDYATVLEWIGAERMEKLPAEGSSYD KTLVWAALFVERLHSFDKAIEEFAGDSHLAAQLAYVHCASLLKLGEENSCALMSLFGL FYRCSTGLGSLLDRAELFQVSGDIKDQLSLALADLVTLVVGIATHFRKTIPAAGYLHV DIYSEFSDQIHNFRSRCERISELMWTYQLQREGYDSAKVTNTRTIKRWLEPEDLVLAK VVEATAQLAQEREESTCVWMMPYLNRFLKGKRQTLAISGNPASGKSILATVINDHLQH PVGDVSYRTIFVPINSRVLANTMPRAIAKTILSQLFDQQIGNVRLYRVLSDTYRRCQE TFDPEVYDDIIWGVLENALEASRKDAKELVLIVDGVDEANGGEAALLKRLRHATHNAK STRLILLTSQQESKTSPREGQDTVRITPELILDDISAVVRSILQGSDSFNKAPQERRE IQVTRIAEASNGSFLWAKLASKQIRDEAPSNTQALVKAVDNLVNKKPTVKDFINRTLH SNVTQEAIKLVVWLATACRPLQVQELSALLSIQQDKQRITEQDKESPLHILKPVASLV FIQNNLVFLRHAKVRDSILEIFNHGNILPTIKNRNLDLAQRLLLYAKLVVPDGRELAL EPLESRFTRNLIERHPLLDFALRYWIDHLRTAMGCNTDREISAAAKEIRNILPTSTTL PRLEMAVWERKETPVLVSFHDTQTRLYQSILSSKQQATLQTLLCEVSFYWSIHKAPAQ GDHIFYDAIKICQELLSAQHSITMTVAQYFLEITTGQVTTSKTTTMARRIEVLHLLVE SYKVVYGSTSDLFISTATQLAEHYHYIKEEHKAEEIRVSIGARHPGGPKDPIKPQLPD DSLHIHLIGRPQPTVGGSSLAIDEIETDVEVSESFEFESMLSQAEQYANAGDHKAAEL IYLEIWQRTSWEYRLHRSVEWELRNVKIALAYSNFLMLQKRESEVAAILWGFWQDYEQ AVSTLDEAVVSQFMQVAKLMKSVRLHAVALQVLNHCAQSISQHSSIYAELHQAIQSTS QEVIENESTVSESILEEIVFSTLTTTQFSAQATTSLINKYLSQRRWHDATQAMKRVLR SIWPALFAPSLQDVILPSKNVDYCVQLAERLSNCYRARQRLVKEENIRLRVYRAARHG RPLGDRLRDRATIAILRFYERSSKTDELINIHQEVLDDYTKKYGQAHPNVLKELWALA TLTHLRPVCVDYYGQIVKILNKDSNTCHPDAFEPLLIVVTELLTQGRFSEALHPCQTL FNTLQHPHVNPKLKDQAFVKLTYERYVHCLRMTRADIVAIHDVTVQYRKTCQSLFGVT ATITVQATTTLAQICQESYAYKSEAIELYEELLKLKASQVDIDYEGIKATLDALYEEQ ENTLTSSTETITTAEFHKVVSIHKKRFEATRQKYGWAHQESLSQMEKLVSLYSRRNEF QTAFSLLQEATSQVLSTETSAFNLCLAAQSIASSFISSGQLQRGKAMAQEIYRELVAR DIEVGSISFNFSADQNQALVFLAQLEYSLQEREAMSVSMNEIYTSLVTEYVYFEKLRT AMKSKTSSFQSTLSIVCRLHSVLLSRGRQSTATGLIEKFTHYFISAERDNVDVNFSQG RVFVTTLLEYFKSHSSRDYISSIAIASYNRVTQLLASKNYHTAFDLASVSFKYFRAHY GFSSLTAVKLAFKLGLAISSRDIKSFVEPNVRKDMLSLSATIVKGALDKFEQLKLDIT QLDHVNLDRLIGLLDEQKDYSTLVRVLTSLWNARETRSTSQQQHIYTLALGRMLVITR YMIGEYVDAIRLAKDIVYNCARVHGPRHQSTVEMTVLLSQMYTSVAQGYQDQKDYRDL AYRYYKEAAVLHENALRSFVDPSYFSSSLGDTSSPGGSNASSPGEATEGEAKYVRQHL RLLKLAVERLGDWPKEYSEYENLNNDIFKAFGNELKGVEGVDKWDLKKFGCGRAEATD DLILPASEEGVVLRERLAIPA AOR_1_70024 MNLVRNPNERQINSDQSVRLDTDPTEVQVADEAAVEVNGSETES APCAEQITLKALLEKIRQEKGTDQVDILSVCFGEPGVDLNVHIQGDFTVTLL AOR_1_72024 MEELTRSLVNDVEVLNNHFVSTGHPLPSFDRHTPTVVLPNDASP DAHAARERILDNALRLFQLAAGPSAYLLNLQTGYQYASCVRWLCHFQIFHLVPLEGSI AYADLAVLAKAPEPQLISVVRMAMTNGLFLESPPQHLAHSATSALLRNDADFHDWAVT MSDLSFPTAFAMVEAHERWPNSVEGNQTAYNIAVGSELPFFSHLAEQSDRKRQFAGFM RSMARSQGTDVEKLAEGWDWAALGQACVVDVGGSTGHTSVALARKYPDLNFVVEDLPE VVAEGPGYLSYLDDAQDLKSRIGYRAHSFFDPQPVQDADVYMLRMILHNWSFDDCVRI LSRLVQTLKPGARIIIVDIVLPDPGVVSASKERLLRVQDLIMQQVFNSMERYLENWMD IFRKVDERLEVKRIVEPPGSLMSLIELSMAA AOR_1_74024 MVNIYIFGDQTVRVDDAVHKLLHVKNNPILKSFLDGSFAAIRKQ IFLLPANERTSLPDAHTLPLLLEAVRRGRRHVALESALVCLCEIGQYIALLQTTDLCH PPTGSILVGFCTGSLAAAAVSCVRTSIDLLTLGIEAVVVAFRVGMHVARRANALGGDG GSQWKPWSLAVTDGSESETEKILEEFTRDEVSGVHVANRHDAYILAKGLPAIMKPYVS AAGSNTLTISGTPRVLEALKASPHLRGTKSLPVSIYAPYHAAHLYNEADVESIFACKP VESALFHRELRTPLISCATGTVLKEKTFGDLLRALVMEILTCQIRFDKVEESIVQHTP GATAQLIPIHTNIAPRMKTSLTQVGLQVECFKAIANQEPAAEALSESPSNDSSKIAII GFSGRFPEADGLNEFWELLQQGLDVHKPIPADRFDLEAHYDATLREKNTSRIKHGCWI RSPGSFDARFFQMSPREACQTDPAQRLALLTAYEAMEMAGFVPDRTPSSQRDRVGVYY GMTSDDWREVNSSQDIDTYFIPGGIRAFVPGRINYFFKFSGPSITVDTACSSSLAAIH TACNALLNSDCDTALAGGTNILTNPDNFAGLDRGHFLSSTGNCKTFDDDADGYCRADG VGTVILKRLQDAIADNDPIFGVIVGARTSHSAEAVSITRPLADAQAHLFRKLLAESGI HPHEISYIEMHGTGTQAGDAVEMKSVLDSFARDDSRAPDRPLHLGSVKANVGHGESAS GVTALIKVLLMMQKNRIPPHCGIKGRINRHFPTDMEYRNVHIPFMETDWTRPQEGKRR SFINNFSAAGGNTAVLVEDAPLLEQSRAISSPDPQRYHVITLSARSVRSLSKNMRALG EFIGSETSPGLLARIAYTTTARRMHHSYRVAFVGNDLQEVKRRFLDTDVTEAIKPCPT KSPGVGFLFTGQGAQQTAMARELYDRFTSFRADILEFEAVGRGHGFPSILPLITGAVD VEELSPMIVQLGTVVIQIAMARLWQTWGLTPEYALGHSLGEYAALQIAGVLSISDTIY LAGSRAALLEKRCTAGSHGMLAVKASVAHLEEALKGMQVEVSCINGFDDTVLSGTNDE IDRASKELSELKVTFKRLILPFAFHSSQVDPILEELEHIASQLSFQPPRIPIVSPTVG HIITDEGTIGAQYIRRHCREPVNFLGAIQAAQGSGICNSGALAVEIGAHPILTRMMKA AVGSSVTVCSTLSHREDMFKTLTESLSVLHLAGVRLNWDEYHRDFNNQVVMLPAYSWD YQDYWIQYQNNFCLTKGSPERSESVDAIQPMSTRLSPSVQKILEEEMTAAQASIIIES DITDPELLPVALDHKVNGVTLCPSSLYADIGHTLGTYLLGKKEDVTDYKIDVSNMAVE KALVVKGTGPQLFRASLDMDWNMLRGMMKVYSVNNMGTLTTHHAQCTIELQRPHQWQE GWNRQLYLIQRSIEQLKKGVEEGWTHKMRRGVAYRLFSSMMQYGPSYQAMEEVIFDSS GLEATAQVRLQSTTGRYSFNPVWSDSLGHITGFVTNCNDSIDLTENLFVNHGWGFMRC VEPFSPDTVYQTHVKMQPVDGNNGFYVGDVYVLNDHRIIAQYGAVTFQKVARRVLEML LPATTSKGRSSNIRPRNVGTAQSAKIVQSKRRTQTPHVEDAWQQVLEMIARELGVDPG QLTEDVNFTDMGVDSLMSLTIIGNFREFLSLDVPWSLFEDCPSVQSLRIYLNMSSLSE SDSIETSSYPTPDESTTTTITSPSGSDRNVGRNSGIDGVGTTVGLVLSILAEEIGVNV RDLSNADGLSELGLDSLLSITALGRVRDETDLDLPSDFFLEHSSVAAITAALHAIFGS TEQGPEQSLITSHPPAMSINLQGDEGCPQTLFLFPDGSGSSTSYSALPTISKDSMWQR SVDASCEAHTASAVGLQAGLLHMKRHSISLIKVKGLSD AOR_1_76024 MSPYDPSGYATPFSMFHTLPSPVPESTIRSQTHIESYPSLDGTM APILCSPESADISLPDIPHVAQLRTNELEGESPSVLQYNPSWDRIMAEHSTAIGDAGD CITRAAAVLKSVRDPRTSCVRSRTPPRSHTQSLDATLDDGRTAMDTVKDILACPCAQE IRVALLLVLIIQQVLESYQALLTQQHDTPREESPLGINLSRYDTPMAIGRYLLDNELR SKIIVQVLSSELEKIGLILDILTRHAQSMAHQPDELILGTYIDSLQTTKKEVLESLEQ GNDI AOR_1_78024 MDILKDFLQAPPPPNATIKKLDFTKTTPPIPAYKNHFAAIIDNA LTPAECNQLLHLAEQSIAPQNKSDPDPGNTPWDRALLNVGNGKQVKATGFRNCGRIIY DSPDIADRLLNRLLPFLRECDIVQISGQPLVTGAGPATRGETFKLTRLNEKLRFLKYT GGEYFRAHTDGCYVTPDERERSLFTVHLYLNGEGEQDEGELRRAIARRERVDARVSED NSGWGVVFGDGDGDANEDIRSEEKEENSEGAREQTLLGGATSFRLESYAGERVVRVFP KAGSALVFQQRGLCHAGDDVFRGVKYTMRSDMMYEKVER AOR_1_1340024 MLPSIPAGGKTLVYSTVDGHDIKLDYYLPSKQEGCLPAVIYYHG GGMTAGSRRSIGFQHWLYDHCQEKGYIFISADYRLCHPCTALDQIEDVKALFKFLAGE GFQKALPESTSLDTSRIAVTGFSAGAYSARAACVYATPKPAVLLTGYGSAGDWLLDHW TTGRPPTSIAKLVDLNEVPNLLADKTVVSDDTPESGIMSNRFALTVRWELDGTFLDGS LGRPGLGAKLNKLDYAERAAAIPEDLKPAFLQLFVTENYPPSVFVHGTADEVVPDQES KHHYEQLKKLGVKTELLLVENGPHGLVDFSSGIPPRPAKGSVEAYGRALEFVTEVFNA V AOR_1_82024 MSSAYSALQITKYLSYLSLPAKYHAYVETPHLFPKDEAALTVLF RCQITRVPFENLSVYYSATRQPDIHPETLYSKMMGAEETGPTGRGGYCLEVNIFFHHI LRGLGFDVYTVGARNRDRVNGVPQGDYGGWVHMANIVRLPSGVRYHLDVGFGGDGPTR PIPLVSGASVQNLGTQEARLLYDNISKESQRKQNHWIYQCRNGVDKEWNSFYCYPDLE FFQEDFEVINRFAAWEFLKRDLIVTVKFIRNGEEGEILQHQETLVHIPDGPDEVHIAG KIMLVNNEVKLNMGRKTKVIETLDTEAARMKALRKWFSICLD AOR_1_84024 MAGSARAFVVLTLAIIIGLYKIYLHDAIVLTFGIGRVIQPLEDF PDYRCQRIQHPLLESCEDLWLDSITRKLSAACSNPAARKAWSPAGNKYDLAGLAASGS SDHISVLDIDQPGSDGLYGVHALGFRDGANSQQLHLHGFDVRRIDNGRRLRFWLINHR PPLDARTGERLDPIKVGANSTIEVYDLDLGNNLKSDHLEYVKTIASDAVIAPNNLVIV DDEKGDFLVTNDHSTKVGTFRDLNFLFGDGSIAYCHTDTGKCHIATKDNCSLPNGITR DPSSGHIYIGHSAKGTIGVNILTDDNRLVQIAEVPLTMGVDNLSIDPEGNIFAAAFPD AIQLMKAFNDPYGTSAPSTVLMVRKKEGGQDTYEVVKVVEDSEAKVLPTSTTAVHDPI SGRLFIGGITSTFMAVCERVA AOR_1_86024 MLDDAFRDTPIVKKNYPFTQQTAMEAHDTVNEDYNLQQPVVSTT GLRQGLTSYGDAHFSLFLRKVFIKALGYSEDALSRPIIGIINTGSGFNPCHGNTPQLI EAAKRGIHLNGGIAIDFPTISLHESFSHPTSMFLRNLMSMDTEEMIRAQPVDACIMIG GCDKTVPAQIMGGISANKPVLPLLTGPMMPGSHRGQRIGACTDCRNNWASYRAGTIDM EEISAINEELAPTIGTCGVMGTASTMACITAALGLIPLQGASAPAVSAARLRIAEQTG ANAVAAAESRRSPQTILTSDSFYNAAVVLQAIGGSTNAMVHLMAIINRHPDISGSITL QTLDEVGRRTPLLVDLKPSGDNYMTDFHNAGGMLCLLHRLRPLLRLSAKTITGETLGE VLDRTPFRDFEYSQNIIRTLSNPLHPSSSLVVVEGNIAPHGAVIKASASKDKRLLRHT GPAVVFENPRDLSLRLDSPDLDVTADSVLVLKGIGPIGNPGMPEAGMIPIPRKLAAQG VTDMLRISDGRMSGTAGGTIVLHVSPESAVPDSPFGVIETGDMIVCDVENRVIRLEIS DEELQERIAQRRRLTAEDKTSTWNERQTRRGYRGLYEREVNQAHEGADFNFLTAKGPS SR AOR_1_88024 MTLVHIVLFKFRSNVSEEHKKTFVTELKQLKHLSCVKAGRLLVG GPSVTDPIERSQGFQIALVSYHENREALAEYQASDEHHRVTSTYMFPYKEDLVRFDFE VDEEDEYMCQFPLGDLGK AOR_1_90024 MLRLDGKVALITGLGQTSEDGWGIGAAIAMQLSQQGAVIYGGNR SLASAERTKARIEREGGVCDVQETDVTDSASVKALVDGCIQRHGRIDILINNVGKSEP GCPAEMREEIWDQQVDLNLKSIYLTCHYVLPIMEKQETGGSVVNVSSIAGLRYIGKPQ VAYSATKAAIMQFTKATAVIYAPKNVRLNTIVPGLIYTPYTQALAKRYAPGGNEEEYM KMRDAQVPMGRMGDAWDVAHAALFLVSDAAQYITGQELVVDGGITSSTGRT AOR_1_1342024 MRTNYCISVASIRQELKNDSGPLFSWLSNVNQTTDNSNIDPKTW LIVGASRGIGFIFVRLLLASGHRVVATARGPGSALDAVARDAPDRAIILTCDVSRKQS IASFIDQFVQSGVKKVHYAVINAGILGYPNRMEHTAKDAIERWIDNVSTELQSSSTTS ANDKRAGQPWLDDIQATAQRKRSYPGPFRDDISLAPSFTQSTSTRRSSSPTRVKAQLA TATSKVVFVHGSAVPGCMEAKSLLSFLTSEDSSPWQANTDVVRKISSASSRCATELRS EGSWVMDVVRPLLEAAIDDLLWNLEVQTESVVPKYLPRYTAKNTFNRKIDLVVGLPKE AWNEKYKRAGIDIFGRDLSHVDHPHTGKRLLGLGVEVKPLDGNLIVAHAYSSQYRGVS NVSPPPIVGCTVIGEDWKFYIIYGVVSTSSQLSEVRVWGPFSALEGQAMDEYGVTVLA SRLHRVMQYICTIYTGQLLSTTASSYSQ AOR_1_94024 MVCVPSSSSVMELANLISQSIPKLQDESPQRANDARGNVIEACS KMLALVTSPAEMLKEMVLIDRQNLASLQVINHYQIASVVPLNGHIPISELANKCGLPV DILRRILRQAMTYGAFSEPEPDCIAQTDVSREIPRLSPLLTYQLDVCLPSMVRLLDWL KDVDGEHACAYQIAHDTKDTWWSYASKRPELIENYGKYMALITSGGAHDVSYVLKGFA WEKLGNAVVVDVGGADGFVGISLAKEYPNLAVIVEDNLGLKDSADDNIPQHLKSRVVF LPHSFFKPQSALSRDADVFLLRHILHDWNDNDCRAILQALAASMKPGASILVAEQILQ RPGAASWQRERVMRALDMQMMIQFGSKERAYEDWDALFKSVDPPLEIVDCVQPVGSAD SFMELKRRA AOR_1_96024 MLYHTVKSLVVALAFGATAASAQNPVTSLVSRRVTEYLMPATTE THEFARVPNTNFVLLTQMSDSELIKIELDPTTEEPIAYHSFPMGKNSSSQLHGVWPST VHPGMMWLSLQADNKLLLVDPGQDLSTEPSIIQTIDIPAPGNGPHCVFEIGNRVWAGL KVASKQTGQYYVFSADVSNSTDQKLYQCLNSPVFIKEEPTTGLIYVTQDNDSSIMRIN VTSGETTQLPIPPSVGNNAVGMTTAYGSMSGVWFTLAGNATGGTGTFGHIGSSGEMEF FKLEHPLLGTNAGLLHVADASTEAGGPALWLLSTSLLSTNSPDALIRVNFDAGVTSIS GEEYISMPTQNAMVHRVLPLDKTVLVSELHTFTLAQLTYNNTIAGQWLPAEAVSNTTV YTEAG AOR_1_98024 MECRQNTSRFPSLLSSLWGPRRSQQSLSVSTSTQTQTQPPAPAP APKIDIASTLQKKRSAEQDRVSRETAQKELVDEIENLVGLENVKRQLLGVQNWVQICR RHGREPRNEWYNIVFQGNPGTGKSTIARIYAKMLYAIGISDSNTIKETSGRDLTIKGP QGVQRLIKEMVNSDSPSAPTAGVLIVDNPHTLMPSKLESHREILDCLLQAMERKTGRI IVVFIGHGPDMETFLQENPRVQHQTCSTVSFADFDRHELHQLLVRRITEAFGGKMQVE GGQDGQYMQAAARRLARSRGEGFTNIYAVRQLVETIAHRQAECLAEQQDVGMEDVDYF FFSKDDILGPNPSDIRSQSVAWTSLQSFVGQEPVKASVREVFGTVEENYWREVKNQKR LLVRVNRVFAGPPGTGKTTAAKLYAQILADLGLLSSGEVTVKPLSAFNNVSDTDDILS STVGKALIIDMNTPETDDNDFQVSDSVLDMLIKELSANGENRCTILVGSDHAVDTLLP ELKEASRMLEHQVVRFQPLTREQMEELFQAKLQEQDVDATPEAFQAAMDILESARMRK DFDNARGIERLLTAANRNFDQRRSRAPDGPLSQRVLEPEYFNADLVGGKAALAFREEL RHSIVPDDIISVLKRYHNEMKIAWFQGHEPRARVPCTLVFKGASGTGKKTVARHLSAL YYKMGVLKTAAMVECSVSDLVTTSVSHTSIRTRSQLERARGKLLYVEDAHRLGDNEYT LQAMDELIYLLPKLSQDMVVVLAGPSQDLDHLLANRPRLASLFQEEIPFRNPTPRECL RLLDRRLEEEGVRGPRLYLTDPREVTHREFTRAIQILSMFPCWGNARDIGLLARWMVS VAVKDLPLDGTTLPEVRLTDEQAMACMIKLFNLKRDRLRFNQDPKARTLPRILSQPRT TERGGVRFPV AOR_1_100024 MSGLEVLGIAASILQVVELGTHLSIKLYTFCRRLKDTDQRIQSL SSDVALTCNVLRQLGDSLQQDEDAKLYSMEAFATAQQVLGECRKLFQRIGDAVDYPDQ KATKGLLKKAARKVGFLCIEEDLEVLRVNLERLKSTMLLMLNVIMYAGQLRSRAELSV LEEQRMLIRTLVEEKKTNETQFERLTKALESAKITDNRLNKPQPVTCLTTTFVCNDAP LANDLLSSELREYYALVKKVLSEIDASQPRLEHDQYRRMRDDILQFYYSEISYCESLH GHQVAQWFREQYSTLCKEDVVCASPKLDQGVKISRRTNMDYLASASESGSQQSSSDRI IYDDWGSSRLESYKFGTKRNKHNAQPMPVQQPPNIFSHVKQPVTAPEMAAEIPPLTLC RPQPPFSATFNPIIEPQCGIGNPAVEGYPIQPGGSHRGAYVKTEATYDAKSFLMKWTT LDESELGAC AOR_1_102024 MSESIIPPRNSSGSMKKTIQPTADWAVDIDHGAETDLQRTLSTR HITMIALGSSIGMGLWLGSGTSLANGGPAAIFIGYLLSGTMIWSVSHSIGEMAVMYPL PSAFIQWTSIFVDPAAGFALGWAYWFSYWITIANELQGVVTVLNFWTDKVPTAAWITI FWVVIILINVWAVKFFGEVEVVSSSIKFGWIIIVIISLIVVSAGGAPAEGPIGFRYWN SYAFTNGFKGFLSVMPTCIFAMSGSENCALVAAETSNPRRAVPKAVGSIWLRLSLFYI LGSLMITITVDPKDNNLFGASGVNASPFVIAYRNAGLEPLAHIMNAVVFISVVSTGSI SGYAGSRALMGLAHVKMAPKIFGKADKVGRPLAGLFITLLIGGGLGYLNVNNSGEEVF TWFSNLTSLFTLFGWGMICLSHLRMRYAWKVQGRDVSDLPWKSWTFPYAAIWGLGWCI LLIIAEFYLSVWPLGGQTTAKNFFANYVSVVAIVVIYIGAKIYYRGPFWVDSRTIDLD SLRRFYVKTGDEESVEDKPGAMGHVSKAVRFLFN AOR_1_104024 MYRITNIYVLAAFGTIGGALFGFDVSSMSAWIGTKQYLDYFNSP DSNLQGGITASMSAGSFAGAIAAGWVSDIVGRRMSLMIASVIWIIGAVLQLSAQNVAH LVVGRVVSGLSVGITSSQVCVYLAELAPARIRGRIVGIQQWAIEWGILIMYLISYGCG KGIEGPASFRVAWGIQAVPGLILLLALPFFPESPRWLASKERWEESLDTLALLHGKGD RNDPVVQVEWEEVQEAVRIAREAKDVTFLALFGPKIWMRTMCGVSVQVWQQLLGGNVA MYYVVYIFTMAGMDNNATLYSSAIQYVIFLVTTGVVLPYIDRIGRRFLLLSGAITCMI LHYAIAGVMASYGNPVDQIDGNENLRWEIKGAPGKAVIALSYIFVGVYGLTWAPAAWI YASEVFPLKYRAKGVGLSAAGNWIFNFALAYFVAPAFTNIKWKTYIIFGVFCTVMTFH VFFMYPETARRSLEEIDIMFESNVKPWQSSKLQDKFGEEIARRQQSVSEEKAADASHK EVA AOR_1_106024 MSQFRVIEHTVRAQHIRDRFGATEPGQANKLRLAVKQYIPKSNE KPSPGDVTIIGAHANGFPKEMYEPLWDDLEQRMSSLGRRIRSIWIADVAHQGQSCVLN ERILGNDPSWNDHARDLLFLINQYQDDMPHPIIGVGHSMGGMHLASLALLHPSLLQAL VLIDPVIQTENPSKDYAPASSYRRDIWPTKEDAIKRFQNNKVYQKWDPRVFEKYVEYG LREVPTEIYPEPSELGPQPVTLTTPKAQEVFTFLRPNYEGGRVDLEKGEWQNEMHPDD LEEDYPFYRPEPAQLFRRLGEMKPSVLYVFGETSELSSPAARQAKLDVTGTGVGGNGG VRRQRVKEVTLPTGHLVPMERVMDCANAIATFSDAELSRWDAERQKYLRRWNAIPRRD KITVDDKWKQHIGTLSRKPKL AOR_1_108024 MSKPTLIFAPGAWYPSSAFDPLIAKLAPHGYTCQTVSFPSIQQA TEIKDLTADINAVRALVEPAVNAGQDVIIISHSWSGLPVNSALEGLSRTERQREGKQG GVTKLIFISAFLPDVGESLIGAFGGVPPEWYVMNEENATVTAADPFTLFFHDVPDGRE WAKTLRPHAWATKNSPATRTAYVDIPAAYLLCEDDRAIPLFVQELMVEKARGKGASFE TEKIKTAHTPWLVVPDQVAAYIRKHAGEEV AOR_1_110024 MMPFLPILLVLAGLTFLFRRRRYKAIEQGDSPRVVVKGHTYSDS VEEIELPQLKSEKVENVRKACMIGAGYVGGLTALVLASQNPHIQFSVVDSDARLIAAW NSDRPPVFEPGLENLLFEPNDPPALPTPSPSPKPEASQDEDCLENSSNSTNHGELIAL LPRRRKLANVNFSTNMHEAVAAADMVFLCVDAPSSIMNGDKSDIDLSRLEIAIQAIAQ VSTGHKIIVQKSTAPCGIVPRLKKLLKETASPSASFDVLSNPDFLVPGAAIRDLLYPP RVIIGHVFSEDMSPEALTALKRLYSPWVPDDRIVTMDAWSSELGKIAANALLAQQISS LNSLSVLCESTNANINYVSETLGLSQRSGLGFGGSSLQSDVLCLVYLARELGLQEVVD YWMAVLRMNEYQRHRVVKRLITRLGDVKEKRVAVLGFVSKGNVMDTRTTTALGLVRTL TSNGVRVNIYDPHVQADRSESTLRLYDCHPEMVTVTESIETACFGCSALVLHTDWEEF RQDQVRWQRISGHMASPRVLLDPHGVFDGFKMQQWGFEVLQVGIRSTKVL AOR_1_112024 MPTSRTISIVGCHAEGEVGDVIIGGVLDVPGKTMFEKRQHFLYK QDEVRSLLLNEPRGRPGKHVNLILPPCDPRADAGLIIMENEEYAPMSGSNCICTVTVL LETGMIPMKEPVTELTLDTAAGLVQVRAECRGGKCKSVAFDNVPSFVFQLDYKIDVPG IGTVSVDIAYGGMMYILVDAGSLGLRIRNADGPKLVEVGEKIKQAVNAAYTPAHPENP DIFGYSVLAFTEPVEELSDGSGKTAINTVVTSPGRFDRSPCGTGSSARLAVLHARGQI AVGEKFVHRSILGTEFITHIRGTTKVADYPAVLPTIQGRAWITGYQTVVLDSEDPFPQ GFRVGDQWRCKL AOR_1_114024 MHLPHFKVIIVGASIEGITLAYCLHRAGINYLILKRRNERVPPR EDLLIVMPNGARIWDQLGLLDRITDFIVPIEKAYMTLPDTGSHEIGFPRVLRERFGYS PAFMSKRKVLEMLYTHLPRNDKLKFDKNVVRIETGPNCMRVVTWDGYDYGGDLVVGAD GAHSKVRGEMARLSKLEGLTMDVNNYMTVDIDSWHHVDQ AOR_1_116024 MTKSLPRIACFHGGGSKGAIYEVQCSQLAGLLKNDFQFVFFDGP FESGPGPGVLPAFRDYKPFRSWFKKDGSEIEQSDGSGYDISGRDGVERVWKLMEAAGP GGEWVGVMGFSQGTRITGGLLLDQQRRTAFGELGNTPKLKFGVLCMGAGAPMVSEIGH QMADTGSTDLVKIPTLHVHGLKDMFLALGRQQHATYYESGTSKVYDVDYHHAMPWYKH EVQRLAELIRELYRESTGY AOR_1_118024 MTLQHKVFFSKRPSATRTGPAGADDLKWVPTSSTLIYGENDAVL VDTQLTVQAAEELANWVVDSGKNLVAIYITHAHGDHHFGSSTLLKQFPSAKVLAIPEV ASRMENEHSPERLQSVWEKLFPGQITNSFTSAEALPADEFELENEKLVVVRLGHTDCD DTTALWVPSIGLLVAGDAVYGNTHPYMGESGTIESRLAWIVALDKLAALNPKVVIGGH SDPNGSFGPDAITETKTYFETFNQVVAESMTAEEVYLRMMKLYPSRLNPGSLWSGAAL SKGK AOR_1_120024 MSLPHHSTPLILITGATGFIGSQVVLVSLRAGYQVRLVIRKPEQ EAVLRARYPNYNDQIEISIITDITVRDAFKPAFTGVDYVFHLASPMPGRGSDLQADYI DPAVKGTESVLFSALAFPQIRKVIIVSSVLALVPPTALQQKEVFVKDNTNEIIPIDLP TIIPEGPHGHGLKYSASKICAHQATRDFLARQNPHFTIITLHPTFVLGESLIQETPEG IDGINALFWNSLRSEKPTMPNVWVDVRDVAEAHLQTLKTEIPSGTEFLLSAPAASWGE VSELVRRKFPFVGCKLEGPIEGGWTVDARTAERMLGMNWRRQDEIVEVMLEQQLRLRE VEASL AOR_1_122024 MPLWQIYHPPGTFTTSTEKEAFSKAITENYTSVGLPAFYVVVQF HELDPENVFVGGDQRSKTAKPFVRIVVAHIAIRLPDADESYARVTASIDRVLKPHVLD KGYDVEYHVDETERRLWKINGMIPPPWKSEAEQLWVRENRPVVYKGAFPEGGKTAL AOR_1_1344024 MAETLPTSLSTALDISPSGDQFSMELPTDIAFGAGEFGLIHAFR VVKVKDLGYRTNKRTSPVSCGGYVASLMAKYAVVHASKHETLRTQTDVRTSLVQFYRP IIASKPVQMQLREVSLGKAWSTLRVETSQFGKIAASADLCLQTGWHLTTRQVDLSKLE MDCDPDWTSYQTAFHPNGFRRAHSYSNGSYLAGTASLKDPVQHESASSGELFPTIKAI THGSVAATLKFAAAQRDSRIQGRPNWRELELDGSKEPITQTVHVTLSMSTEVKRNLPR KGVRWLYLRSEVKRIVDGRMDMEILLCDETMELIAVSQHAAHIIPSAQKLEKGGGKAN I AOR_1_1346024 MPTTRYFDQCPPFPSDLHIVPLPKVSLEGLQNGSEHESQLLFQA CQEWGFFSLDLRQSDKGNELLGDAERMFDLTRETFDLDQSVLDNYAYTPPHDLTGYKR KGQLRTDDGKMDCMELYSINQDDILGNRPPRRNADSIEARRAEVRQFIENSHSVIDII LTCLDHQLGLTPGTLSALSPLEQISETSVRLLLGQSQSSPKYDNITLGGHTDIGSITL LFNVVGGLQILPADRENKLENWLYVKPEPGHALVNIGDTLVEWTGGLLRSSLHRVLTA PGEQALVGRQSVAYLMRPRNSASMQRLKGGIIPPVEEGQDDETRSVNEWAGWRARQIM LGQLKPQTRGGKSVVTPA AOR_1_126024 MELLPKLVILLLAALGIASVSIRRFLNRRQFARRCGCQPVARSF SKDPFLGLDTIPGTIRAIRQHRVLGRSCEIFRAYGNTFTVKELHQSAIVTIEPENIQA VLSLNFKDYTLRHRLELFMPLLGRGIFNTDGQHWASSRALIRPSFAREQVANLSLLER LMQDLFVLLPRDSTTVDLQELFFRYTIDSATDLLFGQSVGALKKSQSGLAFADALQYA LKAIPVRDMLGPLNAVYRDRKTDECNRICRDFVQQYVEEAVYAAGPKKEEKESRTTET KRRYILSHELASRTSDKQRMVDELINVLLAGRDTTGSLLGNLFFMLAKNPVIWAKLRA EVAVLQNRPPTYEELRGLRYVQCCVNESLRLHPVVPTNKRKAMRDTVLPRGGGNDGLS PVFVPAGTLVGYNIYAMHRRTDFYGPDAKEFRPERWEDGKLQPRWGYLPFNGGPRICL GQRYALTEASYVLVRMAQEFRGLESRDPGSWEEGLVLTVCPRNGTKVGLIP AOR_1_128024 MKISVALGLISLALAVPRPPSPALSDTGVSNYNNVNNAAPPNQR PASPAQSNTQPATPNPVPANIEGLDTSYSVKCGRSTFPGADIHRAISLGVDLDRNGKQ LGTFPHDYTNYENFNFLNKECNGKGGLRRREIPIVRGGYFNGKLDAKDNVFRAIYLHN IWETADGQGKVPAIYCGTIYHPKGAQTFQGCDVRKVKS AOR_1_130024 MKFSIAAIALFTLSAVALPATVVRRGASAATVSLIGEVEGFRAD FYDMMGHKTIGYGHDCVAKQDCDSIKAPISNAQGDEILQKDLAGFEQCVCALPNAKAL NANQYGALVSYAFNTGCGGLQQAWTAAMTSKNFDSICADLPHTNTLNGVLDNRRKKEA ALCSTPTTQMCGC AOR_1_132024 MSSIKKILVLGAGELGTQVLLSLAQHSRLNTIVVSVLLRPPSIA STQPQKVRELSLLREHNIQLVPGDLVADSQESLAQTFRGYDTIIGCAGFVAGRGTQSK VTQAVLAAEVPRYIPWQFGVDYDIIGRGSAQDLFDEQLDVRDLLRSQTKTRWKIISTG MFISFLFEPSFGVVDMENSSICALGGWDTKVTVTAPEDIGKLTAEIVLGLEPDVAFDN RPTFVAGDTISYAELLRIVEDVTGRTFTKSVRTVEAAKADLAKEPDNSLYKYQVVFGE GRGVAWDLSTTWNHESGVNVLSVKEYASRYLV AOR_1_134024 MAEITPQPPTINGSCLCGTIKYHITGSPALKILCYCQNCRKSTG SLGMANSIYHRSNLTISQGHDTLRTYKDSATDSGSPVDRSFCGNCGSNLFCENKEKGP GLVIVTSGTMDLEDGQSWQPVMEFYCKDKKTWLETNLETKKFETVPAALENL AOR_1_136024 MFEYYEKKSIFVTGAPGFLGTVIIYRLVTLCEVQHVYVLCRGGP ERLRSSWTQWLPEPILNTLCDPSRVTAFDGDILLPDMGLTKDTLDMVRTHVHVIIHAA SSINLAKPLAGLFDVIIQASDMIGGFALSCPKLDWFVYVSTAYANGHLGPSGHTSDIE VDERIYDPGSSASVIEELSEVEKHGTSKVYEAHDFPWPYAYAKNLTERLLVRRFQDHR ATNKLLMVRPSIIGPSQSVPYPGFCLPLSAPLLMFATGMALSTSRDMRIGTNLTDPDS QATLDGVPADVVADRLITHVAASTTGCIHAVSGERARYKTRDVWEQAMQFRPIPWDLK LVWDPNGWRSPNQHFLCRRYNLLGVSYAFSERRTVKLSNALSPEERKELQLFSRVKVS ELLPKQVQHFRYIFDRTAPKDEKAWLATTTSDHRFGDHQRVSKL AOR_1_138024 MHSLNADEAAALATFSQLCIEQGLLKRPSELSGNDVVDGINDET ALLRFLQARRMKPHDALKQFQEATAFHAEKNVHAFYNVISVDDYEDTRRLYPHWTGRR DKQGQPILMIDLAYLKNEAMTRWRQTRNIPCADASATSSPDMAQRVCVFHDSLTRFIL PLCTAMNDRPDSSIPVTKSTYLVDGSALSLKQVWDMRDFAQEVSWILATCYPETISHI ILCNAPSSFAMMWNIVKNFVDPRTAEKLVVLKSAEVYSTLEKCIDHVNIPKQFGGEFV FQNGMLPDLDEGIRQTLSWINSESSLPPGPLKWIEDGEDRKAIATGCVGGIERTEEIA VLRKLK AOR_1_140024 MTSDSLLQLFSNPDSIPSDPRWSHLLAPYLENGLIHAATYSLEE IRKHVDFFIKHIGPHLGPGPLGKDGYQPRYPSAMTDDLTPFELSLCWKDPKQQGRPIV RFVSDIIPADAERTRIASLLQSQRLIEALRNIAEDTSDLTLHMLPDIWKAVSHTLKVS ETLIHSGSCSQCGSSSAFIAFDLKKSVISGKFYWRLPFCLDVPGTLNLMDHVFSACFA VHEFFGSAVFSTSWHQIREHIRNHADTLLPRMISIDATAFPAPRIKVYVNCRFQGERN FDSWEHHLRFNDSVASPEDFRSTCRDLWNSLTTNPPEWAQTRPDAGPKSCLLLYELTA SSAKTTDEQRQKLSSKLYIMCQEIPLPDSVIATQLLRHCELAGDADTLKFFAAGRKPT NFISEIGLAPRQVGTEVSIYLNPSYFARKSWNVAEDGYMAKPRITLS AOR_1_142024 MQAVEGSFDSSPVEAARWYQPLASRVVQRWGAPSAAPVANNGQN QNGQYTGTGAQGSWGQTSWGQRLGVAQAPGQGVAAQVPGASTALQQGSTEDNGQYTWK PAGQASQLQTPQLQTPQLQTNTQSAATSTLSQWTPTSPTTSTTSQATSTTSQATTTSS DTTTTHSQSTSTSTQPTTLQTRVSHKATATATDTAPMSTGTGHQAPKKLDNSAWAAVA VCAVVGLIAGLVLLSLYRKRQRAKAVARAKERMRRDEEPKSAHLERNSGLNTLPHLFL ASKTALFSVVSLRSTNEKDEVCSNRSPEERQIASIHPALHGKSQDVATKDDKTPAKSH TDGSSISDASTVAGTPSRHPTFRQPTELNYDGCSSSDDSSDDEANDHRPGLYRRFTER VASIRPTRSKQQPKRGHRHCNSAPNEIIIDGITAHHRDLKQSNDSRTHFRRSTYSEHS TPCHSPGIQQSNKSNSEPRSTSDDDSDHATESPNISRSTSGDDGDHNNGFQRPPLKTR GSFKDRVTGLAKLPRIGSRNSLYKGSSAEEKDKKLSTIPDDEDNRIVASYAPATFKTY SVEMEHSPANDTQIKLGLGQYVTIFQVYDHGTLSQPRDWPRWIGAPSLPFDMADKQSP EPQKCKRGDVVPAAEERLDNIAQLLATHVAPHSFL AOR_1_144024 MRSTLFLLPFLPTALATRPFLNEPDTGIEDVLGDTPAGTLPDLE RIVGLPDFEWAARRYMNASSYTYYRNGAAGEWSYRNNLEAYGRFRFKPRMLVDVTNIE STLPTTILGHNFSAPFYISPCARGGLAHPEAEKNFVKAAYEEDILYIPSLYASLSVEE IAAAKPSNGSQTIFQQVYLTENDTETKQLFEKVEKLGSKAIVFTVDSAADGNRHRAAR YGVGSADSSYTYITWDYYKKLQNMTSLPVVLKGIQSVEDVKLAVAHGAPAVILSNHGG RQLDGTPSPLEIALEIHEEAPELFEQIEIYADGGIRYGADVLKLLALGVTAVGLGRPF MFANTYGVEGVKHAIQLLKHEIAIDAGNLGVGDLKKLDASYVKWTNNGWYS AOR_1_146024 MTTSPKFRIRDAGAVEGDDQFVVAAFDAVIPYLTSIGSHEQWGT IPFSHREGWVDETVQQIEDFSKSSAFQGDQNKNGVLRIFIVEKECNADGPEYFDRPLA HYRVSSDRRRYLSVGFAFVRENWIPGYIESQKHLQIPEAERENNIYLEVMVTDCRVGS LRRGAGSALIQGIRDYGRKKQKKAFCLDGWAGNDKKLVHYYENQGFQVVGDFSLPRAN KAPWVGTLMRMDI AOR_1_148024 MKFALLSGVAAGLLPVVSAVSVSGAAEGFAKGVTGGGSAAAVYP TTTDELVSYLGDSSPRVIVLDRTFDFTGTEGTTTATGCAPWGTAAACQLAINQNDWCT NYQPDAPSVSVTYDNAGILGITVASDKTILGSGSSGVIKGKGLRIVSGASNIIIQNIA ITDLNPKYVWGGDAITLNDADMVWIDHVTTARIGRQHLVLGNDADNRVTVSNSYFNGV SDYSATCDGYAYWGIYFAGSSDLITFKGNYIHHFSGRSPKVQENTLLHAVNNYWYDST GHAFEIGAGGYVLAEGNVFQNIDTPVQSPIEGQLFTSPDTNTNTVCATYLGRNCEVNG FGSSGTFSQADTAFLVNFEGKNIASASPYADAQSSVPSSAGQGNL AOR_1_150024 MEPVTESRDRYNLRKELTLMERDIKALEEANTHTLDQSVLHQCR VRALPLTPDADDSLTPKEYNTDRLALSSECGRLIYIYLQSYVRKLMIDFPEIRRMWSS NQIGDYNFPNLYRMLEPEFGTLSIFHVAKASKPHIKRIMHNDLGVDDSHLLRGEVLTV IRIMLGQLKQKVFVSEMVAPVLLFSLNRRHPRVIEAYFDGLELLVRRTKPYDFTFLNA AGFKTFAQWLLGNPIGDTFKRTDQTYGSIMG AOR_1_152024 MALNVALRTSLARQSTAYGFWLTVPSAPVARTILRAATASPVEA FSWVLVDAEHGLITDRDYYDLTTAIAAEGASPIIRVPWQEEWMIKRALDSGAHGILTP MCHSVEDARRIVRYCKYPPVGCRGYGPLYAPHAFPGVQAGAQYDDNADQNLMVMVQIE SRSGLDSVEEIAKVEGLDVLLIGPFDLAKQIGVVRGGDEHTAAIDRILKAAKAAGKKA AIFCTSGEQARQYAEQGFDMVSVITDQGAMGDAMVQSLSAAQGRDADNKPRDGY AOR_1_154024 MERYTCFDADSLRSYSSTVALDEYPPSNPPEELITSFPRPPSGA RNLDNVAANSPRASIKTLRRNYMVHELPLLQEPNPWDGSDQFHPVQEAGCSYDLIVPF DDSCETPLHSLERLADIMFSPEHMLSILNNPRYLARFREFLLEERPRSLELLTYYLNT RKALKALEYVNALVRCAVDLPPSAITVTEQVGESCNPALQRRVHEALQALTDEELPAF ITSRCIGITSRVVEERVRGTLPRKFQGTSDALAEVFCLTDPSRRDNPIIFASEEFHRT TQYGMDYVLGRNCRFLQGPKTNPNSVRRIREAIVAGRHHSELFLNYRRDGSPFMNLLQ CAPLCDSQGTVRYFIGAQIDVSGLAMEGAQMDSLCALLDKQKNGEAGADAEDGEMKED VRPDEFRELSELFSPRELSVVHQVGGNLFKPIPAVFDRYGKGHSRTWSTADTVEMEAI RERDIKTALFRGSLTGVYENYLLVRPYPSLRILFTSPALQIPGILQSSFLSRIGGSPL VREELLDAFMAGRSVTARVKWVTRFNPQGRDRWVHCTPLLASNGEVGVWMVIVVDDD AOR_1_156024 MPPKSAQFLRRRSARAGQSRPKATATRSRVTRSRPATQHKGLSV VIPVKADLNKKKKNGEKRTDNRVSVEIANKSPWSAIDIASQVLDLEEAGEWNDLDSEL QDEQEDERLNEEQTPSKDVLPPDPFLPNGIRVTQARAAYDAGQYRSLKAAAAAWGISQ TILKHRVKGPRPSRREAAHSLQKLDATDEDSISKEALRRVEEDDRRPTNVLIWEVAND LYRDKCGHLGLEFQPIGSQWPQRFLVRNPDFRKTWSQLIEARKAPPRAPRPGVSSGPH PWRFAEDASSIVDDDFSKFDIPKTEAECATYFRQIRSGPAATAVRIQRFLTHLLVEQA KSVDMLSRVRKALEEPEQPNETAPQVATREHQKQSGHTQGSAAAAASDSRQPPATTAS TPIVGTGVGNSTAASSQPSQSTSQPPTDNIPQRQEAQVPQVPPNTSNPPPKASMRSEE RATMARQASSASLPLPTNPLAQPQPSQDTLNTASSTPILSNASSTYTSTPSRPSITTF QQFSRNHISQPSQPPDQQESREPQQPQGTAESTSVIGNALGNTAAPLQSSMESFQSSS AQDPSLPLQALQSPHTQEAQRDEATADSNPTLDNASPAHLSEAPHSNLSSYQPSTTSI PQLLHPPEPQQSHEPENSEDAANSLSLLGNSPPSHAPASLETLLSSFQTYPTTHVRQS PQSRGAQGPQNPQKPQKPRKPSQPRKPQQPRARKPQQPQPQQTQQSQQPHYQQQQQQQ KSQPQHQQQQSLQLPHSSPYHSGNFATNYGSSQPTRPQYYPNEYLLRTAVDQSSKRRR IDDPIHSINHPGYQ AOR_1_158024 MKSEVLHSRLAPAREYIRRKVSREKEAHSILLHIFSHGGCNTAI QLALSLRQDAAHPPLELGSHLRGVIFDCCPGDTSFLRAYQAAAISLPSQSMPAQALGK LLLYPAIGFITGLQRTGLMSSVSQLRSELNDPAVFGATAKRLYLYSTADQMVRWEDVE SHLAEAKPQLGCCPEGVAFPDSPHCAIVRDHADRYWYTIDRFWAGREVSTSAAMTSGQ LGGGLNDRLRSRI AOR_1_1348024 HFLVLGATGAIGSKFCALALNKGHRLSLLIRNPKNLPPSLMQNP AVEIIEGTLDNETVLDRAASCGASIFVSFAGPRIGTKGTPLTLGYKALVPRLIDQNFK RILILCTPSYHDAADTITLKWQIGAWFMRLFSARQYREMVGIGEYIASLPVDKRVQWT LFRVGGLTEKEEAPVKATSLGSGDDGTWISRASVATWVLDEIDRERWFGKAPYICVLT RSPVTAASDVKDAESNAMKPALPAVTAPLERPIVATYQINQSATSYESGTNLPFASEA SHNALTPVPKGRMRELQLMHVWSLKTCRSFSSNLSGVFQSFMVEQAFHHPFLMDSLLA LTSLHIASGTASSNDNDVNNHHGSLNLALVSEYIDDALHYQNSAVPAFSSALENISPL NCDALFACSVIMMACAFAAPLIGSSRGNTRESLTSPFHFVKGIHSVIDKARPWMANGP FRFAIITHSDDDWESSQQDNEVFHRLRKLCFHGDPAIRNILFHSITLLRNCFAKDETM AIPWIVVVGEDFADLVQQEVPMALLVYMYWGVLLSRLKEVWWATLSGRGIVNDLAKEL AGIDGWTEAIQWATEEVGTNKEN AOR_1_162024 MWSYFWHIAFTALLTLGRLTAGIQLDINDPNSIKDAAATAAYGM MTYYHGNESGQIPGKLPGTWWTGGEVFMALVQYWYWTGDTSYNDVTKQALIWQKGHND YLPDNYTQDLGNDDQVFWGLAAMTAAELNFPEDEEVSWLALAQGVFNTQAEKWDPDTC HGGLRWQRNSWNGGYDLKNSVSNGGFFQLAARLARYTKNETYTEWAEKAFTWATSVPL IIEKGWTINDLVTVESNCQAPNQMQWSYNYGIYFNGAAYMYNLTNGDTKWKNVVEGLL NTTWRNFFPQEYGGNIMVEPCEPQKQGVVPCDGNQSTFKSLVTAWLAFTTTIMPETLD QILPKLQGSAEGAAKQCSGPSPDKICGQRWFLDKYDGVTGLREHMCALSVFTANMVPF KTGNRDQGPLTADTGGTSKGDPSAGTGSRKPEKDKPREITTGDRVGASIATVVVVGIW LGIAAFMVTGG AOR_1_164024 MPPRRSHKKSRTGCIQCKKRRVKCDERGPPCSNCTTRGIECAYS STPAGALMPNTVSASPSSPQVSISPNAIPSQSPPVTTHYDLRSLELMHKFSTETYYSL SSDALDHRVWQTTMPRKALEFDFLLDGILSIASLHTAATKPPLEARSYIDTALEYQNR ALTPFRHALNNISPANCDAIYAYSLITIASRIAMPHLAIGDNEGPNMIENILHVFELL QGTTEISKMTRAWSSQSSFPTVGDYWAPAAKCLDSETEEAFSRLTAINNQKNSTLPEE HLITDEAIDLLRRCFCRYSTMKDPGSVVTWLAVVNRRFVDMLRGLEPLSLLILGHWGV LLGQLDGKIWWASNSGRALVTDILGVCRKGVVEYGDAWLWPKRELRL AOR_1_166024 MSLRGKNVLLTGASMGIGQAIASALVEAGANVILFSRSENKLAH LKEKLLATSDVKVTYGTVDVGDYASVEAAVSSAIEEIGDIDILINNAGLALGAPSPFP ELKVSDILTMNNTNINGYMFMAHAVLNQSMLRRKAGTILNITSVTGLEVPPFPGEAVY HANKACQEAFTNALRNELSGTDIRVLALRPGCVATNFHSLRVGHDKEMYDSFFEGYEP LVAPDIAQAAVYMLQQPPNLSVKALDIVPSAQRSLNVFDRSWNERRKDQ AOR_1_168024 MVVRLPSTIWKSFGAGPAVRRIFSATPRFHITTMTQENEAAAAP NESGSEEQKLPPLSLKDFGVYNRMAAQMDGFVCSSCLFMATKHNHFRLSWKDLQNACE TNGKRSSGLKPKQLILTGLRFCSQLDFHHSIEEEHIFPVLARKMPEFRQKKTLLAQHK KIHRGLDELEQYLEKCRSGEEDLELTEMKRLMDAFGEVLWTHLDEEVRTLGAENMRKY WTLEEMRTLPM AOR_1_168024 MVVRLPSTIWKSFGAGPAVRRIFSATPRFHITTMTQENEAAAAP NESGSEEQKLPPLSLKDFGVYNRMAAQMDGFHNHFRLSWKDLQNACETNGKRSSGLKP KQLILTGLRFCSQLDFHHSIEEEHIFPVLARKMPEFRQKKTLLAQHKKIHRGLDELEQ YLEKCRSGEEDLELTEMKRLMDAFGEVLWTHLDEEVRTLGAENMRKYWTLEEMRTLPM AOR_1_170024 MKPTHAYLTAALISGALAAPGSTLAQRMRHRSLDHLTLPLQGEG SATDSEARVAGAAVQYSNNWAGVVREQAPPEGPYTAVSATFTVPSATAVANQNGVQAG SVWVGIDGDTYAGAILQAGVDFYSDPTQQNHAWFEWYPAYATNFPNIEVNAGDTIVST VRSNSPSEGIAIIENKSTGQTVSQTVTAPEPTATLAGQNAEWIVEDFQSGDTMVVLAN FGEVEFSGAQAEAGNAKFGLKGGEVIELKQNNKVLTQTEVTGDQDMTVKFIGA AOR_1_172024 MTFIPKSDETKEKVILEATAKDDIEIGQMTSLDEAELFLQEHGV TDTQLQELLNDSKKSKELVRKVDLIVLPLLCGTYVLQYIDKQALAYSAVFDLFTDAHI NSNQYSWLVSIFYFGYLFWEYPASHLAQRLPTGTVISSFVLSWGSMLMITAACNNFTG LGITRFLLGCFEAPITPCFMMIVGMWYTRQEQPFRAGCFYCCNGVGSMLGGLITFAIG QIKTFPVWRAVFLICGGVTVIWGGILMLFLPNSVLTAKRFSVEEKILLVGRGKQNQTG ILNRSVKWYQIREAFIDPQVWLLFLFTLLNETINGGTANFGKLIIKGLVSSPLLTTAL GIPQGAFQVLFILSGSYLSTRFKNIRTIIMILYLIPTVIGISLLWKLPRTNRYGVLFG YYILGAYVSSLVLSLQMPSSNMGGYTKRVTATAFVFLAYCIGNIIGPHAFLAKEAPIY QTGCKLVLACALCQMVCAACLRVLLVRRNKRREERMRSDPGLDGQGESDVMADLTDFE NPRFRYVL AOR_1_174024 MSFSIIGLASRFRKGLSLVPRCETPSSTPSTLFAASDMHYRQLV KGAFFALSQLAPQKKCPGKQLLPIKGDNGNSEDCLTVNIFRPHGVHGKLPVAVYVHGG AYNRGTSSMHNTASMVGWSEQPFIGVSFNYRIGALGFLPSTTTAEEGILNLGLHDQIL LFKWVQDNIEAFGGDPSQVTLFGLSAGAHSIAHHIMNHDLGHTLFHRAIIESGAATSR AVHSYDAHLHEDQFRQFVEEAGCKDAPSHEVMDCLRGQPESAITNASFTVFDRYNPSV RWAFQPVIDGELIKQRPIDAWESGKWNKIPILTGFNTNEGTYYVPPSMSKSEEFTAFF QTLLPAYSASDIKTIDKLYPDPAKDTSSPYVDTRALPVGPQYKRVEAAYGHYAYACPV RQTAKFASAGQEPPVFLYRWALNKTVQGGANHGDQMAYETFNPEVRAISENQEKIAGT LHAYFTSFIVSGDPNAVPGAYADRPSWETYDTSSSGRIMVFGEGNDERAGGSGVGIAA QVVDDDWSRKECNFWWTKSGISD AOR_1_176024 MTTRQLPILLLDGGLGTTLGDPPHNITFTAETPLWSAHLLISSP STLEEVHKAFATVGADIILTATYQTSFEGFTLTDPRYTADDAAHFMRSAIPLARRAGS SSGRTVKVALSLGPYGATMSPVGAEYTGLYPEEMNSEAKLREWHARRLCVFVDETGSW DNFEYIAFETVRRADEVKAIRGAMSDVLADMYQGQGPDSEKNQLAMGKKPWWICGVFP DEEVDEEDVRAWVRAAVGTQEGETGVYLPRPWGIGVNCTRIGNVGRIVSIMQDELRNL EDLRTKGYVDEWNSVTGKPWLVLYPDGTNGEKYDPVTKTWVATETGKETRPWHEIYWD VVQGLPEGAWEGIVMGGCCRAGPEQIATLRRRIDERSNAQGV AOR_1_178024 MADLHKVRELGLDEDTTLAILERLKHISQLYRSGKPLFPRRLLE DLNRQIDDGKEEVYISDFDDVPQVYSLKVPSWCTEFANTYRIRYQSIHSLGCVPPYDP ERVLCKCTPVAIDYVDTSGPGESTLEAIGGAFFKQRQIWLESLGHRNLEHHLSTLRTT ANIRKIVCFGLGSLGRLSGDCYTRTHTQHAAVETIAASLVRRGLSGSQEIKCYAQDPV YDEVDHEFLRSIGITPLEDPKGFLEVDEHTLVFSVSPDVPVKQIVTDLHWPGAMIWDT VTPSEKRKSWAKYKENDGTIFWITPFTTDPDSGRVRRMIKHYAHAQLEDSDGFFGDLT IYMKCEE AOR_1_180024 MTVQPPMHWEHHPHNPFNWPRWKKWMSMLTSCWVTFIVGLNATS ITTAADAISSEFHLANGIFEYNFFAVTAWNAAAAFVPLATLPLMETYGMRFGFLVAYG LFTIFLIPQALAQNFATLVICRAIAGAFGGTLQNCADGIASNLFLHHRERVFPLTLYT FTLLFGVTMGPVLGAIAEPLGWRWIFWIELIIYGAFTPVVLFCMKETRGPLLRVKFMP DEASPRASDDSETLATFQETIARSAVLLTTEPTITSFTLWSAFAFGLVFISTQSIPLV FSDTYGWPSYTDGVVQAAIGVGQVIGLLACTLQNRVYTRSASHNPDSPGIPIPEYILH LSIPSTALALAGGLFMYGWGIYQSHWIVLAVALALIGYASMVIVTAVSIYITDSYAGY AASAIAAVAFGENIFAAFLPLAAKPMYVRLGYQWASSLLAFVALALTLAPVVLLLKGR TIRAKSVAIKKMSHSHS AOR_1_1350024 MFLTVMQRSAILILSLLSATALSWPYTESLVDYNLNENKTAEAP IDYWGEWPDHEYHPSPDNWRFPIYTIFLDRIANGDPKNDDINGTAFEHVVGSNQMRHG GDLVGLIDTLDYIRGMGFKGIYFAGTYLMNLPWAYDGYSPVDTTLLDMHHGTLEDWRR TITEIHKRDMYVIVDNTLATMSNLIGFKGHLNDSADFRADEYEVQWISDRQYADFKFG NEYNETCNFPKFWNETGYPLTSGGVEELKGCYNSDFDQFGELEAFGNFPDWKRQLTKF ASVQDRLREWHKPIRDVITKHSCIQIASLDIDGFRFDKAVQTTLEPLSEITAVYRECA KKYGKHNFFLPGEITSGNTFGSLYLGRGRQPDQQPESADAGVKLKNSSDGYFLRDDGY QALDSAAFHYTIYRSMTRFLGMDGNLVAGFDLPTDFIEAWNGMLVSNDFLNAYTGEVD PRHMFGVSNQDNFRWPAIVNGTEKYLLGLYIVTLELPGIPLILWGEEQAMYVFESTAS NYLFGRQPMTYQTAWWTHGCMTLNTSKFYDFPNEKGLHGCEDITVTYDQRNPAHPLRN IMKRMFEIREQYPVANDGFYLQTLSQLTKDVYLPGSTDTPTVTGLWSVLRSYFPGVQK EASKNSQNLWLKDTALLSPFKSGTKLKNLFYPYDELTLEDGPGEIAVHNSTESYGCIR SMKLLPWEYRAYIEAENFVEPGPTVTEFVPGHDARLLSTDDSGQTVDIQLGYSKEMDC DKIADAISLNSTTVKGVTASLDTSSVSCNKISPRTSSDNFVGEVPTVWTWSAKLKNVH HGIHQLTVKNVSTTSGVHTDAVDQFLFRVGSQNNPLLSPLSNYSTSLVQKSDNGSFYI QHDAAGADKFRYSTDFGLNWSNWTTYTGDNTLVDFPEWTGTDAQKWKGTHIRVQYFSR LTGSSDYIQEGDHGWEKGVARRFPNLFWNGPFNQYGYDAGLDNKMRYDTKDHRWKYDF VYEWPAIGQMSVWGMLKDGRPDVTEVYGDVDNSSVVQKLPPSYLSSNVINITKLPPFP HLGWTITLNDANLRYEMLPVGSGWAQLVLYILLWVLPILMGFAGIFIFIRTFYRVKLN TDGDVAKEDKLPLLFWRRVREKFSGDDESDKSISDKDIPTDIAIAGAPEQRRTVLIAT MEYNIEDWKVKVKIGGLGVMAQLMSQHLKHQNLIWVVPCVGDIEYPQDTPSEPFVVTI LDKPYFINVQYHIVDNITYVLLDAPVFRQQTKAEPYPPRMDDLDSAIYYSAWNQCIAE TIKRFPSIDLYHINDFHGCLAPLYLLPTRTIPVCLSLHNAEFQGLWPLRNPQEKKEVC SVFNLPIETATKYSQFGNVFNLLHTGASYVRFYQRGFGAVGVSKKYGKRSWARYPIFW SLEKIGSLPNPDPSDTGDMTNNADAEVPIQSYEERINDKLQAQKWAGLNEDRDADLLV FVGRWSKQKGVDLIADVMPAILSARPHVQLICVGPIVDLYGRLAATKLERIMEMFPGR VFSKPEFTVLPPYVFSGADFALIPSRDEPFGLVAVEFGRKGALGIGSRIGGLGQMPGW WYTVESDATRHLLHQLKTAIKQALDSSQDAREEMRANSVRQHFPVLEWIQKLEALQRT AIQIHHTKNKNTVTGPMPESQNYWETQSVRMSTLGLPGPTQSVTEGLDTPPGRLLTPG QSRFAELQLEGADGNRNSSLGRKLSLGRRSGPGQDRKRPGKSPPRESQILGEDLEGEN TDAEEEGTTTPQVNYISPEEAMAAVNNTLGTQDIGMAHTNNSTHSLAGPQGSTYMSVP GSPNNMSRASSPMPGTPGLPQYPFQFALGSGGNTPFTHSRNVSMLSLPSVVADHNQPV FELQKVDPTFTDSTRHFTRRFEEILNNLNKKNSMTDCCIETYLMKSERKFYDMYNDAQ LKKQPDDRAVSDSNSDTQDNRASYATVTGGSDSNDPDEIDLWLSRLGYKRPIAIQRFM RRRLGKWPVYALFLGLGQIIATNSAQMTLLVGQVGETATKLYIIATIYCISSICWWLL FYRFPSVIVLTLPWFIYCMAFIIIGVSPFALTSLGRAWAQNVAAGVYSAASSSGSLFF ALNFGDQGAVPIKDWMFRASLIQGIQQLYTVALWYWSSKVTEAEVGGVSTAALSSWRL TAVVMPIAAVCFIVGVLLALGLPKYYRQSPGRILFFYTSLFRRRIVLWFFFMVIVQNW FLSAAFGRNWSFLWSSQHAKAWEVVILVIFFFVVLWVIILIIFRALSKEHSWILPVFG LSLGAPRWAQTWWGTSNIGYYLPWAGSLTSGALVSRCVWLWLGVLDEIQQVGLGMILL QTLTRVHVCFVLLAAQALGSIATICARGFAPNKLGPAGISPNVGTSLDTVGNAWFWIA LFFQLLASWGFLLFYRREQLNRP AOR_1_184024 MAMHSNLTLDRSSVGHCENKDRSQLALKLLIELLAYQLASPVRW IETQHQLITGCPPVCRFVEVGPRTTLATMAKKSAARHYRSYANSQWSHLQFLSYQDNK DEIFYHYIDSKVCSDEKGKAGPPTSHDLSLLPLLPGSLREPQQCVPSKAPPLRASPSI DVSLSCNHIALAMTAQKLRQPFDKVAMGKTVRELSGGKSTLQNELVGDLVAEFGRVPE GVEDMTLIALGEALQGAFVGKPAKHMTTLIARLISRKMPAGFNQNSMQDYICSRWGFS KAHSLIPICLAITIEPTARLANAHAAQGYIDELISRYATFQGISLVPADGLYIEQSEL MPSAVSLSDRQVIQEQQRSYYRKHFDILAKYLEIDLGASGQPPLDITYQETLERWNAE FDDHFSEGIKSMFDIMQARNYDSWWNWAREELIQWLHKVASDPLDVALPRKGNRLRRI LNRWDPSCSDIVEAMIKSPRPVGCPKQAISSCSDIRLALKEILRLGDLALASEPIYIY SFPALCPKTTISSSGQLGYMETARKVSSYPDVVCQGRLCADDLETMIPFVHIKSRRNE GSWKYDADATSILHAALGTGTTTGFSYASKTVLVTGAGPDSIGAQVVHGLLCGGARVV VTTSRTISESASFYQELYRRCGARGASLTVFPMNQASKRDCENLIEHIYSADSPIDGD LDYFIPFAAVPQAGELDKLGSRQELAHRAMLVNLLRIVGFIRQHKEKRRISCRPTTIV LPMSCNEGSFGGDGLYPESKAGLKTLLNRFHSESWSSYITICGAVMGWTRGTGLMHSS NIIAEEVEKLGVITFTQAEMAFNILALMTPGITTLAEQAPVYADLTGGLGLLWDIKDH ISGSRRRLNEESQIRKALQEEDTRHVSVLFGPQQQQPKDTGVNISRRRAHIQIPFPIL QPFDDLRVSLPNLQGMIDLSRTVVVVGFSELGPWGNARTRWEMEHQGWFSLEGYIEIA WIMGLIKHVDGDWKGRHYVGWVDAETQEPIHDQDIPHKYHEHIMSNTGLRLIEPEGVD TYIPSQKEFLQEVAVEEDLPPFECSKLSAEAFKLRHGNNITLQPIPGSDSYRVFLKKG AVLMIAKTIPFHQSVAGIVPTSWDALRYGIPEEIIQQVDTTTLYALCCVSEAFLSAGI NDPYDIYQHIHVSELANCLGTGGGPMKVIQNMYRDRFLDRQVRGDIILEHFLNTMGAW VNMLLLSASGPLKTPVGACATALESLDIGCDAIISGNCKVAIVGGCDDYREELSFEFD SIKATANCVEELARGRLPGEISRPTASSRSGFAESAGCGVQLLMNAELALKLGLPIYG IVAYSQMASDQAGRSIPAPGKGILTAAREHHEAKSSPFLDFNFRRAGFDEEVADIEQK SIGGSVGRMQSSGAAQAVAEQSRKLKVQDTQWRWAHNIRLQDPSISPLRAALATWGLG VDDIGVVSMHGTSTKANDINEGEVINTQMDHLGRRKGNPLLCVCQKSLTGHPKAAAGA WQLNGCMQILQNGIVPGNRNADNIDAQLRQFEHLVYPMESIKTRGIKATMITSFGFGQ KGAIAIVVTPSYLLASLPASTYEEYRVRVTQRQRAANPELVSRILNNCIVQIKSLPPW ENKDATEKVFLDPGTHANSSKLVNTTTDRNSQIVENEDEGTSLSCLVEKMLIDAVGRS KGTSSPSIGVDVEEIASINTENETFLQRNFTLAEREYCLKAPNPQASFTGRWSAKEAV FKSLHASSSGPGAPMQDIEVLSHCGVPIITLHGEVKDIAQAKDIGRVEISISHTSKAA VAIAVAVKG AOR_1_186024 MDKRVEMEVTIPLSPDSAATAAMEPPSPCRPVPSSTSFSFNVEF QYRDIAFSLTIPDAYSTLHTLQRDVFLRSISLSGTNDIKQPVELALTYIEFLLSQKEI GAAALAVLLKAFNIQFLGRTDIHSLIAELTSRSEQRRRWLRIYYHAVNRGYENRLDEH GKGVDYIRSGLFQNVEMNQFQVMALFGGQGDASLTCLEELSELYNTYQSMLERFLRRM GSRLAELCRLPQCRPYYHQRYLDIEAWITDATVVPDNAFVASAPVSVPVIGLLSLARY IVTCHILEISPGQMRALLCATTGHSQGLLVSIIVAISDSWESLYDNSRLLVDVLFWLG WECHNCAPQIAIPAMSTRSEERDVDCDTPSYMLCVRGATRCQVEDILTHMNCRFRRES QLYLALANTQDHFVVAGPYSSLLHLTSYLQEICTSGPNQSHIPFSSRRPSLQHSFLPI STPFHTPYLRPAADSLKLRFSDRCILPQQLAIPVYDTRTGNDLRASDGDVLHLAFDAI VHDICDWPATLACSPKMSARRPPVSHIIVFDRGGLSSLVKKLKEGQGVRVIQGSDLDS RDPELGTMKDLFSPLLLHSATRLQSWGQRFQPRLIPGAKIRIETRLTRLLGTPPIMVA GMTPTTMHWDFVAAIMNAGYHAELAGGGYHTADSMASAIDQLVKNIPAGQGITCNLIY ANPRAIRWQITLLRRLSHAGVAIDGLTFGAGVPSLDIVKEYIQTLNLRHIGFKPGSAA AIRDVVSIARAHPNFPVILQWTGGRGGGHHSCEDFHAPILNMYGLLRQQPNIYMVAGS GFGSSGSIYPYLTGSWSATMGYASMPFDGVLLGSCMMVAKEAHTSKAVKDIITSTSGL DDNDWEKTYDGPAGGIVTVLSELGEPIHKIATRGVRLWAEMDKTVFSLPRQDRVAYLT KHRDMIIHRLNADFAKPWFGRNSQGAVVDLKEMTYAEVMNRLVELMYIRHQRRWIDSS YVSFTFAFAVRTLERLPGELSDSQHLSRVSLELDPLGFVRAFITARPAAVAEVLNPED VSFFLMQTRKDNQKPVNFIPALGDDFEYYFKKDSLWQSEDIDAVIGQDPGRVCILQGP VAARYSCDRGESAKEILDTLLYSLSDCFQRDMCVEELTIGIDSGLVTPDSWSTVSPGT KDLFMEGISTPSSTALSDSSDDPCVCSFTVPYSSDRNVPVWVRAVLEDRFVLQGRLRR NNPFREYVEKYPESSIRYNPGRSEVSVMAQDSPDIRSSMTITCQNGVDVIVELHPPYK ADNLQLLYQFDPSRVPFRLSEIMEGRNERIKSFYSELWLGERTISRMDLHSTFTGRPV KLTRQRFEKLVLTVGEAFPDHRIVSSDSDILPISVGIIIAWDVISRPLVLLDIEGDLL RLVHRSNTIAYNPGAAPLRLGETVTSQSRVQSVYIEDTGKVVVIKARVIRSGEHVLTI TSTFLLRGSFHNVENTFRKTELSDWRINVRSSLEETLLKSRKWFHPLAALPSLVDKSV VFNIESHVAYKKNGHTSLRVTGQAHTRTGFKLEHIGDVDFICDDCIGNPVSEFLERKG IAQGGRIDFEKPGWPGRSSFEIQMPASNNAYAQVSQDFNPIHVSSIFASFAQLPGTLS HGMCTSAILTAVLEHLVLENDRSRLRHFSAAFLGMVMPSERLAVRLEHVGMVEGRMRF VIEACRTANGEKVMDAEAEVEQPATAYLFTGQGSQSRGMGMDLYDRSLSAKGLWDEID AHLCDAYGWSVLDIVRNNPKTLTIHFGGKQGRKIRKNYLSIIAETVLPNGDRMQKPVL AGLTPTSASYTFHDPRGLLYSTQFAQPTILLFEAAAFAEMRAKGYVSREAVYAGHSLG EYGALSALSEFIPTIALVELAFYRGLMMQASVARDGEESDRYGMVAVNPERVGKAFDQ ASLSTMVCTIAAESRELLEIVNFNVDGEQYVCSGTSTNLYVLGKLMDHIAQCPSGAKQ VQAMRDSTDASTMELYRVIRDLLHHAKTLPRPIELQRGQATIPLQGIDVPFHSSHLRS TVNVFRQCLLRPGLLVGNVDAEELEGKYIPNLMAQPFSLDEKYIRQAFDLTQSPVLGE ILGVKHTFHAV AOR_1_188024 MLTSILSRLRPSWFPPFVKGHTSTPTTSRDPEISPLDIEDEPLE IAIIGCGIIGAILALGLLAKNIKVTVYEQAQSPRESGAGIAFTANARRCMSMIDERIV DCVAAVATTNGDPRNPNNNMQFIDGYTHDPDGLDDMSWKKLYALATGPKGFEGCHRAH FLEEIRRLIPGGVVKLGKRLDRVEDYGVGKVLLRFCDGSVGRADAVIGCDGIKSRVRE LILGEGNPASYPHYTHKVAYRGLVPMQEATARLGHYRAHNQHMYGGPNAHVLHFPVAK QTLMNVVAFVTDPNDWPLDRSMSQLATKDEVAGAFADWGPTVRDIIDLLPAELEKWGV FDSLDCPAPTYSRGRVCIAGDAAHASSPHHGAGAGIGIEDVLALTVLLDMVQSRVKTP GGRKTVALQAAFAAFSAVRRDRSQWLVRSSREACEIYEWNDLQCGSDMDKGYDEIKRR SHKIWHFDIDGMLRQLEVEYRKHLGA AOR_1_190024 MSKGILKALLPLHRYLSQIVHADIYWFVGSRLHTLPSSLPKLHV PLKGESIVTWRYHLNPGNELFYHGPLRFDSAFDKTNLYSYMHHTVTFSYTTAFWTWED WELELDWAALRGVNLILAWVGYEKVLLDSLREIGMTDEEILPFFSGPAFQAWNRLGNI QGSWGGHGVSIAWIEAQFELQKKIVSRIVELGMTPVLPAFPGFVPPAIKRVRPHATVV NGSQWSGFQKKFTEVSFLNPLDETFAQLQKSVISRQTRAFGNVTHVYALDQFNEINPA SGELGYLRNLSLHTWQSLKAVNPAAVWMMQGWLFYDKKDFWDPNRISAYLSGVERNDD MLILDLYSESKPQWQRTESYFGKPWIWCQLHDFGGNMGMYGQIMNITSDPIEALNKSD SLVGFGLTMEGQEGNEIVYDLLLDQAWSAKPIDTRAYFQSWVRSRYSGNFSVPNELYT AWDLLRKTVYNNTNLTTYSLTKSIFEISPDIAGLVGRVGHYPTPTSINYDPMVLNEVW SLFMNATRKEPSLWHSPAYEYDMVDITRQLMGNAFVNVYSDLISSWKSETENRTTNVT SQSERLLNLLSAIDKVLSCNENFSLTTWISSARDWGNTTETKDFFEYNARNQITLWGP TGEISDYASKAWAGLISSYYKPRWSIFVDYLGEKNQTSYNETELKAKLHGFEMSWQEQ SREPARNDVDLYCGTYDNRFPLSLAVESGNCTTIKLLLNRMRQQNPKVTGEVDHQFSA ELNRSDLWGRTPLFIATEQGREDMVGLLVSLPEVDVNARTIRYGQGTALASAAKNGRE NIVQLLLSRPDIDIGARDIHGRTPIQLATLEGHKSIALMLQRFHLDPDSEV AOR_1_192024 MAIFEHVQDVIGQLPVLKSYSHMLICFPVQDDKREVALQELERA VRLVMKTFPYLSGRVINEGSGAGSSGTFKVTTYKEWESETHVFGPSSMLPGHLLSSRV AFPETYQDTEDDPAPVLDFQANIVRGGLLLDLAAQHNIIDGTGIFQIINLLATALRGD QFPLFQLHEGNRDRRDLIRLLGPDEPLLDHSELKPPVIMKAPPPSDILAPYKWRYYRF PVDSVNKIRDLANSKPEDFDPSTESLSVNDAITAFCWQRITAIRLRKLETPAAFSKLS RAIDFRRIMRLTPAYLGHMVRVCNTRLTFEAIIESSLSRLASLLRKDVQEISNEYALR SYVTFIANEPDKSDIAYGGSFNAQTDFSCSSIAHVKAPDFGPLGKPGLMRRPTFQPLP CSSYIAPVLHGEGMEGLFCLHESEIEALAEDEMWKELVEYIG AOR_1_194024 MVTTQNISRQRPGSACEECRRRKVRCDRRRPQCQVCFETGIDCK ISTTRLPRGPRKGQLRTLRTRIAALERCLADQHPGINQQMAGLFDGSGVECDSEEDPL RERATLPEQVPEICSDDQQGGGSPRSPDPQTRPCGLVSDLMRADLDQLYFDRVHPSAP ILQRWRYQVWAKQPRKSEAQVCLQYAMWTVAASLSAHFQSLRDTLYHETRRMLDAIDL RSPISGILAVEQAQAWVLVAIYEYMQLSPQQAWMSAGRCCRLVLGMRLYEIDDPNSPV TMAREHEPNLVDWTGLEEQRRTFWMAYSLDRFISFHNALPYTLNEELIATRLPTSEED FQAGHPAATQFLSEVMAGYSSSDSSNVQSSFSQCVILATLCGRALSHKQKSAMEQING ELGDGLWTRHQWLHEMLTYRIQVLSVLAQVDPMLIFARLVAQTLVLFLHSVLEAITWK TGEHLLGMFEYERLCVMAAHEVVNLVKLQAQLGYFKVHPLTPIPIMMCTEFFTAHPYL DGSFDIMLQELLECLQDLDHVKNPSQSPRISV AOR_1_196024 MLSQLEPPPGGNTVLIFGCQCLSFNIDDFHRLRATVLETPEHRW IQDVLSELPVYYRTASTTYVQKLKNIPGTQQLRNLAEWFHTGKVPTDSFPLPYIQLAP LLVITHFTEYWQYLRIRHHKGPTSVKESSAESPVVEIVGFCIGFLSAAVVSAARNQEQ LSKYAAVALRLATLMGALGDAQEREEEYTSLATVWKAAELENRLPLVLEAFPESYVTV RFDTNRVTIMTPRRTIKQLENELQSAGFNTTQVEFNGRYHWAGHEDTLHALGRMCDSD PALQLPDTSQSVVPTRPNIPMDTPPKGPLHELVLWSILAKQCQWLDTFSKVYRAHLED TASLIIEFGPERCIPPTYMRKLQGRTVHFADLDLNTLSRTPPLYQTPRSYDSDIAVVG IACRVAGADDLEEFWRLLCSGASQHQEMPLERYKDYETPWRPSAIRPWYGNFVRDIDA FDHKFFKKVPREAMSQDPQQRLLLQVAYQAVQQSGYFHRPNINRNIGCYIASCTVDYE HNVNCHPASAYSATGLLRSFMAGKLSHYFGWRGPAFCVDSACSGSAVALHQACQSIIR GECTAALVGGANAIMSPLAYDNLAGASFVSPTGPCKPFDASADGYCRGEGFAAIFIKK MSDALADGDIVLGTIASTAVEQNNNCTPIVVPDASSLAGLFTQVTKKAHLHPRDISVV EAHGTGTQAGDPAEYVSIRQTLAGPRRTSPLSLGSVKGLVGHTEGVSGLIALVKILLM INEGIIPPQPNFQTLNPHINASPDDQIHIAVTLEQWRENFRAALINNYGASGSNASMV ITEAPYASEHRMSSSIHTFTVALPILICASDEGRLSDFAKRLRQFLHHQAISAAPATD LGNLSFNICRQSNPTLDSQVAFTCCSKSELSSKLSSIIDGETSHIFRFIKSPRSIVLC FGGQVSTFVGLDRTVYDCISLLRYWLDQCDSLAQSFGYGSLFPGIFEHNPIIDQIQLH IQLFSLQYSCARCWIDSGLAVEAVVGHSFGELTALCISGALSLGDTITLIARRAAIIR DGWGHDHGAMLAVEGNKDDIMRLIDEACRNTPTNVAPATIACFNGPSSFTLAGTTAAI DGIQATLKTPSYISLKAKRLFVTNAFHSDLVDPLLPALEDVMCGIHPQEPIIPCEKAT ENACTGTVTSDMVAKHLRQPVYFHHAVQRLAEKYGPCVWLEAGSNSTITYMVNRALVP SSGHHCQAVNITTERGMQSLSDATVSLWKASVSVAFWGHHSQQAQKYVPMFLPPYQFE KSRHWLSNKKLPGPAHEAGATPAVSASALRFVGYQDTQQLVARFSIDTAHPQYQESIA GHVVSHTSPVAPASFMLDYVVEALRSLPECKGKIPQVQNVTSDAPLCLDMSRDLWIEL LGEDTERIHQVQSPGRNVYRTFAEIVDYSEPYRGVQKLVGKDNESAGRVIKRYTGQTW VDTYLCDSFSQVGGFWVNCMTDRAPSDMYLASGMEMWMRSPIYADPTTPRPDTWDILA KHERGDDCYTSDIFVFNPTTGQLVEVFLGMQYTRVKKATYSKIIGKFMPQCAAHSSDV NKLETAVPHAAVPVPQAAKAKSDSSGPKSRINLTARIKEVVAEFCAIDPSEITEDGNM ADAGVDSLMAMELAREMEEAFHCTLPAADLMEADTFRDLVNCVKGAVGECDSDEHENS SRSSEEISFKDRSPDGDYNTPDTEPPTSVASDTLDLELPLESVLEAFGETKALTDHFL ADNKCSGRIHIFAPLQNELCVTLTLEAFEQLGSHIRTASRGQRLDRIPFDPQHQELTN YLYKRLEEARLVHLDGNTVIRTAISAPNKSSLSILEEIKCSYPEYAGASKLAYFTGSK LASVLCGEQDGLQLIFGTKEGQELVSWMYGDEPHNVVGYMQMLDFIKRLIQKVPRTGA EAGALKILEMGAGTGGGTKWFLPVLSKLDIPVEYTFTDISPAFLAQARRRFKEYSFVQ YRIHDIEKPPPDDLVSTQHIIIASNAVHATSSLQESTRNMRKALRSDGVVLMLEMTRP AFAIDIVFGLFRGWWVFNDGRNHAITNEHRWETDMHTVGYGHVDWTDGHSPEVSVQRV IFATATGTQSERLPLGKPLEKVHPVQKVDNASRRKVIDKYIRRSIETFTLPVTSQNGF GSDGQVVLLTGATGSLGSHIVAHLAQRQSVKTIFCLNRGNPNEEPFQKQTSALKKRGI PLATHEMCKVKALTATISHHKLGLSSELYDTLKTTVTHIIHNAWPMNGGLKLSGFEKQ FEAMRHLVDLASDIACHLPTESKVRFQFVSSIATVGHYPIVTSQNNVPEQSTNIEFVL SNGYGEAKFVCERILQETLQRYPSRFQVMVVRPGQIAGSSSRHFRICKEIFRGHL AOR_1_198024 MKSFNFLWLLAAGLITQVQADESPIEGYTIEEFSWEVETTPGGP TVVLNGTIEKVLSQLREINPNYDNEFPTVVSAEQAAEEEDTSSHLVERGDVVCGKFPS AYQSDIDKGIKYLRTVPGKPQRGPGPGSCGQVSCSWSSAIWWCNDNTFTKVLPSFKNI ADGAQLIKNTCLHGGQTFSGQDFHNDKWNTIVRFHKC AOR_1_200024 MSLNASNLFSVHGIVAIITGGGTGLGRTIALALDTNNAEKVFII GRREQPLHETAAQATNQSIIPIVADITSQESLENAYHAVAAQTSHIDLLFANSGTDGP SAHLPPKPDGSPPTLAEFRDHHWGHQMTDFSETLNVNVTGTHYTILAFLPLLDEANKR RPPQDSNELASPHPQVIVTSSVSAMMNRPASLAYSFSKAALLHLVEVFAAQLAPYRIR VNGVAPGLFYSDLSKHLFDKSGVSGRGISEGSFTTELNPLTRAGSDEDIAGTILWMAS RSGGYLNGDTIVLDGGRTAVVR AOR_1_202024 MSTHHSIKRKPVALPPQETGIILTTAPRPQTSHGKTPNTVTPTL RPTTSNGRPPELYAPPPPYSQNSNVAPPIPQPPTQPRPPQTTLSTPPLRNHKSTPNLR NPPSALPRTATDLPIPGPNAIQKAYGEVSHFLGGLIAHPTESTRHYTILRHSHGIVFY RGSTTSIAISIFSDTLLPQDRKYFLQSRGWTGKTGMKAKALFRLTDDWLDVTPSFALR ANQVSPGDERAWQRDITKFRKKAPSKLLNHELRETIVARIPAEAGDGYFQLNLCQSAK KKVLCSSPVFRVLSTSLDPSSLRGASLSTMPLEVGAMVLSTYAQTAVQAIINPVTSTV SNKLTPYKPGTVTQTAAETAYSLSGMEDRVARIRNHRGNNDSNPTDETESRARQTQEY QQAGALENGPQSPFPMDFKARTQPQTQGQFSESSRYTLTKVPDTVLDKLRGRYLAWAR SQIQAQSQSQKSPWFPTILSISPLNPSYQNRVNLTSAMQKTTLLRFLDEIHVPASSRV QIRVMCFLRPDIPLPSPSGMTEKDLLVARERAAEAEMLADACDVDCAQGILEHPAWGP EVLGASERQGGWMDRTREGMENVRTRAEKIVESVPLHWIGVRSATAEMRDRQVEINGF YIVR AOR_1_204024 MGSGEKPTIAPAASYSHDPESGDVKAGTMQAMVEADLLDERYMN TQRGLKNRHVQLMALGGTIGTGLFVGSGQALVIGGPLSLLLGYTFISALIYAIVTGIA EVGAYMPVHGGTMSYHGFRYVSRSLGFAMGYLYWYSLAILAAYEIVAASMVIDYWPNS VHIAVWITIMLVVIVVLNFLPVGAYGESEFWFASIKIITLVGLLILSFILFWGGGPNR QRLGFHYWKDPGVMNTYLVSGDRGRFVGLLQCIVKSAIAFLFAPELVVISGGEMESPR RNIPKVARRYIYRLVIFYFLGSLAIGVICPSNAKELVHGDGHDASSSPFVVAISNAGI PVLNHIVNAAILTSAWSAGNSFLYMSSRSLYGLAVSGNAPSIFKACNRWGVPYYAVGI SSLFLFLAYLSVGSGSNTVFNWLINFTNTSGFISWTCCGIIYIRWNKAIQVQGIEKPY YSRMQPYGMYIGMGGAIFLTLINGFTCFFPSEWSASNFFTAYIGIPAFLVLYAGHRIL FWQDPWAWRPEEVDLQTGLQEILAAEKPPRPRDTWGRKLMALIE AOR_1_206024 MGKLQPDCDPNDLPPPYEQVVSGQPSLDDSLPPLAGPSTATPPI ADNEVQDNDRSEITERPSTTMSPFLSQDPTALHSLISHEARIPPRPCLSVRGAHQETH PDRNDRKENRTESVVDFDFRINLTNYLVGDPDHDAGWYQLRVARDGDGQKLYRGGRCR SRTWKRNARGRRVRLPTEEDGTATENVGLVEDDAGPDLMGWCERFCQDPSPVKSFTFT RHIENFNSSIIHSTLTSHLRSLNYQGNIDISTSFSNRLFTVYSPHWINRARNNNFVYY TCLILQLWLITWPIIWLLERRYAVVGSVWLFSREVGSQHVYARNRDEAGIAEDLAPVV TQAAWERRLDGKVLTPQDMRLLRRLEREGQERGGRILVVNWDRISGWGRDQYT AOR_1_208024 MKPLIYLSLLLGISATTALAALRPDATPDEVAAAEAECGSLGVM RIDPAELPESVTMTDVRMCADHPLGPGAYPSPGPGAFARFRQFLPSWVF AOR_1_1352024 MKLNPSLLTAAGLVSAQLASALPQAPSPSATPGSFVTTSGLNFV IDGKTGYFAGSNSYWIGFQKNNDDVDLVFSHLQESGLKILRVWGFNDVNQKPTDGSVY YHLLADGTATVNEGEDGLQRLDYVVSSAEKHGIKLIINFVNFWDDYGGINAYVKAFGG SKEGFYTNDAMQAAYRAYIKAVISRYSDSTAIFAWELANEPRCQGCETTVLYNWIEST SQYIKSLDSKHLVCIGDEGFGLDTGSDGSYPYQYSEGSDFAKNLAIPTIDFGTFHLYP SSWGTTNDWGNGWVTSHGAACKAAGKPCLFEEYGVTSDHCAVEKPWQNTALNTTAISG DLYWQYGDQLSGGPSPDDGNTFYYGTDDFKCLVTDHIAAINSRK AOR_1_212024 MSCYSLHLGRISSNFDADAPCGIPNATYPHVQCCVKGDYCMSNG ICHYSKNNSVNGYYTADCTDPTLQDPACMNRCGNQPGSIIDYDEDTGLWACCTYTSDG KADCSSLSVEKFPAPAPSKLVTIQYLPATGTPLYATPTDVVSPPVVNSTGSQIGAGAA AGIGVGVGVGVFLLAMACAFFYIRRRRSSQHSVVPSKSWTESVPTVQQPQTVVRYELG KPEPRPQELA AOR_1_1354024 MQSNTFLASLWVLQFQHSPSQPDLQYFTTGPTFAIVIATFFSLG AQALEAPIDGYGVEDFEWEVEASPSNKLRLRGTIEEVTNQVRSIKSNYKYERRDGTNA STASLEKHYDEESLVCYPNGWGAADGNTVQEGVEYLKNDVAGKPTNGPGPGNCGRTES KTLDSCREIGLAAEEICNRCWMSAVASNSGFENLCAGQMFMKDKWNVIDL AOR_1_216024 MSVPQYVAKEALVRDLRDFISRDADRTKRFNDAIATAVAGSAGA HDEMANEGIKTLDYLRFCDDLLQWVPKVSSKGDELLQKILVFYWVFDQPALRGLQTEI QPQNSNTDLSWLSYWLVTFARQQGLFLSTPQSAASVYSFYRNEKYNQEADLWVEPKSG WVSFNHWFAREWKDIDSARPLAGPNDDKVIVSVADSKFNGDWDIVDGNVTISGIDAKG VEWPIDKLLQTTTIDYHNGTFMHAFLGPTDYHRQHAPVSGEIIEVKNIQDQVYLQVAT KNGGHLSGDRRLIRNPHMITRRRERLLSGGHGYYNLDAPDNAGYQWCQTRGLIVIQTK DYGKVAVLPIGMAQVSSVVMTVKKGDHVKKGDNISYFQFGGSDVVVVFEKKVTFKSGL KPGETKLNVRSELARFQ AOR_1_218024 MGVETSAEHGEMISPAGAPQYSSQESVAANKPMEIGVDTPVPRI TLRAFVMGAFVSMGGLLFGYDTGQISGFQEMDNYLERYGQLNDEGKYYFSHVRSGLIV SLLSIGTLIGALVAAPIADKLGRKWSITFWCIILNVGLIIQISSPAGKWVQMVMGRWV TGLGVGGCSLLVPMYQGESAPRQVRGAMISCYQLFVTLGIFLSYCINLGTEHLEGTEQ WRITLGLTFLFALILGGGMACFPESPRFEFRHGRVDSARNTMSKLYGVPENHRVILQE IEEIQQQLDAESQEQVWHEFITAPRMLYRIALGMVLQSLQQLTGANYFFYYGTTIFQG AGISNSFITQVILGAVNFGTTFGGLYIVENFGRRKSLIAGAAFMFVCFMIFASIGHFM LDVQNPENTPGPGKGMVVVACFFITAYAMTWGPMIWAICAELFPSKYRAKGMALATAS NWLWNFLIGFFTPFITGAIDFAYGYVFAGCLAVAALVVYFFVIEGKGRTLEELDWMYV NKVAPWKSSNFEMPSLHDQQYGNQHGRKESRSYHAENA AOR_1_1356024 MPLVEVPWYWFAGKRHGIEYNRRNTLHVQLCNLPEVYHLMRWLY TSAYFILLTDTYTSNHSLLENKTTPLTDKTSNIQSTHRPNSNTTRFYFRTPYNEANNT AKVISNSLRQLLADDTSKCLSIPGKKNGFWTDCYSLTPLEDSMGNKLRELEQYLQAMK AQLEMAPVPNWYTLPLKCQYKSNKVGSCWVEWYDAEPFVMARNASPKLLYLLDETSNG ARLVTSSRYLDDGLLYPPRPWRS AOR_1_222024 MSGFKYSQTETNSYPNEKNANGTLSLTAPSDKGEWNTKDVGRQD NEGVELSGKKGSCPRKCQSKGQRKKGKGKWQKVSLSEATKPTTPNKDWSVIPDPEQAK ILQDLVALCRSTNPHVARMRHWNTSNGHETSPTRRSVVAIDCEMVLVGPGQNEVVQVC AVDVLSGEIVVDKGVVPTKPVTDWCTPWSGMTPQRLEDMKREGKTVNGWEEARAEVLK FVDGDTILVGHALRNDVRALKMQHAKVLDTATVTKHAVTNEMVGSGCKRTRKLKTLCQ DFLGINIQQSRNGHDCVEDTLATREVLLWCVRNPDKLKKWAVEQSAAIQAVSSSCSSG KKLEDSTPFEGVEDWL AOR_1_224024 MCEMTEPIVVDGGLAHECLQSMPFDAQKGAKFVSELTKYIQFQS TIELLDDPPAGYLSAPTDLLGGMAEVLAKAKSNQFPSHYEFENAILRVLHSANDGHLG ATLCSNTIFYFNNLVSLTSISSDGVQLPQLYTLEDADVLQKDPKIVSPVVSINGYEAV SYLNQYAESDHFQDPDARYNHLFPSNARYVAQQESTGTWMFNNGLWPGYAVQNLTFSN GTSLEVPTLAQVALEDFKYRNGSALWEDVCLTQSESASDDTEDSLSKRSDTAGKKAPS MYPKPIMRDEYNTISGYFLEDDEDVAALFVPSFETNKYEGDPLAFANHATDFVKKAVD AGKKKLIIDVSGNPGGNTASAYDLFRLFFPQTDMYTASRIRAHESVNLIGQALSSLTK DTDYYGAEGIALYDLVSPNQTYHFQSWEEYYGPQQVNGANLSQIAANFDFDVISGWET PIRGYGPVKLNDTESPFAPEDILIVTDGYCTSTCTLFTELMTHLGGVKTLAFGGRPQN GPMQAMGGSKGSQVASSALIDAWVEGAKILAEKSGQDEKPLFSLEQRRALNDSAPAVE ELPFNLPSLSVNWKNSYLRDNHEIPAQFLYEPADCRLFYTWENYKSPATTWKDAARAW WGNGTCVPGLSAASRSTADGSPNN AOR_1_226024 MPVEFISLTFPNASTEIKPIPNATIDPEYLERYARNLDDYAFNY TLVPYDSSYFDPWTIGATIASKTKNLKIIIALRPNTLYPTVAAKALATLDQLSSGRVV VHFIAGGSDAEQAKEGDFLTKEQRYARLEEYIRILRRAWESADPFDWDGEYYQFKQFS NKVRPTKETIPVSVGGSSDDAYRIGGALADIFGLWGEPLKETKEQIEKIYAAAEKAGR TDRPRIWVTFRPIIAETEELAWEKAHRTLDALQANRPGGQGNAPSRPQNVGSQRLLDI AARGEVQDRALWYPTVVATNARGASTALVGSYQTIVDSILDYVDLGAELISIRGYDNL NDAIDYGRYILPPVRQALQERGAQSTA AOR_1_228024 MRENYVTGSVTDYFQQAFGNEFGFVPTENSQECLLTLKNHKYNM KFTAALALLPLAASAWEVTTGYWKSSETDVCAKTFLPKGFHVTINDLLPGQKVFFFSD DECEDLEFSVHEVGTVKLESRVKSFTVLDFEQKQDLK AOR_1_230024 MTTAAVFGSTGAVGGQILATLLASDAFSSVKTVSRRLPNAQSPK LQTLEEGDITKWGGLIASLSPKPSIVFNAVGTTRAAAGGIANQWKIDHDLCIENAKAA KEAGVKTYVFISSGGTRGFFSRYVPYSKMKIGVEDAIKELDFEQAIILRPGLILQREK PKAALLENIVQNLNKLGQGVQDMIGQDQTVIGRAAVAAARMAEEGKAPSKYWVLEQAD IVRLGRDEWKQ AOR_1_232024 MSNIKRKLVVVGDTSCGKTSLLHAFTQGSPASGELPTLLDLHEV ASPVPGSQTQLSLWDTANHGEFSKLRNLSYLLCDVVLICFSIDSPQSLSNVLIKWAPE VRHLSENKPTILVGCKKDLRCEQNPKSRNVFSQAMTKLVGRKKDLQSDQESKASDALS QMPTEQVSHKEATKIFRQIGARQYLECSATTLEGVQQVFEAAARAVTHYKSG AOR_1_234024 MPVQSVSTCNVKQLKIRRSHSGCRPCRRRGKRCDEAKPSCRTCR RLSLECSYGVDYTFRNLDGALFQPQLVGQITSTRSSSVSKRSTAKGELGSNSKIGMLN HLSRLATSSVPPTINTQHEIEIRYLDHFQTHVRHLLPAALEFIDKSIQSPGLRFAVLC ISASNLSMLNAQVQNRIIPNDSRRSVFSPLVNDVHHNLAQKYHNLAVWNCRTADPDAI RNEAPALLAAHVLLAYYHHASTDHLQFRIAVRNAVRFVLQNRASIADSTDGAKSLQMW YRLCASHRPSKPPALLLEGEGASSFGPNLLPDVTEHLYLNCILEMSVDDLIYDILIKT LEIRTKLVVFRCVAAIRQVSENSSDIGPLAHEMMNRMLGRECGPDEYVEARDSFVRGS NLLSLLDVQQERLNVWRSRLSPDQLPPQCGTTSCVQSPSSSESSHSLISQHFPSHRDA MNALYCLLCEMTFEEAKQAFASDHTPSVVGVDPSNVIVNMAYSACQVADSLNLAVSNT SDVYTMSLAEVLLQLVFLWRSDRLFQYILDILWPRLEAHGRGYEHSHYPTHLVKRIIS QAAVYWKQGRVVNLALPAVAENIPKLKLLDIAHPVDLVVCGYSTDGVYFIEKASLP AOR_1_236024 MARAVWTNLLSDDSIQRSSQTLSVLARNAYLYGGELRPREPVDS AVYRITLDNEQPSDIKLSTITSTSGSPQPRVGSASTTIDGKIYIFSGRGGTAMAPIEE AGSFWIFDPNTSTWQQVKPADPQSTYPAGRSYHTLTNNGRDTIFLHAGCPEKGRLSDL WAFNISTRQWRELAPAPKPERGGTSIAYAEGKLFRMNGFDGKTEQGGALDVYDPENNT WSTIAYPADGVSGPGARSVSCLLSLKVAGKPSLVTAFGERDPSSLGHQGAGKMLSDVW LFDIQSGKWTEVQADGGNAPEARGWFDADVIANASGDSIVVHGGLAESNERLGDIWRL DF AOR_1_238024 MAARMTRPSTPSEYSPLLSADPEAVDHGHSTFPHSQHEPPVANA PPSRLARRLYISHFLSTWNSRVFEFGAVLYLASIYPGTLLPMSVYALSRGVAAILLAP AVGHYIDTGNRLQVVRVSIVLQRIAVSASCVIFYLLAIGQPALSEIDSILLIALALLA CIEKLCSIMNLVSVERDWVVVVAGNDHDSLKTTNAQMRRIDLICKLIGPLAIALVDGV STKFAILFNLGMNICSVVVEYFSIARVYYEVPELQERKTKADHDSPSRESDQQSIMAR LSHYWHRLTRKALGDFASYFRHPVFLPSFAGALLYLTVLSFAGQMVTWLLSTGYDSTH VGIARTLAVAFEVLATWIAPWLMGRIGPTRAGLWLANWQLASLVAGISIFWIFPDQPL ISASGLVGGTILSRVGLRGFDLCVQILVQEGVEAENRGNFSSIETAWQNAFEIGSFIS TIVFSQPDQFEWPALISVIAVGLAGMLYTLFVRMQRGHLIHVPKWIATPGMLQQTRER CIDRISSASDF AOR_1_240024 MYRISIPLVLLLVQQTVSLPAPEDYSGVTNNGAYGVSDASLNAN VGGSIPQPAQNGIDPQSLVANDADLNNQVNPEPPAYGSAIDAAASQEVITSTIVVTWT KPGDTNPTMAPIAAPVDKSNFIEANEDAGRPAADAWAAFDSSSSENVINTPHSSSAPL IHASSHYEDVGIQNSGYPTSRSAQFIPAPEPSSQASVTPVPDPYPPSNAADDSSNTTT DDDLLPPTRAPRLPTFTDVPPRFSTLSIESMASQNGLLQTAINGISTILPVINGLGLL GIETEGTYFNLPGLLDAIDIPCLFRCSTPPSPARPQPGAVPQAPAAVQAPPAVAPPAV APPAAGGAAMGGGAEAAAAAGAGAGLVGASPAGGAEAAGGTVAGGVPAAANVVKGGAE AGAGVLGSGVEAAGGSVGSGLIRASAEAPQVEAPPAQGIAAQVPEAAGGLSNNPLLSV PGRSLLKGVENGIQTGLDQSGGLPGINNPPSQSLDGQANDVLSNVGPQPGSDSTLNAP VNGMDNSGVNEMIPPVAASSSTPPQPVQNGLQNDAGNLMYPPPPPMPLNPPQPSYVQD GLQRGINDNTTMAGGLNNGYGNNMAPPAYSSLASPLQNGMNAGIGSNMQQAGNIGQNM PPEAATMNSFASGAQGPPASGQLMQPNAAAGVAMALPVQGNSPSSNGALINAGSYGGS SSMPLIPMSTMMASPSQTPSITPGPSHTPTPTPTPTPTTASSSEPPAVTTTQFNGEVV SCASGKYPDDDHSATPECAGETKVVSTVESIASAYAASVSAEASAAASKSAAEESAAA SKSVAEAADASWTSAAAKPSATCDILDDNGKNKVIFRVGGINGWTDGSSFSEILKTKC HRFNFFKTFTIADYLFYGHEKSDFEGRQRDTEKVTFTMTGFKNGCVEDAIQEAGGPVH GKGDGELTCQHKKEDSLPPKSLKDAQDAGENIENCVFIPVVH AOR_1_242024 MALRNSRSFCSYVDMLRIIICTLATTSVVNAATWVSFQSRPDIR APVMEISLKNDSLITPGYIFMAPYQTELPGPYIYDTDGNLVWTGADGSTTELFHGLQV CPYEGSDHLCYFQGNQIEGYARGRNIILNKDYAQAATVQSGDGLTLSDMHELDIIDNT SVLIAIYQPRRYNLTAYNVSADNGWVMDGVFQEINITSGKVLFEWRSLDHVGISETYT PIRLNTVVGDGLSNATAWDYFHINSVDKNDDGDYLVSARHTSCIYKISGADGSIQWRL GGTNSSIKLQDYNFSSQHDARFIQENDTVTVISLFDNASNGYRNTSSTSSGIIVSIDH ATNTSSLMKRYQAPGNGLLSTSQGNLQILANQNTFIGWGNNPSISEHTEDGTPVFLAT LEDPRAMNYRAFKFNWTGEPSDNPTLRTYAAAPGSATTFWVSWNGATEVDYWNFYGTT STSEEFTLLTKADRQGFQTTYTSTDYHPRAYAEAVSSDGSSLGNSSVVNTTSSLPSQD AOR_1_244024 MERHNGDCPHPFRRTLLVTYPRTASNLLVRMLSLEEQENAISNE KGGYFFWDSFIKGRTTNSTYTPIESWTPQQTEEMQQIFQHDFNRLESTSNLAESQGKV FFAKEHVQWFTDPAAISDYLSHKDSRTPSPVNIKLPNPYGTPQGFSANNLSIFPDHYL KTWRLTFLIRHPALAFPSFYRAMRELEKEEFAQTHEICPLMELNATLRWSRLLYDWCY QHQEEPIKGCDRDIQYPLVLDAQDIAHHPAVLAKYCKLIGLNPVHLKWEWNVPDQKIQ KGVEDRIGHKSPEAVMKFTLDNSSHVLKDKTPAIVDIGLERKGWDREFGISIGEQMEK WVREAMPDYTYLRAKRLRVQDA AOR_1_246024 MATETAPYKGTYVSFRCLKHRSTSLGLVVLAPAIIPIPVVAIRL EVVAPFASTATIVPIPVLWFAVRICLMADLLTLAFIPVPRFAVRVVITTPPALAATAI PVAITGLAMAVSFVADFLAFALVPAPVVAVGVVITTPLALAATAIPVPVTGLAMAVSF VADFLAFALVPAPVIAVGVVITTPLALAATAIPVPVTGLAMAVRAIALLYAFALVPAP VVAIGIKLVAPFTITLAAVRVPMAWLTPALLLVAFVVVLEVLRA AOR_1_248024 MRVSRVGAQLPIELILLSAEYLMPADLLSLLCAAPGLARVLTFQ HTTLQDERGRTILHLLAREGELMELLLANDGIRLDPKDNCGRTPLSYAAEGYEVVVRL LLDRPDIETDSKDNLGRTPLSYAAEGGYEEVVSLLLDRQDVEADSKDNLGRTPLLYAA WRGHKAVVRRLLDRQDIEADSKDNDGLTPISCAAGGGHEAVVRLLLDRPDVEADSKDN LGRTPLLYAAWRGHEAVVRLLLDRQDVEADSKANWGQTPLSFAAGGGYEAVVRLLLDR PDVEADSKDNSGRTPLLYAAWRGHEAVVRLLLDRQDVKVDSKANWDRTPLSCATEGGH EAVVRLLLDRQDVQADSKDNC AOR_1_250024 MTDRKEVAVEQDAKSLEAGAPEVPSQTPNDQTMEQLDIFEAPKI RTKLRIIAIMIALNLVLFVGALDQTIVATSIPTISAALHSAAGYTWIGGAYLLANAAT APMWAKFSDIWGRKPVLLGAVIFFAIASIIAALSKNMEMLIAARALQGAAGGGLMQLV FIVISDLFSIRTRALYLGMMGFTWALAGSAGPLIGGAFTELVSWRWCFWINLPLCGLS FILLLLFLDVHNPRTKLREGIVAVDWLGTICILAVTLLLLLGLDFGGAIFPWSSPKII CLIVFGTAMIGFFVFSEKRLAKYPLMPLSIFSNWSNNAIILVAFAHSMVAIGVEFYLP LYLQSVKQASPLRSGILIIPMMITEAAVDILSGILISRTGRYREITWAGLALMTLGTG LYINLGIDTPVARIIGFEIIGGIGTALLFQTPVIAIQNTVSQADTASATATMSFLRNL ATSLSIVLGGVIFQNGMASRHSLLVDAGLSGSVLEALSGDHAAANVEIIKSIQEPAQR RAAQGAYAWSLRNIFIMYTSVAAVGLVAGAFIKQRHMSSEHTETKTGIQQLTKRESAS AOR_1_252024 MKAFYVLSLLALAAFGLAQPNELPAPDSPERTQDCCHADKNGRC EDGTQGTPYCGYRSCNIFGCNCDGGCRHR AOR_1_254024 MAGNENLPSGIPAMTKTAAAPQCSKGDDTADFGDFVRNEDEELD LEEVVEPWYNYDIKETSHVFHPICLGEVLNGRYLVGNKMGSGGGSTVWMAHDFQETKD VALKVMASRE AOR_1_256024 MHTFTSRRLTAALLIIGTVLWFSCFRNGDGSWITSPTSPTDPDA LLDSRIRNSTLGFQKIFAIGFKERTDKHDAIALAASYTGLEVDWFEGVRAANIPPKAY PAVWTEEKHRDKPAELGSWRAHMNALRHIVENKISSAVLMEDDSDWDVNIKAQMVEFA RGTRALQGTEGEPKYYVIPNDLTVTPRPHQNEFVRPPLAEDPNFESHRLIFNADNAIC SWAMAFTYEGACKALTALSYVGIDEPVDLGYNFLCTNILHVPYKCLSSHPSIMGTWAQ RGPASRDSDITDGDDTWHEASSRSLTYSTMLNILPLANNETTISATWEDVPAPKIAIT TFKIPSGYLYTPDVE AOR_1_258024 MSSLFLRRTRQRLKPVIAASVILATITVFLLSRTQPPQPHVETV TFPSSSGTGVVANNDRNSSTCYVDAELLKSLGYNSSAEYRRWEIAVRRSKSHNGVSDT LDVPVPKFEILDLDTDDDRIYLPETQCAPTATIEAPAAKARADASHMVFGVSTSLERL SDSLGPFAHWAGGTGARIFAMVDVVPKKEKLKVLNRAQELDIKLTIIESKEEWLDRYF RLTKVLWENRDAHTQWAVIIDDDTFFPSMSNLVERLATYDTTKPYYIGAPTENWGQMN IFSFMAYGGAGIFLSIPLLQQMNEVYDICYAFKDHGDKRISQCIYQHTTTKLTWERGL FQVDFGGDVTGFFESGRPLPLSIHHWKSWYDVDVVALGRAAAICGDDCQLQRWRISDR WYFINGFSLVQYSSPMNDMIGMEQTWDPSDWARDQGYAFSLGPLRPKDEKKVSFRMKS AVLEGQRLRQIYVHEPDSGSPRILEVVWTVADE AOR_1_260024 MKSAIVSAFILAFLSANLAGAAPQSGGQPVQGSPQVQGGLQPQA GSQPQGGQQLQAGKPVEPAVQAMGPPQKIEQYQQLTKKKMQHPGKCKIKNLKGGPRHS CVASDLNQKTGQNQWDVECRTATGCRYQGDDCYILISTETDKTKPSSGRLEAEAMCQG TGSQPGSPNPYASSSSPGSPISVGSGSPPGSPNVAGGGSGSPPGSPNGSAGGSQQGNT RGQNA AOR_1_262024 MRGSRGGLYCTAQLESQVAQLTAVVNNIELKLGYKPSQNPSGKD DRFSGPDDSEGESSGSDIPMAEKPSHLQSLFQNDWLSADPCQHERQMEQRIDKIFAQL STDVRPKLQNMIPPKDEVADIAGSAYDWLTVLHALFPQPLMLNSPQELLKSFESMCRP NVDVIMLASWLLTLALTAQQIHPGREGSDYVLTGKSQVGQGNFQKAWIKLRHIIAIAE LMGLPKVFHAVCFNQSTCTDDTSLHKVQLWQAICNIERLIGMILNLPPGTARYQLTAA APLNADGSIHLTTYLTRLLDISIKVYDLEEFSATHESSMKRQMAALEMAQALGELAGQ APDTWWAGDERDHITPEDIVQFLHCCVLMRVYLPFALKQNLRDELVYARLPCMNACEA VARRYLVLRRKLPAGLFMSRVLDLQALTAASVLLLLSHHVRSTDRHSFRVDISHLHGV VSDIITLIGERARDPTNSDLAVEAYNTLRALDQLLRQDDHTGEVERLTVTVPLLGKIH VRRNARSAPQPRVANQPSSQLESNMGIQTWISASHPLEGQSSLGTNIPVNEADPSPDE WRLTDLSWSVEGSLDNLLEDAFLSESIGQYVDI AOR_1_264024 MSSSLQIRGGPYASTTAGMGGLPTIVPDIPICAVFLVLYIAFAA TNMTIFQKNRRRGHKFVMSAMLFGFCMARITTLVLRIAWANRQRNVRLAIAANIFVNA GVLLVYIINFILAQRILRSKQPHLGWHPILRQGSKLLYSLILGALVMVITSTVLSLYT LDMHTRSQCRDVQLAAITYLLVFTCLPLAQVLIAFTLPRRPQEESFGQGGMTSKTAIV VLSSCLCILIAGFKAGVNWSPPRPVTNPAWYDSKACFYVFNFVLEIMILSLLTFTRFD RRFHIPDGSTRPGDYSCRALQPDKDSDQSQLRERKGSASVQT AOR_1_266024 MGPLARYAVNVASTTDVQKVLYLTDKQNIRLAIRNTGYDFMGKS PGPAAVAFWTHHLKLVQSMPGYIRYSGPTMRIGAGIQGSKAQNAAHKSGFVIVTGHYP DIRIAGGYTQGGGHGPLGSRYRRQLTNSWSGRL AOR_1_268024 MVNVFAVPKPGMVQRLLSHFIDHSPRWLLTRTGERRSDFLQYLL PAVSGNLLVHNCVLMIASADLAKYCRDDIEVQAVAVEYYGKAVSALQGSLNEELATMA AYKVSESDYTPLAVLLLCLHECQNFTNSTRIVPHLNAAAALLLRRLHYTPEDLALRSF LFELFCYYFSLVAFSHGSKLSLCQASAIFNSPIVTQYLQQGNVMGTSQRLFMCIFRIS MLVEKVTSERQIHDSPARAELVMLEEQLIAWQAELPSRRDADVAWLNDAITSELYRLA CLIYIKKVLDTCLSDHSPPIQALVLAFVEHLGHLPPDAPSNNILCWPLVVAGLSAVVV THQRAISTRLGQIYDIWRSDILCQSAAFLRQKWRDDRNHSAAASYGEHEKGQNDSPVA INDILKLQFPVILV AOR_1_270024 MPILPHLPTHSQTPPIHTARLLLRPFRAADLPALHVLRTTPDVM RWTRQGRIDATTEETSKWMQRFTQDTEPGEQPNYNFAVLRKPISGAVHATESEEGDVI GVMGIVSISPEDGPEVGYLFLPETWGMGYATEALRGFAEAWWRLPVPGDGVSRNGEGA EEVGTLRAVTDKTNLGSARVLTKCGWTVVGEGVDGEDEKKVELLYWILRRPGI AOR_1_1358024 MGYWEVPCQFCGVSFNISRIRSKGEPRSAAFGPGGRGGWIEGRD FTRDEESEEEQAQYRREYENCSSETGCCMVLRDAEFYGFFTPTPRLYDDDPMDGIPRD DPDYEYESQSDNEPLEYDSGASDGGVVGMDMRYGECADDPEWTFQVQGPDQPEYDTMF YPLSTKLGESIYIINEDGSRTMNRDAYNRSRCYEHIAGPDCRNTQGYLGKNISAEEMT GCHTVQCILAKREDWEPRPDDMEFEHKSRYHLTGVAENMPSSGYGLKFAPVRHGIDNI QAETEFLLETASKQCLGEVDIDTLVRIRDRACMESQHFPIEDNEDVKEGQEQVWNHTV GHEYLVANPIFVPSLKPIIQSAISTDRGFSVNNSPFESRSPINPASTARDPFRALPIE IILIIVDHLNSPDIAALRLTSRAFTHLPTSLWFRLVVTEMPWLYEAWSSDPTPYHWAT VIAHDVHQEKAAREEWDRDMEKQSLVIGEEMPEVQAEWLRNLPRWEWPDHPDRLEVLD LSPARLHYHTTNWYRLYRDITVNWKQLKGLQNRARIWDAVLQIVGAIKDARGEYVNEG FSDGIV AOR_1_274024 MTIPAADDNNCPSYDKVIDLIVDYAYDYEIDSPAAWTRAKAALI DALGAAIESIHTSPECAAMIGPVWPQTATVPGGFRLPGTQFQVDALKGAFDLGGMIRY LDHNDAFPGAEWGHPSDNLGAILSTADILSREALARGSPEEVISMKQVLTALIKAYEI QGVFQIRNAFNKVGLDHVILVKVASSAMVSWLMGLSRDQARAVVSHAWADGHPLRVYR QAPNAGPRKGWAAGDACMRAVHLANLVRCGQPGIRSAITTPRWGFYDVLYRGQTFELP RPFTSWVMETVLFKVSTAEGHGLTAVEAALTIAEKLAQRGLRPEEDIVNIRARTQEAG MIIINKKGPLHNAADRDHCLRYMVAVVLLKGSQITTADYQDSSPWARDPRVETLRSIT TMEEDPSFTRDYHDPQCRSVANALEVTLRDGTKLEELVPFPLGHVRRPETLQLVREKA QQNLGLKLSSERVGQILDTVDQPKFEKMAASDFVDLFIPQPASSAA AOR_1_276024 MASTPSSGSYDLAIVTPTEDHSLRSFSLSQGNVQQTFLVSTQDG AFLDQQKASFLQSYSKDQSILGLVFEFLQFLLDEACPPAPLGAFLGAIESQCVRDANI HDLIVSEPEAKNIIRTYYRAHAVAGLNPRPAPSGLFSTVNIEAHRILMAFGGQGSTNL VCVDELADLYSLYQPLLEPLIASVAPALASLSREPSTLQHYLGREIDLYSWLTIPESR PDRAFTATAAVSFPIIGLLDMAHYYVLGKLLDSDSPKRLRSALQGLTGHSQGIIVAAA VAQADTWASFLAQAQWAIRLLFWMGYECHTAAPASPLSSAAIRDSIEHGEGSPSWLLS VRGLRSPALDALITDCNRRLPESEHLSIGLINTERNIVVAGSPRSLRGLCLRLREIEA DDGQDQSRVPFRQRKPVVHHTFLPVSAPFHSSHLRAAADRVKERFPDASSPQVGDLLT AVYHTRTGQDMREMFSPSNNLIHSLVEAVACETVDWPATLQVSRSKPPSHIVLLSSSR LSDLVSEIVDGRGVRIIAGTVLAPTDPAVLGGKAELLTTKPSQAPTPWAELFKARLVA GPDGRPILETRLSQLLQAPPIITAGMTPTTVHWDFVAAVMQAGYHVELAGGGYFDAAG MTTAIEKLAAHVPPGRGITCNLIYASPHSIAFQIPLIRSMIQRGIPIDGLTIGAGVPS QDVVNEWIQTLGIKHLSLKPGSIAAIYEVIEIAKKHPTFPIILQWTGGRGGGHHSCED FHEPLLQTYRDIRRCSNLYLVVGSGFGQADQMHPYITGEWSLSFGRPVMPCDGILIGS RMMVAREAHTSPQAKELILAAAGVADSEWEQSFKKPTGGVLTVQSEMGQPIHKLATRG VRLWHEMDKTIFSLPRDKRVAALNARKAEIIRRLSADFAKPWFGYNAAGDAVDLEDMT YTEVIARLIRLVYVSHQHRWIDPSYRQLVLDFTYRTLERVSNADYATDKLDLSQPEQF VEQVQQLCPAATTRRLHPDDVRFFLTICKQRGRKPVNFIPALDEDFEYWFKKDSLWQS EDVDSIIDQDADRVCILQGPVAVQYSRRADQSAREILDEIHHGLANHFEEGPSQSDRP SLAISEMVSARVTVTESNTHRIIRPTSESLPSVEDWQAFLASQVTGSVRSAIMAEEVL RGSQRQANPLRRVLEPRTGQSIQIPLDGRDLRLVEDAKNRPLVHIKPSGDQEVAVDFY YYDFVETPGNLRFTYKFDSKSLSLVENLDGRDDRVKLFYAHLWLGRADLSYHRLSEVF EGEEITLSSDLHRHLHNALRHTVPDATASATTNTLPLEAAIIAAWKPLMEPLFVAELQ GDLLRLVHLSNSIRYTPGAAPLEVNDVVATKSQVRAVTIKETGKTISVEAQIFRSKTL VATVTSEFFIKGSFSDYETTFSHQDEAAIELKVQSAIDEALLRDREWFLLDDPTQSLI DKTLVFRLHTVTRWKDQSTFTSLKTTGSIYTKHWNGTEQKVGTVASEVVECHGNPVID FLQRKGTVVQEKVPLKHPGLIDNGSRTIRLPLDNALYSSVSKDYNPIHTSSVFARFAD LPGTITHGMYTAAVSRAVTECLAADGETGRLRSFSASFVGMVLPGDQLTVRIRHEAMC HGRMVLSVAAYREGTDEKVLQGEAEVEQRTSAYLFTGQGSQAQNMGMQLYDSSAVARS VWDEVDRRLLDQYGWSILNVVRANPKQITIHFRGARGRRIRDNYLAMRTETRMPDGST RLEPILRDLTAKSESYTFFDSRGLLYATQFAQPAILLMEKAAFEDMKANGLIQEGAAF AGHSLGEYGVLASLVDFLPFEMMMSVVFYRGLVMQFTMERDSNGHTGFSMVAVSPKRV GKYFDEAMLRIVVDLIHRQSGKLLEIVNFNVEAEQYVCAGHVRNIYILSGILDLLSRS ATGPQLVASLRSASDPAITDVAKEIAVYLEKAPQLNNPTELKRGRATIPLQGIDVPFH SSHLRSGVSVYRRFLEERIQAENVQVDRLVGKFIPNVMGKPFAIDRSYLEEAAAVTGS SVLRELALAA AOR_1_278024 MRLWPAIAISLPRVTPPEGCEIDGSWVPGGTKVGVSQWSAYRSE RNFARADQFLPERWLPEGEEESFINDTRAAFQPFSTGPRNCLGMNFARAETRIIFARL LLDFDLELLTGRDEWEAQKVYIIWDRCPLYVRVRQAKRR AOR_1_280024 MILPADPIFSQLLLIARNSPDEVVIDDRNLHVQAGYSHLLHDAV QLAQQLRDSLSQGPSTVGSAFIGILAPTSYESTVASLAILAVGGVCVCPSVIGSQHQQ TKLATQLQEQTGILKLAIPVLSPGRPPIESYTLDEDSIPSDDLPAFLFFTSGTTGAPK GVLHARRYLYAKFSVQQSELTDELCLIYDSICWSTCFISVLLHILRGERVELHELDAR YDLIWDRFRDCEITKIHFSPTSWYTMMKVFQERISKLPEPSVQAYIRGAQYIRTPITL GGILPVPVKQFWLNLRGGRPIKVIYGSTEAGLLTVADPEASASEEASIGSPAPNVTVK LSDGDSGELLVKAPTLLLQYLNSPELTASCFDSEGFYKTGDLVERQGKNFIFRGRYKA DFFKFWDHKIPRLHVESCLSSLPYIEEAHILPVADARCDNRVAALVRLRQDHTCVTLQ SIRKDLSTMLPVYQMPTLLRILGKGDEVPRTFSEKVAMKKTVERFFPRWNNDHFMDDS IEVLGIKEILQFDTTGPLELVELW AOR_1_282024 MTARTPNRATGAPENANPTVRDQTQQDQGERSESPAIPSLTNKK RPRSQPDNTSSPACNQCRTRKIRCDRQQPKCSNCRRADVECDFATTPKRVDRTKQLLN DFSGVVARLDRVDNSLAKLSEQLQQQQPCRCSHSPVPSQVDNPWGASEPAAIYTTYTP KSSTSCRSPHLMEVDGSEDCDPEIPNGDLVDFDQGGQRLLDYPAALSLFKNLQRQITR WLTKDVPQGSELWQVIAQQPGFKASLEYQLEQFPFGGLCHEPVIVSDHRPISTPPRYL LELSLDGFLRHINIHTPIFDDSSLGKAIDTHYQSLSAGTGDAWALTFTNIIILTVALD ARVARATASHLVSMNDDMLPSFLKNCDRALADLNRFTAPCLINVQVLLTLALVAREFY GSVVFEKVCQAVCQVARSTGLHRAHGARSMRWEKMPERERLFWVVYTMDKQRAFLTGQ PCDLYLFDSDIQLRSCGERAPFPLRLNAAYVHMMTIWEQIFINLYSSRAVLAGAADRS RQVQQLWGSLNEWNIKYHALLSSPILEKMADLAPMQLELKYCFMVSQVLVHRCDRNAR SQQRYRDPARSALKLIAQVAGDHRSITLARCAVLARMFRNYPLVAVHDLFSFCLTDGE PDSTEDGQLIHETRRHLELLHYADFPQAYFARLEVGLKWCTDMLDTIKDCLSRSAVAG DWGPMDGSSTGLSDRTPPSESELSSIPPDAWASLNLPMSRDEMLCGLSPSVPSEGLLD PQFSAFGLTTSSTGDSTVPAFAQGGDNPAVTMHPSMWVPAEVPCNSSEPLFDPEFTRS IMS AOR_1_284024 MAVAELPNIVSTDSSPSPHPGSRLSSEPTDIESQKAPSNAEPKT DPNLVTWDGPDDPANPQNWSFAYRAFVTAIWVYGNLCTCIASSIFSSGSGQIAQRFHV GSTVVTLGISLFLLGYTVGPPVWGPLSERFGRKWPMVIGMALFTIFCIPVAVAKNLQT VLIGRFLTGVFGAAPLSLVGGSLVDMWNPAQRGVAMACCIGTIFGSPVLAPLMGNFIV ESYLGWRFTQWLSCIMGGSCTVLVVFGLPETFAGSILRKKAAAIRKAGNPDVHTVYDG KQKGIKDIFVIFLLRPFALLVTEPILLLVTIYQAFIYGILYLVFVSYPIAFREVRGWS LGISALPYIGMMVGILIGCAIVVIQTRRNYDGNKAVVPEQRLPLMIAGGCLLPVGLFI FAWTSNPNIHWAGMVIGSAPVGTGMYMVFVQCLNYLVDVYPTIANSAIGANTFVRSFF GAGFPLFGPFMYHNLGVAWASSTLGFISIAMIPIPVLFYRYGARIRSWSKNSKHT AOR_1_286024 MTVTDSTPEGNVTAELCNWVTELKPSDIPADVLQRAKHLLLDGI ACGLVGSHVPWSEQAAKAIDDYEPEGYCSVIGYNRRYGPQAAAILNGSFIQAVELDDY HSAAPLHSASVLLPALFAAAEVQSKGHRKSVVSGLDFLVALVVGFETGPRVGSAMYGA DLLSRGWHSGPVFGSPAAAAASSKLLGLSPDDTESAVGIACTQAGGLMAAQYEGMVKR VQHAFAARNGLFGALLARDGYVGIKKVFDRSYGGFLTMFTQGNGRTPQYKPEEVTTAL GKEWQTTNIRVKLHACVGGCHGQIEALEKLQRNYPDRFAVDQLHNIRRITVSLSEPVF AHDGWAPEERPLTATGGQMNAAYIGAAQLVYGQVLLDQFEPHALDSDAVWSLIDKTTC VHSSEFDKPGHLCGARIVVEFNDGETVEDVVAMPKGFDPPITDDEIREKWRKLASSVI DSERLQRIENSVLSLETSADVSELLALISGEL AOR_1_288024 MLSYTSHCLQALLGVASLPYRQYQAYSSPQAPLQVPQVPQAGPP ITTLVSSCAGFSYPEVACIDRYGSLLQGEFERKVRNVLGDADTYISTNAPSEPTFSDL QNADFLVWNQSAAKAILGPNPHVDFMFSIEDCSHEAPVYVPTTNELYFSRLQQGFLPQ LVINLNNDPPTLEEKLAQPPIYAATGARFRDGLLYLATIGGNESLAGYTFRPGLYTLD PITGKTQALLNNYYGYYFNAVDDLDIDHEGQIWFTDNDYGRPCQVNTYAPQINAATYR FNPKTGLVTMVDDTLLEPNGLTFSPDNKTVYLTDTGAGSAIIDPNIYPAPHIAYNSTR KGRTIYAYDVAPSRKALLNKRPVYLSMEYAPDGIKTSREGYLVSATGKGVVVLTDEGE PLVRVQTNFTVINIAFAGAERDELWAIGKGGVARIRWGLKGSYA AOR_1_1360024 MDIYEAASQGRIDAIKFAVEQGCDVDGPNEDGKTPLWFAVQSGQ PEACRFLMSLGAGRGPQNPSLLEVAVGGGYADIVALLWPHCNAEREHRSLKTAISLGF HEIADFLIETGAFEYQDSEVSGTESLIEDGSPERESTVFQQWERFLFVRRGQKLPLHR VFFDYALLLATKAGRNAGLRLVEFLLGESMPDVNCKIMINGQFETPLTAAAEKGNLEI LATLIDHPNIDLTICGKYNWPAFLHLLASPLSISTERGRVIARRLAYKAVYNRLFIDS REIRLQGAFQNVLRFGDDGLVKQVIDLVRGAAGTLILPLLIRANEVDGLTWVLNCDGV SSKKPPPAFWVLLCQYFKRYQDQDALGLFTSVTEFLVEKKIWNQAILKCLHACNFSFI QQFFYPLSEAPPKEVTEETLWNAIHCGLWKSPGFENLLFSNADLNGSDPHPNGLGRLE LEIIPHAFFDDPSSAAQKISLRSALPSASPNPSNPHLYSYQMELIRLEQQNKRRLFFA GDTRCPLSWAAKSHNAPLVNALLRSPQVNVNFQDPSDRTPLLYAIAVNDRPIVERLLN HRDIDLNLRDAEGRTAIFYAAQGGDLSIVQLLIGTQNVDFSIRNKNGKNVKEFAKKAK LKQDIVAALSN AOR_1_290024 MGSLPEKDFPQVHRFITTHKEDGTPTFETKIPEPIEWERTNIGV DFFLAYTLGSFPAPLSHDADLNQYKDHLVNHPPFMIPGGAVVRYVDYHPGCEPMWHRT VTVDFGVVIEGELELEVEGGEKRLMKRGDVAVQRGTNHCWRNPSKTQFARALYIALDA KPVIVNGQELGESLGEVKH AOR_1_292024 MYLSLRLVSLALCIAPLASAGNNSTPLVQACTGYSFPRVACMYR YASKMPLDFYRKASVDISNVDTYSSTEVANDDSFQQVGKATFLVWDQQRGSEILGSDP AYDIVFTISTGGHEAPVYVPDTNELWFSELGKGELHQQVISLDGDSPTISEVLTDPPL YAPSGARYRNGKIYFSAGGGNSTLEGGPYHPGIYSVDPKTRKSTIEVNNYFGWYFNQA NDMDIDQHGRIWFSDPFLARNHGTSTVAPQVQASVYRYDPETGAVNIVDDTLHCPNGV AFSPDYKTLYLTDTDAGVPMIDPRVPLSEVPSLQYNSTNRRTVYAFDVSEDGSYLKNR RPIYTAKDFVPDGLKVASNGYVITGAGKGVDILDTTGTPLLSIQTNFTAVNMVFGGKN LDELWIVGHGAVARARLNLTGPALE AOR_1_294024 MHSTKVTYPEPMQLSGILDQYESFQVTPCIGTEFPKANLAEWLH SPNADALLRDLAITIAQRGVVFFRAQTDLDGELQKELTHRLGVQSGKPAGHRLSKHPL HLIRKDDPEMGVLDPGRQQKLHGVENTQKRQRAVLEYHSDGSYEVCPPDFTMLRMTEI PPTGGDTLWASGYELYDRLSTPYQKFFESLTAQHEVPSLRKLAETEPGIYDGPRGAPA NTDMQFKQSHPMVRTHPVTGWKTLFAGGLHCRRVNDVTDFESEQLLSKIISLVGDNHD LQVRFRWNNPGDVAIWDNRCVLHCPTQDHYGLGGRMGYRTMGIAEKPYLDPNSPSRQE ALAAAAK AOR_1_296024 MAEEVNERTRLLSQSDDPSPSLEELEEWEEPRNWKVSYRWLCIA VISVYGLISPVIAAIIVPAMPQIATDLNVTDPGMLQAFVSVYVLGWSFAPLVVGPLSE VYGRISLLNTGHGLFLVFNALCAFARSDYELLILRFITGAVGSAPLSIGAGIIGDLWA PEERGLSISLYTLGPLLGPAIAPITGAYIVSHTSWRAIFAWCSLYILITWVVGLCTLR ETFRPVLIQRKQAAAVRKGQLPGSVQHHHKSLADVFRQDLRRPFTFLGTQPIIQVLSL FMGYLFGLNHLSITTFESVWTDIYNQTPSRAALNYISIAGGFILGSQITGSLNDRIYI YYTSKDPAKGTPELRTILMLPAALLVPTGLLIYGWSAQTHSHWIMPNIGIGIYALGLI MSYQCIQAYVLDCYAVYAASAMGALTILRSLLGFVLPILAPLIYRTLGYGWGSSLLAL WALVMGGLVPILLWRYGAVLRKRSGILEEM AOR_1_298024 MTVTQEASPKRESLHIIDDRTGSYYSIPIVNNAINASDFKKVTA PEDKAYPANQTENGLRVYDPGYSNTAVSHSKITYIDGLKGTIQYRGYSINDIVGRKTF IDTAHLLIWGHWPSTAEAETLQQRLDQVPVPQDFVFNVIKSFPRDGSLMGMVIAGLSA LQSSDMNAIPAHVGKTIYLNNPELADQQIIRVMANMSMLTAAAYCHHIGRDFTPPRAG LSYIENFLLMTGHVEAATGLPNPRYVNAIERLWVLIADHEMTCSTAALLQTASALPDV ISCMVSAISALYGPLHGGAIEVAYKNIESIGSISNVPAKIARVKAGKERLYGYGHRVY RVTDPRFVFIREILNELSEEVEKDPLLKVAFEVDRVASEDEYFTSRNLRPNADLFAAF VYKALGFPPEFILPLSILSRTQGFMAHWREAMGNPPRIWRPGQIYTGDLNKSMDE AOR_1_300024 MVQRKDPPSEQLRAYTLLIELLSYQFAFPVRWIETQNDLIQRNN TIQRFVEVGPSNVLANMAKKTAKGQYAEEDLVRCVDRQYLSHADDAQHIYYQYDEEAP VESADNEPAQPAASSTPAAPAPVAAPPVVVQTAPQPAAQAAVAVPDVDLSAIDVVISI VAQKIRKAFDEVPAAKSIRDLSAGKSTLQNELIGQLDAEFRGLPEGSEDLALEALASH FTNFSGRPGKVMGGHIDRLVAARMPAGFNQAKIRDYLSSHWGLGLNRQTTVLCYAVTM EPAARLADAGQATQFLDSVVSRYGGKAGIALQKRAEGGASQTSAVAQVDLASLETLKK EQNEYLHKQFQLLAKHLDLDGVAQPSQTQVQGEDTDRLAEWDAEFDEEFLTGMRTIFD PRKARRYDSWWNTAREDLMALLHDIRPAAEDKASQRYQSLVNRWSPELEQMLEHSAQD DTTKEKAQMLLDDVRASGVANGPVFRYTQPAMAPETKVDANGRIQYSEVPRRQLHGEN KASTLNYAQVVAARHRDVPYAHLRSRAGVDWKYDDQLTDMFLNILSTGASTGLSFTGR RVLVTGAGVGSIGADIVAGLLAGGAHVIVTTSRQPSDVAASFRQLYAKVGAPGSELIV LPFNQASKRDCEELINHIYDEQSGYGWDLDFIIPFAAISEIGRQIDKIDSKSELAHRA MLVNLLRLLGFIKQQKEKRGFDCRPTGVLLPLSPNHGNFGGDGLYSESKLGLETLFNR FHSEGWSDFLCIIGAVIGWTRGTGLMSANNIVAQGMEDSLDILTFSAPEMAFNILSLL SGDILEVADDEPIYADLSGGLQGVSDLKDKISAIRKKIVSDSRIRQALVAENLHEQKV LRGTKPAEGNVQPPLKRRSNIEPAFPPLSDYNSVTAGLQSLKGMVDLSRTVVVVGYSE LGPWGSSRTRWEMEHEGRLSLEGYTELAWMMGLIKHFDGDLKGKPYTGWVDSKTKEAV DEADIEEKYGQHILGHAGIRVIEPELSEGYDPSQKEIMHEVVIDEDLPPFEAPQGVAQ AFKLRHGDKVILTPIEGSESVKVVVKSGAVFMVPKAMAFNRFVAGQLPSGWDPTRYGI PEDIVAQVDPMTVYVLCCVSEAMYSAGLEDPFELYRHIHVSELANCVGTGAGGLLAMR GVYRDRYLDRPVQSDILQESFLNAMNAWTNMLLMGAAGPIKSPSGTCATSVESMDIAC EAIQTLKAKVAIVGGSDDFQEEMSYEFGNMKATANAEDELEKGYLPSEMSRPTASSRS GFVESAGCGIQLVMSAELALQMGLPIYGIVAYSQMAGDKVGRSVPAPGQGVLTAARES IDAAQSPLLDVQYRKARLDEAVSEIKRWRHKESQKLIASTTSKEFKDLDAHLQHINNI AATRIRDAQWTWNNNIRHIDPTIAPMRAALATWGLSVDDIQVASFHGTSTKANDKNES NVINQQMTHLSRTVGNPLLVICQKSLTGHPKGAAGAWMFNGCLQALQTGIVPGNRNAD NVDVALQQFKHLVYPSQTIHTSGIKAFMLTSFGFGQKGGLVVGIAPRYLFSTITANKF EDYRERVLQRQQKIIPVFQRRMAQGRLFQIKDQSAWTSDQEKDVFLNPQARVAQKSTG EYSFPTTVAPVASSLPARTVSDDKQLFARSSDQWLRDSISKEQGNVSVGVDIESISSV NIEDEIFLERNFTPGELKYCQGSPDKQASLSGRWAAKEAIFKSLQIPSEGAGAAMRDI EIVSNGAQPPTVLLHNRAKSAADAQKVEEVQVSITHSPESAMAIALARRRL AOR_1_1362024 MCPEQTIADIKEVQRNVRTFAEAQKNSLKDFEIEVQPGVHLGQR NRGYTYIPGGRYPLLASAHMTILTAKVAGVKHVIGCTPPINGEIPHRSVQAVAAMPVG TEAIRKVDFLAGTGNRFVAEGKRQLFGEVGIDLFAGPTESLVLADETADPFTVATDLI SQAGHGPDTPAVLITTCPKVGRETIEIVNKLLSATDLSTPDVAKVSWDAFGEVIIVDT LKELWELGDHYASEQVQVFTKDPRDALDKMSNYGALFLGENTCVSYGDKVIGKNHVLL TRTTARYTGGLWVGKYLKTCTYQEVTSPESSGKLGRLCGRAARPERFEAHARSGDLQA NRHM AOR_1_304024 MTVLTREKKPYFGLTGGWLTFWVTVACATDMSLFGYDQGVFSGV VITRDFLEVHDLVGPEKTKTLSTVTAIYDVGCFFGAIVAFTIGEQLGRKKAILLGTTI MAIGAVLQAASFSLAQMFVGRIILGIGNGINTATAPIWQTETSQLKWRGKLVIFEMMM NIFGFCLVNWINYGLSFVGGSIAWRFPLAFQFFFLIILWSTTPWLPESPRWLIAHGRQ EEATVVLSCLEAKPIDDPFVIAQRNEIEFSVRYERENSMRWRDLCQKKGNDSKTLRRL LLGAGSQFMQQFGGINIMSYYLPTVLMDSVGLSDTMARLLAACNALSYLVFSGLAVLL VERIGRRGLMLLSTFGQFLCFLIITILLRFSRISDNGEKFASASVAFFFLYYGAFGIG MLGVPWLYPTEINSLPMRTKGAAVATATDWITNFVVVEITPIGIKNIDWKFWIVWTVT NAAFLPILYFLYPETANRSLEDMDEYYRSNPALVVTKDPDAICRRRPQKYLQREEEEI ERAAAAVDKRALSVGAVEHAEWTNAMGNKS AOR_1_306024 MTAESSNLDHTLPSRPTPSKSAAVANNEESKLTALEKAELALAD KYSSPDVYIDREKDTCWHPWLNNLELKPLRFESRTGTFVVVLRSLEDTWLGKHRHRGS VTAVTLKGEWNYKEYDWVAKPGDYVVENPGTIHTLHMSKGAEVVFTITGSLEYFHDDD TLKNTMDIFSYAHLYYEHCKKQGIKPNDGLWY AOR_1_308024 MAPQGKRWPSREGFTVDVVGSLIHRTLLSPWKMIPLLALAQYTV KGREILESRPEVLKALKVLASLAVFSRLGAWLDRRSINNGLKDHYDWNREVVVLTGGS GGIGRRVAQLFGDRGIKVAILDIAAPEDSLPSSVRYYECDITSPENIAEVASKIRASF GKPTILINNAGILTGKTILGTTEAITRRLFDVNTLSHYWLAQEFLPDMIASNHGMVVT VASQSGYTVTPSMVDYSASKAAAIAFHEGLAAELVTRYQAPRVRTVLVTQGFTRTTLI SKLSPEDTFLAPLLDPETVAEGIVDQVLTGESGNVLLPGTSGTIAQRLRGYPLWFQHF LRCRLERVMRAN AOR_1_310024 MGFFSKIKPSRPERVPTDTVVPLSFADDQPHARAICLHHTCRFD DVLDIDALRLSLERLLELDGWRRLGARLRLNDNGKLEYHIPAEYSPKRPATDFTVSKY SMSISDHALGAKFPRASSQPTVVGCPMDLVPLCIGPQSPRQIEDWLYSDRPQFAIHVV LFDDATLVTVTWMHTLTDAMGLAGFFKAWTAVLNGREEDVPEFQGIGETPLQQLGQHI AGETYVNYKFMLKGFGLLSFAFWYFFELFWYRREEQRIMCIPGRFVEQMRTESLKELR HQNKEENAPFVSESDVLFAWWTRAQTRALNPFRSRMITLMNVFDIRAAALPESASQNS ALVANAVQTSLTFLRPQQILNQPLGFLASQIRHSLVQVRTKPQVEAFYALYKSELTKT GHSPLYAAPNGLLLGCTNWHRARFFEVDFSAAVVKKGRGSDCTNNRGRPSYLNTVSLT TGLSLRNLAQIVGKDASGNWWLMCALRNEAWPTIEEELKSLGAKDSR AOR_1_312024 MEKIYLRNYEPVPKADHVEDSGDSDTTLTVRPRRRSVQQYLKRN LATITITGLLLILLLLVIALIAAITLQPVRQALSWEHSSVYSGSHHSGQRQCLPTTPR TRLSCGNSTDEAEALGCTYDPLSACWLHQECPHDYVKEFTEFNNGEPFIYYYDKEMTR QMKDYDEVGRNVNGFYWTSNREHLVHCLYLLRRAHDVHMRGDRLDTMLADLEHTDHCT NMLANWLRRPDPALDELGTQGQTHCFMSCS AOR_1_314024 MPEVGFMWGRRHARAKGGSAISQQIETQTSTDPHTGNMAPPSSE PASDKVQRGLTKPTKAEKGKMGHGWKESWKWEAAAATLSVIGLGLLIGFLFRINGTLY ADWQHTASPNTVLSIIVTISKAALLVPVSGCLSQLKWNRYRNSVPLYDMQAIDQASRG PWGALELLWIGLPQLRMDALTLAAAFMTVLAVAIDPFAQQILAFPSHTVQGFNETASI QAAHKYVYQQKLYNNEVSGSLAPSMLSSILSGLAQTNSPLAPQCSSGNCRFPRFTTLG ICSHCEDITSKVNQTCHKSEFIQSLGEPTIQPQEGPHTSCRYKLSNGLEISTDASGSE NNKTSTQTLDQVPNLVTLNQWGTYPQNSTSMTGIEKPIFSFVAVNQSIQIWYMPENIT LSPPKPSFTDCVFYYCEREYSPTHYLANDRASHSVDVSDTQPLLPSDDSTLDGSDYVY ILQPPNGKAALSGASTYKVDAYTFLSIPNVMTKLFNTTTGSGAYWDDFENESTGLNLG PILREADLSQLLQSVSASMTDTMRANPETINVPGQAFRVETFIHVRWPWIILLHAKLW KASIIPLMTSRLDLLHENEIAGLDNMEDIHQPEYIFLQLDILSLAPRPDRPTCGSGEA LLSELEDSSYGVDGKLAIKT AOR_1_1368024 MFRRLTGREKVDGSILSKLKELTQFSQADLKIIIQGLHKTDPAQ YIIDKGYGHTFEEARNEYDTRQLMWKHGVDRQDAKGALEKSNGDINKADQACKELADA AMKNLQIPFVIPTRHTRSAPSVTKNTRVVAVLGADEGLNDPNAASPSLGDGWMVSNFY LWLIVLDGVGKSQQWIIGMDPAYLLDKYGREDEMTMEDIEGNGTVLKPVQTKCAAGFI HGDPFEERKVVLDDYLLPRIRDRVTIGPKGSALRDFFLNQLEETVKDAAQCGDKSSAN DISYGDYDTDGGLLVGVSPFDNEEDIKDAVIRPQYIVSILAQHPGVKLTILYDLMLLW PLGRDC AOR_1_316024 MSAYNHLPTVDLSLVSNNQHVNILKDTGVNVTNDYGTPNLLFFY FIPFLPDDRKPDLEALQDEIQSWNAWELGQTEVQVRHRIADGSLPSDDATASRVKRTN YRAKVVDHLREVSETTWLVKNADSHSGPFSADVKVAEVNGKIRDVLKDFYGQESLPAQ FSVILNIITDLIASQPDADNRYLFTYVYYYYDVDQKVFKPDIRQLQFSVTQTAAEGEK DTVKLDISNLNHVYSLLREKWRSVRHEAEEIIEAGEKIRKDMALNFYFS AOR_1_1370024 MATLQGRKFFSTLEKIPIILPPPGSGYKSDDKPSDSDMGADDPN TRSYINVNANQIPSRFHDRVLKLEEAMNLMQAWLDTHAGIQVKHRKADGTLPSDSSPQ SGLKRSDYRNKVVDTILTEGCSWVMHNNDVYSENNINTSKSEFHLSMISDMLVGLLDG ITGISKTIEDVFSGLANLIFENKNQVQSRTVWSLFHVFLYDKTTDDVRTYFRIINYSV TAEMVEYVSNKTTHQEIKMGFNFNMTDLSLNENQWDRVKDDVQKFIDDISANQVENPI DGEISV AOR_1_320024 MSMTRHCTPGMALYFVLVAICLFQVQAAVAEPRTDKPAFLSLSS TKLHEEYKDLATGKSLASNPYEFNDEIYYDGEFKSQEVFEKEYPFDVRKDGVNDRDNE YNGGHPYYSASTLPGIGSGSNKNLPYIACQSPMKFGRSHKFMYWEIVWKEAMKSKHGD IILVDLVNYEQAKGLTSETQANVIPSSTKKSLSFSPPTVMNTEKIIPPYKGIYSVHYK ASNGKWAHSDIEYRQLSLEYHDDSKTGSGNGPHNVHYFHLSSWQNRQAVDPEKLQFLI NEVDKKFTGQTIIVNCEFGGGRTGVFIEAREMYRATVKAKSTKWFFSSSDDPIQEYTK ELRKKRSKMIDSFPQYEFLHIWAARMWAMTARDNINAKPGPAGNPSGQGRHPPKP AOR_1_322024 MTEADINAILGTIGTWVSATSPLLVYLWRTGIRKFTGRASAAAD PAPQSQHCQCCDAVQVLARQVERLAQAVEEQNINKGVLIEGGRTHAGSRAGSVYYSAS QSRASNIHQV AOR_1_324024 MGIVRDILTWLSSYWHPRPIQIETPSYRGPNLDHLPTELVYMIA NNLTSCDRVCLALCNNRLLSVLGNGFQLALENEFRATLLTRLSQDLPEHIHCHVCSVL HRRKYIPPPGPAFWPRRCLAKHKGLFNPWSIPFSIPWSSLYRFEHIHLQLAMKRHHCG PEHGISTDSLAYVEIQLLQNSITTLVSFDVQVVRASSLCLRTQTWILAKELPSKLVEG KWICAHISMGDHHILQIVRAAWDAAIKSSPGRRPALDTYRCVTCGLDYEIDATTCGTA GISLIVTKWLDLGPGLDPTDVRWTRHLIGSLSLRKQEMTTFNSDVRSQFERDTVFPLD DLTGRNRSLLEDRGYVRQTDWWYNGCWILQANKRLPWLYPRPEKPICHICKN AOR_1_326024 MVIAVSDLVGSYGARVALVLALVIVLRFLQEMLKVRLLFYRLRK QGLPMPKWNFAAGNLQMLPDLMKRHPKGSQQSEAFTLLSYEFASSDNCFYIDVWPFTK PLLVHTPHIVEEAEEYVEILREHARKGDTFTLDKMTCDYVLDIIGRVAMKARLHSQRG RNPVAAALRSQVEWHCQDEQMNPFIRWNPMRPIMQWCNGRTMNQYIGAELDKRYEAWT QNKPSTRANSIIDIVLAEYMSTRPVRAALDPEFKSWATIQLRTFLFAGHDSTAATIVY SIYLLSKHPEILSKVRTEHDEVFGSDISAAAGILKQHPELINRLPYTLAVIKETLRLF PAASALREGQPGVYLQDKNGTKYPTEGLCIWIIHGGIQRNPNYWPDPHAFKPERWLVG PDHPLYPPKGAWRPFEQGLRDCIGQALALLDVKITLVLTLREFDFQDQYAHWDRLHPR SGPKTVFGERAYQIPQGGSHPVDGLPCRVSLRNQITK AOR_1_1372024 MAYSEFHHCSSDRWTEDNDPFFDIDGSILYETDYDGLLCAIIER DDVATLTRYLAKEPRAAIAPSMGTFCDPFFTAAESGSLNALRVLVEHHYRNADILPLE GTYLARPNERGFLILNVACRHAHIEMVRFLLDREPPLGSVHAKDDWGETALLAAAGSL AQLGCDASGIDHATRGWIDQRIARGEELIHLLLDRGAPARYTRDQVAGREKFNLMPEP VDTVLGRAISRASYTLVKRLIEAGADIHEREEYFEMLGARDVVRNVTKLHVASGFWNT AGIRALFDHLGSEGFTSMVSCCDSEGRLPLHWAAKGTPESTENLLPEDQITPRIIDTF KLLLANDLSTINVRDHRGRTALHYAVGTHTGDTSQGETVIRFLCESGADARLKDNKEQ TVLHILAFTSISSCPIDPALLDLLIMHGADVHHADNEGNTALHVMARNLQEVKGVQHL VNIGANVNTKDALGNTPLHCAMSGFIWPRKSQGNGIVPLAERIRAQDEMIRILQEAGH TDLMDQSNTAGKTPRQLFLETRAKWQKSEDFRVQLSSGKGRGRRV AOR_1_328024 MPLTDVIQSFIAHFGNHWGPPVNATCEEYFESCHAALPEPLDPV YACQKNVKYGEHERHRLDIYWPQSIAKTERLPVVVYFHGGAFKVGDNEITPHLHANIG KFFASNNMIGILGTYRLLPEARFPDGMDDVTSALRWIKANIHEYGGDANGIFAIGQSA GGGHLAMALFSGRLQQQNAMPKGVMLQSAALLYDLSQEQRRTSMIAYYATHDLDRILA QSALGLFNELVTAETMMPALFVTVAEFDFQECLQGNQKFVRAFSKRMKYLPTYQVLPG HNHVSYCLSIGLQGDEVGPRIVEWVRDCLCTE AOR_1_330024 MQAYLQYRSMRNDVCRQLDDLPDRARASLRDGMFRPEVTDGLDP SSSQTSSSLYSPRQEPVSHGLSSPLPGVELLEKPDDHENHCEVFLVGWDHDEDPMNPR NFTVTTRVMATLIVSALAFVVSAASSIESAVLRQNSAAYNVSEVVASLATGIFLLGFA AGSLVSGPLSEILGRNIVYTGSTSLFMVFIMASGLAPNIGAQLAFRFLAGVFGCPPLT CAGGTVADLWDPLEKTLIFPLYAILSFGGAVLSPVIASYLGQGTLSWRWTNWIVLIMA GLVLGLVVLFQPETYSPLLLKWKAHHLRQLTGDPRYQSKLDLDRTSLLSRIVTACGRQ FSLAMYEPIILLLALYMTILYIVLFTFFDGYTHIFSDVHDLSQGLTNITWVAMYVGIM LAGLIVPGMYSSMKNALKEEIPSRDDNGNDNGASSNGQSPPTKSPSFNPENRLWYAMI GAPEIPISLFWMGWTDYKNISVWSPIVGSSLFGFGSICMFISSYMYVIDAYEIYAASA LGFMTVTRYCAAGGMTVAGVPFYNNVGVQWTLTILGIISAVMVPVPYIFWKFGKVIRG WSRYAV AOR_1_1374024 MKFTAISLLLAASTALASPVNVRSESLKLTGLTAKSSKLGDSDI QFSLTDPNYPEDTQTDCAVHQLNPPPSNARCANNNYYIRLLGGAKDFDKFTIEIERVS DSIEEIGQASFSEDAPGNKWECKENPQEGVPKRCSYNGVMEVKV AOR_1_332024 MAAIRLDVNLFNAKDDTVKRTNCVPLKDFDISSKKLSDVRSALI ENGGLDASKVGCSFCSPSGALVNDASSFSDYVDILSEGGEDKEEGDDKKKKNIYNVYV YLKSKKTTTGLSDEAKETLKKELNLKLSDKPELLKASLDQLTSSFNKADWVAEASEDA TNPADMSEKEWNIVIRNNSLTSASRLVFSNLGRASDGSKKLKFRRIERAPYSAFVLKP RKFQPHEISDSEVKIEQQFHIPRFVVADDSYVDTFETKSSVATAMARSSFSSIEAEAS IEGGAFGFSAAVSAGFSSSESNALSKQSTAESSTMNITYNFPRVVLHLDEYSLALSDE CSQDLIRVKDVNSLIAFHHKYGHFFATRVELGGRLFSSEKFSTLGTSSESEATKQMKI SASASFSSKFVSGSASYSQENGQSGQDSDARRSMQSSISWQAQGGDTLLCNNPPAWCP TVAPFQNWRVIKQEDVIPLGDFIGRIPGYEDVPDRFNKLAEITRRKETVSFRLGLDAW QRADKNKPEYLSLHHAWRIRQEVTQLYTNELTKDPFAAIKGLQSGQWANYQIPYAIQE LYDSGHPGLAFEDNSTDTVYDIEVETLLNQAPALHYGVRYQIFNRKRGLWLRAILFNQ NGKEITVLAAGPKHEATLFEFRDQEREGPMRNGDKCCLLVYGPDGRQKGIIALSLRGQ NPGEGVDDAKSIGALPYSVPNEGRIRFTVLEMVDQADVPT AOR_1_334024 MTTPSYTIRAVEHSDIPALGELLYTSKLALTINRLLFKNWPNEA TQRQNYLGALEGIDVDSPKSRTVVDNDTGEVIGHLALNRRRPVEKLEQSQGETKKPYL PNFFAPEVVTAVLEAVATINEEVKTFDRYEITYIVVKPEYRHRGIGKNLMDYVFNQAR SAGVPVAVNAEPQIYEFFKRYDFHDTKHVDFDLAQWAPPYSGFGIFRLAGLIWHP AOR_1_336024 MGFFDNDHENAQHHKEVHQKEGHEGHWSHDAIGGAAAYEAMKAF NDHQAKNGKPQDHAQAKQIAAGLATAAVTHLFETKGLNFIDREKAEYRAKKDAEEAID RHYQG AOR_1_338024 MYEHYGLFCCKEEDQGFWTSKKKYNDSVGCAKQPEGASHTILNP IVQTISSTTFTYPRIATSTSTATSTSASAPHTSETSTSDYVSSSDDDPRGAIAGIVVG CVAGVALIAALAWYLLRRRRQKKQFGPGSDLQPPPGYRKNPESLPQGELTELPSSPPS QAVHEVHELPETTETR AOR_1_340024 MQALQAWDPIKRIKMRLSDRQKKKAEQNETSPTTMQTPPPSGII VPLYIYPLSPTTWDPLYDSISAYPDLHFLVIVNPNSGPGASPLPDANYVREVARLNRY ANVVTVGYIAINYCKKPLQEALEEVQTYATWADDYVKTGLGVGGIFLDETPNLSSPEA VEYLAILQDRIKSTPGLLGNRLVIQNPGTPPDAPLANIGPDLILTCEEPYSRYRSNEV QQRLRQLHYDRARCGFMIHSVPRDDLRPLVHDLRHRAAYLFVTELFGEFYERFGPSSW TTMVEASQSEA AOR_1_342024 MGADYLGLDAVGWHSMGKTWWDQVLAACLLATIVFGGLYILFLV GRKIRESWRKRDQLSEVPAAISRYLDRLSKEAQPLSVERHAGKDLQSFGVFLGSLSNP PTPDQTQLLQQWDITVLDPTQEGVLSSLSTQRTASYTLGRLDVRGLANSERASDNDEV IQALEVVSQTLRTHFKQSLDAQSPFNGVLLADFLAHFQPVVLNALVKYINQLGIDVWL ELSPPAYMTEQQCRDIDMLPIRGFIYRNATISPDGSSRNYFQMTEMRTAMRAIASQKS MGGTTIAMWETIDNGVELSHDVIHRTFKWCNYRSARCWIAPRAALCDATVATARTITE EPLGALMWMKGNEVTEAHNVWRSNSKVCQTPCGHDSLYESLQSFIPDLPAKLSLSPPA KEASIDSQETILDELSWPSRPELTQENPFSVSPAGADYTGLGCFQLGLDCTSREINDL VDAQRHVKDLNLLERIKLEELHGIAGQLRALQKVTNTTASAAFNAARELLGILSSCNG SETDAVKVYVGLHSGFRTRLETQVWGMYDTDTTSGTLNLNIYLSAKTEDRTSTLLHTF MSSRGYSRVECLMAEVALATQTGSLSKTWGLPPRIMHDIEQLTPTEAILFLRRLTASE SLEPSALSQKVRAFCEYQLIEAPSFAQLRALSSTAYLGGEISAEDLVSSRLAWYREQG CWCPEPTVAVALFKEVDARLPAILINGELQLLTQLATVAHTVLQTDQIDAAADLFALS VFCAFRKLALNEVYLEVLDRNPLPNNDMLQASCFAEMYAVGARCDMYFDMAPILLGKI IASRYREYYDVHQPPRRDDMFTELPTAYASMDIDLDPKGEQERPSVFYQFTFLGIFAV PALIDIIMLTTVGRGLYLTTFMSNIDKSLATLALMIALILCGGFGGWISSGGNYYLNA MAFPAMSMFVLTRFVAGLAVAFVGGIFAMIGIGVISGFEHGVVFFLYFLMLSTFLMTL SALSVYQIPGFQFQSGRTVIMMCIPILFISPIITIWVHHDTWVYIPFLWLFLICLLIG ARMVISQWNTWYLNIPRITDSDVVNWYLKTSPRDSLPADVEDIGTTPIPRQALQASVE KERNRHFWTRSTADPLVRKLADGYSATMFLLVWYCRYSRTKLPRPYSPTWNLQLKASV DTMSDMQRGVKLHNAFLHWRHTGGDVWCGILYFILALVDKWTALLTGQSVVGLSNVSS MKYRLATGFGLAYYLMGAVILDAVSQPLWTLANKTTSRAIASLDSLQEAKLDNIRSRR ALYWKSLAKFFFLHIWGAAVSLALMWAFEATSDATIMYLAYVGSYSGLLWYQYNKVFT GLLAVIPLALGTVIGFILGILLHIYVPAFAYSSVVSLASGTWTAALVSLYMTDIWMPL WKKETNAEPTSKNEPPFYTCSALDPSPDLSQTRLSQMFESISALPADVRYKLQPSQHP GVEVMQILQSQCSSKKSGRVEAAFRLAGGLVSLAADLWQQGETTIELVSAGHLLQDEQ KIRTISRSTASGLHIFVVIGPDLVGNEWVSDIRRNCRMIAEAVVQATAECKSGISHNQ SLLTELLVADDRDDEQAPVPEGVKRQLETCTGERRRAICYWQKTLLRHLLLGLECDLD WDKLPKEVRSFLLKRCCGQSCRLSSTQMDWIRSRFSTDESLGIEEFISRCNLGAELAI SVTSFAETLPPNQDLQHAFPDSWSMGAQLPASPNLSDLGFRETVQLALSRLHEKLKTC IKFTVISLVADPEYQRELNYIIRGQPLIFAWPATLILNTVWTICKTLQRFILPLVLLH GREKVSTLYKNMKGRKTVIENNRVMTESLKGPSTGFFETMPDGTLRLYQYSGRHEERP KDNKHLTAINTYKDTLNLQKREEYSNGSLTNEFIYDYTSVNDGRNAKLPSRRQCISGA LSGQVVQYDKRGHIMSGSTMRNGDPVSFQFSYRKHAKFDDELLRAEFVSGHNKIQVAW CMPPRARPDKLNKWIPYPRVTEATFTKESDVYNAKWTYDHKFHPIISTTLNGEPSPTP PMIQDDWYNVLQKPKNCSFLDDNPLFSFSSVKAGFVSRMFGFNVKRYPIPTSLAREHL WKSWKSGKEFDAATTRWMDELLLRSDRVLNPYWRNRDFGRLDAAGKYLDALGDTVLAR VDMDPEVSSWTWLAFKMSDLYSFGQGGDARINTRTLSTQLQDSDSQLHILALDTATWP NEPGGVSACRRDLVNNLKSTRWHILAEAANDFGVPRFQIERNVQSLAVVPQWGLDFLN PTHGVFQSSLDSEVAERSFDTRKSDIEQNFIPILTSLVRCARTTHHTRQHIEEATKAL IDLNTYFESSRNWNDVWMSDVVKDAWRNLWLADDIDGIMPISQWRTAEHPTLVQLDTA LDMWHRYLFVFSVPVPEKIPDIFQASHHFTGATYGVVCKIKRKCALHVWDHSISLREM VTFLSGAISFDSSFVNTTLIHLGRLSCILAEHHADVVLPCAAFFNPGWEAELGTCEGA LQHRRAFMRKIDPVVNGITDMEKYKPIETIKTETPTVVMLSHIRYVKDIKTAVMATDV IVNRWGFKDYRLHIYGDMEKTPGYSSECQEIIASKGLRDHVVLKGLGNPSVVLQDAWL FMNSSISEGLPLAMGEAALTGVPVVCTDVGASFCVVTDSKTGKRFSEVVAPNDALSLA QAQIRILALVDEWAPFAEDEEGYQPPKLSLRPSPEEVEQITKRMYAKTEQRRRLGMRG RDNVLNNFSEHRYLREHEQMLWIGKYQSRSFIARERMASSNSSLGFTKERVHLSQPSR TWWGRLRRGQGPLSSAGSSSESV AOR_1_344024 MPSELVVLPPSSPLMISAIPPPRPVSVNSLARASVSSSIPYAKS TRSTSAEFQLPDGPIAPPAVPGQLACQSPVDTDMEDVEIIVDAPHPLRPELQFQNLPV EIHEAILDYLFGERAAAFTTTGPGKSPGRSWNKSLRHPRRKVLSNLALISPVWRSLVQ DRIYRHIKIKGTTEELYESARWFRAHPLLASYVRHVEIWIPVWGKRATKNSSSQIPAR RYNDEDMDGAAAHTTMVWDDSDTNHGNDYKYHYASHNATLEEIFYHVQSCFPEARILT LEGGHCKKPPMVRHFRNDPCGFSGQRLPTLPEIRSFVMRGAWNIMRDHRHWHNLSEAL PGLQEWHCAYAKPKVEGYHTIAEILRRLSPSIVHLNISLEGFYSKDSTQTSWLGDGVN PPHLCRLLGDVIPHLESLAFTGKVCACLFQPTRSSLSTWPPKSSKLRSLDLVVKNCCR DKRTSSGLPFLDDFSRITNLHFIRAFERLITGAVHSLNTHQVLNYMRIRFIDLDSACP PLNPYFQLIDDECSGLWSERILDMLHEARPQAHFIELSEGIYPQYGPNHQIVGAVYPR TRPLSIHAATYKIIADVSKS AOR_1_346024 MGILNVVEDRPTPKSVYNWRVYLLAGIASCGSNMIGYTSAFIGT TITLDSFKEEFGLDKMSSAKVDLISENIVSLFIAGAFFGALLTYPVGHFLGRKWSLVI ASAIFTLGAGLQLGANHSRGLGIMYAGRVLNGLGTGVASNIVPIYLSELAPPAIRGRL VGLYELGWQIGGMVGFWINYGVQKNMEPGHTQWLIPFAIQLIPAGLLFAGALWTKESP RWLFLKDRRQEAMANLCWIRQLSETDIYITEEVAAIDQALEEQAATIGIGFWKPFQSV GTRPKIMWRLFLGCMLFFWQNGSGINAINYYSPTIFKSIGVNSDTIGITTGLFGVVKA VMTFVWLLFLVDQLGRRKLLLIGAITGSICMWIIGAYICVVQPEENPTDHLNGGGIAA IFFFYLWTAIYTPTWNGTPWVINSEFFDPNIRSLAQAATTASNWLFNFLVSRFTEQMF AKMGYGVYFFFAALSFLAFFFTFFLIPETSGVPLEVVDRLFEVKPVWRANETVKAQMK EEEERFRFEIKEGNFDKSEEEHVEDGNETRS AOR_1_348024 MSSVDEEPILEDIFVPQSLLNAVARREDTVEGTHRVASPCYPPS SQTQEIYVQKRNQRTISWATRVSDKRKAPQRASSYSSALEEGRMQLHQDQGPSVPPHV RGITSSLNLNSSDSTPLRFLEHDPFADSSVREPLIERNSRSPYSIPRPGNINRKTLGD SVRSVYNRANLVKIKHQRKYWIRLLIEYAAYTIGAVFIYFVLVGLPLWKGAVYWLYWV MQHKFVFSGGWAIFVFLLVFYSFTPLLITFENDFPGPDYYEQRRISPTAPNTALLIPC YKSGAIIGRTLEAALKIFPASHIYVIANGDSATPIDDTEEVCRIYSVNHIWCPVGSKI VAIFIGCHAVKSFRHVLLIVDDCILPPHFPVVVSRLTHKVRCIGYTIKSVGTNSQRGS YCQQAQDLEYKLAGLQRSFAGHIGSATFPHGAISLWERAFLKDTLQHHPGFSISEDWF MGNSCRRLGGRIQMCSAVFVETTTPAALFFVDRRLRRGGFGETTVFKQRFLRWNFFVA NGIWYNLLYILGSWRLGKWELGAKLFVFQEVYETLLYVLTPFILPIALMVRPVFCVLV MGGTLVLYLFNVIIFNAIHLRLKDERVGWNVVLWYYMPYKIFISLTNVASCYYSLFRY ARYFAKRHPKLTEDHKAVGMVLRLEEMADSRRRRGKLGRRMTVRSLGVTKKDGSIAES QAFSAQSSYS AOR_1_350024 MPSRAFDVVCTLTATTGKSHLNWPPLMWILDSEGDLLDGKRVWL RPGQKYLFGRIRQDGVRHAIQHSSISRKHMVIEVSSVKDGDGSRIYAKSEITVIDQNS KCGTAVDGNQIRGESVNLTGDEHTIKLGRYQHLLRIKWQPTVLTFSFSSKELKAKDPL AHVRSRLEDLDIKTIIPYVVGNTTHVVQSKRNTAKGLQALVNGKYIVANSYIDALVYA ATPSDLENLESLSPLEVDFDSAWPDPTEHLPPPGKELVHRPAEAFAPILDRVNLFEGY TFVFGDKAQFDMLHDPIANGHGKALLYRVENEVTTADEIAQFMRNAAGEKGLGSQRDG PGGVVLVRFRAKGYEEWSIELSNQIALQTNQRVIEQSEFLDAILANDASSLCRTLPSQ EPTSIQEASATPASQPASTPVKNVQIADSQAVEEHGQPTKSRSKGPRVRSFVSKMKTF DDGFDINAIPAHPPDDEDVVVDSLPAMDMESSSGQRTQPHSNLQEEEDVLSQLLPGAN AMKRRRAETVQRAMDDSTLRPKEEVHQPKRQKIDVLEAARQHRDAEEDAQRQRREEEE AELQNSLKDIENLKGLAIVEEMEMPARKIADKDSRWDERWNGRKNFKKFRKKGDRNRS RHMIQTVIVPLEEVTRKAFGIGEHYWVSSRKSADNSQTESRREPPASEQDTGESRSQS LARTESEPTALRSQKRSREDRDSDSDDELRFRFRRRR AOR_1_352024 MEPRRETPTDEVSSTSKGVTDGYATLKYQLLGPSLTKAGQDAVD QQKVSEIIYNASKGSKFFNHEQNRDRILTEKIERILKEKARLENLDLSHDLRRADQLL DELELSRDLSQYIVHVDCDAFFAAVEELDRPELKTVPFAVGKGVLTTCNYEARKFGCR SGMASFVAMKLCPQLICLPINHQKYSAKAQEIRAIFAQYDPLFESASIDEAYLNITAY CTENQIDPEDAVNRMRAEVLEQTKISVSAGIAANAKIAKIASNRNKPNGQFRVPNDRD AILEFMKDLPVRKVNGVGRVFERELDAVGIKTCGDIYSQRALLAKLFGEKAFHFLAQC YLGLGRTKIQPVENYERKSVGTESTFNEMGGKEALREKLWAIAQELEKDLTRTEFKGR TLVLKVKLATFEVLSRQCQPPKAVFLAKDLYTFSLPMLEKLEKEIPNMRLRLLGLRCT NLVSTKKGGIDFFGWATRSKPTVESTSDAVEQEISAEEAFERAARQEIQEDMNDLEKL SQEVSEPNEVDQSEKDPATPSEPQPTYWDCPVCSKPQVADDRAFNEHVDYCLSKQTIK EAIQGASQDAEPVPPKPRKRKSTSQEPVDPRQKRLFFT AOR_1_354024 MSRPPFRPSSPATMTSDKQTTNGPVAKPFTPSLSAAFNRSTKSP LTPKLANPGGYRTPRRLTPSEHPASTPKPDSESVYLSANITPRSGTRTSRRDGPISTP NMPSNGHCSPSYISASGSTVGKRTDRSPVRTGGKPDPPRTTRAKTLTTEPQRSRPNSV CGAPSNSPRFFHASDARSNPDVDSRPKPAAKPSNPAFVYANGEQEGPSEELNTAPVYK RRSTGFTRSVVGSKPPSASPRLASPRLNASSPRLSDGVGSQVGSQVGSQVSSQVGSQL GPQTGPQFGSQAGTRFDFAPQIVSHMPSPPMSHFPPKPTTPAPRHMKSSSVDSGHGAT SPREPLRPSPIIISPSDIKIDASAIASEPLPGLRPRIFSNGSAASVETHDSSLQSPAK SESGQAKDDVAINARTERKILDLEISNSSLLAINRTLEREMRKQNAELRRYRRLSRAG RLSVAPSSRSVSGASLGIMAEADESDEHEHSSVQSPEELSELSDEDSVMDEGVLSPDS LAEHDARHRAQDEKKFFIDLAKHQELLADSQKMNQSLKRCLGWTEELIKEAKKALEYS VHVNDIQLGGRVLAPEEIADLRESGRGLLRASDPQDLSYLPELPDSEPDSELDSEADE VTEAATETT AOR_1_1376024 MSTVSSNHTDKMINKTNPSTTSSSHTWMTARTSDRTSNTQPIIY SSASKTSLPTLTDSSIDDDIEIPSSILSTTTESSLTSFFTPLNPNLISFEDELSSYVG TVTDSWTEWALDPELGFDNSISDNIAPNLDLDIPQTPWEHDNEHGNLIIRGPTILLTT LKQKTVPTFLKKMGYSAPIPLSKNPVVRNFDLNINESKNDSPPWSPYTLSTRLLALER YIEDKPGTKLSTIYPPIETWGRRKVGYRAVWCIDRVFRFHGICIRGFGVDVACVSGLV GVPVVLLQADREAPVRAWRGAVRDVGLAGLWDLGIKMGRDGEGIVEVDVDVDFGCGGL DVDGLFSSSSPRGVEERVRECLVYLGGRG AOR_1_358024 MQQLLQSTAALLAFQAVVGDAAPTSSSSAAASGPTGASYPSGFD MSTSWGNLSPYKDQPGFEVPNGVPRGCELSQVHVLHRHAQRYPTSWKLDGGVIEDFAQ KLKNYTKRHDNATVGKGALSFLNEWEYVLGEDLLLVSGAATEATSGANVWSKYGRALY HAPVGVASYDSSLNVYPNGTERPKPIFRTTDQARILESARWWLSGFFGNTGANSSYSE YDLVITHEGTGFNNTLASDGSCPGDLEEGDDSGEKFIPNLTKDALKRLSHFLPSDFNL TANDVVGMFSLCPYETAALGSSSFCSLFTEQEWRDFEYFVDLQFYGNYGFGAPTGRAQ GIGYVLELAARLEGKRIETSDTSINATVDSKPATFPLNQPLYMDMSHDDVIVGVLAAL GLKYFNYGSKGLPDDVAHAVPRNFKLNEVTPFGAHLISEIWTCPEKTNFHELDGALYK NPDLSSTSDTTDVIRFVLNGSPVSQEGLDGCETSINGFCSVEDFLKGVPKLKVKAEYQ YACFGNYTAGHQVGDGRPE AOR_1_360024 MVADTTYYDALGVPPTATELEIKKAYRKLAIVTHPDKNPGDETA HARFQAIGEAYQVLSDEELRKRYDKFGKEDAVPGGGFEDPSEFFSMIFGGNAFVDLIG EISLMKDLTTTMDITMQEMEEEELAASAEEKLNIHEEEAKTAAGTSAEGTAAAAPAAA GSATATDAPASEEKSEKPTPTPSSGTSTPRRYLGQQAIMDKSEEEARMEAAGLSAEEK ELHKKSKKKGALSREQEERLAAYELERKKAREERVNTLATKLVDKISVWTETDKGADV TRAFEEKIRLEVENLKMESFGLEILHAIGQTYIQKGSSFLKSQKFLGISGFFSRLKDK GTLAKETWTTISTAIDAQMTMEEMAKLEERGGEDWTDEKRAEYEKKVTGKILAAAWRG SKFEIQSVLRDVCDQVLGDKKIKLDKRVERAHALVIAGNIYQKAERDPEEEGDYMAFE QLMADAMTKKGKDEKEKKKKEKKSKHEHVEETTA AOR_1_362024 MRATEVLYYMLRPSQLRSIVQWKVWHNPVHERNVNNETETQKAC FKFLDLTSRSFSAVIKELHPELLLPVCVFYLVLRGLDTIEDDTSIPLKTKEPMLREFK DYLEQDGWTFDGNRPEEKDRELLVQFHNVITEFKNMKPAYREIVKDITDKMGNGMADY CRKAEFEDASVKTIEEYDLYCYYVAGLVGEGLTRLFVEAEFGNPALLSRPRLHKSMGL FLQKTNIIRDVREDHDDDRHFWPKEIWSKYVTEFEDLFKPENRETALNCGSEMVLNAL EHAEECLFYLAGLREQSVFNFCAIPQAMAIATLELCFRNPDMFDRNIKITKGEACQLM MESTQNLHVLCDTFRRYARRIHKKNTPKDPNFLKISIVCGKIEKFIDTIFPQQTAAQA KLKVQGEKSEAEKEKARQEAETRQDLYFMLALMGVIVLIVSIIMLTAAWLLGARFDLA FQELKSGNFRPPAKQIPGEL AOR_1_364024 MSTTLPTGAKIINGVKTFIPLENNPEVHTHLATTLSVQSLTFHD IFTLSPPPRDLPHPINALIFLAAAPIYTRARSTLQSTLPKYTTTNETDPIWIPQTIGH ACGLMAFLHCVLNLDDGRHLARGSELAKLREELVSLAPGDRARVVYEALFLEEAHMDA ARGGSSGVPGPEEDNGFHFVGFVKGGDGRVWELNGGMPGPLERGVLEDGEDLVSEAGL RLTVGDFMEAAKEVEGGGYGGLGISLVGLVGV AOR_1_366024 MAISETAFAYHEPAISTILNQTGFLLVLNLVNVCLDKLVYCGLI GQLFIGILWGTPGAKWLTRDMETVIQQLGYLGLIMLVYEGGLSTSLSSLKANLLLSLA VAITGICAPMGLSFILTELVSATPLQAFSAGAALSATSLGTTFTILSTTDLIKTRLGT VTTGAAMLDDVVGLVLVQIITNLGGSSDSFSAVTVIRPVSVSIGFGVGVWLLCLLGLR PALRVLLAHKHRFPAFTGTVQFYFLVNTCLLVGMVAGATYAGTSSLFAAYLAGVMTSW MDDFFKENSGSGDAGNGNVSSESGTENTSQEENPTGQEKDSNQNDQTTRQQTTSTKRE TPTGSMVYEKYYHEPVTRILVPLFFASIGFAIPITEMFDGKIVWRGVVYATLMTFGKL ITGLWLVRLSSRPISSVLRILKKPFSRVVLFCASPRTGSKKQKKGTTETQQHQDPRNP EDKSQDQPTPTQAHETVTSEQPTQISQPTSTLPPKPRSLYPASILGLAMVSRGEVGYL IASLAESKGIFGTSSSGGSSETYLVIVWAISLCTLFGPICVGTLVKRAKTLQRMRVDR GGEDPLGVWGI AOR_1_368024 MASLFERPSLVFGAAIVLRAILLVYGAWQDAHSAVKYTDIDYMV FTDAARYVSKGDSPYARDTYRYTPLLAWLLLPTSWDGFFSFGKVLFALSDVVAGWLIA KALTSFYGMSPPRALKYASVWLLNPMVANISTRGSSEGLLCVLVIALLWAVLNRKITL AGVLLGLSVHFKIYPFVYGPSIIWWLDEEREGLKSSSQKQKPEQDDRNLLTHIFNFIT PSRLLLTTTALATFSGLNISMYILYDFPFAQHTYLHHLTRIDHRHNFSPYSSLLYLSA AGDIQGSFESLAFIPQLLLSVVVIPIVLAKRSLPGAMLAQTFAFVTFNKVCTSQYFLW YLIFLPFYLPSSSLMKNPRLGITVTALWVIAQALWLQQGYYLEFLGLSSFVPGLFLAS LGFFAVNIWILGIIINDVALEGC AOR_1_370024 MFHTFEGFENPNNSAPVRGRGERQPSVGRRVTTLRACTSCRHRK IKCDGEKPCEACRWYKKADQCHYADPRPSRRHVEKLSTTLDEYRGVLEKLFPNIQPEN LVSLPREKLLELMGKPSLLQTQPPHPASPATSASVEAHVSPVSNEDGNLESLQTMPEE SSDSQNSGYTEISNNFSDDVNALSLSSRQPSSYLGVSSINAVLKVILCLDPGALSYFS HPSTNTDSRDSALGYSPAEAQPWPVQESQQPITPPQPHRPVTEMQLLDAYFTYFQPFV PMLDEEVFREVYHSGCRKDERWLALLNIVLALGSIAACPSDDMSHTIYAQRCKSYLNL ESLGSSHIETIQTLGLLGGQYLHYVSQPNLAYSLMGAALRMAAALGLHKEFSDNQEGS CKQNIYSTDLKRRVWWSLFCLDTWGCMTLGRPSMGRFGPTITVKLPQYRERGNVLDII PLLENVRFCKIATQIQEILAAAPLTRYHEMSHFDNQLLEWYENLPYILKDHEPCSESI IITRTVMKWRYYNQRMLIYRPTLLSYAMRRVPYIALRSEERTAIERCRQIAEATIQDI SSTAQSHQMSGWSAVWLIFQAVMVPLLGLFLNDNTTSDPRATVASCQSQVEMAMLVLA RLEQWSPTAKRTLGAVSQILEASKRGTNMANEAGLVNSMFAVSREGAVPRAASGFHPS QNIFLQNEAGFDPFAPPVIDDSTAQYLWDFLSWSDSSLWPGITDTNSFNDETLFAQTD KTMKYPNNGAAFMGGSMGDGAYYANPPLPYY AOR_1_372024 MAAFSQYPLSTGWSFKDSDDQSPEAWMPVPVVPSVAHQDLQANQ KLKNPYIGFNELDARWVNDKSWTYRTVFQKPAVAAGSSIILAFDGLDTFATVKLDGSV ILQSDNMFLAHRVDVTKALEAEGDHVLEIDFDCAMRRARELREKDTKHNWASFNGDPA RMAVRKAQYHWGWDWGPLLSTAGIWREVRLEVYSAKISDLWTEVELASDHQTARVSAF AEVDAADSVDSYKASFLLSLHGKEVAREVATLKDKVANVTFDVTQPSLWWPNGYGDPA LYEISVSLEKEDCEIHSVSKKIGIRTAELIQQPDRHGKSFFFRINGVDVFCGGSCWIP ADNLLPSITAERYRKWIELMVAGRQVMIRVWGGGCYEDDSFYQACDELGVLVWQDFMF GCGNYPTWPELLESIEKEANYNVRRLRHHPSIVVYVGNNEDYQVQESAGLVYDYEDKN PENWLKTDFPARYIYEKLLPSVVEKLSPKTVYHPGSPWGDGKITSDPTVGDMHQWNVW HGTQEKYQIFDTLGGRFNSEFGMEAFPHMSTIEYFVENEADKYPQSHVLDFHNKADGH ERRIATYLVENLRTATDLETYVYLTQVVQAETMMFGYRGWRRQWGDERHCGGALLWQL NDCWPTISWAIVDYFLRPKPAFYAVARVLKPIAVGVRREHHDWSVTHAQPPKTSKYEL WIASSLQKETVGTIELRFLSVNTGLDVRAPILRDNVKIVPNGTTNILEGVIDHKAQPE PHVLAARLWVDGEVTARDVDWPQPFKYLDLSDRGLEVNKVSESGNEQKLLITAKKPVK CLVFEERDGIRVSDSAMDIVPGDGQTVTVTGLKAGDAPLKYKYLGQ AOR_1_374024 MAGTKRTWDGKQLSLQPNNNNNNHQTTITMAEQPTQIHSIFETF RNELDEHHDRRERLIKISRDITALSKKIIFSLQRIRKLNAPLPENITKETQSRFTQIQ SLFTNALPDLTGPNKWRYQRQLSGAIQEYIEALSFHHYLTSQTLITLPEVRTKLPAEI LVTEEDYLLGLFDLTGEMMRFAVTALSAGSVASEEKKMGLSREQNGIVVDLREMRSLF EGLSVSRRHNLIKDLGKKMEVMQGSVEKVERAAYGILVRGSERPAGWMPDLSGGGDEG Y AOR_1_376024 MTMINTVDETSLAASPTERRNSLEKHLLNRPDPQDLKERHILLD TNVAPSIQAARQELDRQRTTDSLKKHLEHRPDREELVERNILPHTNAAPALQAHAREL EKHMLADHLDQKIQNRPQPEDLMAQGILTEDEDPRQPTI AOR_1_378024 MSTGRKVFHCAVDETALTTNISEIKKWTTNGAITLVVPLYTLER LHALKRAGSQVAINAREAVRFLDRVTSGKDSTPADRVALQGPMEQYENWSDAEKFFLP EFEEEPEATNGTGAGETPLEKKGPTGRDNKKNGAPDDLSQMLLNKLNFKKDSDAASIN SAGTHSAPASPPSSRSSRTSPECANSHVVENGNGTSNRKVKQTNGHKRSASGSTIPTV PLVLRPLLSALLWRLHSGPDASNAAKSCILITNDRSTQIWAQKFGIGVKNIHQLRTSI QYEEREYKNRCKYVEKTQTQTAEPKSLLSYDDESDEDELVFVPRGRGKGSSRGASRGG SNRKPAASKNTAPPVESTMEIPTQPIDPNSFIRNPGLRGAWPERLGTTIVVAEELLVD QLVVTAEAGGNSGFLDRTAEPR AOR_1_380024 MAFAALRHSIPSPTRLLRPTMSTPSQARLQSQCRQVSFSSYLVS PKELSEALKKNPATKISTAPRVVPLCAAWFMPNDPEGRKGIDIFRKHRIPQARFFDLD AIKDAESPYPHMLPTAETFADAMSELGIRRDDEVVVYDTEELGIFSAPRVGWTLRVFG HPRVHLLNNYRLWVREGYPTETGEPQQVERTSYPVPNYDSKLVIPYLELKEIAKEHRK EGAKEVEILDARSYGRWAGTDPEPRPGLSSGHIPGSKSLPFQELLDPETKTFRSKSEL CKIFEEKEIDDSKSIISSCGTGVTATIIETALGEAEYGDPNLRRVYDGSWTEWAQRVK PADGLIKKLN AOR_1_380024 MAFAALRHSIPSPTRLLRPTMSTPSQARLQSQCRQVSFSSYLVS PKELSEALKKNPATKISTAPRVVPLCAAWFMPNDPEGRKGIDIFRKHRIPQARFFDLD AIKDAESPYPHMLPTAETFADAMSELGIRRDDEVVVYDTEELGIFSAPRVGWTLRVFG HPRVHLLNNYRLWVREGYPTETGEPQQVERTSYPVPNYDSKLVIPYLELKEIAKEHRK EGAKEVEILDARSYGRWAGTDPEPRPGLSSGHIPGSKSLPFQELLDPETKTFRSKSEL CKIFEEKEIDDSKSIISSCGTGVTATIIETALGEAEYGDPNLRRVYDGSWT AOR_1_382024 MKVTIKEWNGVATWRWDMPEDDVCGICRVQFDGTCPTCKFPGDD CSLLLGKCGHSFHMHCLMTWIQQESSKGLCPMCRQKFEWKQEDDE AOR_1_384024 MSLPKYTTSTSLSSSPSKQSIRRITESNVPSRPESTIDRPTTAQ QTRTFSQEQQVHEPVANVTFPHSENERPHEISTSHPPFQPFFTLIEDASTSEYYHPTV HYIFSDDDTDIVTEAALRSLESEQNTLSRGGKGKARATRDQLPQEQGEGAEDDELSNE RKESLLPPPIPGVRDNYIILDMDVLAPDNMQHTNTAPGHDVSVGSPGTQSAVPQQQQD SQNHNQHIQKFAVTSAYSLTPTWQVLNTQLVPAPTFENNPSGEHSPNGALMLKIQGTV GLPMTLPGKDKDKDNSIQRLEDMMEQFSKRLGELRQVIEAGERGYLTENADEEQIPTE PPNAEDAAVASPEGQNEAQTQNANDLVSIVP AOR_1_386024 MGKLKDYITTDRNGIIENRHQVHAAIVSSDGTLLYSVGDPSRVT LTRSAAKPAQALAVISTGALEKFGFDEADLALMCASHNSEDRHLSRARDMLRKVSAGE KDLRCGGHAALSETVNREWIKRDYTPTAITNNCSGKHAGMLGGARALGAEISDYHLPC NPLQRKVRDVVEELAGLETGPEGVLWGVDGCNLPAPAFPLRNMAKIYAAFAEAADVAD GARNASGKDRDMARIFSSMTRYPELVGGEGRFCTVLMRVFRGILIGKVGADGCYGIGI RASEYTRRLGVDGAMGIAVKIEDGNMGVLYSAVTEVLDQLDIGSSDMRRELALFHHPE IVNSAGVVTGSTAHRFHVCGMRSMVGLCSED AOR_1_1378024 MSGGGTSGIVLAARLSEDDSKSVIIREAGRNLADDFRVQTPALW TTLLGSEADWQLITAPQTELRNRIIKEPQGKLLGGSSGINGQAFIGPSRLRSMPGPNR LPDEATREHIGLGWVDQNVNGDSGPINISFPAVLQDPLSKAWVDTFKGIGYSLTADPF SGNSIAGYSNLATVDYKTKTRSYAATGDAGVTATGVKAILQGELSTIKAKKEVILTAG ALNTPKLLELSGIGNKAILDQFNIPVVVENPNVDENIQDYLMSRISSEANLHQRGKSF VGQELLPGNFLSLGLELSLPYSRGSVHIASADPNVPPTIDPRYFSNPLDLDIMARNLL DVETRETSQSGPSSPVPGLWGH AOR_1_388024 MAGPQRPSSGLPTRRTTTTRQPTRRLGSLATQRASSPAISSKIP ASGGSRVIKSPSEPASVTAKRKERDLERGINEDTSIHVVVRCRGRNDREIKENSGVVV KTEGVKGNTVELSMGPNAVSNKTYTFDKVFSAAADQLAVYEDVVLPIVNEMLAGYNCT IFAYGQTGTGKTYTMSGDMTDTLGILSDNAGIIPRVLYSLFHKLEETESTVKCSFIEL YNEELRDLLSAEETQKLKIFENESKKGQSTLVQGIEETYIDSASSGIKLLQHGSHKRQ VAATKCNDLSSRSHTIFTITVHTKRTTDAGEEYVSSGKLNLVDLAGSENIQRSGAENK RATEAGLINKSLLTLGRVINALVDKSPHIPYRESKLTRLLQDSLGGRTKTCIIATVSP SRSNMEETISTLDYAFRAKNIRNKPQINFTSKHKLLQEFAFEIEKLKGELIATRHRNG VYMTVDAYEQMTMENESRRIVNEEQRAKIESMESNLRNKVQELFTLTSNFNNLKKDNE DTRAALNDTNDVLEKTEIVLKNTRSLLEEEEMLRKAHQDTESQLYDIGTGLLSTLDRT VGDVHGLHAKLDRKADLDSSNMETWQMSAKEVSAVTEEVDKKVEAFQLQHSQLLESMA AKINDYVSSELSHVQSNQSALSSFGAIFDKAEHDAKTQTCGAHDRMNEVLEEIKDLRE EVKRKVGEGLNGLSAAAARISKEVIGEFTEFHEQLHMSYSTLGKDFKSLFEEMVRHLN EQKMEINRLRLEVQEANLQTVEANRRASSNLAHAVEEEHATAESERDLLLSQFKTLME ESRQRQVSRLKGRLDSVRADISSSGDLLEQATTQHDRQTDEWVFKSEQFAKDVAASRD ELRTKMQNDWELFDQRNVSIQKTTESVHEETVRIVDAQISNMDKQMEALDDFVAKARS QNGEYRDAHISSLDNMASSVRESYSFVHEQLEGVGTRIGQLQEDAAQQQHSLHETTSP LSEEVRKPLTELRNNIHSRPLQEYIVTGATPQKRHYEYPTDLPRTEAHDALISGIRTS RDLTLLPFNGEDHLPGTSPTKGFVYNDTEDEVGNQTPTARITSNTGLREVDANVAAKQ LASSPGDRASVRQSNISIAGKSSEVDTVPESEDSDEPPAKRRCSNPTTTDSKLPKNML TKRMAGMMEGRENVPPPGLSSARRTRTRPAP AOR_1_390024 MSSSAKSSTQVQILPGKGLGSITLGASLHNVLSRVKAHPQTYPA IDIAYSSTDPIREPVTLQLSSNGLRLRFDGPDQRLRLIEVLDFTKIPLVYKNQEVLKG GKPQERAVSHQGPSFGHIYHRLFGLSYPGEYRPPTNQSPYGTYVLSYPGVAFSFPLQH SAWSDQCDFVALLSSSAALPATSMAIFQGDSWPEAQGRLFTQEQKYPRNPALAGKNRE SVPDEIEEFNILGAGKLEVIRRSSPTSYITLSETTPQDLVAEFGPPDAIYRKHDRRIT IHRAAGGGGEDHIHLSPPPGRGINVTDTDQSSNNSGTEDSDEELHQPHNLDPSSLPTE CFLNYFHHGFDAFVSYPTTPGPAFPGSDLQDPSPPSPSTQLVVTKIILHGNVPGSYPF NRHRRSRWKIKLDSSGDAVSSETRYDEISERLREVWKGSYTNPAEERALQRPMVLNRG WGDSPESSVEFLGGWEESTGKGQRPGQDSHDGGLGNTELFGFPGLLFEVMKNSAVSCL TVY AOR_1_392024 MPELSRSLTRSWSSTLKLPKSTFPARVTPADQAKYLRRCTDELY AWQRRERPADKPFVLHDGPPYANGELHIGHALNKILKDLICRVQLGLGKRVRYVPGWD CHGLPIELKALEAQKGLKDAGGSVSAAVIRKEARALARRTVKEQMKGFRSFAVMGDWE NHWKTMDKEFEKRQLGVFREMVDKGLIYRRFKPVYWSPSTGTALAEAELEYKDDHVST AALVKFPLLSIPSHLAQNPLLQVKDLTAVIWTTTPWTLPANAVIAVHPDLEYTIVQSD THGHLLVAQSRLQYLEHILKEDLSVIIPSVLGSELADRTTYRPLFKGADAEPQPIIAA DFVTADSGSGLVHCAPGHGMEDYEACLSRGITAFAPVDDHGRFTDKAMPSDPTRLSGK SVLDEGNATILEYLESQGHLLSKHRYEHKYPYDWRSKRPIIIRATEQWFADVGDIRES AVKALEDIRFVPASGRQRLENFVKNRSEWCISRQRAWGVPIPALYHRVTGEAVLTKDS VSHIMSVIDERGIDAWWTDDANDPAWIPASLQEASGPGYRRGTDTMDVWFDSGTSWAE IDEPYRDGYPADVYSEGTDQHRGWFQSGLLTFTAHQLAAGQTATRAPFRNLITHGFTL DEEGRKMSKSIGNVLLPQTIMDGTLLPPLKPRKGKKKQTENQGPVYDALGPDALRMWV ASSDYTRDVVIGKQVLQTVNTSLHKYRVTFKLLLGALSDFRLDNQVPYNQLQQADRIA LKHLSEMILSCQKACENFEFYKAVNALNRWANLEFSAFYMEAIKDRLYTYGENSASRR AAQTTLFHIYRHLQEALAPITPMLVEETWEHTPEAIKSQCEHPLKRVVSTPASEWQDD SLETDYQDLVAVHSVIKNAQETARGKKEMGSSLQSFVHIVLPQGVNNSVFQRSLTELP DIFVVSSVTLGTSGEPIPATIAEAEWQYSEEYELPSGQKGTVYVYTPQADKCPRCWRY VVPESQAEESVCDRCEDVVSELDASGAAKAADSS AOR_1_394024 MASHKKSEDPLVVKDRQEQECESSDSTIASENASEHRSPMGLID EDGIETLNRIASQSSRRRSSVYPPNVPTRTSTLATISENDPAVDPQGPSFDLNKWLKM VLRESERQGREAHRTGIVFKNFTVSGTGAALQLQDTVSSMLSAPFRIGEMMKNRHSPP KRILNEFNGLLKSGELLLVLGRPGSGCSTFLKSLCGELHGLSMSKESVIHYDGVPQQR MIKEFKGEVVYNQEVDKHFPHLTVGQTLEFAALARTPAQRIRDMSREEFAKHITQVVM AVFGLSHTYNTKVGNDFVRGVSGGERKRVSIAEMALAHSPLAAWDNSTRGLDSATALK FVEALRLFADLSGSAHAVAIYQASQSIYDIFNKVVVLYEGRQIYYGPAKDAKSYFERQ GWECPQRQTTGDFLTSVTNPSERKARPGMENQVPRTAEDFEAYWRKSPEYQKLMSEIS HYEQEHPLEEEGDALATFQQKKREIQAKHTRPQSPYLLSVPMQIKLNTKRAYQRVWND ISSTVSTVISQIIMALIIGSVFYGTPDATAGFTAKGATLFFAVLLNALIAMNEINSLY SQRPIVEKHNSYAFYHPATEAIAGVVSDIPVKFVIAVVFNLILYFLAGLHRSAGQFFL YLLVTFIVMFVMSAVFRTMAAITQTVSQAMGLAGILILALIVYTGFVLPVPSMHPWFE WIHYLNPIYYAFEMLIANEFHGRDFICSQFIPAYPNLSGNSFVCSSAGAKAGQRAISG DDYIQVNYQYSYGHVWRNFGILIAFLVGFMMIYFIATELNSSTSSTAEVLVFRRGHEP AYLRTDSKKPDAESAVELSAMKPTTESGEGDMSIIPPQKDIFTWRDVCYDIEIKGEPR RLLDHVSGWVKPGTLTALMGVSGAGKTTLLDVLAHRTSMGVITGDMFVNGRGLDQSFQ RSTGYVQQQDLHLETATVRESLRFSALLRQPPNVSIQEKYDYVEDVIRMLKMEDFAEA VVGVPGQGLNVEQRKLLTIGVELAAKPKLLLFLDEPTSGLDSQSSWAICAFLRRLADS GQAVLCTIHQPSAILFQQFDQLLFLARGGKTVYFGPIGQNSNTLLNYFESNGARKCAD DENPAEWMLEIVNAGTNSEGENWFDVWKRSSECQGVQTEIDRIHREQQSKTQASDKDN ESWSKSEFAMPFWFQLYQVTYRVFQQYWRMPEYIASKWVLGILSGLFIGFSFFQAKSS LQGMQTIVYSLFMLCSIFSSLVQQVMPLFVTQRSLYEVRERPSKTYSWKAFLIANIIV EIPYQIMMGILTYACYYYAVVGVQDSERQGLVLLLCIQFFIYASTFAHMAIAAMPDTE TASAIVVLLFAMSLTFCGVMQTPTALPGFWIFMYRVSPFTYWVSAMAATQLHDRVVQC SPSEMSIFDPPSGQTCGEYMSSFMSMAGGQLSNPNATSDCNYCSVAVADDFLSSVNIY WSERWRNFGLMWVYIVFNIFLATMLYYTFRVKKWNLSGLKERFSKKK AOR_1_396024 MALVSVFELASMSLTLFDQNASPPQMDTHPSHWHATLLGHAFWR DAEAYNKPIHDSIEAERKKHIHKRFPFTLGQEQPNTQPQTQPQPQTTPDNPMPDPNDS EAHRRRRFSMSDALLLNPFHSTHLSRRRARSSIRSDTSDQTTPEQEPTEARPRSSSRS RSLLRLPLTILRELSNTRKRPDLLPNDQATPTNPILEFRGGDTWDLLARDRKSLGLDL FWPIDFSAIDSVQTHNITKATPSKTEATEQKPKENPNNDTDEKEQETETFPLSSLTPL LHFRHLRTLKLTGMMSSYQKYIWQAAWLNPHLEELELGMALAPSLRRNYVTKWPCIRG GWTLTKERFREPVY AOR_1_398024 MIDPPAEMIDFFDTLKTKPLPVPTTTPTSVAPVPTVVPGHDLIF QELSKTSQRTLWVVVVLMAISAIVFYILASRAPLTKRVIHNLIAISTTISFITYLALA TGEGITYKHDILTIHNKHVPNTHRDIYRQVLWLRYLNWFLTNPLALINLALLSGLPGA HLLVAIVADWIMLGTGILGTYAGHTPRRWVWFTISAIGYLTTVYHIGVNGGRSAVNKD AQTKRFFGTVSGVSLLIKALFPVAIAAGALALKIGIDAETIIFAIHDIFLQGIIGYWL IFAHDAAPGITLLVDGFWSHGHGNEGAIRITEEEGA AOR_1_400024 MRFRLPIKPDEIPVDEKQQPNDDEANVTPQSASKEAATSRADQV DDDKSDVVNPEFQHGVQSAQAMTQVWSKQHLILAYVMIWIIYFVKNFAFGIIGTLTPY VTSSFKEHSLTGTTTILSTLIGGLFKLPYAKLIDIWGRPQGFALMIACMTVGLIMMAG CNNVQTYCAAQVFYSVGSAGVDFTLTIFIADTSALKNRAFWLGFVGSPYIATVWAYGP ATEDILSSMGWRWGFGIWAIVTPVMLTPLFFLFYYNQRKAQKAGLVPERHSQRTVMQS IAYYGKEFDVIGLLLLTTGLALFLLAFNLYSKQPDEWKSPLIICFIVIGGLLLIAFPV YERYIAPVTFIPWSLLLNRTVFFTYTMAASIYLAWYLWDTYFYSMLVVVFNQSVTQAT YITNIYSVGSCFWAVLMGILIRYNGRLKWQALYFGVPITILGVGLMIKFREPGVNIGY IVMCQIFIAFGGGTLVICEQMTVMAVSSQQHIPAVLAMESMFINIGSAVGTTIATALW TGIFPQKLAEYLPADAQSNLANIYGDMTVQASYPVGSAARDAINRSYSETQRLMLIAA TCLYTVTLASVMMWKDVNVKKIQQVKGRIL AOR_1_402024 MADAAPRGRGGFGSRGDRGGDRGRGRGRRGRRGGKQEEKEWQPV TKLGRLVKAGKITSMEQIYLHSLPIKEYQIVDFFLPKLKDEVMKIKPVQKQTRAGQRT RFKAVVIIGDSEGHIGLGIKTSKEVATAIRAAITIAKLAVLPVRRGYWGSNLGEPHSL PVKQSAKCGSVSVRLIPAPRGTGLVASPAVKRLLQLAGVQDAYTSSSGSTKTLENTLK ATFLAVVNTYGFLTPNLWKETKLIRSPLEEFGDVLRQGKKY AOR_1_404024 MFRWYQAKLAKQPILTASVTSAVLFGSGDVLAQQVVDRKGLEKH DFARTGRMALYGGAIFGPAATTWFGFLQRNVVLKNSKATIVARVAADQCLFTPTHLTC FLTSMAIMEGSDPIEKWRNSFLPSYKANLTIWPLVQGVNFSIVPLEYRVLVVNLVSLG WNCLLSMINSGDK AOR_1_406024 MAAVAENGPVPVNDENTAPTVAAPEQKEETEKTNGDNITVFHDP ENFNVKHPLMHEWTLWFTKPPSGKGDNWNDLLKEVVTFNSVEEFWGIYNNITPTSELG LKADYHLFKKGIRPEWEDPQNKHGGKWSYSFKDKRSVPIDDLWLHAQLAAIGETLEND GDSEVMGVVVNVRKGFYRVGLWTRTVGKSIPGDKSSRTPAQGKDVLESIGRRFKEVLR LNEADVVEFSGHTDSAHSGSTRAKAKYTV AOR_1_408024 MSCPEHRKRAERVIVLCFDGTSNTFQADGTETGVGTEISPTSLS SNPLRRRSKLFSSKLVDSALAVSFDQHVLGGYRFLMRHYRAGSQIYIFGFSRGAYTAR FLNEMLDHAGLLSADNEEMIPFIWEAFSSYKLTVGAKNQLEQEESKKTSQFLKACRET VCREVDRVHFLGLFDTVNSTAEFQVNAEVKPDSEIIRHALSIDERRVKFYPVLIEPKK EYHYRGGGDSGKQDIQEVWFPGGHSDVGGGWDFDKEEDWRLSHGPLVWMVHEAQRAGL HFDKEKLDMLVSSERQEKVDSVDKKSEKPARDSPEDLVKSLHLSSTKGVLHDSLKTGE GTRFWYLMEHIPFRRMVLQLDGSWKPTRWPLHRGRSRDIPKYAQIHVSAIERMKADTT YRPMNVILEGPAVRKGEASAQFEIGEWKVCCHKGDRVREAYVRE AOR_1_410024 MTDSDNPLILVTGNKNKVLEVKAILGPTATLEVLDINLPEIQGS VEEITREKCRAAAETIGGPVLVEDSALEMRALGGLPGAYVKAFVETIGNEGLNRILSA FDDKSAEAVCTFGYSQGPGHEPLLFQGRLQGRIVPARGVSSFGWEPIFEVEGEGVTLA EMEVGKKVEWTFASL AOR_1_412024 MSSDRDVEVTQQQAQYNATHVIDDKDGLEANEKALGPTPDGEEP TQDEIKNLRHIAENLPVSAWLVAIVELCERFTYYGMSGLYQNYANLPLDGSQGRGALG LGHQGATGLTTFNQFWCYVTPIVGAIVADQYLGKYKTIVLFSIIYMIGLLILVCTSIP SSLEHGAGLGGFVVAILIVGLGTGGIKSNVAPLIADQYKRKKMAIKTIAKTGERVVID PALTIQRIYMIFYGCINVGSLSLLATPYMERDIGYWSGYLLCLCMFICGSCVLIVGRK YYVVRPPQGSIITNAFKALWIMVINRNMDAPKPTWQAEHGSRDVPWDDHFVDELKRAL VACKVFAFYPIYWVVYTQFSSNFVTQADQMEGHGVPNDLMQNFDPISIIVFIPVLELT VYPLLRRCHIQFRPITRIALGFIVASLAMMYAAIVQHLIYSAGPCYEHPGCDASIVNG QTTGNRVHIAIQTPAYVFIGLSEIFASVSGLEYAYTKAPPTMKSFVQSMYLLTNAFGA AIAEALTPAAYDPAIMWMFVGLAVASFLAGVIFFIVYRHLNHTEDEMNALDADDDVVA AAQQAEEEKRRKKEESS AOR_1_414024 MARRALLTGGNGFIGSHILAQLLDHGCTVCCAVRTQEKGDKILR DFATQQSQITITIVPDIVAPGAYDTAVQGTPAFDAVYHTASPFTYANAGSNLQFLEPA IKGTLNLLKAVKDNAPSVKRVIWTGSCASVIDYDNLVADPPRIYTEADWNPVTWEEAV NGDPSKAYRGSKLFAEREAWQFMKEEQPHFDLVTLSPPATFGPLRHSITSIGELNESN SRLWKFCFSSTKDAPVPYMPVHTYVDVRDLAYAQFRAMIVPEAGNQRFVVCARQFDFQ DVCDILRSHHPELSERTPLGKPGTRSLPPGAYSIDNSKVKKFLGVEFRSLEETVLDAA RCMLDIERNEKQHLILEKSSFIETWNNSEYDRRGEITTCNRLTPLLAQQTKEELNEFE MEMEVHETSKVYTHFF AOR_1_1380024 MPSQEQTITAPRLRGLVMMPDAKPYSQSYPDAEGYFGQFGGKHY PPEVQPALEELANTYQELRQSPEFQRALNKARIGLQGRPTPVHYLETISKQVGGAQIY VKREDLNHTGAHKINHCVGFALLAKKLGKTKLIAETGAGQHGVALATAAAYFGLECEV HMGEIDVSKQSSNVGRMQLLGARVVSATAGQSALKEASDSAFNAYVEQHKHALYAIGS AIGPHPFPLIVRDFQSVVGQEAREQFLSITNGSLPEHVVACVAGGSNAMGMYSAFLDD RGVILHAVEPLGKSDELGQHAATLSYGKPGTLHGARSIVLQHDDGTPANVSSVASGLV YPGIGPEMAMLHEAGRISVATISDEEVISTFFRMAKFEGIIPALESAHAVAFAIRLAS QRPSSERILVNLSGRGDKDVEYVLQNYGTGQ AOR_1_418024 MPKYAKDQPIGFKNTIERVAIVGAGGTVGSHITNTLLKTGRHTV TALSRKDSGNKLPEGVLVAPVDYDDEATLVAALKDQQFLIITMAPTAPRDTHSKLVQA AAKAGIPYVMPNGYGGDIEDIKLGEETLLGPVAKANRDEIERLGMQWITVCCGFWYDY SLAGGEARFGFDFDKRSLTIYDDGNTKNSTSTLSQVGRAVAKVLSLKELPEDENDKSL TLSTFLNKGVYVKSFVVSQNDMFESVKRVTGTTDADWTITHEDTKKRYEDGLALMKLG NMAGFGKMLYARAFYPDHPNDLSKKAQNDLLGLPDESLDEATKVGINMVKELQLRDER MAS AOR_1_420024 MATAIAKRKRARKACIPCHQRKRKCDTVYPCGMCSTYGYNCRYA DDTADNTGGGVLIPSSAKRVSLDSESRLTSRAPTARSPRSRSHMERGSREADGSSTES PTIVAGASPGIFDEQKFRYSGASAAMAFPHILGVALGSDSPPKMRSFAYNFGIRPEEA SNAHGFLGNLISEKDLDFFSGVIYAQRCREYYHGSGRNAVAFAAVAAGVAALGSFLSP NRHPRESDLVQYAKAILDDPASMRLHGIDHIVAWGMRVFYLRATTRPSNAWIASCTQM HLCEAIGLHEEENIKKMASIAGAAVHGHDADRLRRIFWISWAGHIMLSYEYDRSPVHF RAVTCQSIIPVSGSVADQFIQLVQIIPSLNSPFQLEWQSPAPSDELFERLKVLGGLQT THPFLVVTKADIAFCFYRRLYQLKIRIPDEVIKLVIDSGNAAVEAAEQQASQGRLFWN VIGSVFQYACILLAIDTPAASVHIGAAFKGLENLVKAVDTGLTREALSMARHLLSLNM AKKRKELAQLEAVEANYQFFPAQPASETNTAVPDIDWDVDWDQFFIEPYLSMLGPDIQ V AOR_1_422024 MKWELTPLSFTPEFTIPVSDICPWHNNQGVVRADYGDQDYHQDH FKQLEGYNAFRAMVFLLFPGLWSKVLGQQVFIADDERDSVVDDLNTATYRLGGIIKDA TDRYMFEVYHDAEVAGVVLPDDVLNFLREQKEQSPENEVIGMARSMKFGPTSCLADSA VQEVEDVQRDGRSGDH AOR_1_1382024 MKANLTGFGSTLEKKLTGLISQRSVFDDSLAAVAETTSDVSSTL QDTSNTAQNEGNDAIDGVMEAVGNAVDEAKNQAQDVSDKLAKIESNIERFYIIGLWGY CQGSFNGTGSTVRKCTPPSSSFWFNFTEVLGLESSWAEKIFPSEVEKVVGVYKVASKG ISATYITALTTTVLTLITGLTAIVSGWGSCLISICAMISMVSNIAGSATVTGVYVSLV GVLESVFYSAGINASLGQKMLATSWLASSFSVVACIFWLLSICCC AOR_1_1384024 MALLSKREIIEFRPIGDGLNAFRDEFVSTCRSSGLPCSVQSVHE LDHDALQSLCIWLILALQALPASRALPPVVGAHKNLFNDLSRLCSSINSDEFNLERLL PLSIAAFNREFDEVIWDKLYAAAAETTPPHPRPPPYVEQMPYSCSTSMISNSHERRDD IDPVLKKELGSIYTDVPGFEEAFFGGVKDLEKAGTAVFHRFIEGDDPLYRETAGWRDW PQSAEEKQVLDWLVAKVERFYEIATENVSAPKNHRKVLGWPHQPLQRSTPAQRLDIRF ILSLESADKSTRRPHILVISELKRNPNIDRASITCHDLGRYAREVFAAQDIRRYMSFR MKRRSYRAC AOR_1_428024 MPVSSPLKAAAKTAAAKVRSKVSRSSHEKYAWLYAPPATKDDIN PVVECWLKDQGNLDYVSGVTGGTFRDNPLENVVESFAIVWTKNSGTIERPFPGKYLLI VGLEYVDQNNGLPILEETTSLDHGEYVLVSGDKDLKLNDKGGGISLFIILDLV AOR_1_1386024 MQNELNQAIRSNVAELERIFRDAGYNETGDILKSISESPDQFFS LHRVLSRKLRRQRSDTTFSSRRTWFADYRSPDGRSLSHKQVEALVSVTKGWELPEDDI RILRICLTLWTGVPSVFCDGQAPDQNVIIRFYNDLQRMEKDDPVRRLVLLMNLSADVK RQQDKLGKEGQKRQRSRKAPSKISDDCNRDPVFLTSSLRCLTRSLWPGLEDDELARRR KSLSTSSLFGWKWLQLDYPEITLSLRHAAAMKYEKRKWKRIELHAINAYVESLPQFRI RDHMQRAWNSIVRHYYGDSDPPEELVRQVEVIPASLEGNIAGRHVRNARTAVTEIIPT SDRRNVQFELEQTSMERLQRLNQQADTSPEEEQAARVLSTIQTGSFLHLQSHSIPIAM SNTCPSRISSSWTYHRNESDLHDRRSDLSSVTSDRPVLPQHEFYQTDEAPHIEMNKHA DGLTSTEGTQTQTTMTRNGQPVLPPYGSGDAWAADGVEGRTTAASFLTNEVLNLQYRS PGFWDGTDQVPTTASNYLPVTIPVCGEVDAWASCENEGSQSGHFNPQSWTQQTASFAA YGTSDAWATQPLASFWSSS AOR_1_1394024 MGTPAFPQPTRHMHIVPSSNFHVASHLTETDNSQWRHYPYNEGN SAGKRRVCVVLPSPIPHGDPAMANCKLPLPLDDPCDRQGDSHSQRHAPMEAHQPFRGP MNEKPFDPARHAALPEYQPQVYFPQEPRSNSDAPAPLRPHSKPPAPCSTLVIPTSHAL ASYDSGSYQSQTYGTRQRKGARAQQACDNCRTRKAKCDEGRPSCSHCKDNRLGCVYRE FLHPKYDKGTQLLLDQIQSLEKEVMSKFDHLDQWNTEYGSQFSIVQAKIQESSAPKAP RTSIKQLPEWSGAATDQHIRPGKDDELSIPVEHTTAAHKLLLWPSIQNLLLPRRYDDD YITKLEEQRGLICVYGRGEGDETSEDNSPSAAPTNSSTGCDEDEPYYVAASPGDPWGV EAKQEQAKLANKGIDEKGILTINADDVHCYHRSYMKHIHQLHPFLGHGFLENMINRFI RINCPQTCFAANSEVPNKHANKFPRGRKRKHLYEDFKGTGCDVQFHAGQESYQRIEYS VHNAIVLLVLALGSICEANPVPGPVTDYTVDFRQEMIPGPAGSDYGPHPQGSNYVTLP PLTGGRRTGTGKLPRPQDNQNLKNIDVIPGLAFYAYATQILGSIQGSNGLLHIQAALL AGLYTGQLAHPSQSHGWFHQASRACEVQIRSKRYRQMPDGPVKDLYDFAYWTCLQLGS EIPAELDLPASGISRLQDFISLPKGRFTLNLPNEISAPSTMIMFFYSAQIYLRKILNC VLTDLHKADKQNITHWSLHIQEALNTNLESWRNSLPPAMKWKDTDPPSKNINVARMRA MYYDARYIIHRPLLYYALHHYRRIDLYTPPDSLPLEDTAIIISKRQELNSSLNYNQRA ADLTQLSSDRDPLSRFTGIAHRKAY AOR_1_1398024 MSSPGFINIVLRTFEDLLAVHVHPDLIRHIGGIRPYLAGTSKAL PSKPLTRKARNAVAMLLNSTELVSFSLGIGLWLSLSARKPQSPVDNEIQCAKAVHSAT TGAVACKESEITFVMHCMAKEFLDSVQCHSPQTTNIMNFFRYCLQVERLSQKPPRELH RYTNLRFRRIGHDEALLREFPALVGTWLFLCDINLLVEMVPREEADILDLDYQRTFEN FEKIFIALAKMIHMRLLQAGKAKEWVAKLCDLHFKSSIANQHLLMLVHGSVTHSEFLN SLDGLRISLRQVVNEMERGLEQSM AOR_1_1400024 MSQVTPGAVVLSAYWDTERELWQSEKSLVQGELVICNLEDSDSG EADLSQPFDIRWQTFSPNEDIFGEEVTARVTMENGELKSQIIELHPLLKTPELLRELQ DNPNIGQHKLMIKKANHDISWDTFWAFMRENRQRLVDVYDYSIDEEAKRTERRLVENI IKHWEKDSRTALNCLDIENRISDCCPTPISDSDLIRRIAGDGQTTIGKTGSTWVNRHK EFLLLSTRDCISPIHVDIGAALTWLYVLHGRKIVYFPSTINLNAVRLLAQLGSEQFNG YDGGWIRVELRPGDLFIMPPSCPHAVFTPDDSLVVGGHFYTSAHLPSTLEGFNLLEQK QGISNESLEDSHYETLAEIFSSYDKVATPEEVKRVWVTCDLFLGSRTKPRPTTSRAKF INSLGDFYNRAAESFSQEPE AOR_1_1406024 MSHVAKDSTPIDVPYRRLTSLMTGAEALSDLIFEPLEPHDATPS YVKAKVRVPYDSRVSEDLLVLNILLYKIISRLSESRLLRNMNIYYHCDTISLSPGALL LLTSHRIKDGWLQADVSSAEGSLLASASAICTGISSIERHDRSLASPIVFPQHFRTLR ERD AOR_1_438024 MRKLLRLAFAAVSLAGISIADNFTTTCNGYYLEDDHVLHATCTA KNNVANQRQLDLNDCLANLDGQLALVGKGNAFATCIHGCGLCFLEGEKLTCSCRRSDM RSVTFNTLDLDVIVMNQDGKLLCNL AOR_1_1412024 MPLLALPAEILDLILQNLDAFHLWNVYNSCEALRVASARSLFRS VEIRFDGAQATDLNTRRFPPSSSRLLAMVAQWSSHVRTMDVWGDEDFLEPGFFDVLAT LDRLRAFSISYPPRLGSFQTLLVRLAGLPSLRSLSVDFMSPRIWTAPMTFHHLRQLHI SCIEHEPGLCVLPALPVLETLALNFCCYCLECPRQGQGPCALLQFQRLPQLRSLSIAG AQRKSISWCGRAVRLRKLEIEFSSGLDLHQILASLGWDLEELHLLDCEFVAEVPRPVV AFPALRRVQLLESISGLAAFGSAEVPSSAEFTLRISHNDLDGLADWSLVWRLLQRCSV LLSLPRSGIHQWPPASTSRLSQVMSLPQVRVEGPPWSADITKGRQDIPSGRREIQHHG AOR_1_1414024 MDATQTAAAAATEGRADPLSWPDSGSPTWPSIRSNITRPDTFHG QQSLTLGQHLPALSLPLKRHIYETDVFAGNMAPPKRRRMEDHPSGSATDYAPTVAGAV PQSVAWRPAQTPPSAMHEIQAPSLTSPSAAPWYAMAPSGTSRRNMSPPGVPNSIYSSQ LTPQHPMAAASPAELTLYPHPPTPSSHALEAVPVGSVDGPVWVTTPNQAFESSECTYN TTAPFGVAGLSRHRIVQGNFYDRPGEVVHEPPGPLALAVSHQAPTANAVWDPPSSIEP NPWSAVVTQDRIPEPSALEHPLLGPTTVGLPPEHAGPEPWDVVSPADADTPAANETMA ALDPCEGATGALTVPLMEHQKQGVRWMTAMEKSHHRGGILADDMGLGKTVQALALIAA HPAQHINRHATLVVTPASLIQQWKHEIEQFLRSSPHRQRVYVYYGDRRGKAIPVLNGY DIVLTTFGTITAELRRTGPRQHARNLAGPHRSSPLFGPASGWHRVILDEAQCIKNDQS QTAAACCALDATYRWCLSGTPVMNNLRELYSLLKFLRVQPYASRQSFATAFQQPLQTR GSPQRAAATARLRRLMDTIMLRRTKTSTIQGQPILQLPVQTTEIVYVTFTEPERELYT ALECHTRLQFNHYLSGGNPSRNVSHMLGLLQRLRQACCHPFLVSDFIPDTLDASGNDG HRAANAMRFSPAVVQRLWDNERENGREFECPICYDSVDNHVIFFPCGHSVCVKCFARI FPQVPTARPRVEGNPPMCCPSCRVVIDPSKATDHTAFAKQHYPTPPGDVGTETLSTVL ENLRGRVEDDRDDGQDLMLGMPAGAEHAYPPVQFHMNPTPTIHAPKRSFARLRQRALT SPAAKQKYHQILAETWISSSKIDRALEIVRDIVARGEPGGEREKVVIFSQFTSMLDLI EVPLARHGWAFRRYDGTMKPADRHAATVHFATDPDCLILLVSMKAGNSGLNLTAASQV IILDPFWNPYVEDQAVGRVHRIGQRRPVHVHRILVSNTVEDRILDFQDRKRQLIEGIV DHRTHGEPSRLESTDFAYLFINGQTQPGPKKSRVDGLKAGTLKRRSVPAPIKQTKQAW RW AOR_1_1416024 MPDSYSDIEIRIVQACTIAQGQKKPNISALARQFNVPYQRLRAR IHGRANLSSRPISTKTLDDSQEKALIRWIRQLDNLYSPPTAGMIEQSANQILQRNITD GQSRTVDKNWVYRFIKRLPEEFKLIQQKPKDKKRLDAEDIGVLQHWYDCLEAFIKNIP PKNIYNFDETGFQLGQGKTQKVVTTMPLRAARGNPSKEVGELISAIECIAADGFTLPP YFIFKGTYHLERWYDADIPEEYRISLSPKGYTTDKISFDWIQHFHRHTKHRISTKKEV RLLFFDGHESHLTYEFLQFCGLHYIIPYCFPPHTTHLVQPLDGQPFQVYKHFYRKRNN ELAQRGAEMDDKSDFLKEIHSIRTMTFKQRTIRDAFEKRGLYPLDSEKVMKSLREALE TAPELEIITTPSPPPSSSSPPSTIRGLRRSISKAQSFINNSPELDQSFVRRLDRVFQS SLETTELAAQLKDDLQQHLRYRKPQDRRKSQKRVKYHGPLTVYDAKRRIADRTEVERL QGLRQIRKTGALEYDKPPQPTNTGDLPSTEAGQVDREGPRLPYWIDTQGDVV AOR_1_446024 MKLSIPLLSLLATSQVTLAAPAATVDVAELPSDTILDIRSSNPS DEALAVLEQRATRVCEILDRTVRTIGTSKFTVVYIVMGSRLARQVCEYAGGSRCEELS YIISDGLLLAYTAAAHYSGSIPEGLPGKRDVDDSQDYLQMWETMLSSSDDISYESLSP LSISSDTIALARRQDEPLPTHRFQITGFAVDDSPKHDIIANHYENGETVLHLPLLRGD SGTTNTEGSNLQKRFDNPGFKIAFTTRERSKLTQAHQKSMALAGAATWAVYADNANHK MDDFIGFAETEHAANFYYRIIPEIKGFGTNYETVDICGGMASYL AOR_1_448024 MGTNEKATPPISQPSSPPTPSRTVTILRSIVRSPRALPFIALIT PPVRWFWNYMGWRNGGDVAHSLNLGLLILYFIISQYVRRYD AOR_1_1418024 MDQQIASYKFSSNDRHPKAPCLQLEIELCPLLQYTLRRATPDDD PRPFVFVWSPLNEGYHQDGFILLRHTSDGKLERVSVPDAIQDPIDIVHVKYSFDVQEL KPGGSITYYHSLPCRYREQLEPGETYELVWPGTKIRLWRWEFRMTMWDPTWQLIRYSR I AOR_1_1420024 MAAWLGLEHDEGGWAITDNDAMRRVINGMDCPANQHPSIALFVG HTAKAQALRSLYPHNNTGRHRKQGWAQLHLSGAATSHPVIVIESSLSRTSPPGPSQQE PLRRYPIPRTRGRSYEDLQSLLYRDVLLPIVDTVCVFAADCGGIRHVQHLLASWGSLP PTGLDGAAASVRPRWVIVLTDPDDDAVPAGGIETTLQATAVPHLAGSVAVVDLRPRQP LSRLSRFEPLRRRLSLELDEVRTLRANAHLLFSALHLEWIFRGLLRHVAQGPASPFNC IQACRPQHLDADEVAQYLGIFLQLAEKTQIADPFMATFIASAFLMDAYPPGMHRFDPV VTFRTFYAGPCKAACAASPSASVRRLHRAVEREFVSLFSTLAVAGRSAQIRREVFRNG QSTWSTLHSNRVCLFCLQRPPEHVLPCRHALCDVCACILGQRAAGAEYHVELTECPAC QASFSLTVRLLPPTKRPTILVLDGGGTRGVVTLGFLKALEDQIGRTRGLREAFDLTLG TSVGAIIASDVMVCGANVADTRPKFDTLARQIFSRRPLWQTILGQSWGWVTAWMADSR YDSAVLDRTVQDGFGRDRRLFDTTKPLVSGIRVALTASQVEDGSLCLFSNYRAAGRPR MSSAYRALVPEQEPFLWEIARCCVAALGYVSRDMLEVSRDNDRATALTYPNRYFTPKR LLGLGTFQDGGPTAKRPDLVVSIGTGYTSADDGPVHLRRESFVERGLRAFLSSPAVDG RRGWQDAHDSIPESVKQETFRLDRVVSGALPELDDVRALHELGEYEYHIPDELTQAWL AKSFFFELDEEPRFTRGHYECRGSILCCKYGAAGLVEQILSHFPGARFALDDGSDLDA VDHDQGCLTCGYYRKRVTFRVSSIHQTLQLGIRGVARYRAIGGFPTSIHDLLHEQQAD CPFGRADHRSNFWPPVRRCYCPARKRSHTSMQTERATKRRRL AOR_1_1424024 MTDSSRIDSSGTPAKARGSWRINKRRRRRARQSQRVTESNGQEA QADDAHGDDTHADALGEPDSESDNAFEKIMQRKEIREVATQLVHGKMEGYWTVVANLC VLLQMAWRKAPFLFPLMKEALGPNRALITLIRKGPHADIIPQSVLPWPCCDDEQPGSP AGPARLSSPSLAVPSPDAPIVQPQTADGPSSATPAAPSTLTAQHQPPAVQSTQAASEW TAAAAVGGGHPLQQTAQPPQSQASNPSPPAPSSGIPDHPPAGQLGVPPNTVAQPQTYS GSVTYQPPPTTGLTTAPAPGPAGPAGPPARPPVPMPTASQPPQAPLWTTDAVPHITSV QPPLAPGAAPGPYPGQSVSHGVAAGMPHPSQPQVNQSMHPTALAYGSLHPQVTGHLPS GQLYVGPAGQVVQAGHAFQTGQAGQALQTGQTSQVVQTGQALQAGQALQAGQASQAGQ TVQPVQAGPTAQTAHTVQAVQAGLGAPPQPSFPMAHGQPAAAVPNGGGLLTAPQPVQH WTPGVAGLPQAATQTAPPTQPVNAALSAQPTDPCQGTLVHPGQPQASTQPSTGHPPVE PSQQTPWPPQQQNDSAMDSDPYGLNLLDSWSLFK AOR_1_1428024 MAHITARINVAEYVFHRLRQLGVCSIYGVPGDFNLVALDYVRPS GLHWVGCSNELSAGYAADGYARVKGLAALMTTSGVGELSALNAIAGAYAEKVPLVHIV GTPPTYLQDQNAILHHSLGDGNSRLYASIYRSFTCAQANLTLASHAPVLIDETLRQCL LHSRPVYIEIPANMAKVIISASRLEEGIDTSLSLNEGSEVEQTVVKELLKSLYSASQP LIILDGWVSRYGLEQEADDIVRLAGFPVVTTPFGKGTANETYPNFCGVYTGAAGNSDF MRWVKSRDLVIRFAPMNADTNTFGFTALTSEAITIELHKFTINIRGENYLNMNTKSIL RKIISELDPSRFAYDESCIEPRRLNMTGALDSPRPEASITQDIFWRYVSQFFHSDDII LTETGTPYAGGCDFVLPPNAKIINSALWLSIGYTLGASCGAALAQREMVKQGLRNKGR TILFEGDGSFQMTAQVLSDIIRNRLDLIIFLINNDGYTIERYIHGMEAYYNDIQPWRY LESPWYFGARKDDLEYPVFTKQVRTWADLERVLQSEHIIRGRGLSMIEVILEKDDAHP LLKRQMKIAKDQNGNS AOR_1_1430024 MDTSSDSVQNKTHSIFGCLKSVFRGRKRDKDTRLTAPKPSTSNI TADPDAESGPANPENDISKTDDHDDANGFWQMAYNELTESDPKGVAILFPLTGTKPQD AGDARTREILDEIVKATEAQYRKKGGKDGIRATAEKILNSALSFQDIVNNIAKFDPTG YASSAWAIVSLGLTMAKNHTDRKGALFDSSGYLADLLTRCAFIEKQFYGDRDPVILNI EKERSIVRVYVAILRYSAEVRRVQQSNKGKDIAESVTAITSQPLTQLKTSIKEEEAHL YHWLVLDQHLHRRKEAEATLNRLDKLTVDIQEVRDAVDMLNLPFAKGAFFGSFEDQHE DECLPGTRTELLQQVQGWGRFSDKHLFWLNGMAGTGKSTIARTVARAFEEDGILGASF FFKRGEGDRGSTAKFFSTIVKQLAVHIPQMVPGVRKALGEDPAIPGKSLREQFNKLML QPLLAVKHGEAIDTTVIVIDALDECEPQEDVEIILSLLPGVETATNLAIRFFLTSRPE IPIRFGFDQIDRSKYQNTILQNLDEDVIKHDIALYLREEFSKIQQKRRHALSPGWPGE ERIEALAMMACPLFIFAATVCRFVADRHFDPDERLQKFPISSTGSKMDSTYRPVLNQL LVQDATDRNELIEEFQRIIGVIILLANPLSLSSLAELTHLERRMHLERQISAHLDSFH SVLSIPSDPELPIRTLHLSFHDYLVDDRTRSEKATSQFWVDKREKHEFIACQCLAVMD RYLRKNMCDLPTYGTSRTEIDLNSIARFLPPALQYACRYWVYHLTQSLAPATSLDQVL PFLKEHFLHWLESMSILGIISEAIIAVNSLLQLTKDIPSNEIHVFLLDARRFMLKFAQ ITDTAPLQLYSSGLIFAPYKTLIRANFERELPAWLFRGPKVEEYWNPEMQTLEGHSDL VDSVAFSGDGQLLASGSRDKTIKLWDPATGALKHTLESHSGLVSSVAFLGDGQLLASG SYDKTIKLWDPATGALKHTLEGHSDLVDSVAFSGDGQLLASGSYDKTIKLWDPATGAL KHTLEGHSDLVDSVAFSGDGQLLASGSDDKTIKLWDAATGALKHTLEGHSNSVQSVAF SGDGQLLASGSYDKTLKLWDPATGVLKHILEGHCGSVYSVAFSGDGQLLASGSRDKTI KLWDAATGALKHTLEGHSDLVDSVVFSGDGQLLASGSRDKTIKLWDPATGALRQNITN NYVVTNVEFSEHLPLLNTNIGSFDIQICHQNLSLNSFKKATEVSLRADRWVTIQGQRE LWLPPNYQPSSSTVKDGIIALGSTSGRVAIIAFSVM AOR_1_1432024 MPSSTDRESISPDLLGRRLLFSFSGKWAQNRLLKSSTAECMASF SASDSGSRGIPSAELANLYRRWGEGDIGVIITGNIMIDPQHLVSAGDPIIPIDAPFEG LRFERFSKIALETPVYLQPFPISASDIGVSGGSFGADFGKPREAKREDINYIVEGFAH AAAYAEKAGFDGIQLQAAHGHLLSQFLSPRTNKRQDNYGGSIKNRMKLISEIRKAISE RVAETFTVGIKINTVEFQESTFNLEETVQLCIEFEKMRFDFVELSGGTYEDWTIGRKQ VASIPQHEGFFLEYGRIYSEKMERTKVYVTGGYRSVKGMIRAVQEVDGIGLARPLCQE PHLCSHILSGSVETAPPIKIDTDNFHLTSVAALMQMQHLGKGLQPVDLGSERDTNRLY KAILDHERTRYSAAAPMNFL AOR_1_1436024 MHLTSIGETINHEILGQTEWEFESDYSEPGFNDENFLSNQGPNS PAWENKYANYELLDSDDSFEGDTANSLHLGWKHRLVVVVTASIVLWFLGKYLSFLIGD FQYFEHIDISGFCNDGNIQDLGCENIRQTGHISAQRSIPLHDNITQIASALASHPMVR LNENKVSVPGKVNPNRWTQFAGAGVWLPEYNVYLVVSRVVYTRPGVSWPTISFLRGQL FDENWNHLEKHTIEWYGTKMYFPMVYEIPAVWWEEGGFFGPEDPRIILDEGVQGAEPL IVFNMISDGAGSPRAMWIHKPFSSITTILTIRNEERRPVEKNWAPFFHNEPSAGKGTE TNEYLHFVYSLRPLQVLRCMIRSGECDWVFRQEVPDALTELHGDTRGEMRGGTNFMPI PIDGHSDIQTYIGLPRTHLNFCNAGATYRPEITVLSGFQSKFHIAYASVATEFGHTLL DEDLLSNPCTKGNILIPSSIARWVYNSREDMMEVSFSIADENIHILRLYGVLSFIRSL PYYSRFLAFDNPHHDDASRNFRWSVVGNEVIACSVEAAANSSRADSILAEIGELSKAL EQIRI AOR_1_1440024 MALFPRFHLFEIEDQSWCPEFVVKFIQTYLTALWNFRIPAVRHT AAEAAANIILENLPNASLYTFVDPCAGAGGPIPAIEKALGTEYSHSVQFILADIKPRV EEWKAIKKEQKNISFIQDSVDAAELGKVTSGKECRIFNISFHHFNDTSAKKVLHSAMQ FTDAYIIFEFLQRDLTTFLFWCVTTISPLPFMHALLQGSLLQMCFMFVIWVALGIDGF VSMLRTRTHEEIKNLTRAAEGISEGWVFKHGRSRIIGPWYIHWHLAFRTLDRKANNGE EAMVLPLRA AOR_1_1442024 MHLELPLKLLLLIVEYLDSKEDLNSFTRVSKYIRNKTNNILYKK HGELALLWAGEKGIVETAQLALRYYNFEEADANRKKELCERALLLACDGGHIDLIKIL LKEGVLATYKNYEGMSGLSLAAGKGHNDIVEMLVEAGAEPNCEDREDKSPLMLAAIGG HHHTVNILLDSGAAIDSLCYHESATALMFAAYHGHENIVNILLDRGANIDKTNIFGNT ALWHAIWGCQKGVFQTLVQRGANTSLRDTAGKTEKKTKEETIKEEKTNVPSGYRREDI FGK AOR_1_1444024 MASGSSPDYKALYLRAEEDKRKAEEDKRKAEEERDQGRERTRPT TFLELLRLCHTLFSLSLRAEAPSRSTTGKIPPPTGKYCPLRLQHWEDCAARQQEIYRS VCAYLAPPGEAATQLFLSRIVLEGFGEEFNKRAISSEQDLESYERFGVENHVRDIIAQ LCKIPAAREEFGLSDGVKFDNHANALDPPETDPSLPTTYRRSRPDQFCIRRIDAERNT LLTTVEYKPPHKLSVENLRAGLQDMNFWEEVVRPNSIPTEESAKLAYNAAWLTGSAVT QEYHVMIQEGLEYSYLTNGLALVLLRVPYDEPGTLYYHLCEPNVEIDPNDDWSFEQPL TAIARVLCLCLMSFGAPVRDQAWRNQASKKLPVWKTSFDHTRSQIPERELRQNPPSSE YSPSVSSGRTVSEYLPSSSPVEPTQQRRVPTRSRAQCAPNTMEREDSPDSDTDSAPGG RKRGFSQVTSSPSSPSVQRSARQTGSQQNERGRYQHNAQFCTQQCLLGLQRGGTLDDH CPNAELHRQGGTSNQHLIDAKGLVRQIKQQLDENLDRYCTPMGGCGASGAPFKITCTA YGYTVVGKGTTSYRWNEVKREADIYRILWRAQGRAVPVFLGTIDLAMIYFLEGAGRIR HMLLMAWGGEPIHKLEDVESIRHEILRSQKKIRSLGVLHQDLRLDNMLWNAELGRVLI IDFHRSELDARPTKKRMKLHEQLSCGAEEHRRKRPRLGYK AOR_1_1448024 MTDSSRIDSSGTPAKARGSWRINKRRRRRARQSQRVTESNGQEA QADDAHGDDTHADALGEPDSESDNAFEKIMQRKEIREVATQLVHGKMEGYWTVVANLC VLLQMAWRKAPFLFPLMKEALGPNRALITLIRKGPHADIIPQSVLPWPCCDDEQPGSP AGPARLSSPSLAVPSPDAPIVQPQTADGPSSATPAAPSTLTAQHQPPAVQSTQAASEW TAAAAVGGGHPLQQTAQPPQSQASNPSPPAPSSGIPDHPPAGQLGVPPNTVAQPQTYS GSVTYQPPPTTGLTTAPAPGPAGPAGPPARPPVPMPTASQPPQAPLWTTDAVPHITSV QPPLAPGAAPGPYPGQSVSHGVAAGMPHPSQPQVNQSMHPTALAYGSLHPQVTGHLPS GQLYVGPAGQVVQAGHAFQTGQAGQALQTGQTSQVVQTGQALQAGQALQAGQASQAGQ TVQPVQAGPTAQTAHTVQAVQAGLGAPPQPSFPMAHGQPAAAVPNGGGLLTAPQPVQH WTPGVAGLPQAATQTAPPTQPVNAALSAQPTDPCQGTLVHPGQPQASTQPSTGHPPVE PSQQTPWPPQQQNDSAMDSDPYGLNLLDSWSLFK AOR_1_1452024 MAAWLGLEHDEGGWAITDNDAMRRVINGMDCPANQHPSIALFVG HTAKAQALRSLYPHNNTGRHRKQGWAQLHLSGAATSHPVIVIESSLSRTSPPGPSQQE PLRRYPIPRTRGRSYEDLQSLLYRDVLLPIVDTVCVFAADCGGIRHVQHLLASWGSLP PTGLDGAAASVRPRWVIVLTDPDDDAVPAGGIETTLQATAVPHLAGSVAVVDLRPRQP LSRLSRFEPLRRRLSLELDEVRTLRANAHLLFSALHLEWIFRGLLRHVAQGPASPFNC IQACRPQHLDADEVAQYLGIFLQLAEKTQIADPFMATFIASAFLMDAYPPGMHRFDPV VTFRTFYAGPCKAACAASPSASVRRLHRAVEREFVSLFSTLAVAGRSAQIRREVFRNG QSTWSTLHSNRVCLFCLQRPPEHVLPCRHALCDVCACILGQRAAGAEYHVELTECPAC QASFSLTVRLLPPTKRPTILVLDGGGTRGVVTLGFLKALEDQIGRTRGLREAFDLTLG TSVGAIIASDVMVCGANVADTRPKFDTLARQIFSRRPLWQTILGQSWGWVTAWMADSR YDSAVLDRTVQDGFGRDRRLFDTTKPLVSGIRVALTASQVEDGSLCLFSNYRAAGRPR MSSAYRALVPEQEPFLWEIARCCVAALGYVSRDMLEVSRDNDRATALTYPNRYFTPKR LLGLGTFQDGGVRANCPLRTALRESEIIWPTAKRPDLVVSIGTGYTSADDGPVHLRRE SFVERGLRAFLSSPAVDGRRGWQDAHDSIPESVKQETFRLDRVVSGALPELDDVRALH ELGEYEYHIPDELTQAWLAKSFFFELDEEPRFTRGHYECRGSILCCKYGAAGLVEQIL SHFPGARFALDDGSDLDAVDHDQGCLTCGYYRKRVTFRVSSIHQTLQLGIRGVARYRA IGGFPTSIHDLLHEQQADCPFGRADHRSNFWPPVRRCYCPARKRSHTSMQTERATKRR RL AOR_1_1454024 MDQQIASYKFSSNDRHPKAPCLQLEIELCPLLQYTLRRATPDDD PRPFVFVWSPLNEGYHQDGFILLRHTSDGKLERVSVPDAIQDPIDIVHVKYSFDVQEL KPGGSITYYHSLPCRYREQLEPGETYELVWPGTKIRLWRWEFRMTMWDPTWQLIRYSR I AOR_1_464024 MGTNEKATPPISQPSSPPTPSRTVTILRSIVRSPRALPFIALIT PPVRWFWNYMGWRNGGDVAHSLNLGLLILYFIISQYVRRYD AOR_1_466024 MKLSIPLLSLLATSQVTLAAPAATVDVAELPSDTILDIRSSNPS DEALAVLEQRATRVCEILDRTVRTIGTSKFTVVYIVMGSRLARQVCEYAGGSRCEELS YIISDGLLLAYTAAAHYSGSIPEGLPGKRDVDDSQDYLQMWETMLSSSDDISYESLSP LSISSDTIALARRQDEPLPTHRFQITGFAVDDSPKHDIIANHYENGETVLHLPLLRGD SGTTNTEGSNLQKRFDNPGFKIAFTTRERSKLTQAHQKSMALAGAATWAVYADNANHK MDDFIGFAETEHAANFYYRIIPEIKGFGTNYETVDICGGMASYL AOR_1_1456024 MPDSYSDIEIRIVQACTIAQGQKKPNISALARQFNVPYQRLRAR IHGRANLSSRPISTKTLDDSQEKALIRWIRQLDNLYSPPTAGMIEQSANQILQRNITD GQSRTVDKNWVYRFIKRLPEEFKLIQQKPKDKKRLDAEDIGVLQHWYDCLEAFIKNIP PKNIYNFDETGFQLGQGKTQKVVTTMPLRAARGNPSKEVGELISAIECIAADGFTLPP YFIFKGTYHLERWYDADIPEEYRISLSPKGYTTDKISFDWIQHFHRHTKHRISTKKEV RLLFFDGHESHLTYEFLQFCGLHYIIPYCFPPHTTHLVQPLDGQPFQVYKHFYRKRNN ELAQRGAEMDDKSDFLKEIHSIRTMTFKQRTIRDAFEKRGLYPLDSEKVMKSLREALE TAPELEIITTPSPPPSSSSPPSTIRGLRRSISKAQSFINNSPELDQSFVRRLDRVFQS SLETTELAAQLKDDLQQHLRYRKPQDRRKSQKRVKYHGPLTVYDAKRRIADRTEVERL QGLRQIRKTGALEYDKPPQPTNTGDLPSTEAGQVDREGPRLPYWIDTQGDVV AOR_1_1458024 MASFADLPAKCTALVHAVEKLGQELSNTKRELQDVTSELAAAKG VGTVLSSLVDRFGALLCSYAREQTSAHRQQQILEGILDSALAQLDLLDAQMDCDSLRR ENTQLRDALQERRMRHNRVPVTDAGHHTRIACSPSTTERDPSKKAKSDSRTEP AOR_1_1460024 MEHDTLDSPEPRVTPNQPIHAGVEGPTAPELTAPRSIALGLTRD YAANWTVPDALRELYQNWKDAILQTHSISLLEFAPRVSATSDAITIVVEGLQPPFGGG GGGPLVRRILGYIRFNKPQGSAEFTNFRSSLDPQCLAIGHSSKKQDERLAGGHGEGLK IAALVLSRAEHHVKISASGTYWNFGFNGHSKSNFYCRLSPAKAKRDPGPASDGILLPR LTAEVGRDVSVLVEKGQKGQRLSLEDFKAWMWDTVDLHAPSSSVRTPVGDLLLGPAHR GRLYLKGLRVPEPSRDEQTFRFGYNLVHGSVDRDRKRLVDAPEAMANVHSIWEKAIAR DEVKALPCYLELLRDHASCADASGAERLVSESTAKKLWAAIRREPSAGGEFYCQPSDQ DEDFSVIRSELKKEPRPLSEPLWQILRKYGLVRNPQEELQHRLENSEEVGVPDTPFTH GLVRTLRALLALAPPTQQTTVVFVRSASDSVDMAYRMEANALYIHEKWLHVRGAEGPA TEGAIRSERDVFLCQHLAEELYRRAVTLIVHKTDGPRTSQTIQPLLQVAHWKLHEMPR RIEVYAAAADDGPRATQVSFYTGHSLLFTKLCGTQVSYLVVLHGPNCVAQSVDHLLYD PSRDCCLCPRQVVPLSMRRAVFEDPGHGPWVPMVVKMSVRDGLVGAMSSPGGHHWPLL PKAQDGALVAIAPPSHSPSSETAAPRGTVPVDRPPTVCAALDPVLTTPTAASQENQET TAASQLAARPLELETGAQTTASDTASDTASDTAAPVPLVPVVESLAPHPDDHPESASG ALPSTISRNMTSADRAWWQTWPEPPRDVQTSPSAADHNIGRFQVQESHRSCEPPQGLS KGQYARVQLREPGGDGTTTGRYVLYVHDVLPPTPSHGPGARLVVTKYSFLADRLAWEA GHPDTQAQELLLHYRDAAWIGYPDDAEIINVDTVVAVDSMDTEPVGPTVSYVAQVPDP ANGLFVRYGICEGSQREWLFLAPLVSSLTGPRGSAAYPRFSPLPVASVFDLSPDDLRL SVGFQKAGYCVQAAVGFAEDRHQAWKTQHPDATVYPGCVASALATMDYDLSMQPDDRG RDVPRIVTISGEGSFAKSAAGWLDPSSSGFDGINGLSGETLSPLQQCELAAQSPGLSP DFIVLTMSRSILTDQLWGSLARTMGLLLEQGYAVALRPVSLPDPGQRGERSVLLLAAP GRTDPQWIDDTLSDLRMVSASDELLERAENESGVSSVPAVDDVAAAESAGGGDASPPS DSTPRRGDSVRADGAQHIAATVSRIIGEFSTKCALTTNLPRLVVTDNSDGAQDRAKRQ RM AOR_1_1462024 MLWFHATCYDILQNSYEPSRKPTPEDLRRFANATRPVYQCHYKE HREAMSVTEGLLSKYTREITQDSFKQDLLRQLPVEVITIISELIAPCWYLTVLGETRR LIELLRDTGGTQSKQLSLKPEMWMSRIEYRGTCYVAQLSSKPLESASTSEQYRIKLPD NISKIILSVDCIGIRGIQFVDHKSNPRTDGSPWYEILDAGDTGLEVYGFFMRNIQLLP RNPSTYRAWSSPFPPKFHPWNFYQARCKSRLDYFKIDSHIRGVLVCCANAKTVGIHGF SDTSKAFREFIDLMNRRMSNSYKLWIYFPFNGHEYIKAAWIRKFRICRGPASNPILVV SHSFLVPHSWLTSKLQTSLGRTITFGPQFPARIVDQYEYHPLVRDGDGAISGIFHDGL DPTTQYISEVGVTCNEQYDVGPSTPPPMDTRFEPPAVPPGRGSIASTWYMTKASLKGL VKVQVCRDKEQSHHPCLGLLLFYSDEHIESIGQVRWDHDLNQETARPTYVENGVIDGR DYIKDIRSDIHDTELNMERKAWQKLPEHGIIVWWFSQLGDRITTYND AOR_1_1466024 MSGRAETRTPDPPDRDEQENSPPRLVRPKRTTRPPAHYAQEQEI ETEQRNTRSQRKKKNQGKPVAQDKAATSDDSSTEREDSDTSKLVKEIVKLRREIRRRD ELYKEELQRVKEEFGAALTEFRHELLANRPPTPQAHPESCAQSGHEEILREIQSLRVA VNPSGSPSYADVARTPPTSQPSNIRTLSSWNTTPTTFTDTLYCTIDTSKMADTESERP SAGPIRTAVETEIRTMENYTNWRCRAVTVDPKNTNRIRIACRDEAEHQLVKKVAETKV GAGARVLRDELYPIKVDSVNRTAVLDENGDIRVGAAAAFGEENETTVAKIAWLSRKEN AKAYGSMVVYLTKGSDARRLLADGFFHAGGESGVTSTFEHRPRPIQCYNCQEIGHKAF QCKSTQKCARCAAEGHHHTRTVGSSIHHIMNKTLRVIQLNVRKQGAVHESLMNDEETQ NTVALAIQEPQARRIQGRLLTTPMGHHKWTKMVPSTWREGRWAVRSMLWINKEVEAEQ VPIESPDLTAAVIRLPERLIFMASVYVEGGNASALDDACNHLLDAITKVRRDTGVVVE ILIMGDFNRHDQLWGGDDVSLGRQGEADPIIDLMNECALSSLLRRGTKTWHGGGHSGD CESTIDLVLASENLADSVIKCAILGTEHGSDHCAIETVFDAPWSLPKHQGRLLLKNAP WKEINTRIANTLAATPSEGTVQQKTDRLMSAVSEAVHALTPKSKPSSHAKRWWTADLT QLRQIHTYWRNHARSERRAGRKVPYLETMAQGAAKQYHDAIRQQKKKHWNQFLADNDN IWKAERYLKSGEDAAFGKIPQLLRADGTTTTDHKEQAEELLAKFFPPLPDNIDDEGTR PQRAPVEMPAITMEEIERQLMAAKSWKAPGEDGMPAIVWKMTWPTVKYRVLDLFQASL EGGTLPRQWRHAKIIPLKKPNKENYTIAKSWRPISLLATLGKVLESVVAERISHAVET HGLLPTSHFGARKQRSAEQALVLLQEQIYAAWRGRRVLSLISFDVKGAYNGVCKERLL QRMKARGIPEDLLRWVEAFCSERTATIQINGQLSEVHSLPQAGLPQGSPLSPILFLFF NADLVQRQIDSQGGAIAFVDDFTAWVTGPTAQSNREGIEGIIKEALHWERRSGATFEA EKTAIIHFTPKTSKLDREPFTIKGQAVEPKDHVKILGVLMDTSLKYKEHIARAASKGL EAVMELRRLRGLSPSTARQLFTSTVTPVVDYASNVWMHAFKNKATGPINRVQRVGAQA IVGTFLTVATSVAEAEAHIATAQHRFWRRAVKMWTDLHTLPDTNPLRRNTARIKKFRR FHRSPLYQVADALKNIEMETLETINPFTLAPWEARMQTDGEAMPDPQAIPGGSIQIAI SSSARNGFVGFGVAIEKQPPQYRKLKLKTFSVTLGARSEQNPFSAELAAIAHTLNRLV GLKGFRFRLLTSNKATALTIQNPRQQSGQEFVCQMYKLINRLRRKGNHIKILWVPASE DNKLLGLAKEQARAATHEDAIPQAQVSRMKSTTLNLARSQAATTKALPEDVGRHIKRV DAALPGKHTRQLYDGLSWKEATVLAQLRTGMARLNGYLYRINVAQTDQCACGQARETV EHFLFRCRKWTTQRIALLQCTRTHRGNLSLCLGGKSPSNDQQWVPNLEAVRASIRFAM TTGRLDAV AOR_1_1468024 MPLRKLLYKLCGDRNESKADSPPLSPRLSTIKTTQSTEPFKPQD LWQTAYDQLDEEKRRILLTPKDTADPNDKENRIRIEDLIGQVILLTKERYEEYQRNAD GKIRKYSRNIINAALSTKDIIGAVATFDPTQHAASAWAIVSLGLTITKNYRNQRDALF ESSDYLADILAQCAFVEQNFYREGRSGNRQDLGNVLIRLYRAILSYTAEVQQTQSATK GKKLRDCVSAITEHPLTELKALVEEEREKLRRRIELDEYLRRGEEAENILCSIDKLTE SMKELLEQSGLVNLRVAEGAFYDSYINQHEDFCLPNTRTELQQRILKWAESDDEFIFW LNGMAGTGKSTIARTVAQSFEKHRLLGASFFFKRGEADRGNAKYLISTITRQLVTRQR RLRPDVLNAIKNDPNIAYKSLSEQCKKLLCQPLMKLHLDQPTTIVIVIDALDECDGED DIRVILRLLFKMQEIKSVRLRVFLTSRPELPIRLGFEQDNNHQDLVLHALPAPVVEHD IRVFLECKLSEIQHERSLPPEWPGKDDVTRLVEMAVPLFIVAATACRFIKEGTHPKKR LQKFLEYQATTTATQMDKIYLPVLNQLKDNDITESKELVQEFQDIVGVIILLATPLSI ESLSQLLQISADDISELLDPLHSVLSVPSSREAPVRILHLSFRDYLLITESPFHVNEQ QTHGKIASHCLQLMEARLKHNICGLESYGIQRKDINPQIINQHLTAELQYSCRYWVYH LKQSQGRISESEILSFLRKRFLHWLEALALIGSISETMQMIDILKSSIRTDIGTEISD FLYDAKRFALQNTYIAGIAPLQFYSSGLVFAPAQSIVKKTFLREVVRQIKSLPVLENT WSPILQTLEGHSRSVHSVAFSPDGRTLASGSDDNTIKLWDTTTGTERQTLKGHSSLVY SVAFSPDGRTLASGSDDNTIKLWDTTTDTERQTLKGHSSLVYSVAFSPDGRTLASGSD DNTIKLWDTTTGTECQTLEGHSSSVESVVFSLDGRTLASGSHDNTIKLWDTTTGTERQ TLKGRSDSVETVLNEPNSNLHISVSNAWISSVGENLLWLPAEYRLFFSYAVKDTTIAF GYRDGRVCIIGFHIVD AOR_1_1470024 MSGRAETRTPDPPDRDEQENSPPRLVRPKRTTRPPAHYAQEQEI ETEQRNTRSQRKKKNQGKPVAQDKAATSDDSSTEREDSDTSKLVKEIVKLRREIRRRD ELYKEELQRVKEEFGAALTEFRHELLANRPPTPQAHPESCAQSGHEEILREIQSLRVA VNPSGSPSYADVARTPPTSQPSNIRTLSSWNTTPTTFTDTLYCTIDTSKMADTESERP SAGPIRTAVETEIRTMENYTNWRCRAVTVDPKNTNRIRIACRDEAEHQLVKKVAETKV GAGARVLRDELYPIKVDSVNRTAVLDENGDIRVGAAAAFGEENETTVAKIAWLSRKEN AKAYGSMVVYLTKGSDARRLLADGFFHAGGESGVTSTFEHRPRPIQCYNCQEIGHKAF QCKSTQKCARCAAEGHHHTRTVGSSIHHIMNKTLRVIQLNVRKQGAVHESLMNDEETQ NTVALAIQEPQARRIQGRLLTTPMGHHKWTKMVPSTWREGRWAVRSMLWINKEVEAEQ VPIESPDLTAAVIRLPERLIFMASVYVEGGNASALDDACNHLLDAITKVRRDTGVVVE ILIMGDFNRHDQLWGGDDVSLGRQGEADPIIDLMNECALSSLLRRGTKTWHGGGHSGD CESTIDLVLASENLADSVIKCAILGTEHGSDHCAIETVFDAPWSLPKHQGRLLLKNAP WKEINTRIANTLAATPSEGTVQQKTDRLMSAVSEAVHALTPKSKPSSHAKRWWTADLT QLRQIHTYWRNHARSERRAGRKVPYLETMAQGAAKQYHDAIRQQKKKHWNQFLADNDN IWKAERYLKSGEDAAFGKIPQLLRADGTTTTDHKEQAEELLAKFFPPLPDNIDDEGTR PQRAPVEMPAITMEEIERQLMAAKSWKAPGEDGMPAIVWKMTWPTVKYRVLDLFQASL EGGTLPRQWRHAKIIPLKKPNKENYTIAKSWRPISLLATLGKVLESVVAERISHAVET HGLLPTSHFGARKQRSAEQALVLLQEQIYAAWRGRRVLSLISFDVKGAYNGVCKERLL QRMKARGIPEDLLRWVEAFCSERTATIQINGQLSEVHSLPQAGLPQGSPLSPILFLFF NADLVQRQIDSQGGAIAFVDDFTAWVTGPTAQSNREGIEGIIKEALHWERRSGATFEA EKTAIIHFTPKTSKLDREPFTIKGQAVEPKDHVKILGVLMDTSLKYKEHIARAASKGL EAVMELRRLRGLSPSTARQLFTSTVTPVVDYASNVWMHAFKNKATGPINRVQRVGAQA IVGTFLTVATSVAEAEAHIATAQHRFWRRAVKMWTDLHTLPDTNPLRRNTARIKKFRR FHRSPLYQVADALKNIEMETLETINPFTLAPWEARMQTDGEAMPDPQAIPGGSIQIAI SSSARNGFVGFGVAIEKQPPQYRKLKLKTFSVTLGARSEQNPFSAELAAIAHTLNRLV GLKGFRFRLLTSNKATALTIQNPRQQSGQEFVCQMYKLINRLRRKGNHIKILWVPASE DNKLLGLAKEQARAATHEDAIPQAQVSRMKSTTLNLARSQAATTKALPEDVGRHIKRV DAALPGKHTRQLYDGLSWKEATVLAQLRTGMARLNGYLYRINVAQTDQCACGQARETV EHFLFRCRKWTTQRIALLQCTRTHRGNLSLCLGGKSPSNDQQWVPNLEAVRASIRFAM TTGRLDAV AOR_1_1474024 MKVERVQSTDSDAIILGLYGVFAIYHGLNRGRIYRPRHEGLIWH VLSGVLEVILYYGNFQCSIVAVISCWIHSYTSLVLVRDLPNGYPPHTRPAYQAGSVMR IVLVVRAYFSQNPVHYHDSMMPLHGFVYTRALIFLLGTMGPTRSFTTNVNSPFVYAES VFGAALISIGHCHGSWPVPVYLLLVHFLGKLSLWVKEIHESSKCVAIPNNVTIH AOR_1_482024 MDALAIYAITAGGILGSLFLGRTLWVIANWHQFWGPWTRVGIFL HVSYAAINVFLVFFRKQSLADAGCRAGELALINLIFPLSTIHLSYLADLLGITWCTCC RIHRATGWMAIALISFHIVAAVQMRGFSFSFHESANLFTMLGAISLGILTLLAIPWFR RRSYEIFLRGHQILTGLFVYGTWQHLLAQRRPPSIYLFIALSIFALTSFLQLVIFLYR NGLLAGRGCPRAVVSFIVSGSTEKSSAVTAIRIRILLPRSMRVRPGQYINLWLPSVSL RSWMQTHPFTVTSWSRDHQDTMELLVQPRHGLTADLLRYAPTVAEGSVSFLAFFTGPH GISEHVDRYESVLVIASGFGIATVIPYLKKMIYGYNTCTSQVRRLHLVWQVELISEII AAQDLLNNLLKDDIMDDGYILNISIYVSSGLERNKVPFGQHKRVFLYQGVPDYRNVIS LEASGEQIERLPNIRDEQGRTLVMVSTTDKLRDHIRKTVREHLHQGLKLSELEFQPRA D AOR_1_1476024 MQRALFTEEEVRLASERHLKYQGSAKVSINDIEFDPPLPRDLDS RNLDRICQIFRKNRCRRLDVENRIPVIVARNDLSTALQQAEVTAGALMTKSAKHLPSL RFPSGQLRGLHGRHRAQAGSMVLAPIDRWWAVDLYFDDISEELRTNLVEEYANQKVPT DGEIYRKIRQYEGEQNEPFRERWFVRLSKSNQGRLEQLDNRRNRRLRGAFDKLLRIPG IWPNGMRISMLHRLIATDCVEEMITYLKHIEQFWSSLVGADTAAMKKIDQDTVDNLQL LAPGKSRADKSTACGLVLSGRAFSDFSETERKSIWSRLEVFDGLVPSLYTFFEDFKYL ENCAQCIKRLFGPVNESIWNTMKHMFHGSPDLEDGCLIQTSECTERLQRADSAERLDL GYRQVWLFAMRNYTLMPTDPKNDDDLLAKPNRAMADPRTIYDMADLARRLGFHSSEIE SILQGSPDRQIARDALLQARKPHRFRYDVGEFNALVDRIVECFSAAVPYEPERNPELL ADSTVKARARCGTPQKRTQRQDSLHLFIDYLHKDEIIIADTITTFFVRRCVYFAFFGK PCSHSDPHTDRDGDTFFQGSPAFSPLFIRDDSAAADLEATSPARLVAEQPESEPRGAP AGRGQQNIRGRQHQSGVHERLRRRTRKMRKRRRQTQLGGQIAEPSMELDSLSVGSTND EVKDNDGSDLWITDAGTPEPSPPAVAVDLMSKATTPDSEHLAHDRTERQVRSELAASL EPSDDGVFEQVAAPGPVERDSDPFESTTSSIVTSGQPLEAADLEQNPSNPSPKQPRRA ASGENLTPTEQTPPEPYMEEYINRILQAREEQDRLEEELDQERLERELNFSSTQPKAA PESVTQDHSGSPKPHAPTSPASSNYSRPEFYQPALEQDPAVSVAEDPPEPVAAVQNPD RGTAEGHTSAARQERPLTELDAENLKPQVAPGTTSAPGAPEGSASPSPDASTSPAPST VASKEEPPPADIVEISFWSFERGEWRQTNLVRVDVLDPSPVERVAKKYMRKKYSLYDV NLQSLSPAGCFRAAIADGINRIFVISEHEESKLVADGQLIKDRRLLYSVSKLLDQDQS GSERQTKICRPRKPE AOR_1_1478024 MSSKKEKHLTLLDVEHLDSIKPPASLPGTNASSTVQANKVERLV NKIPYSSGCLTEKTPAPLTQINESIKHDQHRDEALLHPTNSGYRSLPVLHKVCPWKSF EKRFDCDLAGTVAIVTRRSDPSDIQTIRQFAAKDGKNVMQALRSFRHEKIFSATECFQ FDGILYTVSEFYPLTLEHIVACKVFPNERQLAAIMEQFLSGLSYLSSKRIRHTSLKSS SILMSIEGQIKIARIDCCRRRPPDESSRADLTSVANVTMELMQGYVKDEGVVGVDSLN RPSSGSTALDFLSTTTSANSVDELRKHPLITKTSPSMKELMWLAWFALYSARTFWSYT PSLDEVE AOR_1_1482024 MAIPPAGVAEPHPTNTEETPRIFTQEVFRPRIPLTRKRRKRGVD TEGNEPISNPEFATCGENNGRVTNQDVRQFFTSFKEALAHQTEIIEAARAEIRELKAE QQLLQTQNVELREEIQALRAKTEAQQLNTPPTKSWAAVVAGNPVPDPKTTVPRPRNEP NCVRISTAPTLDEEIDNDRFSRFLPTDAANTHIRTALLNAEPTKEVQVAGIGPTKTGY VIRFRDAQSAETARNNTEWLEELGNNTKVVKPRFGIVVHRVPTEDFNLEENKKQGIEK IMIENDLYEKEFRVEDIAWLKKRDMPMGKSASMGIWLDSPEAAEWIINNGLLLNIMKS RPGMEALINDHQSQNLDMLLIQEPPMTAYRSHVNHSAWRLYRPTYTDESVRFRSLLYV NRRISTSSHRQIHCNHPDVVAIKIWTPELQYLIFSVYIPPVALYEAPEVSSAQQILEE IQTSIRQHAEGNNRVTKLILAGDWNRHHPAWSHRPVHHSFAEHAEELINFFQAHELQW CLAPGQPTFWSLKEPGKTSVLDLTLTNNTERLIKCQLYHHHYGSDHRGTYSEWSLQPK QNVKLKLKRAYDRADWTKVGQDILNLIDPQPRILSSQDLDQVVENLVHTTTTVLDQHV PFLAPSPYSKRWFTPDLKVQQTEVNQIRRRWQDGCAILGPSHPMTKTLFEEMRRKRRQ WTRAIEKAKSGHWREFLDKAGEGHLWKAATYMRPRDADMSIPTLKVDTKEVTDNQEKA EVFLEAFFPKMADPGDEEVESPAEELRWEPITEIEIHRSIRAAKGTTAPGEDGIPTLV WKQLWAYLKETITIIFTKSLDLGYYPNQWKRARIVVLRKPGKPDYSAPGAYRPISLLN TLGKLLEAVMARRLSYWAEKYGLLPDTQFGGRPGRNTEQALLVLANAIDRAWVRSRVV TLVAFDLKGAFNGVNKTSLDTRLRAKGIPFKARQWICSFMENRQASVTFDDFETENLP LEHAGLAQGSPLSPILFCFYNSDLVDQPVDSNGGASAFIDDYFRWRTKDIPRIDEWAR RTGASFAAEKTELIHLTRRKSEHCKGQILINGQVIKPADTAKLLGVIFDKEMRWKEHI QRAVRRATKVNIALGGLRHLRPEQMRQLYQACVTPTIDYASTVWHNPLRDKTHLRLLR TVQRTALIRILSAFRTVSTEALEVESHILPTHLRLKQRAQITAARLSTLPGNHPIHGV IVRAIARSSHIGSGQRFPLAETMRTMDLNRLQALETIDPTPLAPWRTQPFTEIEIEPD REKAKANASARQAMTGATVFSDASGQQNQLGAAAVALDKNQQILGSRQISIGSMSYWS VYAAELMAIYYAIGLVFQLAQKNQTTATTTRGPATILSDSMSALQAIANAWNKSGQRI LQAIHQAAGELKARGIPLRLQWVPGHCGDPGNETADRLAKEAVGLEKKHPFRHLLSRE KGYIRDRISKEWEQEWRTSKKGGHLRKIDRTLPSSRTRRLYGSLPRNRAYLLTQLRTG HSWLATYGKQHRFQEEEKCECGAVETVVHVLIDCPRLNRLRQELRRKIGRAFNNISDM LGGAEQGKEGRLQDAPQDSSVLGAVLDYAEASQRFRSRAPRGRQNRTPGIGQHRP AOR_1_1484024 MGRLRHSLSHPFRRFKGAVNVQSEEDQVKNQDNTGGTLLPTSPS QSTIRTVQSAETSRPLDLWKTAYGQLDEEDRRILSEVQVTASPIYQEDQPRDLIEEVI HLTTEQYEQYQQEATGKLRTSSRKIINAALSFKDIIGAVATFDPTQHAASAWAIVSLG LTMTKNRHDLRDALFDSSEYLADVLAQCAFIEKKFYLDSSSKTKEDLGNALIKLYRAM LHYTALVQMTQNASMGKKVLNSFTIITEHPLTELKNSVEKERGDLHKLVGLVSHLHHE EKAESILYKIDELADSMKLLIEQFSLVNLHVAEGAFYDSYVNEHEDFCLPGTRTELRS QISEWAKSSDGKCIFWLKGMAGTGKSTISRTVAQSFKEQGLLGATFFFKRGEAERGNA KYLISTIIKQLVTSHRGLVPHILNVIKNDLNISSKFLSEQFDKLLYQPLLKLHLNQLS TIVIVIDALDECDREDDIGVVLRLLFKLRDIKSVHVRIFLSSRPELPIRLGFKQDNNH QDLVLHELPKPVIEHDIRLFLEHKLSEIQNGRSLPPAWPGKKATEELVQISVPLFIFA ATACRFIEKGTHPKKRPQKVLEFQATTAANQMDKIYLPVLNQLTGESKDDSQELLEEF RHIVGVIILLATPLSIESLGRLLQIPGEDISELLDHLNSVLYIPSHTKDPVRILHLSF RDYLLITESPFRINKQETHEKLVSRCLHVMENKLMHNICGMASYGTQRLDVDNEIIKR HLSAELEYSCQYWVYHLQQSKGRISETKILSFLKQHFLHWLEALSLLGIISEAVVILD TLKSGIWRSVNAELSDFLYDAKRFTLKNIYITGIAPLQLYCSGLAFSPMQSTIRKMFS DSIPKQLNILPQVEDLWSAGLQTHEGHSSSVLSVAFSPDGQTIASGSSDTTIKLWDAK TGMELQTFKGHSSSVLSVAFSPDGQTIASGSSDKTIKLWDAKTDTELQTFKGHSDGVR SVAFSPDGQTIASGSYDRTIKLWDPKTGTELQTFKGHSDGVRSVAFSPDGQTIASGSY DRTIKLWDPKTGTELQTFKGHSDGVRSVAFSPDGQTIASGSYDKTIKLWDARTGTELQ TLKGHSDGVRSVAFSRDGQTIASGSYDKTIKLWDARTGTELQTLKGHSVSSVMNEPNF NSHSPISLSNAWVALGGENLLWLPVEYRDFACHAVKDAKLALGHRNGRVSIVGFHTVP AOR_1_1486024 MSGRPETRTPDPPDRDEQENSPPRLVRPKRTTRPPAHYAQEQEI ETEQRNTRSQRKKKNQGKPVAQDKAATSDDSSTEREDSDTSKLVKEIVKLRREIRRRD ELYKEELQRVKEEFGAALTEFRHELLANRPPTPQAHPESCAQSGHEEILREIQSLRVA VNPSGSPSYADVARTPPTSQPSNIRTLSSWNTTPTTFTDTLYCTIDTSKMADTESERP SAGPIRTAVETEIRTMENYTNWRCRAVTVDPKNTNRIRIACRDEAEHQLVKKVAETKV GAGARVLRDELYPIKVDSVNRTAVLDENGDIRVGAAAAFGEENETTVAKIAWLSRKEN AKAYGSMVVYLTKGSDARRLLADGFFHAGGESGVTSTFEHRPRPIQCYNCQEIGHKAF QFAATNRFQSAFHAEALTNRIARTVGSSIHHIMNKTLRVIQLNVRKQGAVHESLMNDE ETQNTVALAIQEPQARRIQGRLLTTPMGHHKWTKMVPSTWREGRWAVRSMLWINKEVE AEQVPIESPDLTAAVIRLPERLIFMASVYVEGGNASALDDACNHLLDAITKVRRDTGV VVEILIMGDFNRHDQLWGGDDVSLGRQGEADPIIDLMNECALSSLLRRGTKTWHGGGH SGDCESTIDLVLASENLADSVIKCAILGTEHGSDHCAIETVFDAPWSLPKHQGRLLLK NAPWKEINTRIANTLAATPSEGTVQQKTDRLMSAVSEAVHALTPKSKPSSHAKRWWTA DLTQLRQIHTYWRNHARSERRAGRKVPYLETMAQGAAKQYHDAIRQQKKKHWNQFLAD NDNIWKAERYLKSGEDAAFGKIPQLLRADGTTTTDHKEQAEELLAKFFPPLPDNIDDE GTRPQRAPVEMPAITMEEIERQLMAAKSWKAPGEDGMPAIVWKMTWPTVKYRVLDLFQ ASLEGGTLPRQWRHAKIIPLKKPNKENYTIAKSWRPISLLATLGKVLESVVAERISHA VETHGLLPTSHFGARKQRSAEQALVLLQEQIYAAWRGRRVLSLISFDVKGAYNGVCKE RLLQRMKARGIPEDLLRWVEAFCSERTATIQINGQLSEVHSLPQAGLPQGSPLSPILF LFFNADLVQRQIDSQGGAIAFVDDFTAWVTGPTAQSNREGIEGIIKEALHWERRSGAT FEAEKTAIIHFTPKTSKLDREPFTIKGQAVEPKDHVKILGVLMDTSLKYKEHIARAAS KGLEAVMELRRLRGLSPSTARQLFTSTVTPVVDYASNVWMHAFKNKATGPINRVQRVG AQAIVGTFLTVATSVAEAEAHIATAQHRFWRRAVKMWTDLHTLPDTNPLRRNTARIKK FRRFHRSPLYQVADALKNIEMETLETINPFTLAPWEARMQTDGEAMPDPQAIPGGSIQ IAISSSARNGFVGFGVAIEKQPPQYRKLKLKTFSVTLGARSEQNPFSAELAAIAHTLN RLVGLKGFRFRLLTSNKATALTIQNPRQQSGQEFVCQMYKLINRLRRKGNHIKILWVP ASEDNKLLGLAKEQARAATHEDAIPQAQVSRMKSTTLNLARSQAATTKALPEDVGRHI KRVDAALPGKHTRQLYDGLSWKEATVLAQLRTGMARLNGYLYRINVAQTDQCACGQAR ETVEHFLFRCRKWTTQRIALLQCTRTHRGNLSLCLGGKSPSNDQQWVPNLEAVRASIR FAMTTGRLDAV AOR_1_1488024 MRAKKQRQPLQKATVIKTAQVKPKDSLGSLDRGVLIKIQKCQSR ARHKSTTESEAKAALFVAQKLMAQYNVSQADLVANSDDGSKAQYGGMSKVEIINVKNR TRRVIKEAFVHKLAKAMSENTVTAAIAFETAHNKILDWACSYKGGSATFSYRVGVADG LVSMANREKKIELEAARKKELDIIAAKEREAGMEHERKLQRLHKGPSLVLDIDGQSED EIPGFLDIDSMSDESYGSLESIGNLNLNTRAALVDFNENDENVVDLMCSVEDNIDKII KREAHKTHDFNSIPSPSVKQNPSDKNFSFVKSEDMSNSPWMSETQLVRFRATSVQVAD EYLKKHNIKLRMGKARTVVTRDYSAYTEGWKDSEKIDFRQRRLE AOR_1_1490024 MPTPSTLDITRSKPIGKGLDAFRDSLTSACGDAGILCSVEYLGQ IDNEVLQNLSLDLISALQSLPASRALRSVSGSKNLFSDLLRLSSTINSDNYDLEGLIP LFAAILNNEQDEKIWDKVYAIATESTPPPPPPPLPTTLASPEPNSIFAYYE AOR_1_1492024 MRLWEFDRVGAMASSSFDINKDGLQFVSAMLGFLTMNDEQLGYD PSIISTSDGKNYIEIPRDGHCERLILEGLMKRSACVAGRATTCWKARREGDKTNSPLV IKDSWQYPEREEEGKLLQEATEKEVVNVARYYYHETVWVNGEIDNIRNNLRKGLDVTQ STNFRPMRYELPSAASGTAEIERTGRSTSTTGQKRSSSQASTILPPKKRPCSSSPYKS VRDTNIQDRVHRRVVVKDYGIPIYRASSRVSLLRALENCIEGYQSLHTKAGLLQGDVS TGNLILNEDVGNPSWSAFLIDLDLSIENHRERLFGARGKTGTRAFMAIGLLLGEQHSF RHDLESFFWVLFWICIHYNGLGQEVGPTDFDCWNYEDDRKLARSKQGIVADEEDFVKI ASELFSPYYQALVPWVNRLRRVVFPGGMRRKNDDTHLYGQMKNILQEAVSDPKVLAP AOR_1_1494024 MAKRSESWKASQLEKKRKARRELRQQRGYDASAYRQKDAERTRG RASTKTKESYRERVRKYEEFLIEEKNMPEGYKIGEGYPAPTLQELKEFTRWLIESTKG RLADDGRPTKNSIKVRAQEFVPGFFLETGNEISSQDATELYHWIENELVEEGVLSAIR KPKYNFKLRDFERAIIAFWATNDPFFMSGRYRVQFHFITLQFLCTGSRVSSFTPASVD KVGRGLRYKNIELVLFRAANAPWRIGWRLDQQFVKNNKDPANTMFGTAIWDCDEPIYS GGLFLLALALADNALYGYSSPEEVFEQRIPEGQDELVLRWNEDAKNRCIVRKVTAAGV SEDPLTKEMYAADFRKILANACYFVTATVHAMRRALGGAVKSKYSSAHVAQILTQKSK NVYGNDYLANCSGVDVFNALMGKPADNTHIDYFQGYSQFHEHGLPRRLPIEEAQKIDA DPQLVTKATEIRNAESDDDIKRLKRDYNILKRKIYASMYQQFQSEWVQNQRDWKILTR GRERPDFVEQTAEKQAQCKVMPELGRLAAIMSSNLPLSFDEKAVVVRDLYTQCLRDFD VIYRPGEEPVEGLCPVASCSHSLEMLAKPTRSNHIHACVRQEVSRTMGIAPRQVKYCW ECYTFFDGESLEFERHCASHLVSMTSQHYEVMVYRHTTLRAGYCIECMWDDKRAAARR MRAFERSTELRDHLEEHIEQKSWPSVCSDPLCNHASTNELDYRRHLHDVHHYNKTICV RSKKVSKKRLSSVQDEESTPDRDHCMQLKRPRKQCKKPSVPPSTSTKELKITFWEPPA MYLKAISSIPAQEEDEDQESLKEMAWQAVNHCQSLNLPGVSQNSQTARSATFGTPDLT DGLSSCSSPSTTSSTFGAVPIDPRILETPTALLRQSVEETEQPSARSPGVYVESNYAT EQLKGNTPLQELFADLAQGGVDSQGVAIPETDNITIEHAPLSPISLNAKPAPSLTDYE LKPIDEADYTTQSSSDMHVIKGRMEEAVELTGPMTRAKAKELAAKACQRSTDLQTSSQ KAKPYSQEEDKLLKTLMRKLATFEAVTPAFQKRFPGRSAISLRKRWSVIRSPSRRSTR LKPL AOR_1_500024 MRLSILTTPLVLALASANPHFGQWKPAGADDFRGPCPMMNTLAN HGFLPHDGRNITRNTVIEGLSAALNFNASLASLMFDMAIVVNPEPNATFFTLDQLNKH NLLEHDASLSRTDAYFGNNHVFNETIFEETKKYWTGPTLDANMLANSKLARQISSKAY NPTYTFTSSMEQFSLGEVAAPIIAFGDIQNGKVNRTLVEYFFENERLPTELGWSRREE VVSLVDIAGVTQMISNATNLITPSKESRASRRRDLHSGLGF AOR_1_502024 MSRIRLSEIPQEIILEIYKNLETPQDRLNLVCCSRLFYDLCLPL LYQKLRTGGKDLVPIARVVRTLVEKPSLAARVRTLHIFDWTREERDMKREQGAANQEE VKGGDGNEDEDAGDEHTGNDDICDDSSGDVDFGGDGDDGDNASDDDSTYLKTFDYGPL REQAMLVTRSEDASNYWMDEITIGDADGWVALLLTLVPNIQRLEIEFPCGSFWVRWVL KWAIARRLDSIPAFKSLSEVYVDWDREDVQACCRNIIPFFLLPSMRRFYASKLFAELA SFEDYWPNEDDLTEVARFSPVTHIEIDESDGQWGMWKVVGICKNLQSFKYNHSGCAGF DPGGFYKELFPFRETLETIWLDIKESSRENCTEDSHHCHEPFPSFKDFTSLRTLHLRM KNLPGLNIQSGDDHASMSFAEALPSSLETLQIADIGSLVNLQVLVQKLQDHVEYALDF TPALKDIAIEPLRDSPRMPELLVKLNRACTKVNINFHVCDIHDERVNWGAEGFAPRFL AOR_1_1496024 MSKLVIFGATGQQGRSILETVHHDPVLSKQYSIRAITRDATSKA ATEITNQGIETVQADIDDPTTLPRALAQAHTVILITSTIYDAELKSREYRQTKNVGDA AVAAGATHIVFSTAVHASKLWNGRTMDVFDSKAEAEAYLRTLQIKVSLFMPGMFMQNL TTMMAPRLGLDGTYSVASVLDPDTKVPLIDAANDSGMYVAALLGGEEGVRGATLYAAT RLYSFSEIVGIISAVSGKVVRYVRLPDEVYAGFMKSEQGGRVVSMMGFFEEVGYFGPG TGDLVEQTRSMVKGRLTTFEEFAEKYMKDLEACA AOR_1_506024 MKTTFAVIISVLTASISAAPLEARQSNQVTLALSNDQSGAYAGV AFAADGTDKSIKALYGGTSVGASGSVLASSAQLASFPQTIHCVIKNNGAVIANLDAQH TFADLDGNPASATPVNLDHGIVNCSA AOR_1_508024 MSGGYGSYNPYGGQGGYQQASSIEEGNGSYEMNQVGGGYDNQPA DPTSLLNKCREINDGIADIRAKREGQLAAAQNALLDSSTGKEDQVSRQTLDYVEDEIN NGFRYLRDLLKKIKQTPGSGDSRVQTQVDVTSRNLRREIEQYQRAQSDFQKRLREQVR RRYEIANPDATPEELEQGVDNVLMGQEQTFQLTGSRTRQANDARQAALERSAAIRKIE QDMIELGRLYQEVAELVHQQEPAVEQINQGAEEVAGNVANANTQITHAIDSARRARKW KWYALLIIILIIAIVVGVAVGVTQANK AOR_1_510024 MASNTPVEDTIREKITTAFSPSNLIIRNDSHLHAHHAPMQGSTS KETHFHVTITSESFKSKMQPARHRMVYALLKEEMDREGGIHALQLRTRTPEEEQREKE RKAQA AOR_1_512024 MQGRMLISALSRGFAPFGRRAFQSFLNCNARHQLRFQPINCRSL STLPNLPLFRALQDHDQSSVAVVHSASSRSFTYGNLVADVVRAKERLLECAGGQQDGL AGERIAFLAENSYDYVVTLLSILAIDAIALPLSPAFPVGELKYIMDNSQAKVLVATEK YAAKAHDILKAGLEREPILEVKEKIKTGANSSDQVSLQDIVQESRGGMMLYTSGTTNR PKGVLIPQSALTAQAASLLEAWKYSPEDRLLHLLPLHHIHGTVNAIVTPILAGSSIEF MFPFNTDAVWKRLAAPFSPTFSASKITFLTAVPTIYNRLLSSFPGLSPEVQEAAKKGI APENLRLNISGSAALPTPTKQAWQDLSNGNVLLERFGMTEVGMAISCGLDFADRVDGS VGWALPSVEARLVDTETNEVIQPGEEYDANGREREGEIQLRGPTIFREYWANEKATKE AFVDSDDGKSKWFKTGDVATRRVVEHAGKGTSGDWAQGPMYFIQGRLSVDIIKTGGEK VSALEVERELLSLPQVSEAAVVGLPSEQWGQKVAAVIVLDKEKAANTGRNGKPWGALD MRRALKDRLANYKMPQEMKVLNGPIPRNAMGKVNKKTLVKEVFGI AOR_1_514024 MIWTLAPFVALLPLVTAQQVGTTADAHPRLTTYKCTSQNGCTRQ NTSVVLDAATHFIHKKGTQTSCTNSNGLDTAICPDKQTCADNCVVDGITDYASYGVQT KNDTLTLQQYLQTGNATKSLSPRVYLLAEDGENYSMLKLLNQEFTFDVDASTLVCGMN GALYLSEMEASGGKSSLNQAGAKYGTGYCDAQCYTTPWINGEGNTESVGSCCQEMDIW EANARATGLTPHPCNTTGLYECSGSGCGDSGVCDKAGCGFNPYGLGAKDYYGYGLKVN TNETFTVVTQFLTNDNTTSGQLSEIRRLYIQNGQVIQNAAVTSGGKTVDSITKDFCSG EGSAFNRLGGLEEMGHALGRGMVLALSIWNDAGSFMQWLDGGSAGPCNATEGNPALIE KLYPDTHVKFSKIRWGDIGSTYRH AOR_1_516024 MPPKPTIAIAGGTGHLGKHITTALLSTPFINSFTSIILLTRSET SPSSFNIPSNPKLQLRKYTPTNLADSLNDIDILINAIGPSGHNFKETLLRTIPKTNVK MYIPSEFGVDHYIHDFPHLEWDAKKRHDELAREILDPGVKVCRVFCGLFLEDSIGPWF GFDTRDGRYECVGSAGEVVSFTGLGDVGRVVAGLCGLFAEGGAGVVPDVLHVAGDTRS VFDVARIMEREGGGPIEVTEVALEEYKERVTKVVGSDPAPYLRFLIGEGKINHSSAGL GCDNEVVNPGERVWKWKSLEQLARETQGRPWRDLEWPSK AOR_1_1498024 MVSTRSSSALPPVDPQGADGTATQSSATQGVSQREFPAAQNART SDVPMEPIVEEDESETPERGPTSERQSEEPMLSLSAIARVAEVLKTIMQTSSADNGFG GLKLAAPTPFEGKTIREVQNYIADMEDHFAASASAQRNDKDKVLYATSYLGEKAKQAW RLKRESLGEPSWEEMKDFLYTFVDPEADRHRNVAYRLINEKQNGRNMREWCARSLEVW QAFPNNSLTAQTWIQTLDQEVQREITRAARQPQTLGEAHDMAIQYWSMLHRERSLRDS EGSGRKRADGGNQGPAGFNRGRKRPRENETPATRPRGSRSSPGQPPFKARRWNDGTQA AHERKRDWQKKEGRCFRCNEMGHRIWECPKAADVLRHPKEPTAFPILGTVELQLQESP SISADIKPIGGWDHTVQTVKALLDSGADDNFISYRYLLQHGITVSKDHLQPPVRVRYA DGTPAPCYGKLIVKSQVTDWENQTRGYEMEYYITDLQDPDYQIVLGRKWMRHADPDVV FSAGTWRYRKEAPKISIENPKRFAKTMRHTLTMMVMYRPDTDDGPPELPPRYRKWAHV FSEKEAAELPDEKAAHPIPLVEGGVPPYGPLYNLSQHELQVLREYLDKMLERGWIRHS TSAAGAPVLFVRKPDGSLRLCVDYRGLNAVTVKNRYPLPRIDELMDRLVGAKYFTKLD LRDAYHRIRIQKGDEWKTAFRTRYGHFEYTVMPFGLCNAPATFQAYINEAMKGILDDY CVVYLDDILIYSQTEEEHVRHVGEVLSRLERANLYAKLSKCNFHQEEVTFLGFIVGRD GIRVDPERVRTVGEWPALESFHDIQVFLGFTGYFRRFVHQYARITKPLSDMLKGMQKG RKTGPFILTTEAREAFDELKAAFSGPPILRHFDPALRIKLITDASGFAIAGILLQPVD GVEEPRRRSDWQPVAFYSRKLTEIEGRYPVHDQELLAIVECFKVWRHYLEGAPHAIRV QSDHESLQYFYSKKTVKLDKRQARWAELLAAYDFQIEYRPGHLNPADAPSRRKDYEDV HVQRNVGLLPTLQRKLRAVPDDRNDVGAPSVAESEISIVSSRGPELLALRTMAREVAR EEVVCEATSHPLRDAILQAQQGDAFVGQIRGKLLPGEGKKESGHKSDVAETSGDLTGR WRVDGGLLYRGETIYVPPCSALRQEILRVHHDDPFAGHFGREKTLELIRRKFYWDGLR TDIENYVRDCPVCQKMKVPRKLPQGELASLPVPEGPWQDLTMDFVVGLPPSGRKGRVY DAILVVVDRYTKAARYLPTTGTVTAEELANMFLDEIVCKTGSPRSLVTDRGSLFTSAY WMQFCQGLRIKGRLSTAFHPQTDGQTERQNQTLEAYLRMYTNYQQSDWADWLPTAEFA YNNAKNASTGYSPFMAWQGMEPAVPGLEAIGPTTSNASVELRLKGLADIRTKLEENLK KATERQAEGYNKRHKATQLRVGQEVLLSTKNIKSWRPNKKLDLKYDGPFMITEAVGKQ AYRLRLPKAYGHIHPVFHVSLLKPYHRRAGVEAGEAQPIVIDDQEEWEVEEVLAHRVY YRKLQYLVKWKGWPSYENSWEPEENLKNAAEAVAAYRKASEVPEAPRRSRRRA AOR_1_1500024 MGKRKNAVRGVAKASQEAKRARLALQNPPPVPPLAPPPPPPAPL GPPLSEDTLPRPPYGDLDDLLPPAPGGDSPLPPWGSPSPPPRSPSPPPAPPSSPPVPC RRCAKSLFVGTSSGVEGPLVCVSRGAGRPCVRCARLKKPCLALPGPARAEVARLQALP PSQEAVKATDDLTKHIEEATNRLGKLNKVHPCLAALRDINRNLFRLVNVQRELGGMEP LPEGDLVDWESLW AOR_1_524024 MNPDYISQMETWSQLETMRRLLCQRPPIPELPSQILDDIDTVIT YRNNKTMLTSSTSIAPSLTVEKSSSKAINISLWKGDITSLTDVTAIVNAANSQLLGCF RPDHRCIDNIIHSAAGPRLRDACNSLMLKQCHPESVGSVKVTSGFNLPAQWVLHTVGP QVNSRKSPGTLQQQQLASCYSSCLDATESLPALPDGRKVVAFCCISTGLFAFPPDMAA KIALETVVQWCMNHPATSVTDIIFDTFLERDYELYQANISELKTTVASSGNQISFSPS PLSQPKALVTPTISKARSWLQEADYLIISAGAGLSAAIGLDYTSTSLFQKHFPGFLHL GLGRLYDVFGFNDWDSPNQKWGYCFLHLNMVRTWPASKLYGTLCKLAARFDDRYFVRT SNADGRFVANGFPAEKVSTPQGQYRFLQCFAKCRPDAVFPSDPFVDAALPFIDPETQV LTDETKIPACQYCGGELTLCVRGGDYFNSGPFRAQERKWKEYMDDVARNLDGRRAVIL ELGVGLNTPGVLRWPNEELVEDPSNPGFRLIRAGNGASGCAPWDFEERDLAIGIEGDL NLVVEALVG AOR_1_526024 MQKDASLADDLGRKEPIVPRSINYLPLMLLVTPLTSIWWSAITD HNGSLQLSVTRFLVDPRASLTWCFLPSHDIGVAFAKWIFFEAILYTVLPGRVCAGQPT PSGHTLPYTMNGLSFFICSVISFLLPAALGWTELFFIAKNWRDVILAANVFAWLLTCL AFVKGRIAPSYKYDNRGNDSYISDLWRGIELHPRFGAAWDLKIFHNGRWTMTALAIID ISFAALQWEIHGYITYTMICVMLLRNLLIVNFFGNEEWYLCSTEVCDEPFGFYFAWGS GVFFPTIYTLQTQYLAMNPIELPLTHTILILSLGIAGFMVYYIATEQKKAVNDTKGVH DGVTLIVQWTVEIYPTSELSRALNLNVCYVWIVWD AOR_1_1502024 MTDALKGAVRDGARFKFPDLDGAVIGAPGMHFSFQQIQHLWSQF VEYQLNYYPPYCELEKIVNLTTEACDPLDGRVDGVVSRTDLCKLHFNLNSTIGESYSC SETAEIPNFMPYIPAQNGTVTKEGVAVAKEILNGAQDNLGRRIYMSYQPTAQFQDAVT SYNETTGEYGPFEGVTRDTFRDWIATGFQRYYDSLETAWPDLSVWQKAGGKVLHYHGE SDPQVPTAGSVRYFESARSIMYPHLSYNQSVAELNNWYRLFLVPGGAHCGANTLQPNA PWPETTLATLIDWVENGIEPKTLNGTIQSTGEQQQICGWPLRPYFKNNATNPECVYDQ KSLDTWKYNLNAFKMPIF AOR_1_530024 MRLILTGATGLVGSAALNHILSLPPGQVSHLYILSRKSVPLAEN RPDVTVIEHKNFNEYSPELLEKLKGADGCIWALGISQTQVSKEEYVKITVDYPLAAAK AFSGLSDSFNFVYVSGEGATQEPGRFTPYYGRIKGECEAALIELSKKYPSLKPYSVRP AFVDAAYDPPTLASVMQRPDFTVGKRLLFGTFGPIIRQLYSKGVSPTKDLGRFLTDLA KGNGQPLTGEGVSGNGWIISNAAFRREAGL AOR_1_532024 MVKIALIQLHPEPHDIENNHARAKAYIQKASQAGADLAVLPEFH LADFHPTHDTTIRQRCKNYSTHLTSYRTLAKECNINIVPGSIAELHTDPTTGEEKLLN VTYFIDNTGEIRGRYEKRNLWIPERQFVDRGATDSGHVAFDTPLGKVGLLICWDLAFP EAFRELVMQGAKMIIVPAYWKLDDAGEVGRRWNAESERVFVDAAVVSRAFENTAAVVF CNVGGREEEGYAGASQVAVPFLGCVGRVEGSGEGMSVVEVDMGVLDEAEGVYRVREDL GREDWHYGYKR AOR_1_534024 MLGDAEIQALDDHLGNVLRENQQHHENIQGLLQQFHLLLDNYNR LKSDYEEEKEAREKYKKLARGQERNPFVLVLVDGDGYLFKDHLVKAGAEGGMKAAQLL NDSIKELLNDQLGSQADQCRVMVRIYSNILGLSKTLARAGLVGNEARSLSPFASSFTR SQDLFDYIDAGDKKEGADYKIREMFRLFADNNQCKHIFFAGCHDAGYLSLLTPYRGKA DRITLIKTASFHPEYDKLGLPVREISAVFTSTSASPPVGGNNITTATRPGICRFGKEC TKLHVMPNQQYTKSLYDTPTQPASLTRHIILPLKCRTKLKLLIHLGRPERFSLKDGQT TDIILQPTPSHDPNDPLNWPTWRKHLNFGLVSYYVIMVFAIIDVATVTWGPLNAELGF SFELLNDSYAAGCGSLCIGGVILIPFALKYGRRPVYVFSTIAQCAFCVWQARMQTVAD LMSANILSSMVGALAEVLVQMTVADVYFVHQRGLMNSIYVWFMTVGATLSPLAGGYIV ISQGWRWVWWWMVILMGAGLVAFVFLYEETKFSPTLEGVVPVVAAAQINASAKGRDKK SPTDPEVEANVAHKDGETQRSHATHWIDHSIPVKPYWKKLALWSTSPGSFASMARHSV EPFILLFTIPAIFFMSVVYGAMTAAVTVSVTTLSSYMTLPPYNFNASQIGLMGLPPFI GTSIAVLISGRLSDSLVLYLAKRNRGVFEPEMRLWIAVAFIPFVPAGLFMFGIGLNNG SPWPLVAVGLGIAIFGTIPANSVALTYLTDAYTDVIADSLVGVTFIRNLISTVFVFAL SPWIESVGLTGFYITFGLILTVILLGNLVFIYFGKKFRVMTAKRYRYYAQRQMDLRN AOR_1_1504024 MNQSQSPGATVVRRAKACTTCRRKKTKCDGRRPTCSPCKAFNLP CAFQDVLRHSSRTSRAYVEELEKRVQDMEMQLQDRTLDSSWRGFVSENKRNSPAPSSA AAGTSDGATFELNAIESQPYSTSPSRSQPEEGNAQPNVDGGASQAPYVINAEGTKMRF FGASSGFSIASGQDLNSLEGGKRSGAWMTASQKTASRWQLSSWYPRILQGDPGQRAFQ TLPPKATTTQLVSEYFGSFNRAVPLFDEATFTRLVDKQFGWNPDENPSWWASLNVVLA ISYRERAQKLPDGSEEWQKSMGHIRNALNVIVEFFMCSADLLAVQAMLGLALLFRSTP NPQPLFMFAAAAMRLSQSIGLHRNQTSGLSPSHVEERRRTFWIAFILDADISHRTGRP PVQDVNDFDTPLPSKFPHDGLGILDVHGAHLDYFHLLARFALIQRGLYDRLYTANVQT KATRDLIQEMKTSEEDLLGWRTSFAKHYNPQIAFSNASDYHLQHVLRLDFAYHCCYAK LYQLCILARRSMAQAAQGLEDFPALDKVINNILVRSLECARSAIQLIKHVGLFGPCFV WGVIYFPAAASMTLLSNLLTDPSHQHAVSDLTMAQETINLLSKVSSEEPAKKAVSRAD QDISQQSMRYLNNTQLSTNETGNPASGHAVPHPSLASPQSGDTDPTRSCAILQSYDMP SVDPTFDLAMNFQWPVAPFWNWGEMMTSTSDGGIDNGQLL AOR_1_540024 MAFSHETTPGTDVYGPGTFIDKHVLPVPEDTRRVFEYLASKTPG FTQNKEVWDTVHFEGEPDPMIPGPIKSPVVAAALHAMCGVVGNELVELRDSRPAAEGS VTVNTDQAAIWLGSVATTHINGSDIPAIAISGKLNTLFDRDFEQGFGKGLASRATAIY QTKDPNVWYQLHGSLDANRVLKTMGIDTNVAFNTPSEYYDYIQKHVRQWSPDELEMHN VRHGLCGSICYKPESWRSTEMGKQLAKHPYVNYTHEAYAAPTPQQPLPKVPGDRRPLA GIKVLEMVRIIAGPTIGVTLAAFGADVIRVNCSKLPDLNLLQLVLNAGKRTIDLDITK PNDMARLRELIADVDVFIQGFRYGSLDRKGLGLRDMLELAASRGKGIVYVDENCYGPD GPFAERPGWQQIGDAASGSSYVMGALAAMMAIRDRAVKGGSYHVLSSLVAADNLSLEE EVGLYPLDVVRETAEKFGFVPSTPDQYVMEILLQVIAGWKKGRPGYLDEDSPLMTTFD QGPWGRQTLLKPVVRLDEEAVTPRWTTAPVPNCYHDRNINWH AOR_1_542024 MLRDPENRPVEVANCSGYHGDPAYEMYRQATLGDVDFITGDYLA EVNLAKNAEAWRAGKHPGYEETAWQGLQQTIDVIAEKGIRVVINGGALDPRALALKVQ ALTAEKRLDIRVAYVSGDDLYPQVGPNMPTSKEELQHLDSGNPSIVPSRLTYAFMNTN DGKPLPMTSAHAYLGARGIVEGLRRGAQIIICGRVADASPVIAAAWFWYNWSETDYDR LAGALIAGHLIECSAYVTGANFAGFDKYPLEDLIAPGFPISEIEKDGTCIITKHPGTQ GVVNTDTVKCQFLYELQGNVYLNSDVSAHIGNIAFEGVGKDRVRVSGVKGSPPPPTTK LAVFYPGGYEAELLLNATGYATTQKWDLLEKQIRHFLPEGAVNDLETLEFQRVGVPDP NPSSQLKSTTYIRIFIAARTAQAVSTVVKALGHISLKHFSGFHASSDMRTAFPRPFIA YYPAIINQSDIKERINILDGQFKTASFDIPRPCQYEPLQPRESYDPISPTVYNGPTKE IRLGDITLARSGDKGANLNFGIFVSDPAHWEWLRSFMTISRMRDLLGDDWDDSFSIER VEFPHIHAVHFVIYGILGRGVSSSSRLDGYGKGFADYIRDKVVSVPVQFDLKQSTRL AOR_1_1506024 MAIAKVLLPSLSLFVFYAIFYYADINGLRALGEQYIASGTLPGT NEPIRTIYTGIEPIDHLLTTLTAFFWPTTDGSHPSLLLHSIAFSGTFGSAWVLITLEA WRKGNAWTIAAFPMIFGLTAQVLTFAFAAPLYCFFHLITSRTAKNPTPDTLRIPRSIT NTLPLVFILGYMVPTQLLILPISEHITFDLKQIFIAIWQPWPAYISIILTLIYTITTP FTSSDRTTPASERKNLSSLRWVYAFAFGNTALTHLISWIVSLASVLVPDIFNPEVVDY LHPGRVFEVPIPWEEPVRTVASVGHGVHAFLRWDYIIGSLGVLVWAVSLHGAAQRGVY GSVGWLWLLWKVGLLSVFVGPVGAAVELMWEREELVLAKRGLTESGKKDS AOR_1_546024 MAQVNGTCDSAFSSVRDILQQNISSDKELGASICISVKGKTVVD IWGGYADAARSKPWAENTIVSVWSMTKTVMGLAMLLLIDRGLVDPDAPVAKYWPEFGV NGKEGVLVRHLMSHTAGLPSFDPPIDQDTLLNVPKATENLVQQKPWWTPGTGHGYHLA SQGHLIGELVHRVTGKTLGEFVHDELTVPRNADFHLPVAEEEWDRIAEMVPAPTNMDI SALDPNSIMYRAVTGGPGNPNMPKEPAFRRSGLGSIGGFTNARGANDILSIVTQNGTV DGKRFLSPETIDKIFEVQASGPDQVIGLGIEWGLGFGIGMLGTLDWLPSGKLAFWGGW GGSFALMDLDRQVTFTYAMNKMGMSILGNERTGDYVRAAYAALEGYNA AOR_1_548024 MSSASSSSSNLSEIPTAHPEHSPAFGSVRPSTLSRRSTNVELSR IESLRLTHRSTVGSTAGPAPRDQWLPFGGGKDYPPLLPDPEKYVVEFTGDDPMDPHNW RMSTKIRFSCILAYVTFVSSFASAIFSSTVAATSKEFHVSTEVMTLGVTLYVLGFASG PTFWAPASELAGRRWPLTAGAFGASIFTIGTATAKDVQTIMLTRFFAGFFAASPLALV PAVFADIYNNRHRGVAIAMFAMAVFVGPFASPFTGGFITMSYLHWRWTMYIAAIMGFF GSALLLFFFRETYAPIVLVEKASILRRQTHNWGIHAKQDEVEIDFNHLITVNFSRPFR MLFTEPIVFLVTLYMSFIYGLMYALLSAYPVVFQQIHGMNLGVGSLPFIGLIIGEFAG GAYTLLSQSAYTKKLMANNDIPVPEWRLPPVIVGGIAFTVGLFWFGWTGWTKNIHWMA PTASGLLVGFGIYCIFLQCFNYLIDSYLQFAASVFAANTILRSAVGACFPLFSRQMFN NLGVQWAGTLLGCLAAIMVPIPLGFIIWGPTLRRKSKFAPTAAVFEEKSG AOR_1_550024 MGWIHRTNLKVAQSPVGRWFRLENSGHPQERKGSFFFTEIRAGL ATFFAMAYIISVNSTITSDSGGTCVCPPESWADKCNSNTEYLLCVQEVKRDLVTATAA IAALGTFFMGLLANLPVALAPGMGLNAYFAYTVVGHHGFGMIPYRVAVTAVFVEGFVF LALTLMGIRQWLARALPASIKLATGTGIGLYLTLIGLSYSAGIGLVTGSTDTPMELAG CHSSMRDATTGMCPASDKMRNPTMWVGIFCGGILTAMLMLYRVKGAVIIGILLVSIIS WPRPTPVTYFPHTELGDSNFDFFKQVVTFHPIKHTLVAQEWDLSGHGSQFGLAFITFL YVDILDTTGTLYSMARFAGAIDERTQDFEGSAFAYMVDAICVSIGSLFGSPPVTAFVE SGAGISEGGKTGMTSCVTGLCFFIAVFFAPIFASIPPWATGCTLVIVGALMCKAAADI NWKYYGDAIPAFLTIAIMPFTYSIAYGLIAGILSYICINMMVWIVEKASFGRIVPPNK DEKDPWTWKLPGGFFPPWVKRAARGKKKFWQPDEENEGVVPDGSVSSNDRGEKSGFKS KSGVV AOR_1_552024 MTDSKRRLAPAPPGTPGGNDAHPRRKNVGTACSACKARKLKCTG TAPCANCVKSRLECTLDQTLDKRRRGALKRKIDQLEEKEDLLFRLVNVLRESGNRSTI PLLNLIRSNASLPEIQYYLNHQVPESELALMPELLEVSHEFQRLQDADSRSVRRILDA KRLSDQPLFQVPAKPWTSVVSDNDFVSHLISLWLTWFHPFSNWVDRDRFVYDMQTGLL GAKYCSPFLVNAILAYACTYSDYPEAYAVPGDVSSKGVHFYDEAKRLLDKEEGRISLP TVQGIGMLWARACMIGQDRQAWIYRSQLAYSANELSQKHTVLASTVNEEEIRMARVVN NTSWGLFNSATTHALLYEKTPTIKPPQQPSFLSVNHESKQDEWHPYPTPADGVPSHTT CLFNELCSLNLIAYEVADFYFRKEAPRSRPDMEQKTSEFHKRLTEWADRLPQCLKTGK DPEIPHILCLQMYYHAILIAIYNPHRLSREKLMPYTNYDTINPSQALTICLSSARSIG HWMQMYRTTWGLEHMPIFHIQWVQAALFILLENLQKADNCEAFIILSIAAKAFSRRFE KAKRLLRWSMVTAPGAN AOR_1_554024 MELSSVDTPQPPEVAIFEDDGADQWRQEEEVSLEQYLSSPQRCS TQVVFLKQETLKQCATLLNLPSDFQRTLKDDLNGSSHTEYGFNGNEISQHKLQATNFP NLHDIARHIFHSTEILEVAEHTINSVVTEQSRWREEFTDIASKARGAHLQTGQKLAFA AKEMHSLKIRSKSLTDRLDNEINLAFNLVNQGFGRNAQSDSAMMKTIGVVSLVYLPGT FVSGIFGTNFFDYQSGEAESWEMAKNFWLYWAVTLPLTLATVVVWALWHYRLTLKKGY WKGKSKVKGKNEDPMEKV AOR_1_556024 MSGTRNIVRLLDTDEYLQVLYRHIRELEEMCHEAGIPVPTFNPD VSSIDSDGETAHIGLGIQFDTQTALPDHGNSRAFPPPIKPETFPSLLTNPQEGDLNLL KASNVSSGNEGFPKQLNKFFGSPPTACLIRLLTQGSLLRDIPYGPTHAHLDGSLLPPR DLADHLLGCFWDRVYCLYPFFDRSSVQDAYEGLWVSDTKDNKPSELNIGLGGRSDSGR QSPVFICALNIMFALGCHFADLSVPDRNAIAHTFFLRAKQNIGLDLLEIRTFGAVQTL LLASLYLQSIPDSHKSCDLVGVACRIAQGLGFHETQPDSFKDPLEMEVQRRTWHGCVM MNTITNMACGRPSSMSSLPTIPLPGAVGLTPTNAKDPYVAAFYPSSLELCSILDTALS AVHKAQCNRSSTPASSKIAQQDWLDVVIKLEEKLSKYESSLPAVLSWNRPFNPATDVT QLLTLRRQRNVLHARFLYLRLRLNRTAFSQLCSEMLAQEDSETDQHDPVLRALFSSVL SKCAAACVKAAIDLVSLVYDNYQTSATDTWWYNGFYTSIAGMVIVMSYTCLPALSDIE KTTVHEAWRKCEQILQFMIPYNFSSRNTLLFLRAARDRILSYLEDTSETGATDMGPDS SHVDGMENPFADDTDGLFNGANWLGSAVAMVGLGFLCPADFKWFQDWLAEELP AOR_1_558024 MGNIYWPNYKYVDQRLMQTNKSPCATCIAVDGTSLYYKIIGTGP LLIPMPGATGTGMVYEPLATALSSHFQVALYDRRGFGNSLLVTPGELDTTTHVRTQAD DVAQLIQHLSPGKPATVFAASGSAVMAIDLLQSRPDLVQHLILHEPLILDHLPTQFRS YIKDGMVDGILKYGGSGNRIIRRELLAYVQGRRDQQRLRRDPHYARLMSQPVDEAMLF FKFELPIVLNYHLDLENLRLYRDRLVLMKGLDISPELASNPVITLSDVLETAVVFAPG GHNGFITDAEEFAKKMISALNSKKAKL AOR_1_560024 MFLGHLSLIAFGAWAVHPVVGYVSQPRDQEGTCQKTTVAILGAG ISGISAAQTLSKASVDDFLILEYRDRIGGRAWHENFGQDKDGNPYVVEMGANWVQGLG NPGGPENPIWTLAKEFGLQTTYSNYSNVSTYNQDGYKDYSHLLDECDEAYDIANQAAG KILVENLQDQTAKAGLALAGWKPKSHDMEAQAVDWWTWDFEASFTPLESSLVFGMASD NLTSNQFSDHDNFVTDQRGFNTIIKGMASKFLTEDDPRLLLNTKVTNITYGPEGVTVY SSDGNCVQAAYAICTFSLGVLQNDVVTFTPELPEWKKTAIQMFTMGTYTKIFLQFNET FWPTDTQYFLYADPATRGYYPLFQSLSMDGFHPGSNIIFVTVTDELAQRAERQSDEET KQEIMEVLRKMFPDVDVPEPTAFLYPRWNTEPWSYGSYSNWPMGTTLEMHENLRANTD RLWFSGEATSPSYFGFLHGAWFEGRDAGRRIAGLLNGCKEGNSTTCVPRKHYEVLHGT SPLADYSSVNGWEVSSFYDSNDD AOR_1_562024 MPQQDSVERINIHDSTEINQVADAFRNSLERIGCHNPFPEAVRI ANYPSEDWLSEVQRRTPSSTGRPLEDVLREADEIFSYRISTKHPRFFAFIPSPVSPMS WLGDSLSSAHNTYAGSSESGSGVCAVEKSLIAWIAERFGLPSSAGGQFVSGASMATLT AVAVARDQRLEAELRHRATVYISDESHFCITKALRVVGILDSQIRTIRCDSKYRMDSD HLRRAISEDLADGFRPFLVVATCGTTSTGGIDPLNEIADIADEHGLWMHVDAAYGGSV AFSSTHRPLVDGLGRADSIAWDPHKWLFQTYGCGTILFREKSHPLKSFASTAHFCRDF EDEKEPQNPWNYGIELTRPARHMRLWFSLQVLGMDTIDKMIGRGFELSVLAEGEIKRL ADWVILAPTSLAIVNFRFAPHGVDEKLLDQINTHVSKELAAENIACILTTLLDGVVGL RMCTINPRTTDDDIRRVVRALGSSAQGAYRELFKARD AOR_1_564024 MKIALAGVGDVGNYFMEEFSRSTHEVVLLTSKHKSHLDRLPIDQ QITDYSVENLTLHLQDCDAVVSTFCGPEDKYISAHLAIPEACTRSPKCKRFLPSSWTT NIEDFGDQPIMIAHSRDTIWKALRAQHEVKWTMICNGWFMDYVVPASQRYLRDVGVGW VMDHQNKVFELYADGQQKVTLTSVRDVARAALSILEHDEIEWNEFTHFGGQTLTYLEL YKLIKRRDPEWTLKKLPFAEVIERITSGKLVGEDVDLEYFRIMGFTNCNKAPEDRALT WGTGLLEGLRARGVEELLDEAAKDEKVVP AOR_1_566024 MHQLDQVPLEVLFLITSYLTAQGDINTLVQSNRTLYHALRGTLY KHNVQHNHSCALLHASKHGHTHLIKPLFKTGASISTLETQNFPVKSTLPANLGYHILD SSQFGYYPDEDKIKEMTRERHYALVLAAQACTAAQLRVVLHWAIRSHKDQYL AOR_1_568024 MNWTLRVVTLLLIRLNLVAATTCYTPDGYAVTDPRYIPCIAIEG ENSMCCKLNDTMPDTCHSTGLCYSSQTGYWRDFCTDKNWDSPNCLKKTFCDDAAGGKS NWTTRVTSCGDGSWCCGDTNNCCTNGGGFTLDSPLVAIGNNATVTTTVTATPKDSNKG SSDSSTKVAIGVGVAVPLACLACGMLGVGFLWGRRSARQALSQPEFQRVSSGTAIPLK TPQAELGHEQQIYEVSGNEQSYELPTTR AOR_1_570024 MSAVGKVLIRRGHELTSARLEYRQQPQNPIHGWLGFSIVVLTAL AFGFAIFWVDYTCNHVIATLAAVEDSNPTTYVRLDCEDSNDPCNPNYPEVAAPSTTKP ITSGLRSAIKHLRARGGFWSCFRGFRMYLAYTGFDLGAGYLLPAVVPIPTHSLLSLFL GKFIASMLLATWQMAIAPAAALYNVLMSATLSLPIAAARLSGGTVDGIVANPKKKELL NFLAMGILPAILFLLVSIPARGVFTRVAASMLPEEDDPIVPFDRRFGGKVKSAMVGGS GELSLLDAWTSFDWAARIRYVKIILKALVIEVALGVVGGLLVMGELELVTPSRHT AOR_1_572024 MEIEREQKSLWHVGIESHDGSLESPTERTNTAVDPGDEGEKRMR HIQEGNGLLRSLRNFETWIDRKLGVEAMGVERVPEDKRRPPQKLNMMFFWFSVLISPT LIPIGSLGPIFGLSVHSSVILTIFAALLGTIAPAFTATLSPPSGLRQVAVARYAFGIW GAKFCGLLNIIVNGGYAVIAAVVGGQLLVAVSGDSVPLAAGIVIIVAIGFLVSFCGFT LIHHYERYAWIVALVLICVTWGQSARYFSPTPGLSQLSGIDYSGACLSYFAVVFGVAC SWCPIAGDYYIHYPADTSKWLVFSLTYFGQVLPTIFVGTLGNHFGGIITSNDEMSAIY NTKGTGALILATLRPAGWAKFACTIFALTFLANVIANIYSSALCIQLWGKHFLAIPRF IWCALTSAIVLALAWGGRNKLEAIIDNFLAILGYWTLAFALILAIEHFWFRPRLGGYD LSAWQDPKRMPVGIAGTAALLIGIGFSFLGMCQTWYVSPVARRIGKSGGDVGDYLVFA SVGVFYPVLRTLEIRLVGR AOR_1_574024 MHDDRLVESWTWFAVTSVVVIWRYISRGMLLGGLKGLMVEDYIM LLTYGFYTNFIIWVNIQAKHPKTNILPPTGMQGLTEEDIQDRVYGSKITFVLEQSMVL VQWGCKACMILVYYRLTSGTKMALPVKILMAYIAISFVIVEIFYYGVWCRPFSNYFVV KADNDPQCEGAQHHLIMSYAFNLSSDLAMLCIPIPVFLSLQLLWKKKLALLCVFSLGI FVVVAATLSRYYCFTHPNSILWIFWYVREASTAVIVTNTPNCYTLLRRILKVHGFTIF GTYIALRRKPTHSPESGPPNELAQFSVGRSRKHTMSSESTEHITREDKGLEIWQHTQV AVYEDLEEDSRTTMEQDRRGVYGNGTGLASSTVTTGQIGLSRQN AOR_1_576024 MYRTLLILLTLYLSTLVSAGKDPSKAQIKDSKPEKGNINTKCHG IYINGEKPAIAGEGVQRPVNANGERLPMGVRPPSTPQSVWATCDRRIGGSRTSKLDLN QCLGWNPTTEELIPQSRGNGITKGGCSSCFYAKPHLKCVCGVKNKQDDRISVQLDNVV EATHDGFLTCFEHTGSQVSMDQMAIS AOR_1_1508024 MHHFIIIITLYLSALVAGGGIVSKPQPPPDGGIPMTDMKPGSSP ETNIHKGGALQSECRFLKVHITSPSTTSKTPAQDPKKQGKGPTTITTPYRQVLLTADC RRPKSDPTKGILDDDWRETKLDLDKCVGWDAQTQKLTPESDGKGLMKGDCWSCDYFSE VMGAANKGEFECYCDNVEKKSKHKIPHSKDKSLKVKFDLDSVLWSKDGRLNCHKHIGS AOR_1_580024 MEQPQTPVNAGQRLLTNVVDEIAQSNPQKRLGVIPSALEASEGF RDVSFGDLAHAVNALSWWIEKQIGKAENNETVAYMGRNDILYLIFILACNKTGYKPLL PSSRLSIEAYQHILGVTECHRFFYSHDKERQVSEMKNFLKDIDFYEVPATADILNCSH VPEPYPFTKTFAEAEDDVAFIIHSSGTTGMPKPVPLTHGFVATLDSVGYLPIPAGRRV AAPNDPSSSNLVLVTTPFFHLMGLYGLTCAIFHNTPFVNLPDRPVSVDLVLDTIRATN PTITLLAPAILEDISQTQAGLDCLGTLNAVIYAGAPLAADIGNRIVPYTKVVTLLGSS EMGIISSLVPEGDGNWGYFEWNPAYGVEMQHRGEGLYEFVIPRRENSRAIHGIFHTFP DKTEYGSNDLFVQHPSNPTLWKYHGRFDDVIVLSNGEKLNPVTLEKMVECHPKIGRAV LIGQGRFQTSLLVEPHWDEQEKAIDEAAFIDEIWPVVERANETVPNYGRITKTMIKLS SPEKPFKTTPKGTTQRRAVNRDYKEEIDAIYASADQQLNGSLPDIITLNNITQYVNET ICSLLEREAIDNDEDLYSAGLDSLQTIQLATILCNGVFCQVPAGDRPRITAQHIYAHS TVNQLAEFLLKVIAGDSVASIPRHDRIQNMVAKYTEDIPARPYSQAQLPPTSTVILTG STGSLGAYLLHILLTNKNVSKVYCFNRSDAQSRQIQSFKEKGLDAAPLSDPSRVEFLK VSFGELHFGIDDTKYASLLSTVDLIIHNAWKVNFNHPLESFEDPHIKGVREFISFSLE SKYKAHLSFVSSVATVGAWTSEMGAVVPELPLEDDTAVLKQGYGESKHVSERMCVIAS KKAQVPTTIFRVGQIAGPTTKSGLWNPDEWLPTIVATSKALGKVPSDLGSMPIDWVPV DKLAQITVEALQTRRRSLTETPNAFFHLMNPNHAAWSSLIPAIESKYNTQTVPFGEWI SDLESIKNPSDQDVREKPALKLLDFYRGLSSAGGMLSADISVERTKEASETMRGLGPI SAELMENWMEQWGF AOR_1_582024 MPPVLGIYYDAQVQSAKMNYVKGLAWASLLLQAAGLDADAITAQ YFGNDAPWYRDRIPLFESSASDIQDVYYYRWNIFRAHQRDLGADGYISTEFLNDVSWQ TQPSAMLIDAANFHLREGRWCRDRRFKSDYASFLYGPYRNPYQFSESMADGVWQGYLV DGVADDATAHLDTMQEVFKGWNTTTMDRGGYDTSKALYWIQPLTDATEYTIASIDASG GADGFTGGNAFRPSINSYQYANALAIANLAKLLGQEDVANNYQQQADDIKKTVQDTLW NGTFEHFIDRYKVDNEYVTYWDFIRGRELVGYVPWTHDLPDDTEEFAQAWKHLLDSEK FAGAHGLRTNEPSYEYYMRQYRYEGDKRECQWNGPAWPYQTTQVLAALANLLDHYNTS AAANLVTKTDYTNLLQQYAKLHYNPDRGGILDLEEDYDADTGSPIVGLTRSPHYFHSG YVDLVLSGLVGIRPRADDVLEVNPLADPEVVSYFRAERILYHGHEIAVQWDANGDHYG TSGLVVEVDGKVVSTAPSLTRITTSITREAPPAINRPIAVSVQQNSTSYPQGSVSVAD ADTDAVHAAIDGRVWFFPESDVANGWDTPAGNGSELWYQISFESSTKTASAEIAFFAN STQGFDAPEKYSIQVNVSGEWAEVSNANYSSPVANGITRATWDGVDADKIRLVFAPQE EKKVRLVEFKVFGEVVAEN AOR_1_584024 MDPENQSEWTKPACERHIPFWRQVIDQGAITQDVLHHNYPGSGT ETEPFLVSWLPDDPRNPMLFTTFKKVGITVVVSTATLAVALASSAYSGSTKQVMEGLD VGTEVATLGLSLFVIGFALGPLFWAPLSEFIGRQYPFIVSFGAMTVFLAGCAGAQNIQ TLLVLRFLAGTAGSSPLTNAGGVISDMFRAEQRGLALCLFAAAPFMGPVIGPVIGGFL GMNAGWKWVEGFLAALSGVLWLMMACFVPETYAPVLLRQRAKRLSQKTGMVYRSKLDV ENEGSVSLKRMFATSLLRPWVLLFREPIVFMLSIYIAIIYGALFMMFAAFPIVYQRGR GWNQGVGGLAFMGIAVGMIVGTIYTIPDNRRYTRTVKRHGGFAPPESRLPPVMLSAVC IPVGLFWFAWTNSPSVHWMASIAAGVPFGCGIVLLYLGIMGYLIDSYTIFAASVLAAN AVLRSLFGGIFPLFTAYMYEGLGIHWASSIPAFLTVACMPFPFIFYRYGEAIRKRCPY SAQSEVYVRKLQVAAQQSEK AOR_1_586024 MTSYDLKQLTPPGLYEIEERSSCQNADDIHLTRLGKRPVLKRNF GLMSMLGFSCTILVTWESVTTLFIQNFQNGGPAGSVYGYIFVWFGIAAAFVVISELVS MAPTSGGQYHWCAMLAPPSAMKIFSYITGWLTVIGWQATYATVCYMGGNYIETLVTLT NPSYQPKIWRQVLISYAIALFGFIINIAGGKVMPRFEGAILILHILGFFAILIPMVYM ANHNTAEQVFTQFLNEGQWPSQGLSFFVGLIGPVFAFAGGDAAVHLSEEITHATVAVP LSLMLTVLINGTLGFSMLIALLFCVEDIKGALEARVPFLTIFHQATGSVAGTAAAGSI IVVMGSCSSAGMLASTSRQFWSFARDRGIPGWRLWTKVTTHTAIPAYAVTITTIIACL LSLIGIGSDLAFNDLMSLSTSGLFSSYLVVAGLLLWRRCTGGISGSKSKHTLINTAGA QLVWGPFHVPGVWGILINAFAVAFMTIAVFFSFWPPMNNVSAESMNYSVVGMGGVILL SLLYYLVRARKVYKGPVVELQVGYRA AOR_1_588024 MQFLIVAASFVAVATAIPASNGISATNIQSAADINNIASAWTKA KEDDGCSWLACISSVVGQSATCAAAAAELALNPIADVACVAGLGTMTVACKPCA AOR_1_590024 MEHSTLTPFWGPQTSYLNFCEEDYVITRYIAEFINTLSSFVYIA YGIYGLSKLRHKPNANSRSIPYFGLIGVGVCSAGYHMTLKYHTQMSDELSMHLLTTPL LYRILSFQTSPERTRIVGIILSLLFTVVMVVHMVMDEFLLHAVTFGTAVYLIATRTLK IIPRLIPDPVTRKNIQSVALFGCASFIFGYLVWLIDEWACRVLTKTRQAVGLPLAFLF ELHGWWHVFTAIGGYIAVAIIDLLTSGEVRNDSTEHLAWPIPVIARLTARGNGLARKD K AOR_1_592024 MGFEYERVDSSASDPELSLSNDAIEEETLLQTANKEQSKASHNI FVRGTKRLLSSPRTRIARDIFICLLALWGLISIAHNIFLAARRNAPRKHCYCGNSTSE AISLGCKFDSLAAAWLPPYCRDDELTAEFERSGPGPNGSWDYFADDYHKIPMTLEEVA ALGDNQSAKVMMTREWHVVHCLFYWRKQFRVRFREAQGGIVEPSFDSETHINHCISVI LEDSWGTEARIALDS AOR_1_594024 MKLFSTILQGITIFAAFASAIPLSLRSPDDGAIRIPVKGVPEPE KRDDGAIRIPVKGVPEPEKRDDGAIRIPVKGVPEPPAEK AOR_1_596024 MSGMLHKVKEAVTGHHDSTSDTTHTNTTNNANYAENPKSSNHGP HGSAIANKLDPRVDSDRDNRAGHHTTTTTGPGGTTTTHSSTHGPQQAPVGAAGGVDAP FGTTGHAGSHSTNAGPHSSNVANKVDPRVDSDRDNRARHEALGGAHGPHSSNLANKAD PRVDSDRDNRAAGIGATGAIGTPGAYSSGASHGYGTGTGTGAGVGTGTGLGHGHGTTT STAGPHSSNLANKADPRVDSDLDNRARHQGLASSSYNTSGGSTTAGPHSSNIANKLDP RVDSDLDNRHNVGNVGTQRHI AOR_1_598024 MRSLWLTALLPLAAADWQFRSRPDLAPPRLNITIPAAPDVEKGY LFVAPFAGFSDDAGEMHGPRQAAPYIFRDDGELVWSGYGYYSIWATNFQKARWKGKDI LFSFEGDHNAGYGHGHGHTTILDQHYETIRELRAGNHKLTDKHEFHIINEETALIQIY QPIPKDLTRWGASPEQQWIVNAIFQELDIETGELLFEWSSLDHVLPDEAILPINPGQA GSGYNSSDAWDYFHINSVDKDSEGNYLISARDACAVHKINGSTGEIIWRLGGVKSDFD LGPNVKFCFQHHARFVSRDGDKEVISLYDNSAHGTENGRGSEVHTHPFSQGKIIEINT ATWKASIVQAFQPPDGLLSKSQGSTQLLPNRNVLVNWGSEGALTEFRPDGTPIFHTYM DSGFLGLGVENYRGFRYNWTGLPNETPAIVSLENDQGTTVYVSWNGDTETKVWRFYQV TDEYGSRQYLGETKRTGFETAFSLKSGNVHTVSAEAIGANGRVLTSTGIVKTQVEILP PPKGVEASSSVSLQDKMQPQIYLGQKSRWEEHMILKVDRFHLD AOR_1_600024 MPSKRISRRPFLSSEEVTVGSLINNINEPVKGAYGCPWPLGQIE DFAVRSVPDMCAATQPTKDTGLSASFTRLFKTPGRGCADSDDMRPRGGKLYTLKNPRE LFRNLSTNKGVKKWLQQQIGEKSDVYLVEGLATLEGNSTSSCMDSEDSYPAQGELICA MRVLKLVFKPFRTKTLESGRLEKNSSWEVFSDCKLLHSQAAEWVEVSDGEESSLGDRD RRAMGDNGHGGMFAFDEDLREQPRNRREEVSRFSDDSR AOR_1_602024 MPRPFLTNIRNADPERLNVMDFDPCVFAANKLLEIANQSLTTRP RSAEYVSTKDPEAALRNPHIATIFRYYIEHLAGWYDLNDVKRHFGDIVPSCARRNSLL LSAILAFAAASQSSSFLKKDLWDLAESYHLESVQALLQLTENPDEFRTGETLAAICLL RSYEIISQNVSCQNHLQGSYSLLASRPAGLEAGLLSAGFWNYLREDITVALIEKRSLM IELSQEHLPPTVEGEDDLANRITYLLGKVINRCLNQDASPLEQHEWHSLGDELDTWRA SLPASFEPIGTPGLYGQSNFPCLWTVSGWHASSLQYYHTALAILSIAEPMQTGMNTLQ QIQLINNFEKKLNHHAVQVSALAIFSNSAPVWVNSFGPISFCGPWLRDSQRVHELIEE TRKWGSKTGWPVASIVESLSRSGTRG AOR_1_604024 MSSFPTSISPSQSEIQSRQLSPQNLEIAIRSLHHDGLVVVENVV PHDALDRLNHKMVKDAWTLRNRKENSPYNYNPGNIQQDPPPVRKYFDPEIFFNPIATQ ITTTALGPRPKWTFCSGNSAMPPTAENPPMSQPVHSDADFAHPTHPFAYVVNVPLITM TPENGSTEVWLGTHTDSGLHVQEGMHGERASGRIQLSALEKQRMIRPPCQPVVPKGAL VLRDLRLWHAGIGNQTDDVRVMLAMIHFAPWYRNPMRLEFAEDLKPLVEKETGLEIPV DWVTEAEAMSRYLNRGFGNSYDFSQRP AOR_1_606024 MAAYTQKPLFNRCFQNAISSEAVIAEKLWAIEYLNDWFTNNSSA SIPGPQADPNILQWETIYHLCINGSKEAVIRLLQLGLTFSVPWDPRTLFHAAVRRYDN DKDILYHLLGNLPLNQFFLVFQPDETGETPLQCALNQPYFGEKEAMLLRWALHARRLG WEIHYNRTLAVAARNGHIDAVKMLLGALHDARHDMNTDPLISAIRYMAKETNNDENLA IGCDIVTQLAQYGHEMGWGNGVGYFVQELVGLFKYRVYGTT AOR_1_608024 MEIKHPISEIPDHNETPSKPTSQNTYQERMGWNLDYSAITPGPG GTVMLGEKGGAEAVARRAKERRARERELALQEGRLADDAVSETTLVPQSTQSGSIGGG DVKPGSSFQGKALNCRKIAKAVSEGDGAPEVSGKKSGFRARWREFRERNLP AOR_1_610024 MVGINEVRKSNVALHAREGDFVAVFVGGTSGIGEATAKELAKTI KKPTIHLVGRNQAAGSKILEELKSANPDGTFHFIQSDVSLLRNVDEACSEIKQKEKAI DLLFLSTGHLAASKQNTSEGLENNHALRYYSRMRFVHNLLPLLSASKAPARVVSVLAA GQEGKIEEDNLDLQKSWSIMKAGMYAATLNSLAAEHLATLYPSISFVHVFPGIVRTPL MNKTMGSIAGSIVSFLSRPMSISSQESGERHVFISTSAAYPPAAPEDPTNAGVPLVEG VKTSVASTGKIGGGSYILNYDGANAANEKLMSGYRAEDFPKKIWAHTLETFKKVFDPS Q AOR_1_612024 MTDYLLSQTLSARYYQPDRFPHISEAIGAEPGSDHGQVLEKSSS PEPSQSANRDRRAQIRAAQRTYRLKKEAMFQELKARVSELEESMGRISQSLSTFYHMA LQSDLNLTHPYLFQQLNATVSQVKREGKSSAGSSLSATELHHIQLAALSSAKAANDAF TFGYTVNMNPGGSGAFYKPPASDRIRVSPSTRYANRSYLGNLPRDIERPLNGSATFTY SYNESTFARRLHRYCIEYAYQLFTDPRTDPQDIYRVFRLVSCVRQEDKLARCLSSLLS AGPKESLERPNVPFYCIGGAGTHYPHMQPDGKPLYPENMRLPGRVLGSIPGSAQEMDN KSSSEKRQELLKLYGLDGTWLDCRDVQGYLEEKGFCLDGAPCIFATPTLENQENSPKA ALDQSKDIPMNLDDSHKLTSLPLRTVDGTDERNSEKAANAAEQAAWVLNIEEFVQTLL KKMVILGRAPGFRLTDVEAAFKSAAKVSSS AOR_1_614024 MVLKKNSVRPADRPSLSMASKLDLLPAVASILIAGFVALFTGLK RDQSGTPTFYLHIAYAILRKASARLSPLQFQWISPSTDEAYKQYMQSRGGVPQTIEFE YGAKGHWIGNKNARNVLIWYHGGGFCLPANVGYFKFWESLIQCSSAAGKDLAVFAVAY TLAPNAQYPTQLIQSVEGLRYILTETNRTPANILLGGDSAGGNLAVGVLSHLSSPHEA IAKLDVQEPLAGTVLIAPWTSLEVSTDTKMNCLGDVITPDVAKPWSQAYLGRAKHDYY TDASTAPSSWFKNLKNQQILVLAGQNEIMLPSIRHFVDKVKSGFPSIELFIGRQEGHV APVYNLYMGDNKETQQGERLKVWLGELL AOR_1_616024 MKSPIYLLTALLPLTLPLVNATPTAEPDDLEVEGSVLEDRDNCR VERPFVYRKYPCDSSDITGRANRGDNVNFQCRYRNWYKTPKGWVKQDDKPRRCQGPRP NSCN AOR_1_1510024 MGDPFSVTTGAFCAISLRNNKSAISLVNTVTAQLYQLYDEGKAQ PSDIDPEGRSILHALYMFNQRFERFPDASAHFSQLISHFTYGDHLAEPDQGGKMPMDY LVMEDLTLNSPALLNDPISTDKVCQGVPQNMLKYGVMGEIPAGFIGTELRKKHLVHMF EECLDVSDFAWAMLCESQFALESAVESAPNCIYTNIGKGEYTTGDIHLALAAAIRNNT LDSVQLLFRVDVPLPRWMFYGQSSIDMQVLLIAEITKRRAELRDVAESVLTINDLARF QLTKGQLLDSNAASMCNLLGERGIAVHKRLTIDEEHSILGDMPYLSMMKALYQAGFRD KSSLAPPQPVYPVANLQRAMWLMDMDLSLKYGQTTRALDFTDLHTCYGLVLLELFLKA QGDCFESARAVLRWSQSSLGDEDIAEIREEEEVLLEDLEALVSEFKHRYLEMNIPLLN FLRGYWSTLMKEVLFQQKDPNFEIVKEAKQIGIQLTWEPIDDFKLLFERPCIFAPRHE RKTSSH AOR_1_618024 MSELKEDYVDVLIVGAGPAGLMLANWLSRFDIKTRIVDKRGTKI FNGQADGLQCRTLEIFDSFDFAHRVWRESNHMLEIWFWNPDKDGILRRSDRIADTIPG ISRFQQVVLHQGRIERFFLDSIKEHSDITVERGVLPTTFEFDEAKAADFEDYPITVTL RTLSEEEATPAQRQQHHRRADGTQSVINDGLFRSNLAADDTDDLIRVAKANNNASSVE TVKAKFMVGCDGAHSWVRRQLGFKLEGDSTDYIWGVLDIVPITDFPDIRHRCAIHSAN AGSVMVIPRENKLVRLYIQLQVTEHAQSGGKADRSWITPEVILQSAQRIMHPYKINYT YCDWWTAYQIGQRVGDHFSLRERVFLAGDAVHTHSPKAGQGMNVSMQDTYNLGRKIAH VVKGYSEGSILKTYQSERRRVAQDLIDFDHRLSRLFSGRPAKDGANEGVSMEEFKNAM EKGNEFASGIVVNYGSSIIVAKEGDSVEQGDGTEVAANLQRRVVSKTHLATKIDIGKR MPSFKVLNQSDARPWHLQELLKSNGRWRIIVFPGQLTQPQNMQRIQKLGDQLGSQDSF IRQYTPSDQLIDSLIEVLTVHAGPRTGVELLDLPEAFHPFDEEMGWDYWKVFVDDQSY HEGHGQAYFNYGIDPIHGAAVIVRPDQYVSWVGEVDDYEDMARFFSGFMRVQDSSKPK SRVVKL AOR_1_620024 MATKYAKSQGPDFRNEIQRVAIIGAGGTVGKPIAQELIKTGKHT VTALTRAGSQSTLPEGIQTVPVDYDDKASLLRALEGQDALIITLPVTAAPDTQSKIIQ AAAKAGVKYVMPNVWGCDVANDNLVNSGLGWERLRGAFDEIEKTGVSSWVSLICGFWY EHSVSLGPATFGFNFAEKKMVLFDDGETKINVSTPAQCGRAVAKLLSLKVLPEDENDR SVTLSRWLNKPVYISSFRITQKQMFQSWKRVTGEKNEDWTVAYESSRERYEKGLEGMQ NGDHGAFVQAMYSRVLFPNGDGDYESKHALANETLGLPQEDLDELTRNAKVMIDRGYD YMTKRD AOR_1_622024 MRPIPRAVSLPRFRLGGRATIPARTPFARYNRVEATRQARSDSN GRSSVQWGLTGLVTGIGGCLLVSRWQSSDSSQVDSSEVGGAKGVEYADKEAMIKGIKI IEETLGEDSVSWDEEEIAIHGYSELSTSNCEARPIAVVRPKTTEDVAFIAKICTAFKI PMIPFAGGSSVEGNFVAPYSGLTIDFSDMKRIVSFHPDDMDVVVQPGVNWVDLNKLLK NSGLFLPLDPSPTALIGGMVATNCSGTNAVRYGTMKDWVVNVTVVLADGNVIKTRHRP RKTSAGYNLTSLFTGSEGTLGMITEITLKLATIPEKQSVAVATFPSIREAAATASKIM RTGIQIAALEMMDETQMMVINKNGGTGGRLWEEKPTLLFKFSGTQRAIEEDVERVRTI SSQHSGENFEFAKSEEEMNSLWAARKESLWAMLAARPEGTQIWSTDVAVPLSRMAEII DLSKKESGQLGLFSSVLGHVGDGNFHQAVMYNPNDPEQKQSVRDCVLAMVHRAVEMEG TVSGEHGIGLGKKECLLEELGPETVGVMRTLKRSLDPHCLLNPGKIFD AOR_1_624024 MVDRLNAARALRVAEIINDYRTLLVHIARHNVQIPTEDYHEEAY KEIRDGLAAAQALMSANYNPIVASAQSNTETEKAELKRYGDPLYQLARRILIICRTIL DASARRFQAHRIYLRVAVARRWVIARQDILRGARPGIQHTASLIAVGNTFRQEMARVT DQYAVDDLRAADTRAGYWTEEDPSLPEILDWIHDHL AOR_1_626024 MGSNTPPQGMNVGFDHCTRHSWIHSDMSNILYSIRGLLSNQPLH VVLKGTKEDSILVYKTIHDILENIPSRYRGPGGALAVLSNGELLGEHVWGYADMQNLV PMTTSTLMPICSITKQMVCMILKDLERNPTPEMVKRGNVSRQFSDALHQMLHPDLTTN TALELEHLCHNQSGIRDYWAMSMFWGAHPDGVFRLEEDAKKALERTKSLHFEPGTQYS YCNLNFYILARLIENVSGQTLSALLTERLFLPAQMKTARLCADNANLPPPCVGYEGTE SSGFIPAINRMQWSGDAGVVASLKDMIAYEHYLLTCWDEEKSVYRAIAQQQSFKDGTV AQYGYGLKHVTIRGMATIGHGGALRGFRLHRIQAPSEKVAVVVMLNHQADAEEAAQDI MKSVLDIFPSHVPQSDPVNPSPDWFGTFYDPDAQLVVEIRHGGQGHVIVSYAGSDETL ICVGENEAGSNNTVGIIDGGIPKLRRLVDNRVLDVKRVATGYPPHKDDYLGEYYCAEI DSTFRCSGAGELSKMQFFRQLLAIGLVVTAAQAYATSRSSMLRTVVTTDMEQDDLASL IRYLLYTNELDTQGIIYSSSRFHWSGDGNGTKFFLPDREYTTPQWTWRWTGTRTIQVN VLQAYAEVFPNLLSHDPFYPTPDELLSKVKIGNIDFEGEMDHDTDGSNLIRSLLLDQD PRPLYLQAWGGTNTIARALKSIEEQYSGSQQWTQTKDTVSSKAVILASGFQDEAYANY ISVNWPQIRVENLQTGYSTWGYNCDKGQGNTRGLPDDGVYFTGDWIKANIQTGPYGKL YRSWLDGQSMPGDAQDIFGNRSTALSSFCKPLDPYDFLSEGDNVVFNPLITTGIQDPA NPNLGGWGGRSTQNTTSPNLWEMVTSEKNGTGVEVDNYTTDRWAAAVQNDFAARMQWT LTPSYEDANHPPSVEILNRTTVEAHPGATVTLAGAVSDPDNNTVTTSWWQFFEEGTYP GSVTVTESDGHRADVTIPSDAKTNQTISIILQGTDDGQFPLTRYGRVFIQVI AOR_1_628024 MTVSPGNRRIKFALFGLGRLGVIRARILAFQQPRIELVAVCDTK PGTDKWAAENLPPSVKHFSEPQECLKNSGAEAVLICTATATHAPLILQALDLGLHVMC EKPVSVDIATTQAVVEKAASRPDLKFLVPFTRRYDKSYRQAKALVDNGDLGVIHAVET TGIDQADPNAFFVSFSEQSGGIFLDFGIHTVDAGRYLLDVKAGLSNPKKQVNRVIAFG QVAVYGDLAKYGDADNAWGLVEFANGKIFKTYLGRTLTSGFEDTTRLCGTKGHSIISA NSNVEIRDHLGIRTQSVPDAFTLFDATFLADLSEFADAVLDNKPMTCQPEDAFEAGKI CAALQYSFRKGVPVYFDDDGLPIMESK AOR_1_630024 MAPFVQDSVTPDIVSMANIESKSAALNHDRVQKPVADDFMYDFK YNHHLPTTDILGVDIPADCDAKKEAEGIVARLAKTMSEGDAQAFAGLFLDYGVWRDKL SFTWDFRTFNFRDAILKAATDLFPQTKARSFQFLEPVPTVARPYTDYSYLQFVVSFET ELVVASAVINAVLTQDGWRIYTMHTVAEGLKQFPEQPAPDGHMTGITSWASQRSEAIN NVDPEILIIGGGQNGLAMAARCKVLGMENLIIERSDEVGDIWKKRYEYLSLHFPHWPD ALPYFKYPQHWPTYTPAQKQALYMQWYASALELNVWTKSSVVKAEQDAEGKWTITINK EGKETRTLHPKQVIMATSLCGVPYTPTVPGMDEFRGVIRHSSAHDSAREFVGKKVCVV GTSSSGFDTAFECARLGIDVTLLQRSPTYVMSLTHSVPRMLGSYAPDEHGNLPDLEEQ DRLFFSTPVGPGEELSRRTAKVLEDLDRPLLEALNARGLRTWRGQRDTGNSTLGQTRN GGFYFDAGACEEIINGNIKVEPGYCEKFTADKVILNGGREKEFDLVVFATGFSNTIDS IRATLGEKIASQCGPIWGIDEEGEYKTAYRETGVPNLWIMVGFLPMTRYASKLLALRL KALQEGISPPPYKV AOR_1_632024 MSPPSHFKLNTGAQIPAVGLGTWRSEPGQVRQAVSYALKNGYTH IDAALIYGNEHEVGQGIKDSGVPREKIFITSKLWNTHQPNVAEGLQKTLNALGTDYLD LYLIHWPVRLVPNESSELLPVNPDGTRSVDRSWDQSETWRQMEEVYKSGKVKAIGVAN WSIPYLEELKKKWTVVPAVNQVELHPFLPQHALKEWCDKHGILLEAYSPLGSEGAPLM SDPVIQEIAKKNGVSAATILISYHVNRGVVVLPKSVKESRISSNSQVIPLSQEDMNVL NGLAAQGKAKRINTPLFGWDLGFDDWYSQ AOR_1_634024 MASVLRFRTAWGIDPGTSYENWAKWFPTLKAQGYDGIEVDITDL NDLPSIRRIADDAGLEVNVLIHIQWPSYAGPTPEGPTPQDLLKGYRTKLETAKTVKPY KINAHSGYEGWTVDEAVEFYSGTFAIDAELGLTGKVSHETHRNTALFNPYSTAAVLRR VPNLRITADFSHWVVVCERLLDTHEEDKQILSAVIPHVTHIHARMGTTQSSQCPDPTH EVFKEERRFFETVWKQIIDATATASEPITFVPEYGPYPYHPFGSQKTFSEVADSEGAR LHPSFETYAKAAIASRS AOR_1_636024 MSAPSSPSLVDSAAHIGQATEQVNAFLVANGQPQPSFDRDAPPV FPEAPADIQAARQQILDACQTIYDALVGPAEYLRWLACRHHDTSSLQWLYHFNIAAPI PLDRAVPYSEVAATAKVDENRLKSVLRLAMTNSLFCEPHPDFVAHNAASALLVTSPAL NDWVGYTAKETYPASVKLVEATERYGSSQLTNHAEYNLAFDTDEPMFVHLQRFPERER RLANIMVEMTSTEGYGVHHPVEGYPWEEVKGKVVDVSCSPSPPQLSWSLSFSYLCHAS IAISRKSPAAQLVLQDAQGVVEQGRAALAPALTERITFQTHDFFTAQLVSADVRFILH DHPDAEAVKILQNFLPAMKKGSRIILNVGVLPEPLTLGRSEERIARIMDMEMITTFNA RESPLEDWKKPCGDAHPALELRRIFKPTGSIMSIMEFVLEEYLS AOR_1_1512024 MSGVELGLAIIGTLDLCLKYGQIIVTKYKTFNKADEAIEERILA IEAAWAKISQQLAFLKRVWASLDEDYQELQGRILRVLERKLQTAVLQISKLEKGANQT GTGFTDKRKALNMP AOR_1_1514024 MAAKELKEIWKKEKLLGEGTYGKVWLERCSSREGPARLRAVKMI IKPTNSAHRAYCDQELEAIAKFSQQKYTGLFVKSSGWFENEESIFIAMEHIELGDLDI HLKGPLPEIEARQISYQILQGLQHLHDNGFVHRDLKPRVGIPLLASPHWWVKIGDFGF SKRHTEGVALQSMVGTLLFLAPEVLKLYPPGLGRKRIRSDYTHTADIWSLGVMTFYML CHDYPFPDYHSLWSYVQSSDLPSATKLKSVSESARELTNSLLVVDATVQLSAKEALQS RWLKQDSFDPVSGMASLAISEESMPRKSASPLARNINATVSDASKGWDEVEKSSVIVD EEPIRIALETEIKQACSHSRTPMEHDPLAEQRSTLSATLLDLQAMRENGVSLIDLEIF SEAETICRQAWEGYKQALGEKHINTLASQFNLGTAVLAC AOR_1_640024 MTLAAHSENQHLLPLFSLGVDVWYIVLDLLREEVDPDDYEDDEE EEDPDSAWKPKNVARRQPYLPDLISLSSTCTWFRVVLAPIIFANLELNNTAKSARSIT AIGKGRHAACVKTLRYIGICETDQQTSPLEEVYPPEVDQVLSNLHMFGSLDKLTIEFP FDYESDLLFEYLQSDIFYPENAPLEESENTWRGFMAATFRAIVSNYSHASSNRRLPLN IEFRDLNIFMVSVYATEPFQSFLSQLKSFDLSLRRWDNGVGWTMITQRIFREFTHYLG PFFFDHLATVEEFSFDPRETGTLGNGGQPYCEDIGLRNTTLPRLRKLTLNNIIICLEL RDFLVRHKATLESITLQDCFACDEQAWVYGEQIDWRELFTTLAQESFPRLTEFEAVWS DSTLQLLGVDDTWADHRVVQRVREKLDREPDAKVFAYCEVDDKYGVRYCDGIVNQAAF LNGADNLGYLDLMAIVHRNSEQGSLVVTD AOR_1_642024 MHFKAYILAAIATASTALAHMEMIRPFPLKSQFDPNNDWSNIDY DNTSPLEPSGSNFPCRGHHKTTSWRTVANYTAGQADYMKLAPGNNHHGGSCQISLSYD NGETFRVIESYMGGCPLKLEWDFEIPSFAPSGKALFAWSWFNIEGNREMYMNCAQVEI EGGSDSAQFDQLPEIFTANVGNGCRTVEGKETVFAHPGDSVGYAGKVSPGDAPFPKCG GNAE AOR_1_644024 MIDDPSVASINLSNEVADVLLGWIRTTPPGAKFENGLELIGLNV SNDQTMVNNWNHSRSKAANVSVVMDLVEFLINPNVLSNVTCSIITTYSEQKKCYIAKM PELSVKLNIVFEDWVVDSGSKSDLGFASYNSRANVAITRARACLIVVANGRILDNDRL ILVHWQYLLNNDLIVDCPGELPTVDSNVEKEKKSAGPTNL AOR_1_646024 MNGMDEQILACSEHDMRNAFSVVNEYLSQPKDPRPDPSSTDEFK KWLDRQCIFKMCYDTVADLGVRETFTVACTNSLAGSHIARRNFGANDKPIIVIADEDG QALEPDVIIPLVSLDMA AOR_1_648024 MAAFIGANLNPCNYIGGVSFNPERDIPDLSGKVALVTGGNAGLG KETILQLAKHRPQRIYLAARSETKAQAAISSLKGSLSNNVEITWLPLDLMSIKSIQTA AQTFNAESSRLDILILNAGVMSLPPGETEMGHEIQLGTNHTGHFLLTKLLLPTLLKTA EEPNSDVRVVSLSSIGHNLAPSFETILDQDRLKKVNTNTRYGASKAANILFAAELARR YPSITSVSVHPGIILTDLYSSVSDRSALAALGSKTLGLFGTQVPQGAYNELWAAAGAK KHDLVNGSYYIPVGHLKPYNQYARSEDMGRRLWDWTESELRKFNALP AOR_1_650024 MSVSHVNRRIPSYQPYQCHVCQSRFTRHENLKRHAKLHSRSQEE ASLSCDFCQTTFSRPDLRHRHMKRKHPEYEQRRTNKRSLREPLASKQGGEGSRSQRHD VYLSSESSPDSQEGFHPQNSGDECELEVDGGFWNTPLPHEQHALFHRHDGESSTIRTS GPATAEGNRDQVVTGPSTQGPSLNGSDCMGRMVQDAIELERSLLLGTSFLKATYELDD QLQSAIITQPTAFDSTLAGCAYNQGSPGLSPTNDQGDWLPSPTQITQGCDLFFKHVSH FLPFLHQPTFDATRVPLHLLLSILSLGYQHGEDPECGDQTGSGASLSTRCFHQARALT VAEENKTDQPRQNTTLIQSYLLLQICAMMYLCGEDSARGLKMHSNMISLARAGALMKP MPTESSTTEDLEALWREFIKSESHKRTSFAVHQIDALWYQFLSIPRSISHLEVKHDLP CPEAQWTAPSAAEWAHRHLVARNPGPAVPYPDAVRRFLSSDASPDTIPTFDPYGAINI AQFLISSAREVSGWSTMTGMLSMDRLDALRSSLIALGPFIRPQPETPRAMHATATWET AMIELHLWSPAHTGGIVASSLNAVVTQSTSLAPSYEFLWEADTAKAIQPHVDWFLRYL DTTLEPDMEAPWVAVYAYKAFLIAWQLVRGGIPNAMLVAGIADGDVEGALGWARKVFR RRQRWQLGRLILTCLDGLGD AOR_1_652024 MDTKKGPLSVHSDDNISAAEGQVDIPDAAYRRMPESLRNLSEDE LNTLNKKIVRKVDFLNLAAAKLQGIMEDLNMTTQQFATAVSILFVGYLPFQIPSNLII TKISRPGMYICVAVVIWGCISAATAAVKTYGQLLAVRAILGVAEAVFFPGAIYYLSAW YTKKELGKRIAGLYIAQQVGNAFGGLFAAAILQLDGAHNIAGWEWLFIIEGSATVGIG VVCACIMPEFPHNSRILSQIERDLAVWRIESEAGAAEGTENESVLRGFTKALSDPKLL LLIFANMLSQTQGSIANYFPTLVASLNFNNTVSLLLTAPPYILAGAVYYVLMYYSDRK NTVYPIIQLCVAIAIVMYIIPMATLNVGARYFSMVILPFASVGPQLLLYKTINLHLAR PVSKRAAASALVNAIGGTSNIWASYLYYEPPHFYAAFGTLMASAVLLAVTMTVYRWLV LRENKRLDSGDPEEIAKVVRGGVTEEMVQLNWRYEMY AOR_1_654024 MPAPTKTYPTPQTTEIVNSRLRLLNKIRAGEYPLMTFMAIPSVR MAQIVALTGVDGIIIDCEHGHISDDSMHNSVSAISALGVSPIIRIRGPAHDIIKRALD TGAHGIMVPQINNAEEAQQIVASSKFPPQGVRGQGSAFPAIGHGLTTPEYMISANETI LTMIQIETREGVENVDAICAVPGVDLVFIGPNDLAQSLLGYVPARGDEPEFVAAVDKI IAAARKHGKWAGRMVNNGTMAKEARERYDTVAITGDTKAIQNWYIAEFEVARS AOR_1_656024 MSRQLISSEKFPPKPHNCPAVKVPGLVFCAGQTATGEIKQATRT VLQNLKEVLELSGSSLEQVVKYNVYLADMKDFAAMNEVYIDFLPKPMPSRSCLQALPP GDGTVIEIECIAQV AOR_1_658024 MAPAAPFNPPSADLPGKPFVPEWVPPPVTKEKHNFAELKSIDLS LLDSEDPAVVDDLVQQVKVAIRDDGFLFLENYGVSLEQLHRQFALAQYLYNNISEEDK ERLLFHPDSGKWSGYKHPYGFKRHRGAPDGIEQFNWYKPEWEDINRVPTCLHPFMDEI EAFSNYLTKSVNRRLLTVLSRVLELPDDYLWDNVQSHGSPTGEGYFRHALFRPVQKQT QEASKGLRMHGHTDFGLTTLLFSVPISCLQIWGRDEQWYYVPYKPGALVINIGDTLEI VSGGHFKATRHRVFRPPADQLHEERLSLVLFNSSIGDLRMAPAHDSKLIQREGCVEEQ GVYKEFKKLTSQGKLVPTNRQWREIQIATCTDPTDTVNNRVGAHQVLIDGKVMHQREY MGVKVVLPV AOR_1_660024 MSDDEQHDQTFEQHQQHASPTYAALVSTLTKGAHVIIRGRPCKI LEISKIGTNIHLVARDIFTDRILSDDIESTQSVEIPHVYRNEYMLVNIDEGFLNLTNQ DGTMKDNVKVPGGGLGQQIEQDFEAGTDLLIAVISAMGEEHAVSVREAPKGS AOR_1_662024 MAKSKAAKRKRNAQVELPQKVPKAAPTLTPPPDGEPKHLKTVVP DEDLEITIDTLTTLAQYPALTKSKACKDLRAAVYDFRQACTTGVNAAEGANLTARITG ALADEKYIDAKILLAEMRIRGEQPKIGALCRWVRDLDVVSGLSTQPDAIDRVPLERSA KELELLRVLDAILRVSTPIDTNPDAVDSTSPIAFQSIWDLRPSSAPLPVYASVLDKSI LADAPKSPSALRVIETTPGPLRKPPNHHPAILYTSAPNAVPLAPVGPTITYHPHPAVP GLGLALNVLSPAECKAIIAAGESVNFLPDAPLREDGDMSILAHNFYWVVDTTFHDMLW ARISSYVPQSINGRLARGINRRFRVYRYVPGAEYRCHIDGAWPPSGILPDDTYVYDAS PEDKRQSSMYTFLLYLNDEFEGGETTFFMPAAREGTLNAYPVRPVMGAVAIFPHGEAN GALLHEGTGVRKGAKYIIRTDVEYDVKPCEE AOR_1_664024 MSQSKPSIIIVPGSFSLPEFYDAVTDRVASKGYEIKAIRLRSTE KLQQPATMYDDAAAIANEVAALVDQGKEVILVAHSYGGVPASESIKGLAKTEDSGKAG GIVNLAYLTAVVPELGASSADVLADIPTENRVELQLEDDGYLVMANPTASASLCFSDL PVEEGEAWMKRFARHSAASFTNPLTYAGYKDLPVSYLLCADDKVIPAREQQKGIDMIE RETGREVDVTVIQTGHFPIPSAPEKVVDWITSLASRYEKGK AOR_1_666024 MPIAICGMGMRLPGGIRDSEALYDFLLNKRDARRTVPPTRFNVD GFYDPEGKPGSLSSKQGYWLEDVELSNFDSSLFSMGRKEIENLDPHQRLLLEVVREAF ESAAETGWRGKNIGCYAASFGEEWSNLHAKDAQNRGFNVITGYMDLMQANRISYEFDL RGPSMTLRVGCSGSGLGIHLACQSIQLGECSSAIVAGANIILSPETTMLMADGGAISP DASSKTFDATANGYVRADGVNCLYIKRLDEAIRDGNPIRAVIRGTSTNAGGKSSALTA PNINAEETLIKAAYRNAGVDPARTAMVECHGTGTAMGDAIEAAAVARVFGDKGIYIGA VKPNLGHSEGASAISSILKAIVELENRTILPNIKFNTPSPRIQWDEARLTVPIEPMHW PEDREERISINSYGIGGTNVHIILDSPASFGLSNTESPAEQAPDFKLLLLSAGHSDSL TKMQNNYQDYVTKHPDRLTDLEYTLAERREHLPVRGFFISNGNHDEAFVSPSFTSHLQ KKVAFVFTGQGAQQMDKLLQKQKDPPEWRIEEQLLTPPETSLLSKAEVAQPVCTALQI ALCNHLARWEIFPSAVVGHSSGEIAAAYAAGSVSMHDAVLLAYYRGAASKEQTREGAM AAVGLGYDDVVHWLRPGVVIACENSPSSVTLSGDADVIESVLSAIRNDRPDAFQRFLK VNKAYHSHHMQDVGHLYDSLMANQPAIKRPNVPFHSTVLSRQLHEPEDFGSSYWRLNM ESPVWFYQGFNSLLQSEVGANGLYLEIGPHSALAGPIKQIYRAQNVSNPYLSSAFVAI YQGQPRLLEKSRLPTFIEEMYIRGGVGEHDIHLNTTARKQTIQSHGVVKDTLIFFVKG LELSAPETDGNEQEQQSESAQLVWKPDIHFVDSTSLVQPAQQAELLSANPLIERIFLL CAIDLMDDIDGIPTTQPHLELYRTWMSEQPSKAQKEGSPLVPDAKDLFNLSASDRKEL IHSLVASHTDGTMIPGSRILFLCYRHMLDIFRGRTNPLELMRRDGLLARYYDCLQDKH DYKGFLQLLGHLRPRMTVLEIGAGTGGLTAKILELLRSEAGEDTYQEYMYTDISSGFF VDAQERFHDRPRIRYDVLDISRDPIEQGFPEAHYDLIIASNILHATPKLTETLKHTRK LLKQDGRLLLQELCPVSKWTNFIFGLFPGWWLGKDDGRPSEPYISPTEWNCRLRAAGF TGIDSSALDAERPYQLNTMLVATPAPLSYVKRVTVLYTGTSHPVVHDLRQRLISDGYK VDLVSWGDDLPADQDIVFLLDLEAPFFDSITEENLDTFLQIFNHHSSSRLLWITHAAQ ILPQDPRFSQVLGMARTLRSELGVSFSTLELESFGPGSMEAISLLLQHIQQRTAENTE ESEFDPDQEYAWVNGTIHVGRMHWLSVSEALAQSSGGGEKAILEIGRPGLLNTLRWVS QPLKLVGANEVRIKTMSISMNFKELLLAMGVVPIDCGQELVGTDSTGIVTAVGSNVKN VSVGDRVMALTVESTSYTTVLQLPSHLCIRIPDDCSFEEASTLPTVYLTVLRTLREKA NLRRDQSILIHSAAGGVGIAAIHYAKWIGAKVYATVSSPNKIKFLVNEMGVEREDIFY SRDTTFLDGVMRATCGRGVDVVLNSLSGEQLHASWKCVAEGGSMIEIGKRDLLGRGKL AMSPFLANRSYIGADIATLSVLEPEWVQEQLATIVNLYKQGAIHPIRPVKTFPVSEVE DAFRYLQTGQHIGKLILQFSDSPDLPMATRVPVLDLRGDRAYLLVGGMRGIGASLARW MVYHGAKNLVFLSRSAGERDEDKTLIHELCDMGCQVFPFAGDVTDLATVKRVIESVTT PIAGVIQLAMVLADTGVMDMNLETWNTALKPKVDGTWNLHKALPTNMDFFVMASSLSG TFGNYGQSNYAAANTFLDAFAQFRQSQGLAASVVDLGVVDEIGFVSRNASLHRSIVQQ MGAPISENSLLSCFHLAIIRSHPRHEYSSVFNPLDGFRSPYQLLHGLQSKVGIAKNQF MWQRDPRTAFNRTHMQKDASTHDGSEREDGGLRSFLAAIHDNPGILQEQSSVERAATE IARQASAYTTRRADEATNLGLSLHDFGVDSLVSIELRNWWKQSFGVDVTVLQLMNGGS FMDLGQKAVDQLKQRHLKV AOR_1_668024 MSASPLPTQFNTLDPASSCPRILCLHGGGSNSQIFRIGCRVLEA QLSNDARLVYADGPFFAPPGPLITGVFTEWGPFRSWLPPDLGVGPGKGQGVKLIYEDP TDADMVIEQIHHSLQKAMEDDDRNGATGPWVGLLGFSQGAKIAASLLFRQQTDPERFT SLPFFQFGTLAAGPAPLVWLGSRESHQVGGKSSLDPLLRIPTLHIYGTRDHLVRSSAD WLYQCCSPDSARLFVWEGEHVMPTRTRDVSAIAEMIIEVLRGLAN AOR_1_670024 MQGTSFLFGTLTPEHNWNSIRDLKCYFDQNLGAEWILAAVSGLP DYWDLLVKKLPSIDGSFPGRQVLEDFHRWLRQGFNADETSSQLGNTVLLPIVVIIGLI EYWRFVEAKNPGCEDPLQEFSSKLQEGEMCNSEAIGLCAGQLTAYAVASSRTRSEFER YGSVSIRLAMMIGAATDALDARHGKAKSYVAAWRNPEQCEQMKRAISLVHPQAYISVW LDERRVTITTTAQWIRSSLLRQFQGAGLTVAESTIQGPIHSPSSENKSLAQGIREICQ VTPALQLGDISNLALRTYTNDGHGTPVDRGSLHDLAVQSTLVAECNWYGTFASLPLSH RNSTQGVVAFGPDRCVPQSLTTSLGGRFIHVSRLGHSDALPNGIDTSTFSATPTTTAA TPAAMTPALTTPVSPSLVPPASHIPTQQTEYVQNTPVKQLRAVDMANPDNEIRAKQHQ QAEPSLSTESTVAIVGMSIKTAGADDVDEFSRMIQTGTSQHELVGPDRVKFHTLWREG DWDPSYKWYANWMRNIESFDHQFFKKSPREAANMDPAQRLSLQAAYQAVESSGYYCSD RNPTTGMDRDETDANHTGVYLGITLDDYQNHVRSHRANAFSITGTMRSLIAGKIAHHF GWTGPAMTLDTACSSSGVAIHTACRAILAGDCNAALAGGVNVITDPLAFQDLAAAGFL SPSRQCKPFDNSADGYCRGEAVGFVFLKRLSDALADGNQIFGCIAKSSVYQNENHTPI FVPNSPSLYNLFSSVIKKSQVDLSDISVVECHGTGTPVGDPAEWESVRQALEGPERPE PVYIGSAKGHIGHTEGASGLVALIKVLATIQSGYIPPQASHSTLNHLIQPTDTMQIAK ALQPWRATRKAALINNYGASGCNVAMVVTESPVSQPSHDQCISEELMNVPICISGRTI NTVKANCARLLKYLDIHTGISLVDLSFNINRQCSRLLPYRFSFTCGSLDELKMSLSQI VALSPGPEADTMVTPCQPERQIILCFGGQISRAIGLDRSLYDRTKVLQYHLNECDRAV QSLGAESIFPDIFSHEPVEDQQHLQTMLFAMQYSCAMSWLQSGLSKRVATLVGHSFGE ITAMCISGVLSLQDTLKLVVGRAQLVRDSWGSDPGAMLAVEADEIAVQTLLSQANRVY TGSHPASIACYNGPRSFTLAGSEEAIGIVTDILSETSPPIRSKTLRVTNAFHSSLVDT IASRLKAVGGSITFKKPKIPLERTTEARSSAGLLGPQYVAEQMRQPVFFHHAVQRIAK GHPNCVWLEAGSSSTITVMAQRALDSTQRTSCHFQPVNIDDSDAFSNLAEATASLWKE GLSLSFWPHNAIQTAEYATIVLPPYQFEREKHWVELRSPVELIEQIKDRVRAESTIPA SESTADPNQKWLFTGYKDSHVKGKRQPCFNIITASKEYQSLISSHIVAQKAGICSGML QADLAIGALFSLHPDWITKGITATVHDAINHAPICLDSSRVLSIEFEDQENGFWDWKI VGSSMVAPRVVHTTGRLQMRDPKDVSYQAEFRRLSRMVDHERCLAVLNARPRDNDVEI LQGRSIYRAFSDVVDFGQQYRSLRWLVGRGNESAGRFSKTPQNDAWFDQLRGEHISQV GGIWVNCMSNHSRDDIFLGAEIETYMVSPDWVNRPLPDQCDVLARHLKHRNTGDYTSD SFVFDPDSGDLVQVLLGVRFTRTPRASFVRALQHMTPGLETGHPESMLGTTLQPIPMT AATPVAVAEAPKTVSPKANIHETPTRNLLTEMTELIANIVGVDIAEIGPDANLVDFGV DSLLALELQGEIKTAFSCAPDVVAILEATTIRDLVACLPIPRDMLQHETINNAESTDD LFHEVSSLSQASDPYLSTPCESSTSGSFIPLDLLWRASDILESFSAIKGRGDQMILEH GLGNFESNIVPDTNRLCTALVVECFEELGCPLQSANPGDVLSRISFKPQHTIFVNYIY HFLEKTAQLVSLDQTGRLIRTETPTPTMSSKDMLPELLKKYPDHGDFTQLTYHAGIQL ASALSGLTDGNRVLMSNPEGRKLCESVYHNYPLNRIGFETIRDVIIQALKHIHIDKTP LKILELGAGTGSLTSVLLPLLGSLDIPVEYTFTDLSSSLVAQARRTLGRQYKFMKFAV QDIEKPPSKEIAGQQHIIVASNAVHATRSLEDSTRNIRQALRPDGFLMVLEMREAWPA LDLTFGLYEGWWLFEDGRTHVYTSPEVWKRSLTAAGYEAVDWTDGKLPEHKYYMVVIA LASAL AOR_1_672024 MPIAVTTGTGADGIAAPGSSGIKVLVIGCGFGGIAAAIECYHKG HEVVVFEKNSEIGGLGDTLGISQNGTLPIYKWDNGMVQQKAAEICCNYATHKIHTSKG ELLLSHPMKGYSAGSGYTGRRGDLLLCMFEYFQKLEIELHLNANVKEFFETDDSAGVI VNSQRWEADAVICADGVHSAGRHYVLGKEDLPRPSGYAIYRAWFVPEPSLRENPATSW IPLPGGEDTVMNFIGPNVHTLIATVKRNTGITWVLTHPDEYDIEESWTHPAKLEDALK VVEGWDPRIAEVYKITPPDQLFDHKIIYRDPLPTWVSSKGRIILIGDAAHSYVPTSAQ GATQAVEDAATIAIMLELAGKSQVPLALRTMEKMRYERCKIGQLMGLETRDIWVKTDW EAVRKDPQLLAQPRPNWLLNHDPQAYAYEEFETAANSVLTGCAYTPRNIPYEGSNHRA TDFEDEKWGNRHKESADVGVKV AOR_1_1516024 MGGTSPSGSLVEDSSNVTRNSHRDNHGLESDNASRNQGFDWRNG VAGGVAGCAAKTIVAPMERIKILFQTSNPHFLPYSTRWNGFIEAISHIRTSHGVPALF KGHAASLIRVFPYAGINFLAYEQLQRVIIISPKRDTPFHRFFCGSTAGAISTAFTYPL ELIRIRLAFEAEQHRHSSWFGISRRIYFESGGKGSLLNLYQGIGPTMLGILPYAGTSF VTHDLMREQLRSPLFAPYALEKGSSTRLTAVAQLCCGAIAGIVAQTVAYPIDILRRRM QVGSVVGSRSGILETARRVFMERGVKGFYVGLTIGYMKMAPMVATSFYVYDRMKRLLG LIE AOR_1_676024 MIVDASADHAPEQHSLHEKKSPGLQDTNLDQQSPISPSDEGEYP TGLRLTFVVVALILAIFLTSLDFTIIATAIPRITDDFHSLGDVAWYGSAFFLVTAGFQ TAWGKAYLFFSLKITFLLAIFLFEVGSLICGVAPSSVALIVGRAIAGLGAAGVNTGSF TLAAFCAPPQKRPIFTGLIGLSYGIASVVGPLLGGVFTEKATWRWCFYINLPVGAVSA IFIIIFFQSPQASKSTDTSSLWSKVMQMDPIGTFLMMASVTCYILAMQYGGLTHPWNS SVVIGLIVGFVVILAVLCGWEVYMGEMAMSCPRLVKKHAIPSAVGFFFFGSYIVVIYY LPIYFQSIDGTSAIGSGVHNLPFILAVSIFTVLSGILISMTGYPAPFVISGAAVGTIG CGLLYTFNIGTSTGKWIGYQILAGVGNGFGVQVPMIMAQGSTEPKDMAATTAILMCFQ TVGGAFMQSGAQAAFANRLLIELPRTAPEVDPSAVVAAGATELKSFGSSLQGIRLAYM DGIKVTFAFACASMGVAFILAFFARRARIGGEAVKNAMAAA AOR_1_678024 MASPNRLTVLSNVIAEKTKVISDFLASKGVEPPSFDVDGQADYA ISADDKEAYEARLELIAASKELYALSHGPKDHIRNICWDAMDPLSLHAIWTFRVPQAV PLNSKISYEDLAEKCHQLSGIFVPLFTFRRIIRHAITNRFFCEPELGFVAHNRASRVL LEEETLDAWVGLFCNDMWPGFVYTVEAMKRWPGSGEPNETGINVAYGHNLNWFDHTSR NDVVADRYSKSMKAHGGGVGFDVSHTVTGYPWADIGEGTVVDCGGSGGFASMAIAEAH PKLHFVVQDQEHTITEETKAAVPQHLKPRVRLEVHDLLKPQTVVADVYFFRWVFHGFA EKYAIQVLRALIPVLKKGAKVVINDGVIPEPGTVPWMEYRSIRCMDLLGMAVNNTGER ALDDWIGLFEAADPRFKFLNAWKPPKSTIWFIEAEWQP AOR_1_680024 MDIIHRKKEDIGTAANIPSPVGEEDLKPAVTEDAVFGVIEGDGP NYRNLGWVGTSVLMMKTQIGLGVLSIPSAFDTLGLMPGVLCLVAIAIINCWCSYMVGS FKLNHREVYGIDDAAGLMFGPVGREVLGAGFILYLTFVAASGILGISIALNAISTHGA CTAIFVAVAAIGVFCLAIIRTLSRVTVIAWGGLACILIAILIVTIGVGVEDRPYAAPP GPWVSDYQIVNNPSFADGISAICTLIFAYGGVPFFFPIIAEMRDPRHYTKSLVACQTV VTVIYVTIGIVVYYYCGSYVASPALGSAGRLIKQIAYGISLPGLLASATICTHLASKH FFVRMLRGSKHLVANTLVHWGTWIGCVLGVAVVAYIIASAIPNFDSLISFIGALLGTL QSFQPSGCMWLYDNWSRGREQRSHKWALGGIQYRKVSPYMPESDGGNDDILQQWQKWI DSEMTYTSIWVP AOR_1_682024 MPQLLHLPGELLARVISHIDQSALKQLRQTCRTLAQFVSRELFR TVHLFPDEESYERVRNISNNTILRSLVRKIYINTCYKDSEWGDPDCTLTEPFKDAILQ LKRFPNVQSTVLRFDKNCCVDDDGVEMWRSEWPQPPTYREEVLHVFFSWLTSLDVPTK ELGICNLQDLTIKDTDTRAMMAKVLCGLQSLRLNIATEHHEASPEEDLEFPEPHEFFA EMPFAWLKPTMGSLEHLTIYCDNYWGFFPKLDLKGIHFPRLKTLALGNFGFAQDAHVE WIVSHEATLAELYLDDCTILYDVGITKENIGRCSFEKTEMEVRIREDCPSLSKHYRSY EKRWHDLFDTFRTRLPLLRHFRMGTTCWSDGMPFEKEANINIGLMNDRYMVCYDGYGP SPYMTGRGNARDNEKVAPECDEEDRNALRLLLQSIGQSAPESWSVDYREVEDLLDTEY R AOR_1_684024 MIYWTTLVLGLLLQLLSVSAGTTLATTTTSSSKYEPTQPGIPSN CDKFHLVAAGDQCGTIEAKYGITDAQFKAWNPTINSGCTNLWLDYYVCVHVPDDTTTT TTSKTPGPTDTAPEPRMPGIVSNCKKYYLVKKGDDCYSIDTAAGITLAQFRSWNTMID AACTNLWVDYYVCIGV AOR_1_686024 MRSSLVLGVSRLILLVPLLSSTASAADNRTCYMVDEKTVAVDDV PCSTKETTHCCNKNDICMSNGLCWVQSTGDMVLSRGSCTDINWGGDCVAARPCARANP TSGYPVVNADIANHQFCCGSVVSSSSSDGIKCSGDGPFPVPTGTVIPGVAALASVAST SSPSSSATHPAGNSNDTSSGNDTSSEKPEKSDDQSTKLAIGLGLGLPLGIIAGSALMW GAWERKKSVSARREMDQLKATMSYQYAPVPQMQAAPPVEMGHNEYRVAELPPGGYNK AOR_1_688024 MENPNANSPNPHTEIMDNAAARIPEGWTCDPGYLQRFFRPGTGR RDMAQYYGLTDPTLVLMETPDTGSMGYIIFSGGRYYGGDLMSDYMFEITRPTTFPEIL RAIDEQDFRGLRKKKIKPAMEE AOR_1_1518024 MSEQLLPWLNGQFDFRLAYTYSHNQVVASLQAIVDNPGVHGVPS ENVPDLVLIHQDICTLRTPDGPDYLTPPPPQNIYRAGSSNWPHSISSIELRTSVYDGL PYWALPDLLGLFLSKLGRAPVGATKRNFYLPLTAVFGQWCAKLIVVRLRYTPRVYQCS WTDGGEFCLGASRGGFAVGPELGSWLAVVDRARYGLVRSELLSLQNFSQVWTPTIRQR GRYGWPFGRCGETYPFRRLLMNRDSNEAQRVSGLALSNDYIQTAPVYDDTLSGDIWQS LWDPCANCQVLIRIHGGQLTNFHRWTGSAGAPP AOR_1_690024 MASIIKERDFGPVPISADTLGEFYLNELTAIEEQYHKLPEVVIL DAKFKTSSGDVRVELPMAFRGPMLFN AOR_1_1520024 MSNTTSEETPGQRQIACELCRRWKVKCETAPDHSTCSVCERRGA VCVRTVRSRVSRRLGIKNRNKQRPQSSVVRVSDSFHAQRKECSRSQPGVEAGLVNEFI AYKQWTRTFLHDWLSLMSCKTPLYYLSAQWEEWLQCEMGCQLGPLRDSINDSLSSKES FPNKYFSNGRLPVFTALPPQREAVEVLNTFFTYTTDIFPLFHPNTFTELLNNSYERRR PRDPSWWAGLNITLAIGYRYRSMHQPSQSGARTKSNVYYKNAMAAVPELLLRKPDLMA VQALMGIVFLSCNGFETPMYQNLLTIAMRSCAQFGIHGTCAIPRSTCREQDQPTFILS LGYMMEELYRPWQGLPPSDASADLGIDSPHPDWVYESMAQSSGLSDMDFLTWFRRLSV IRHKIYTSLYTTKAMKTFLHEQSSTIQALQLELTSFQRTLPVEPSHAMEFVTKLPGRL KSPFVSLLCAYHNTVILLHQTQIFFRAPKTPTRSRATEFSQTACVGAARQTALMLKAL PPGWWPYGIRLVTLYIFAAFNILFASVLDNPNSTAVQSNLELMEAMISRLSTCATGNG LSESPSLAEFTFFLHTSRECLGMAYSKVHKAQS AOR_1_694024 MTITHSKTILVTGASGFLATHIVKAFLQQGYYVRGTVRSDQAAA NVRKGFSQYSDRLSFAVVEDISQPGAFNEAVEGVDGIIHTASPFQTSVRDNKRDLLWP AINGTTSILQAAQEYAPRVSRVVITSSMAAVIDVGKGPQPGYPYTEEDWNPMTFEQAE NAHGIVAYAASKALAEKAAWRYMETNTPDFSLTTICPPMIYGPVEHHVSTMERLNTSA GDIYRLFNGSSIEIPSAILPVFADVRDVAQAHLSAFESEKGAGERFIVSSGGYTYQEV CDILRSVVPEVRDKVPIGQPGKHESAVIKVSNNKVKTLLGITVHTLQETVKDTAYSLL ALEKAFKA AOR_1_696024 MGSTNFDQRLMCRVVDELAESKPEQLFCVQPISSDLSQGWRNVS MRDLAGAVDYTARWIEMAMGKGFDFQPLAYIGANDIRYVVFYLACMKTGYAALLPSTR NSIVATSHVLGATECSKVVYSAERHKIAEEIGDSVQNVRLYEIPSLWEVFGAPAEPYA FDANFYDLQNKPCIIIHSSGTTGLPKPVYLTNGFFSVIDNFPRTPTPPGRLNKSITSV AQGRRLFSMAPMFHLMGLVILSGAIFHNTPVVLSPERPMTPGLLSQILETARPEVAML TPSVIQELSAFQEGLEGLQKFKAIVFGGAPLSPEVGNKLNEKVALHSIIGSSEAGFMG ALICTHSEDWEYFEWNPYSGIHMESVDDGVFEAVIRKDEHHEFLCIFHTYPDITAYRT KDLFTPHPTRPGLWKYHGRLDDVIVLSNGEKFNPTDMENIVESHPLVSKAIIIGQGRF QASLLIQPNWGQWSEDQDKADFIDRIWPTVERANGIAPGHARVLRTKVALAKQDTPFA LTPKGSVQRRQISEDYETEINALYAGEDEECTDDLPQSTDLEDIKQYIHTVVSQLLSV ERISDTSDIFSLGMDSLQTLQLSKILQTAVRHLSADKNSEPITSQKLYAYPTLDQLSQ YVYRLLKGETMEEKAEDEEATRPGRIEGLINKYTNDLSQRAITSFNRSTKNAVILTGS TGSLGNYILNELLSDREIPMIYCLNRAEDARQKQIASFKEKGFTIPHDFDERVEFIHA QFGALNLGLSIDKYNELTKSVDLIIHNAWKVNFNHKVEAFEDPHIRGIRSLVDFSLRS AHSAHIHFISSISTVSAWGPQHGPSVPEISLDDPDVALRQGYGESKFVGERICAIASS IAGVPSSIHRVGQIAGPTTEKGLWNRQEWLPSLVATSKTLGKLPNTLGTMPVDWIPID IMAKIVVDITNSRRATEDLTRTAAFHLVNPRSSSWESLIPAIKKHYKAEVVDMHDWMA TLEAFRNPTDGDLQDKPALKIIDFYKGLASNHGTFTSVMETVKTQEASQAMCDLGPID GAIMENWIKQWQF AOR_1_698024 MSELNPTNIEALKRRMQSVCSDPDKGLPGVSVAVVGRDGKQLFS HAAGKRGHGSSELMSVDSVFWIASCTKMITGIACMQLVEQGRLSLDDAGQIHRICPEF DDLRVLQEDGTLVEKNKGITLRMLLTHTSGFGYTFYNEKLRDYARPAGFDEFSGYAEE FNQPLVHQPGEAWEYGIGIDWAGVVLERVTGQSLNDYFHQHIFEPIGLKHISMLPTEE MKANLAYMHQRAADGQISVRDHLLRRALTVKSESDIKSYFNSGGAGCFSTAQDYSQIL AILLNGTSPTTGKQLLKKETVDEMFRNQIENLPPLAEKYFPDAKAEFVQSGLGLHPTV EGDRQGWGLTFLLSGGSTGRSIGTAQWSGVANLRWWCDREKGVAGFICSQVLPYGDEQ LFQLSQDVETEVYKGLAL AOR_1_700024 MNPSAALAEIDPSKINIISEIARSDASSIFKVDLDGQKYALKIF HDNGDPGYTEKGRDLNRFRCETNAYEKLLASGVCERSIVPKFHGCINEVDPAAFHPAL RHFAQDTFKPRGILLEYLPNAESLNCVNYSDTLYPQAIEGMKEIHKAGVHHQDIYPRN ILLVRGNPDRLVWSDFDVATTFTDLGPEEQALCDHEIALVKGLGDLLREDQAEGLPPN TKFY AOR_1_702024 MALNEIRGRLALITGASGGIGAACSHQLAQRGVHLALTYSTNST SINELVKELQSKYAENKLRISTHKVDVGSADQIESLFHEIDTQHGRRPDILISNAGYG KRITNIWDIPHEEFDYMIKVNLRASFVLVKGVVEHMKAQRWGRIVFMSSIAAYGGGIN GCHYAASKGGLTGMMKNLSTRLAEYNISVNDVAPAMIGDTGMVPNASAIQDTVATIPL GRLGTPEETANVVTMLVTTGYMTGQSLLLSGGLK AOR_1_704024 MMRLQLIAATLLLSTTAQATQSFTNTGTTSGWSWKNIEHNGTVE QVTDIVYKGSTALKMTQIYDQKYTNRYRNNDDADDDLVKPGRYHSEVIKNDVYKLGDE GYYGFMFRLQDTWQFSPVQSYNIAQFMGEFPDRGCEQFHWIPSNMVYLYGDQLYLHQR TGKVCAPKETIYSNLATVSAGEWHKVVIHSEWRADGTGSYGLWFDGVKVLEEYDIDTT IDDGDTAFQFRVGIYANGWHDNGGMKGTQGTRQVWYDQIAIGTTFADADPDQW AOR_1_706024 MGRLGYRKSKNGCLRCKKRRVKCDEQVPCTACKRHKVECSLERP GRGKALETSHADPPKHHMRPKPNNVHSLLLSGQSPRANSFPEACTAIGFSELCLLDLE LMHHFTTNTCMMGPHVLDPSVFRDESPRLGLRYPYLLHQLLALSAFHCAYLRTELREK YLFHGSKHQAHAIAGMRLALTGKMTEETSFALFMTSALLMTSSFASHLKYPHNEAKPP LAGMLEIMALVRGLSAIKTTTHAELQFNVLDKLKHHSGSPPCWKALDLFKTQLTILQS RISNLTNIDNAILALLNKGAQSMLDCAAMPATTMTGELHVVFTWLSILPGDFFNLMQA QHPGAMVVLLYYVVALQEVETQCWVLEGWSAQLTSNIVDILFPPWTGLAQWAMSELGY PSG AOR_1_1522024 MAPPLCDPENYKDATFAFYRFAPSVEANIIFTKIWYLWPLVVGG ACEAVGYIGRVLNALEDEGCWNIGPYVIQNTLILLGPAFMAASIYMILGRIILLTAGE HHALVKRKRLTKTFVWGDVVSLCTQSTSGSIMAAPDLWQIGEKVIIAGLFVQLFIFSC FLVIAINYHYRLAKSPTPESNNPSIRWKWYLFTLYLTGGLVLVRSVFRVVEFIEGNHG PIMRSEAYVFIFDGFLMVLVLLWMNWFHPGEIGLLLRNEVPITNGLQLMKIRQGRKRC NTMESLNSKLPLIGRSNGVGHKAV AOR_1_708024 MPAHDSKIKRGRNGWEYKVTLNANFDANSMYASIQPYRVQKFIA GGDKAHGSDITTGNVIFSWMGANGGVASHTDKVDAVRSTYQLPYPIPRDHRGHTFKVH SIRFHDIHVEADDCVWKGREDDVEWTCYFG AOR_1_710024 MPAAAMDALGAFSHLTDNLPTWINRMSDLATHTAAKHAEYAEAY KKLAVTPGKPRRRKNSSVCSIRTDELRNAVTQSPPPAEAPTQRDPETPQPASQDLSTP NPNPRKRGTDEAPSLASEENPFVSTRYNLVIHYDGETQKSLEEMVRIIGTARNNIRRG KMSQMGAMRSSALNKPTRMNSPPLPPPGVAADAQLLSQIRSTRNRGPPPQARVMAKNS PFEMADRQLELAHSMCETAAYQFLRTGDCSEELQGVLDKFKALLELATGEVRRLTEEQ ERERAAKEKEAPQVESVQLTVSPASNKGPSSDVGAIEVDDGTESEESIDLSAFRARRM MMRA AOR_1_712024 MSAFEDMPTLVIPKKRCREEIPQLMDKKDRLDSETHKARKKIKA QGSFNAQFWTAAAEVEAIILSKTRVVSEISLAQFEGEPSAWERTEEARRLFEQMRAQQ RRLKSYQKQAMTLAKPKRSLRECFMNLFTSSRIRLGITTGVGERDHSVQSNFRQQLLI DYESERDDGLIWCPVSHGWIYSDHMTASHIFPYKHGQATMDAIFGRVRPAELFSSRNG LMLNSIIEKHFDSGVMVIVPSLPERPTDTMLSKWVGQEVREYKLRIIDNTWQHLDRVI NKDGLTWRKLDNRVLQFRGNYRPAARYSYFHYCVQVLRRAWKLGPGQKTVFHLTDEFG KPFWGTPGRYIAKNMLRALIEELGHEYDNLLEGATLLSRGDDDLLLNTAVAQIAPIEE ADVEDTDEEDFDDYD AOR_1_714024 MKLTRYLSLLTLVLTANAADCTAPNQQWFSDAAVQMMWSIRAWL CPNAWSQSITAGPDGGWCDAGGGVISAYWGSWTISGMQSEQQCWDITEQIINQCMWYD YASTSYNGGTWTYGSIYAGGWFWADTSKSCSHAVKRDVMIENEPHLNFTLADGSTQTV DRQVWLDFTPEGTTVVREETYA AOR_1_1524024 MSTLFDAVLQSELDSNAGSRDHRLHSDQLPSSRPHPPSESNGPM SDMHAFPDDQVVESNSTVTRRRNPYVKAPPPLVDVAGEKVQQAFEELLETYREEPTWS APPPSSEILSDKYYIAQIHGMNKHSLSTLYVDFTHLTSLDSPILADAIVNQFYRFHPF LTKALHNLIAKYEPDFYTHHRKVDKVNSDAGTSMMGGNSSVSISDKHEMGEHVQERTR HQQTDKLFSLAFYNLPLVSRLRQLRTSQIGKLLSISGTVTRTSEIRPELSLGTFICEN CKTVCPDVEQTFKYTEPSECPNQSCGNRSGWRLDIGKSTFVDWQKVKLQESSHEIPTG SMPRTMDVILRGEMVDRAKAGERCIFTGTLIVVPDVSQLGLPGVRPEAVRDDSAFRSG DVGGGGLTGLKALGARDLTYRLAFLACMVTPDTTTPGQQTNQQLNGQSNNILASLNQL TEPEDNEDKAQEAFLHTLTPYEVEDLKGLVHSDYIYSRLVDSIAPMIYGHRQIKKGLL LQLIGGVSKNTAQENMQLRGDINVCIVGDPSTSKSQFLKYICSLHPRAVYTSGKASSA AGLTASVVKDAETGEFTIEAGALMLANGGGICAIDEFDKMDISDQVAIHEAMEQQTIS IAKAGIHTTLNARASILAAANPIGGRYNPKATLRANLNFSAPIMSRFDLFFVIRDEPN ETVDRNLADHIVNVHMNRDEAVQPELSTEQLQRYIRFARTFRPVFTDEAKALLVEKYK ELRSNDSQGGNGRSSYRITVRQLESLVRLSEAVAKANCVEEIVPRFVQEAYDLLRQSI VTVEKDDVEVDDDEELANAAGHDEDHEMGDGERDREGDSPMREDVEEQPAPSRTRTKI TFDKYMKILNLVVRRVNEDESTSGEGVEEEDLIVWYLEQIESELDNEEDLQRERSLAV KVLKRMVKDNILMPIRGEGLVDAADGDQETSHRTVYVLHPNCAVDDIVIPDPNSRR AOR_1_718024 MSVGTPPLVNLAHSDPNSVPQDISPGSEQQATPQNAGQNPVRVK RRRHLPASEDPSKNQVFYFVDSNSSSREKRAHVMRHHVQEKRKQLKHARPRSDSDKRV HRPLRYLSWQQKKLLLNGDDSEVIEYKRSEDEITPKDVSIMPVEPNSSAPASYSVSPV TLLDASGKDPFDSLPVTCTREDFILMDCWTNRLTYWSGEPRLIKDQVFRAVLNHPLPF QSIVLAYCSRWRAHAYGLKWNPEVEQHVGRATKGLEQVMKGGMKIDTDSLAMALTGMA IQEERFGSKQQARGYVDQAVQILRSRSGSNRVAEALLHYVQFMLMPLHPAVPEDGQQW LVTFLRGAEELMLEHSSDAYLSSVPQRRSTFQMDSPLFPLLSSGPRPSHVPLQSRIYI ITKTAPTQELTRTAALIYITAALWDFQGSPSKTGRFLDHLRAIVKNHELDRFPACESF VWLLLLEGYEADLQEPERSWSTSELLKLYKQLQPELQFLFSEILFSLLMLTPPIRGID VFERELYSSMPEVQEEP AOR_1_720024 MAFSDVGLRGLHHLVRRDSDNPADTPPTASALVTTLVPALVSAG AMVLIFLILRRSQRRTYMPRTYLGVLKPWERTPPVSTTPWGWIIDMYKLPDEYVLQHH SMDAYLLIRFLKLVSMICFVGACMTFPILFPINATGGNGNIQLNILSMSNVEESKYER YFAHAFIAWLFIGFVMYTVTRESIFYINLRHAYALSPAYASRLSSRTVLFTAVTQDYL NRDKLRKMFGTDKVKNVWITTDTSELDDKVKERDDAAMKLEAAETKLITLANKARLKA MKKQGYVEEGPPTPSEEPSDESGSVAARWVKPSERPTHRLKLLIGKKVDTINWARSEI ERLNPEIEELQAKHRAGDAKLVSSVFVEFYHQADAQSAYQSVAHNLPLHMAPRYIGLE PTQVIWSNLRIRWWERVIRYFATIGFVVALIVFWAIPTAVVGSISNITFLTEKVPFLR FINDVPSWIRGVITGLLPTILQSVLMALLPIILRLMAKLGGAPTAAAVELTTQNFYFT FQVIQTFLVVTVTSSASSVVSDIINNPSSAASLLAKKIPQASNFYISYIILQGLSFSA GALLQISGLILGKVLGALLDNTPRKMFTRWSSLSGLGWGTVYPAFTFLVVVAITYSCI APLVLGFATIGLYLFYFAYRYNMLYVSNADIDTQGKAYTRALQHITVGCYLLNVCLIG LFAIASGARRIALGPLILMIISLVVMVIYHVSLNSALDPLINYIPKNLESEEEALLIQ EKGELAPSGGEHSDDAGASGPGKEGIDNGVTNADSAEKGLTGPAPEPKVNFLTKWLRP DKYDGYTQLRRFVPNASAITTYAPEVERDAYFHPSITSQPPLLWIPRDEIGVSKQEIK HTSRVIAITDEDAWLDEKNKIHWDMDKGVPPIYEEKIYY AOR_1_722024 MSSARTISKRPPKLRAACNECHAAKVRCSGEKTGCQRCANLGSE CIFSISRIGKVPGKRSKANRAAAAAAAAAAVTAPPSSISLTSPSRLESANGTITAFQP FSNAIKDEGGSGVTPSARHVPSNFAVDPMITPLMVHDYSSSIPFYSGASYAPDGLSLA DTAPTSTHNISHPLGPNIDLSLQEPGNFCWASDLEPLSANSLPTPGLEMSASRDSLSL DRRLSHDWEMETNVANGSCDPSRSCTTSIRQPATPPEYLADEGIYPVSALENMGPEAS YTVYLQLLHNIEQTLLMGRHRTKENHTLDAVLAANQQYLTTLLQLSESLSFEQMYDGH LLFTVALSKIITLFSFGYRDFTLRSEAHQSMGCAERLIRFGVFEIDFVEQKAICEGIF LRELKRAGVCLARLMDALRREKFPYAHGRHERLCEEMKQHIDQLTRALEASEA AOR_1_724024 MTNTILDTYSSRRKPRRIGILTSGGDAPGMNGAIRAVVRTAIQN GCEAWAIHEGYEGLIQGGAMMHPLYWEDVRGFLSRGGTLIGSVRCDRFREREGRLQAA RNMVLFGIDALVVCGGDGSLTGADLFRSEWPELLNELVSTGVLTVAQVAPHQNLNIVG LLGSIDNDFSGTDATIGCYSALTRICEAVDAVFDTASSHRRGFVVEVMGRHCGWLALM AAIATGADWLFIPERPPRDGWEDDMCSIITKNRNRGKRRTIVILAEGAQDSNLDRISS SAVKDVLSKRLGLDTRVTVLGHIQRGGSPCAYDRWLSTLQGIHAVKAVLSMTPESPSP VVIIQENRIRTSSLAETVALTKEANASMHAKEFEKAATLRDPEFMEYHSAYRHLNTSD HPKMVLPEDKRMRVAIIHVGAPAAGMNPATRAVVAYCLTRGHTPIAIHNGFPGLCRHH DDTPGSVREMHWLESGDWINDGGSDIGTNAGLPLDDIETTAQCFERYKFDALFVIGGF EAFTAVSQLRKARKQYLAFRIPLVLLPASMSNNVPGTEYSLGSDTSLNTLVYFCDVVR QSASSSGHSVFVVEAQGAEYQATAAALAAGAMTVYTPERGITLQSLSNDIEYLRQQFS KDHGANRSGKLIIRNDQTSTIYSTTEIANIIKHEAKNRFDAQGVVPGHFQQGGKVSPI DRIRAFRLAVKCMEHLETFAGQSPEEIMNDENSATVISIKQSRILLLPMGGPTGVEAT DTDWKRQRPKTQNWLEIQEAVDSLSGRSSLYAIPN AOR_1_726024 MCIAFFSTAHPQYRLIICNNRDEFLHRPTSRADWWPSPHSHVLS ARDLVRPIHPTWLGITKQGRIAVLTNYHEDTCAKAVGKYSRGEVVNSWLALSPDSAQT TQEFVNQWTTNGELANIGGFNLVCGNVMEPLAILASRASETEPILWIADKPGQTVGLS NTDYDDRSWPKVEKGEDAIVDAIAGHVQNQESEDNLIERLLGVLSVDTLPRLSNDAGV QEYIPHLPQSVFIPPIGRDKQARDEAESANQDKREQSPVLPSTPEGTPNRAYLEGLYA TQKQTVILVGFDGRVRYFERTLYDDDAQPVSTEARDRSFEFRIEK AOR_1_728024 MNVFPEKRGTISLFASKGQREGAIVAVILEELKLPYHLYLDKKL EDIGAQSFPVLRNTQSDGESVNLSGFHDVVSYLITRYDTAHDVSYKKGSKEDEEVNRL VASLTEPNAAHPEERRFDLDAKDDIPFARKAISLYLHLEEHLMKSHSNYLVGKKCTLA DLVHLPYVAAAGSAGLDLERFPELTIWYDRVYQRPAVQKGFDAVHLKIRG AOR_1_730024 MPATSAHPWKLQSQDLPYAWDNLIGVDADVAPMSAFDTIIEPPP ANAHRRPNSQDILDSPGYLPQWSPSSADLLPWEAYENWTSPRHSFAAPNQFEDAPVLP PLKQDLVQNTSKLSSKTRTSPPQSHCSASSSTIASLSPPPSSPSGSPSSTPHEGSAHP SSRRSAHNRIEKRYRENLSKNFAALESSLQGYYTRGVGSGRPRFYCQRKHASKKMAVL TDAVNYIGELEAETVMLKKKLETLRQALLPNGIWRYTLTDD AOR_1_732024 MPRDILVVGAGIAGLASAIALSNELAPVVPELKITVYDGASELT TSGGAISLTPVAQRYLDELGVLSELNQMDDQAGIEVDAIDLFSVRAGRRLGPLRFTDE NGHGYGGYKGRRVLRSALSEAMLAVARRLPNVSVHYDKKLIGGSTTAENVTLHFEDGS TATGDLVLGCDGVHSATRTKIVDPGNRSEYTGVSFIQSMADARNFTATMPFTQTAVHL ARHSSLLTSYCDPKHEKLFVAAIVRVSEHLIEKYQAMSGTDLAAQKNMRMSMRYLVRA QFGMSSLPYIREIIDRTEDWMLYPVYQVRQRGKWHTDRILLLGDAAHAMPPRDESAAY AVEDAIIFSKLLAQNPDCELLRLFEEYEELRRGLVNKAFDASRRLWQSDLDKGLFPGQ TRDLMSPVHLPPDSCVGKRATQPINKRNLPAPTHESFSDLSVYSLTSDLGRTVDATNA D AOR_1_734024 MDPPQELDISASLSETVETRREQAGAKGALARGLLALQNRSSQL PSSSEPSHMSETTMAPESRGAEAALGATDTSAKPQNNPQAGHTFTVVVPDLSFYELDD DLNPPMDIAQALSGFIQNEASDHQLPVNDLAVASSLSIQIEDAEPGPSTRPADPESLE WPDEMLDSVNDNDTSEFDVIKSWFKGLVNPTMEDAVKYKAAKLQEKARETRVSNREAL QLQDDEYDEFVGDEENPGLFVTPEPAQESSSHVQLPEQNESKLAAEPTEEPKKTTRKK RQNKISAEEKRRSMQKGKEPEFDLESLLASNIIEDAHVNSALPAAPGFTERNKEKALL QLIAEIPTKEQEQAKDDKRKILEATKKFNNSARSDCKGGWKVKGMKTSLYNYQVLGAG FMRDRENSSQPPYGGLLCDTMGFGKTIQTLANIVDGRPPDPTDPVRTTLIVVPSQLVS HWMQQLEKHCEIDAIGEVLVYRANARYLTLDVPKSIQKHNIVITTYDEVRRSYPQCNV PSQIADKDKLIEWWKETYENDVGPLHQIKFRRIILDEAHVIKNHLSQISIAVRALTGH YKWVLSGTPVHNCVEEFYPLFDFLGVPRTGTYENFWKLYCKDNEANKCLVNLLRSFMF RRTHSSRLFSLPIIKLPDIDERVVQTEFCKAERVMYDAIIDAFFEIINGFAHVENPRV KQYRCFLTMILKLRMFSSHMLTAQDIIKKLLSKGLIRELIDILKVERSPESPSYNIIQ WIRTMRKDTELPATPQTQGFTGLCVEELHGDKEELAKQFKAFMTTLHENEQWLERFER GKCASCGFMPMNPLVTSCMHLYCEECYYLLMKEGETRICSSCNTCIEAAAMCPIADDG EIREPPSALIVEKTKKQKKPSKKNKKKTQRGFMGGFPAVASHGRDESEDEEVDEDEEV DWISVSGGEMTSAKLVKIQEIVKGWIDENPDVKIVIFTQFLDFLRLVGLMCNKEKWVA TSLHGKLSLQAREQSMDKFRDEKEVRILIASLKAGGIGLDMSMANKCILVDLWWNEAI QQQAFCRLYRIGQSKDVEFVKIIIKDSIDEYLLKMQTRKTANISGTMGDEVLKDRDSI IDLLKMFGGAVIEEPAGGIFIQPRR AOR_1_736024 MARRYQIDELLWLRSSPLVAKPANLPPAEEWMGPIPDPTTQRKT TGPRDPNNPNETTPRRPSIFETRHVSRNSNSEDIILGPPKTAFASASRIPGKGSIDAT ERPSRQPDSDDIKNDRLNFRGKFFKDREAGDSNFDRRDGKSDPFTPRRGDRDDWNAGR PRRTFGQDDQERKPRRNGEFDRWESRDFNRDRDQQTPNHERGGKDKDSRFFPRRDGQP ARARHEGSWFREDGNQDGPDAEEEKTPIRSRDWRRDRHGADRDWTRGAKFEQDPEWLD SNDKEEPRRVHTQEDFERWKERMKAGSSQAPVEEKKETPVDSTPAHAQKPEPRPTDGE IFSSSGTPFQSDTAMERFFGLLGDSKSPQEIATSSPLEAPVVSQATPKKENIPGKPFK SSRFAGLFSPPPGSPAKETDSQLGNKSPTVQPSTTDADQEGFQRILQMLGGSKSRNAT PHNDTAQANRPPSLPQADSVQSAISSPSREQIKRPDPMLMQESSMRSAPPAMDPQARE REHLLRLMQQVRVTPVTNQAPGNHGQPQSAGAVSGMMNMPEMLPPPPGLASAPKAPNF IDDPAIANMQRAEPDQLRRRPANGPPMGYFEDMPFPQGGQVPITPGGSRAPQGQGLPG MGVQRPPGFEHLPPPGWAGHQLPPQQGGGPGPLAPPPGIPTPTRGVNPNFMSNMMPMH GNVPPLGERQPFPRGAGGNGSAGFPPPPGMMPPPGYMNGPPPSGFPPMPPNAEALMGL GHGGQGPFDGNPGPQGPPHSSRHLLDMFGQVGAGDARGGMLGDMMDEVDFELCLDIDQ YVAASRRESKRLSTQSTTGSIKHDNVRTVTIPNPKVRKHGELDSTSDIDEKHTLEPVH HARPCKKLKVANQAESDLEDDHDRTYLDIAIKRITTHHDQEILSDADDTDPETRSSTN ASTTAVNEDTMDLDSDTDAEVHANSTSGSITTSPSHSYIARPSHLFQIFEDPDDSHLE NHPGLVSSFTTYSSLDEDKENIRDDDAYEQREHTHGMGVNSQMWTRQSNNRFSHAASS RYQGVDGGVDTDEADTDVEEFLTEGGEGAREVEMEDVRVSFHSLGAGEASLLPLAFPL PLRIPSPGVGQGGRASGRRRCFGPRPVVHFE AOR_1_1526024 MSPHDDQLHRNKRRKVDSAQGNDASTDITSHGQLRNLLTFQQNI NEVKQGIKKFKDFLLATGQTENEGEKAKKFRILKAYCDSQISHEEEPSCFPDLIQTWN FADSNNNESLLTVVPSVLALFIKTVSTNLDFRDFGLALCKFLLKKEQLRFFNRGLTAT KSKEHLISPCLRLLTEIVSFDGGAVARHVYAVRYITFKRIEVFLTPNKSQLEEASDDI QKSTLRRNAQRYVLANLRFQHASAKSDIIEQHKVIRAFLEYVRKDPRDTVLDIIKAIE RDIVQDTSLSRNAKTKFFNRGNLEKLVTLYGYDRESEEPNPTGVSIASEIHKILMNVC TNSSNGVLLSETSWYPNGSDPESLPGEEDTSIDLGLDSAVYVDKYRESVPVRNGILSY LAQTLRPDADSLQIELLVAIFKEAPELVADFFTKKTMFVSDPKPTSSWMAESALLFST VSLPVPTNFGWKDKLPSMPPPVSVVIENILPRPLTQKTLTRCLNLNAEIITLFAVRIL TISFNKLRKVLRIFNSDHGVSQSFWTQAKGKLIAEFCRRCPAMKDVVSLYKKTAKEDL QQQEAVAELLSCFYEIVPDVAFEEIFDVSLVLVDILKRLDESSPSEEDSESLLAQLGS ILKIAQQSASMRWWQQPGSMQFSAFTSILKVVVGASSPDSLRNIEVLLKTVLTENSIL HGSSSFQSLLSSFGTSDSGNLRHQLSFFDNCVCRVAKKPVHYQDLLGSLCEDVSKPVS PIVAAITEQWPFVVKSGGDAESAVGAWIAKTLGKLKGSGEDPKALKAARDTLVAATEN KRTKSTLKKALKDTEEETSQDSNRRESSTTQPTTLRSSNEKSTVDLEEIFGTLPTEGT THNALHRWEKEDIEISVEQGRVADLMLCLCSEHEEVRRQAFANITRFMSKIRESKYVE WRSVFLLTGELLETVKQIGLESPVPWIVGECASNCLAVLVNPMHKLYGKVNKFLQKAP SWEPEKIPSYWIDKILLHEPELDDGYFEETNWLLDLLIKGLRTETDMGIYRRANVFER ALSFYESPGPSLPSKRKILHLLYRSTQVQGSTTLITRAGIVSWIQSQIPALGGKEVPT FTAMAFSLYESSEQDRVMKWSGGSVAQAVENIAV AOR_1_1528024 MSNSTLNRVPVMDRILQGSKTRKGLVPEDYDVYTPDTSRRVCGS EMESVSSPDSLTLALTEKRCPNTNSLSKHLKTHKEIILGKATGGGANQLEKDRAANFY RSLTNNGDHDKTIMQSGHGTTCPTSTRSSSYESDYKGKRLSKQANIFKKEYEEALSAK LKRCYEDNKEAFNTQHSEKKICNGYCIKT AOR_1_742024 MDDLQSLELFSLVSRITSELQNHLGINDKTLAEFVIDQHLKCGS FSKFKETLDEMGAEFPQSLMESIDRLVLTMHPKYKTKKTDDADKAEGGDDMDVLDALE KKARVFKGLAVPDKAPQWDEDDYTDRKNSDEGDAKADAMDDTFAMLEGLAGKAREEKG HTTGEDRSSRKRSRSPGYDDYDRGRRRKDKYRSQSRSHSPRYRKRDDDVDEFGRTRSK YSSRDEHRDGRSERRQRRHDRSQDDHFRKPPPVELDDAPILYKVYDGRVTGVKDFGAF VNLSGVKGKVDGLVHVSAMQEGARVNHPSDLVSRGQPVKVKVISIQGSRIGLSMKEVD QVTGLDLIPQRRLASGANMERLDGTSANDRYGNLSSDVPVIEDSNGRPMKNRKRLTSP ERWEIRQLIASGVASAADYPDLDEEYHATLTGEGTFEEEEDIDIEVRDEEPPFLAGQT KMSLELSPIRVVKAPDGSMNRAAMAGTNLAKERRDLRQQEAQDKAAEQAAGVDLNAQW QDPMAAPEDRKFAADLRTAQQPKPDDAVPEWKRVTMGKNQSLGKRTSMSIKQQRESLP VFKFRKQLLDAVRDNQLLIVVGDTGSGKTTQLTQYLAEAGYGNNGIIGCTQPRRVAAM SVAKRVAEEVGCKLGAEVGYTIRFEDCTSPETRIKYMTDGMLQREVLLDPDLKRYSVI MLDEAHERTIATDVLFGLLKKTIKRRPDLRLIVTSATLDAEKFSEYFNGCPIFSIPGR TFPVEIMYSKEPESDYLDAALITVMQIHLTEPSGDILVFLTGQEEIDTSCEILYERMK ALGSSVPELVILPVYSALPSEMQSRIFEPAPPGGRKVIIATNIAETSITIDNIYYVID PGFVKQNAYDPKLGMDSLVVTPISQAQAKQRAGRAGRTGPGKCFRLYTEAAYQSEMLP TTIPEIQRQNLSHTILMLKAMGINDLLHFDFMDPPPTNTMLTALEELYALSALDDEGL LTRLGRKMADFPMEPALAKVLIASVDMGCSEEVLTIVAMLSIQSVFYRPKEKQQQADQ KKAKFHDPQGDHLTLLNVYNGWKNSKFNNAWCFENFIQARQIRRAQDVRQQLLGIMDR YHHRIVSCGRNTTKVRQALCTGFFRNAARKDPQEGYKTLVEGTPVYMHPSSALFGKPA EHVIYHTLVLTTKEYMHCTTAIEPKWLVEAAPTFFKVAPTDRLSKRKKAERIQPLHNR FAGEDDWRLSAQRRQGRGGGGGTWG AOR_1_744024 MQSWANRGSHCLSCAIQPLFRSGIRTFSTTSALQRSKRLQKSKK AQEAAQTKPDNSPARPSLRSATKNLRQNVTAPDFSIIVATLLKELKKELSQSSESALS GWQRFERYVMNACKLEDRATSGGFGTLRQVKTTLQKTYIQSGVRGLKNELEYLLYAED VTSKYSTPNIEQQKKVADMRFPAEWYPQARSIQRTIHLHVGPTNSGKTYQALKKLEAS KSGFYAGPLRLLAQEVYHRFQANGTTCSLVTGDDVKIPEGQAPTIVSNTVEMVNLGQP YDVGVIDEIQMIGDPKRGWAWTRAVLGARAKELHLCGEPRVVPLIRELAALTGDKLEI HRYERLNPLKVMDRSLRGDLKNLQKGDCLVAFSRVGIHALKADIEKVTGKRAAIVYGS LPAEIRTQQASLFNDPNNEYDYLVASDAIGMGLNLSCKRIIFETLVKRVPGGLVRLSV PEIKQIAGRAGRYRSAAQQQKKGKAAEYDGTNVGYVTSLEEVDLPYIHQAMGIEPPPI TAAGVFPPEPIFQRFAAYFPQSVPLEYIIKRLIDVSQVHPLFFMCDPRGQLDNAEVID TVSGLRFEDQMTFMAAPMHTRDISSRDVACAFAQCVAEHTGGRLLDIPDLNLEILEEP VSGNKEYLHDLEILHKSVILYSWLSFRFGGVFTDRTLAAHVKELVEERMVRALTEFSA NKKLRKDASLRRQIALQKQIQDHKRLLAEADLDAFEEEGEETVPIDLSAENDSLERVS PEAQAA AOR_1_746024 MNSSILNIQREIILNTIRYTAGNEWKVLVVDETSRKLIDNAVSE DDILNLNVTNVEQIEEKRKTNPMDALYILSPQSHIVDCLMADFERKRYKKAWLVWTSY LDPQQRTRLERSQMAQEQIAGFRVMSVDYFPRESRLVTFRDPWSFPVLFHPGCNHLIR GHLEGLAQKIVSLCVSLGEYPVIRYYKPRAPTHEASVMCSHLARFIQNELDQFAHFQK DFPPPSQRPRGVLMVVDRSMDVVAPLIHEFTYQSMVHDLLPIKDGDKVTYKTIINEGS HNEELKEMEISENDNVWVDYRHLHMKDVLGKLGEDFAKFRAANPQFAEENDKANVNTI KDMLAGLTEFQQGRDAYTLHLNMAQECMKHFQEHKLLEVSSVEQCLSTGLDENYKKAK NLASQLVQLLDDDAVDHQDRLRLLILYIMYRGGILGGDIRKLMAHAQLPPQDGDVISN LDLLGSRVEKQLKDEKPPVQPLFHRKPPSPAESDETSLSRYELNLKLMLEELVRGTLD PTAFPPTRPNTEAEGMGGPQDTLSQASLRSAKPTWARTRSATEQPRQRIIVFMAGGAT YGEARSCYEVSQAFNKDVFLATSHMLSPGLFLKQLGDLSADRRRLDIPAERPKPTAPA HLFEKDPPPQPQPVPKKAPAPKLNPPAPPSAAMGAMSLGSNGAAPAPSSAKPHKEKKE KKHHHFFRRS AOR_1_748024 MTSNEATDEDYFLPVESQRPFGAGIRRKRVQFVRSSEHELNTTS APAAQPASSGTSIANKYLSIVMPKEKQVSEPGTPAERVSGDVGSRDAEVQSAPASPSA IPRCDVCSLPIDGDELGHGAMMTGDRPHEASLAHQVCLSHSHPPSHLDRTRHGLRYLA AYGWDPDSRLGLGVSGREGIREPLKGRLKVDTVGLGAQGDDEAKEGGKGKIRVVERPA KVQKLNAKEVRKSYLDARKRGEKLREQFFQSDDVLKYLGQ AOR_1_752024 MPIPLLAQGFQEGISSIPYAWTVLKIVPFVLLVAALKYYFGGAR NGSERLMHSKVVMVTGGTSGIGASVVHELASRGAQVILLTKHAPSDVFLVDYIEDIRK STKNQLIYAEQVDLSSLHSIRTFATKWIDNVPPRRLDMVILCGNTAAPSSASRKLTAD GLDEEWQVNYLANFHLLSILSPALRAQPPHRDVRVIFTTCSSYIGAKIDPKQIEAACT PDAPAPRGTKNGKNVKKVGAGSKKSKPSLFGASKLALMSFSQSFQKHLNAFERPDKMP PCTRVIVVDPGFSRTPGTRRWLTGGSLWGLLLYLITWPLWWLVLKSPQQGAQSILYAA MEARFGRGTGGWMIKECQEVDFARKDIKDEEAGKLLWQFSEAQIEQKEKESAVKRALA KKEQQEKEKQKNNGASSSKPAAKEQTPGSRRSRKAK AOR_1_750024 MPSGSVLVTGGTGYIGSFTALALLEAGYKVVVADNLYNSSAEAL RRIELISGKKAEFAQVDVTDEAAFDKVFEAHPDIDSVIHFAALKAVGESGEKPLDYYY VNVYGTLNLLRSMVRHNVYNIVFSSSATVYGDATRFPNMIPIPEECPLGPTNPYGNTK FAVETAITDVINAQRNNAAKAGNEEESKKWNGALLRYFNPAGAHPSGIMGEDPQGVPY NLLPLLAQVATGKREKLLVFGDDYKSHDGTAIRDYIHILDLADGHLKALNYLRANNPG VRAWNLGTGRGSTVYEMVRAFSASVGRELPYEVAPRRAGDVLNLTANPTRANTELGWK AERTLEQACDDLWRWTKNNPQGYRQEPPAELLEQLKK AOR_1_754024 MSFFGFDTTLPRDRAPGGGQKGIFDTPDPFAEVARARLAGHFRD NDDDDVIDFEDTYDGLGDQLDDDQDAFNDDTFGGNMGTGAVGKDFDFFGKTAQVADVI GEEQVRYSLQKPQAPAAAPATEVPVATSTVAQQPKRTGYEKYSDPDYIPDLQAKSSVW NLQKQPEPAPAPAVQPVAQPAVQARKMLSLEEVEAQLRHQGPGPAPGLPPVSLPHSMA EALHHLQRPQQIPGFPDGFPQLPPEILQAQFARGVPPAQMLHHPQMAPEPYPPPNLPL HLLQNANLPQHLVQSQHQAPPRGQRTQQQQQQQQQAPPPMPQNVPQGPKGTNGTLPLI TNPQQLMQLTEEQRVAYLMEDAKRAKRNHKIFLLSKGNGLMTPQDKNFITRIQLQQLV AAAGNVADADLEAVLAEDFYYQVYSQIRGAPRQHPHQPLGHFAQTYLLQTGNRLGGHG SRRQAQSADNHMQRMQQQVQRAVEAAKAKPKNKQLIIEGSLGKISFSNAKTPKTMLNI KRPETSEGIKAAKKPHTDLSLSDRKSILTNIENVYGTLMELEDMERTMPPPPNEGDAE AIQEHMEWRQKVRSLNQKLWQALKVMEPIVPNTNTPHPFIAFLSYPKGKKAIPRIFRH IDQEQRVTILTMIVVHLDSLDVVRNGQPAPGEPQPSLPVREAIDLFSQAVMPSLLGYV NEAPFNIIIGLLGLVIAQTHVHMVAKTRIGLGILTMLLSRAEIVKEAGQAAERDWQQW VEKFNILFDTLEPTFAEIFPGSINAGDDMYVWQFLAAVGIGASPEQQQRLVIAVKDRV METVAYSKTLPADMASQRLGNVNLFMRAIGLDVELLG AOR_1_756024 MSSGPVKYVRYLLFAAAGLAVFFFLSRSAIPIPDSIGSKLNPAS YKDNSASSPSSHDNAATPKEHTNDASGSVGTPQGGRVNATFVTLARNSDVWDIAKSIR SVEDRFNRNYHYDWVFLNDKEFDDEFKKITTALVSGTTHYGVIPKEHWSYPEWIDQEK AKKVREDMGQRKIIYGDSESYRHMCRYESGFFFRHPLMLNYEYYWRVEPSIELFCDIS FDPFRFMKENNKKYSFVLSLYEYFDTIPTLWDSVTKFMNNHPEHIAEANSMDFLSDDG GKTYNKCHFWSNFEIGHLEWLRSKPYMDYFESLDRDGGFFYERWGDAPVHSIAAGLLL EKEQIHFFNEIAYYHIPFTHCPTGEQLRLDLKCHCNPSDNFDWKGYSCTSRYFHVNNM KKPAGYENES AOR_1_758024 MPPFVSSLTLRTLRTIIQRPAFSAIAAPKPSYSIPRFQPVLRTP TAVAAATTPSLAIRQFSTSPFRQATYNQVRRGCRVAQRARRARSPALKDRPEMKGVCL KTGITKPKKPNSGERKTARVRLSSGKVVTAYIPGEGHNVQQHSVVLVRGGRAQDCPGV KYHLVRGAMDLGGVANRLTSRSKYGTKKPKRD AOR_1_758024 MPPFVSSLTLRTLRTIIQRPAFSAIAAPKPSYSIPRFQPVLRTP TAVAAATTPSLAIRQFSTSPFRQATYNQVRRGCRVAQRARRARSPALKDRPEMKGVCL KTGITKPKKPNSGERKTARVRLSSGKVVTAYIPGEGHNVQQHSVVLVRGGRAQDCPGV KYHLVRGAMDLVWWCCQSVDQQIEIRN AOR_1_760024 MSLRIAPATNYRTQTTNTTTRQNIPISLPHPSKGAPSAPGLPDT LRDNITLPASRGPPSSQSEIPASAHPLEARLIAWRQTQDAMKMESLRRAYGIAEPIRR GMELKLVRDGSFRPAVLGGAKAGNVHEDILVLGGRDTEVGWEDVFKGDEFREPPTFHD EMEKRLRMDF AOR_1_762024 MASNDDNLKTDSLRLGDNNEHSVPTTAVHSQESNVQSASTPASA PEPAANPLTPGHHESTPQQHIATEMSDAQPLAGGESAPPPQSPSDASTLPTLNAPAAE SGVSAPEAPPVEQPSQESEAKEEDQGPSLMITLLLTSGSRHPFKIDGKYLQKRSVNVE NNDPFAMSVYTLKELIWREWRQDWEPRPSSPSYIRLISFGKLLDDKAPLTDSKFSRDA PNVVHMTVKPQELVDEEDAKGSKPQYPREREASERSPGCRCIIQ AOR_1_764024 MTRTKSSEPELTINFTEPAVFIPTYTHKPAVLRGSCVLKVKETL MVKRLTVNFRGVSHVHWPHGLHDSNTITDRTLTVFGPDISGTGPPSHADQDTLGMLET GSMECNTSRQKCGLWGAITNKLCSKCKSTAAPDYQLLSPGTYTYNFEMILPPELPESV NVRRSHVRYNVRACLEFPGHFRHNIVQNMPIAAIHCPAEDFVEDAEPVYIARAWKRLL RCDILMSRRGAPLCHNLPVTVSFTELANARFHGLQIYISENVQFLRKDGVVSYLGPFK RRLLYEAAEDFVPTLPPYRLGEYDDHLSEKSGFGVQESVVLSECEDKPVTSEGMTLNI DLALPTCQDHSEDNWMHFSTEYKSARVSHWLDFVFSMSRHGAPPVVQKIARVPLSLRS CYAQHANASLPAYSQTCEIKPFNAVPAEGCFDQESVDQGQHWWSENDQRYSVS AOR_1_766024 MVLSSGPSLLPPVKEICHDVKEQYCPCILIMAPPTYAGMSGRKL SLTVSTIATMGFLLFGYDQGVMSGIISDPAFNDMFTATKDDNTMQATVTAVYEVGCLF GAIIALLIGDRTGRRWMVIAGAAIMIIGVVIQVSAMPGSLPLLQFIFGRVITGIGNGM NTSTIPPYQAECSKTSNRGLLICIEGGIIAIGTAIAYWIDYGAHYGPQDLVWRFPIAF QVFFGVIIIVGMFYLPESPRFLIAHDKVAEGERVLAALAGTEIEDRHTQTEKNLILDS VRASGATKAKFSDLLTGGPSQHLRRMLVGSSSQMFQQISGCNAVIYYLPVLLEQSIGQ SHNFALLIGGINMICYAIFATFSWFFIEKIGRRKLFLGGSYGQCAAMVIVFACLIPGD KQSAKGAVFGFFLYMCFFGATWLPLPWLYPAELSPIRTRAKANAISTCNNWLFNFTVV MITPVMVEHIGWGTYLFFAAWNAVFIPVIWFFYPETAGRSLEEIDLIFAKGYVEKMSY VRAAKELPKLSDDEIEAKAAEYGILDNNEKVEERIAEHAPQDSQEYSSYLPSQL AOR_1_768024 MSYPPSGSTALSAPGKVLLTGGYLVLDRNYTGTVIALDARIHVI VQQLKRGHRRGASFSSVKGGPDTETVEDGSAVDDKEKEDVVVVRSPQFVNAIWEYGIQ RCENGGGIKVIQRNDGRSNPFVETSLNYALTYISYVADSKDFGSLSVTILADTDYYSE TAFSRVSESPGRFVNFGVPLHEAHKTGLGSSAALVTALVSSLVIHRTLQPDDLGASRD KLHNLAQAAHCAAQGKVGSGFDVAAAIYGSCLYRRFSPSILESVGDAGSPGFEERLFA VVEDADPKHPWDTECLDFGMRLPRGMQMVLCDVECGSNSPSMVKKVLEWRKQNQQEAD LLWAALQSNNERLCLQLKQLAQSPDQESPEDFNDVRNLIQRSRNHLRSMTRKAGVPIE PRVQTELLDAVSAVDGVIGGVVPGAGGYDAIAVLIRDDQEVLKKLTELFKNWESKVED DFGGKIGTVRLLGVRHGSDGVKNEVLDQYAGWL AOR_1_770024 MVFKPFTHLARQSFTKAFTHGYAQSVVAASQSSYASSATFNQLA NQPAKVSRSALQNVFQPSSSSGAGAKASQGGSGSGDLGLAAYYAAWQHAQQTGDDSDW KQFQVKRKLGWKPSTPEEAAKSKEDSVNSTNPHNFDSPHITKASANADVSAQVEEAVA REIQIQEEQAQAEEASEGKDDSATEAFPDLPADVAAIADVSTEQARIASDQIVQLALT KKYAEIPSAFEALLRDGLTPTVGAYNALLESAVRLHGDTAQAIQKALDVYSDMLRRRV IPDEQTYRTLVQLFVERAHDALKSQKSLEQDRIRYGGMEEPGKFMLHSSELERAILDE DHSLGIAIKLFNTATTRHPDLIFPLDTYSRLIIACAREGQVENMIRIYAHMETHKVTP HASIFPSMIDAFASTGDLKSAVECYNEYKALAISDDNGTFSIVQRLDGQVYAALVKAY LSCGKEKEALRFLERIRASFDEVTENKETRKDAVETVIVRDGLVSHSLKSGEHDKALS QAKTRLRDGALDHAMAEICIAAADAGNLKTASEAYDCLPTDTAKRHGPAISMLALYVR QGNVSAARPLWVMLSTVGQTTPDMVQPTAMYSVALLKSGQIEEALVEARNMFGRVRNA SIDNPYLLNAVREQINESLHLIGRVLIQTAAVLSPQTVMTLLWSMVENGGLVSPVADH AVASLGPIAIQQLNPRDLTLALQVQAGMLVNSSASLDVAHPIRFSHMLDIALATGLQM DPYTTRVVDQAVGKLFNSRPDMVRRWHDHLGLTSSPSSFLSDRHTPVSEMSTMTSAPS EDSFDPYAYATDFKGSAMIAEQLESTSGRPDSHLNDALTRLRNMRRSGRHPRYITYAK LITAAAKCHRNDLVHEILSMARRDVPLLHQYHAVKYGWVSILDAMVASCLTLNDRSLA SKYHQELSELGSAPSANTFGLYITTLKESTKTFDEATEALKIFHRAVAEGVEPTSFLY NALIGKLGKARRIDDCLLYFAEMRAQGVRPTSVTYGTIVNALCRVSDERFAEEMFEEM ESMPNYKPRPAPYNSMIQYFLNTKRDRSKVLAYYKRMLSRNIQPTMHTYKLLIDAHAS LEPVDMKAAEKVLETIKAAGQQPEAVHYASLIHAHGCVMHDMKAAQDVFNSVVSNSKV RLQPCLYQALLEAMVANHQVAQTEDIVKDMVQRRVDMTAYIANTLIQGWATEGNVSKA KAIYDSIGVDKREPSTYEAMTRAFLMANERENASRIVQEMLSRGYPTAVASKIVDLVG GGAAVAAAL AOR_1_772024 MRPLRPSSSTRFSLRRIQFIQFAQCYHHTPRHLSAMSSENPTAD HLASPGDDHAKHPRKLIFAPGDIQVPTPNDQTTPPHKPDAATPANISRLVAEALRSET STPNSVTENQIVSHPARAHQFVTNPPLTVSQMHPTNPLHQFHTWFRDPRLSASSAPET CTLATASLPSGRVSARVVYLKELDERGWVVYSNWGSRQGKGAQVFGTQADGDSVLGAM PEPGVDDDGMPQGNKWAALTFSWGTTERQVRIEGLIEPLSREESELYWRTRERGSQIG GWASWQSKVLWSAEPDSLIERRRKSLAQDVFPQAIPGDVDETDIDDGRALLEKRVREM EEKFANTEQIPLPPFWGGVRLVPESVEFWQGRRSRLHDRFRYVRVHDPQSDSYKWRIE RLSP AOR_1_774024 MSTHSRWVVLALASGAFAALNGLFAKLTTDTQTTTFSKSLLAFL SLTGDHPILEMLIRGACLGLNVLCNVIMWALFTRALTAAPSTVKVSITNTAANFLVTA MLGMLVFREEVGGLWWVGAGMMGGGCVLVGMRDN AOR_1_776024 MSSMPDSSTSPRSPSVLSERRNWRRLSGLSTSSTAQQPANGHST AITEEISEIKRYEDFTTIDWVQDAVHEQARRRAKRRDGFGFWDQEGAFGWRRKVRESY DAGQAWLVITIVGAVIGFISAFLNIITEWLSDIKLGHCTTAFYLNESFCCWGAEGGCP EWKTWTSWWLLNYVIYICYAMLFALIAASLVKSFAPYAAGSGISEIKCIIAGFVMKGF LGAWTLLIKSIALPLAIASGLSVGKEGPSVHFAVCAGNVISRLFSKYKQSASKTREVL TATAAAGVAVAFGSPIGGVLFSLEEMASYFPLKTLWRSYFCALVATGVLAAMNPFRTG QLVMFQVQYDRTWHFFELIFFIFLGVFGGLYGAFVIKWNLRVQAFRKKYLSQHAVMES VVLAAITAVLCYPNMFLKINMTEMMEILFRECEGGHDYHGLCESKNRWPLVGSLAIAT ILRIFLVIISYGCKVPAGIFVPSMAIGASFGRLVGVLVQALHERFPDSAFFAACEPDV PCITPGTYAFLGAGAALSGIMHLTISVTVIMFELTGALTYILPTMIVVGVTKAVSGRF GNGGIADRMIWSNGFPFLDNKEDHVFNAPVSHAMTADPVTLPASDFPVREAEHLLNDN KFQGFPIVDNRTKKTLVGYIGRTELRYAIDRARAEGILSPNARCVFTKEAAEASVARR ASSSSPHHLAPDTFDAIQQTVGSSFVDFSRYADHTPLTVHPRLPLETVIEIFKKIGPR VILVEHRGRLTGLVTVKDCLKYQFKVEAQEHALAATQASEGPLGDQTSARSSDTLEER VWNFIQRVGSKLPRWRPAGRVALSDRHEGRGISTGTDDENDRLMELEERQ AOR_1_778024 MPPKEKSTPDSAPSHEITGQSALPISRIKKIIQLDEDIVQCSSN ATFVIAMATEMFIQYLTEQGHNVVKSERKPRKLIQYKDLATAVSRIDNLEFLSDVIPK TTTYKQFKEKKAKEAIREAEIEKGQRTLNGTVPHANGESEHQEELQAIEEKPSKSPRT PVVMHATGAVSTLVVDRTVDNQSRGDDGDVEMVDQ AOR_1_780024 MSLSSASSTLLRACARQQLPTSRAAIASCQQRRGVADASKSSFE SPFTSAKESSTYKIPDFSKYASKKPPRSNQVFSYFMAGSLGLASAVGAKATVQDFLVN MSASADVLAQAKVEIGLASIPEGKNVIIKWRGKPVFIRHRTQDEINEANSIEWQTLRD PQADEDRVQKPEWLVMLGVCTHLGCVPIGESGDFGGWFCPCHGSHYDISGRIRKGPAP LNLEVPQYSFPDESTLVIG AOR_1_782024 MQSMPLLLRQSLRSTATFTRTSRPLRSPLLSAVGPKPLPAGSDP RRQFSVCVQCQFRSQFALYSSNETEKLKDGKTAEKPADKDQPATGSSEGSSTIYLGAG SLEPPPSAKEGNVAEQVQQQEAKDDVKTQETESKGLPSYLENRRSQVSKQFTTMMDNL QSNIFVAGQRLNDLTGYSSIEALKKDIHTQEERLRAARLRVREAKDAYAAAINNRSTS QREVNELLQRKHAWSASDLERFTHLYRNDHTNEVAENEAQEALSAAERESEEAAAQLN KSILSRYHEEQVWSDKIRRMSTWGTWGLMGVNVLLFLIFQIAVEPWRRKRLVKGFEEK VIEAIEKEKAMHHVEILAPVNAAQEIPAAPSADSSAPVVAAVPSPAAEETASNAISTE VLDVPDSATPAPDTTTTPNSQNSLNDLLESAKLQLSRFPPPTSVESWRQYISDLFSDR NLVITQRDLSSLALQSAAAGAAIMGLVIALIRPR AOR_1_784024 MCGSDIFLAILAIFFPPVSVWIKVGICTADSIINLALCCLGYVP GLLHAWYIILKYPEQDPDDPYYEPVPGNAHRRDVENGHVTYYYVSHQQIQHPSQRGYG TVAPTTATPPLQQQSQSTPKPQNEPAAGSSGDQTQGDSRPPPTYAEAVKGDHKVQD AOR_1_786024 MLDMTLILTLDLYAYMYLLYSSPFHGSVGFSIRQVNFSTQQDFL TLLKDRVAPPKNISN AOR_1_788024 MATCISLKGSTQCPAWNGSSISTSSSLYTDFPFMQNVTSIKQFD QELSDYVKGSYVTQKYADYLGCQGANLTDTDDFYARYTTSSICSGLVQSSKTDCDLSD NESRPLCADTCALMATSEATILLNPDLCPKRAGNYMSQVRSDFTVCALPADSLTVTCI SGADNESDECGYGSNLVGLCGFCGASSPNSTDSCCINSNAATRCKDVTIPTSTTIPPI FTSTSSPTAGSSSGHLSGGQIAGAVIGAIAGVALLAALAALALICWRRKRRARNDNVL NQPNPQRKGFSPMQPTPGQQGFAPIPGGRVARMSALREAPSYSPGRSRNSAALFGVGK HSESSDSDYYGASPGAMSKKIPPTAGKRTASLSSNSALAGAGSDGSPRSGIGGQYSSP DGMASGQSEQLSSFHDYYSQDDIRPGDKVAVLWAYQPRAGDEFALDRGEMLKIVGIWD DGWATGIRVPESAEDYDARHREQRDSGVSNGSHRPIASPSPNGEIKAFPLVCVCLPQH WRKIIDGGQADDTL AOR_1_790024 MSLASGVSITDECINTFNDLRMKKGDKLKFIIFKIADNKKEVVV DEASTDQDYDNFRKKLEDAKDSNGKPAPRYAVYDVEYELGGNEGKRSKIVFISWVPDG APTLWSMIYASTRENLKNALNISNSIHADDKSEIEWKTILNEASGGKAGK AOR_1_792024 MFLQSVSRAAARSSAMPTTAIRSYRTVSGPMACLNARPQPVKKS IAPQQTRASSEHAISNPTLAGIEKRWEAMPPQEQADLWMQLRDRMKVDWHQMTLQEKK AAYWIAFGPHGPRAQAPKGEGLRIAVKVAQLTAVSVALFYVIHLFAKPQPKTMSKEWQ EASNEYAKAEKINPIYGISAEGYEGKGFVQSPPAEKS AOR_1_794024 MFKSGLARTFGRAAFARPTPVARRVPSKINAFPSLARLASTEAG ATGKVHQVIGAVVDVKFEGEKLPAILNAIETENNGQKLVLEVSQHLGENVVRTIAMEG TEGLTRGAAARDTGAPITIPVGPGTLGRILNVTGDPVDERGPVKATKYAPIHAEAPEF VEQSTEGEILVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGYS VFTGVGERTREGNDLYHEMQETGVIQLEGESKVALVFGQMNEPPGARARVALTGLTIA EYFRDEEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGGMQERITT TTKGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKSR MLDPRIVGQDHYDTATRVQQMLQEYKSLQDIIAILGMDELSEADKLTVERARKLQRFL SQPFTVAQVFTGIEGKLVDLKDTIRSFKAIINGEADDLPEAAFYMVGDLESARAKGEK LLAELENKA AOR_1_796024 MGTSQAVDSSPPDGTGVIQLDPWLEPFRDALKQRFSFIEGWVKA INETEGGLETFSKGYERFGLNVQSNGDIIYREWAPNAVQAQLVGEFNNWDVTAHPMTK NGFGVWEVTVPAVNGAPAIPHDSKIKISMVIPSGERIYRIPAWIKRVVQDLSVSPTYE AVFWNPPTEKQYKFQYSRPKRPESLRIYEAHVGISSPETKVATYKEFTSNMLPRIKYL GYNAIQLMAIMEHAYYASFGYQVNNFFAASSRYGTPEDLKELVDKAHSMGLVVLLDVV HSHASKNVLDGLNMFDGTDHLYFHGGGKGRHELWDSRLFNYGHHEVLRFLLSNLRFWM EEYGFDGFRFDGVTSMLYTHHGIGTGFSGGYHEYFGSSVDEEGVMYLTLANEMLHNLY PNCITVAEDVSGMPALCLPHSLGGVGFDYRLAMAVPDMYIKLLKEKKDDEWDIGNLSF TLTNRRHGEKTIAYAESHDQALVGDKTLMMWLCDKEMYTHMSVLTEFTPIIERGMALH KLIRLVTHGLGGEGYLNFEGNEFGHPEWLDFPRDGNNNSFWYARRQLNLTEDHLLRYK FLNDFDRAMQLTEEKYGWLHSPQAYVSLKNETDKVLVFERAGLLWIFNFHPTNSFTDY RVGVEQSGTYRIVLDTDDPAFGGLNRNLKETRFFTTDLSWNGRSNFLQVYIPTRTALV LALEETL AOR_1_798024 MRINTLSLFSLVSLVPTLALASLSGSVGPLTSASTKAATKTCNV LDYGAKADKTTDLGPPLASAFADCKSGGLVYVPSGDYALSTWAKLSGGKAWALQIDGT IYRTGTDGGNMIFIEHSSDFELFSSTSSGAMQGLGYEYHKDNKWSGPRLLRLYDVTDF SVHDFILVDAPAFHFSLDTCTNGEVYNMAIRGGNHGGLDGVDVWSTNVWIHDIEVTNK DECVTVKSPSKNILVENIYCNWSGGCGMGSLGKDTDISDITYRNIYTWNSNNMMFIKS NGGSGSATNLLFENFIGHGNAYSFDIDSYWASMSSQGGNGVELSNITLRNWKGTEENG ASRGPIKIVCPDGAPCYDITIEDFAMWTEDSSRQRQWYSCRNAYGTGFCLKSGSNHVA YEATTTTVSSAPSGYSAATMAADLKTDFGITASIPIPTIPTSFYPGATPYSALMANKG STAKVRAVVAPSKPTTVAAATSTPAEQQTSTSTPAPAVEQPSQQSPGQSAGEVGGCPF GNGRSVPTGPPRAGHRHHQRHGHH AOR_1_800024 MKASLITVIAALASSAMAAPAGGLSDVSILKEATSTTNAIPATS PVPSSGASGHIVQDAGHGVNQVLTVTGPNAKKLLIELSPSVAGLLSGLHLPSVGVPVG EVVKTAASVGDLVEDLGPRVDGLLTVVSEDGDVLLIKLSTEVAGLLSGVSLPGVGIPV GSIVATLGENLKRSADGQLVQDVAPKVQDVLEVTGADSKRLLIQLSPSVASLLADLGL PGVGTPVGQIIKTSGNLGDLLEDLGEPVKDLLVVTAQDGSFLLIKLSPDVAGLLTGLS LPALGTSVGSIVATLGANL AOR_1_802024 MVLLGAFLVLALLQPMALSADLTSHVNLFLGTENGGNNFPGAAR PFGMVKLGPDLFISGTDAYSGYLPNGNFSGFSMMHEQGTGGAPKYGTVSQLPLIGNIS NPLSNKTVARTGTDQASVGYYKAQTSEGTIVELSASAHAGMYQYTFPKGSTGNVLVDV SHVLPSFRGQGLGQGYKGGNITIFPDGHYEGQGVYDNGWNRSPDWSIYFCGYFDAAPV RNSTYTGSDAEGSVEQISGFASSSSSTTRIGGLFTFQQTVVTSRVGISWLSTEKACQN VDEEIPEGTELTSVVHDAQTEWSSKVLSKVTTTSTNETSLTLLYTSLYFMHLIPTNQT GENPGWASSEPYYQDIFTYWDLFRCSTALMQVLQPAAYEEQIRSLIDIWRFEGYLPDA RSSNYNGRTQGGSNADNILADAYVKGVRGAVNWEDGYRAMVKDAEVAPPNDPVDPMAP DSSTKEGRGALPDWLSLGFITPKYSRAVTRAVEYSCNDFGLYQVASGLGKDEDADKYL NRSRNWRNHWNSNQTSLGFAGFVVPRDTTGFIETDPLKDSGYWGDPYYEASSWAYSWA SVHDMEGMIEMMGGAQTVLKRLDTMFTEGASGSSGIIFDPTNEPMFNIPYLYHYINRQ DLSVSRSRNIAKTYYHTGAKGLPGNSDAGAMQTWLLWNMIGLYPITGQTTFLVHSPWF ESMAIELGDGKKLSITATGGDGNGDSQIYVQKLRLNGKDWKKNWLTWDDLFAQGGTLE FELGEAASDWFTGELPPSPAS AOR_1_804024 MQLDEMPLTPKDIGIKAIEVYFPSRYVPQSELETFLGASAGKFT IGLGQQNMSFCDDREDIYSLALTTVSSLLRKYSIDPKTIGRLEVGTETLLDKSKSCKS VLMQLFGDNADIEGVDTCNACYGGTNALFNAVNWVESSAWDGRNAIVVAGDIALYDTP AARPTGGVGCVAMLIGPNAALVLEPLRASYMKHVYDFYKADLKSEYPLVDGHFSNICY LQALDNCYQRYRSKKLAKTSGELNGVSTSNKSSFLDTFDYMVFHAPNCKLVAKGYGRM LFNDFRLEPDRFDPVPSEIRDVEYTASLTDKNIEKLCMGLTKDKFSERVQPSLTAPAH CGNMYTASIYSGLVSLLSNVPSEKLQNKRVGMFSYGGGLASTMFSLQVKGDITEMAQK IRLRDRLDARTAVSPEFYDQMCQLREKAYQQKNYTPKGSVETLAPGTYYLVHVDDIFR RKYELKPYA AOR_1_806024 MGIFKNHRVYILTAVAYSGSLLFGFDTGVMGSVLALDSFKNDFG LSGSDGFADAANAQVSSNVVSLLTAGCFFGAIGASFLNDFLGRRYSLMILTVVFLIGA AIQVGASHQIGMIYGGRVVAGLGIGGMSSITPVFVGESAPPEIRGRIAGMFQEFLVIG STFAYWLDYGVSLHIAPSTKQWRTPVAIQIIPGGLMLIGLFFLKESPRWLTSKGRHEE ALQSLAYIRNEPASSEVVQTEMAEIRASIEEEQAATEGLTYKEFLQPSNRNRFMFAAV LMLCQQFSGTNSIGYYAPQIFQTIGLSATSSSLFATGVYGTVKVVATAIFLFIGIDRW GRKKSLIGGSIWMASMMFIIGAVLATHPPDTSASGVSQASIAMVAMIYLYVIGYSASW GPTPWVYLSEIFPTRLRAYGVGLGASSQWLFNFCVTEFTPHAVHNLGWRTFLMFGIFC VAMGVFIVFFAKETKGRSLEEMDILFGAVDENERRAAVEHTLNKGVVSHIEQAEDNKV AOR_1_808024 MLFYRAFGGLLCFLYACVTVSAFTCAYPPLIHATKDDLASDLGD NCYSSVDLVKTYVARINEVNSTLRPILEVNPDALHEAEVLDGERLYGLSRGELHGMPI LVKDNIGTADKMQTTAGSYALYDSRVREDATAVRKLKEHGAIILGKTSAYHPMQDPEG SSSGSAVAVDLGLAVAALGTETMGSILFPSEVNNIVGITPTVGLTSRYGVIPISEHQD TIGPMARTVRDAAWVLGAIAGRDGRDNYTLASPHPSVPFYVGACQLDRLQGKRIGIPR NVLPFLAMEPHGLAVLSAFEAAISVLTEAGATIVQDANFTAWEEFPESKSVTQVLHAD FISNIESYLSKLETNPNNIHTLQDLRKYTQSDPREDYPGRDTVQWDAALAVGINNTST EFWPMYQNNLRLGGEGGVLGTLARHKLDAIILPTSLAAYVPSVVGTPVITVPLGAYPK GTKTVYNKFGNLVQVAENIPFGISFMGAHWSEEKLIGMAYAFEQRTLFRQKLKRYIEP HSEIAGLLQDRANGVCT AOR_1_810024 MGDIEDTCASLRAQIAATEAQLAGLKRELESAEQAAIKARAQDA PNSTATTSTQGNEKRKWPLLDEEYRRYGRQMIVPQVGLQGQLKLRSAKVLIVGAGGLG CPAAQYLAGAGVGTLGLVDGDTVESSNLHRQVLHRSKNVGKLKVDSAIESLRDLNPHP TYIAHRAHLAPQDAADIFKNYDLILDCTDNPATRYLISDTAVLLGKPLVSASALRTEG QLMVLNNPPRSVGDKTGGPCYRCVFPRPPPANSIMSCADGGILGPVVGTMGVLQALEA IKVITATDEEVKPPSLHIFSAYSSPLFRTIKLRSRRPNCAVCSGEASVTLETVKSGST DYVFFCGSVGPEKLLLPEERISPREYRTNEAIGTVTKEPTIIDVREKVQFDICSLENS INIPISSILSSATKTAQNNEVANGSNPLPPWLPADIASSDSIDPIYVVCRLGNDSQIA VKRLKELGLDRGGERVVADIRGGLRAWREQVDPEWPEY AOR_1_812024 MKQKQSIPSPPPQPLPIYNSTYPPPTPDVENIEPLKMSTPDPQT HPPTDIPKRKPVPPPPPPPAPAAFPAIDEDKLEPHPQTAKWPPAKWTQLPRKKNRLIL TSIAIAICLLALIIGLAVGLTRHSNRNLPLPTTSGGPYTGDLTYYDPALGSCGITSSN SDLVCAVSHILFDAASTGSNPNANPLCGMKVRVKRGEASVDVTVVDRCTGCAVKDLDV SRGVFKKLADLDLGRVSVDWAWLEEAPVSVR AOR_1_814024 MSSHRSSEDSQWPLPDEPEVKPDSKQKKGLMAKLKSGWDSLGLD TPTILLMMKGAVPPTIALAIFQADAVASKFTTVGYLMAIISVLGFSIQPRAKFIQMMV LDVLAVCVASAVTLLMMYSCIKARQHTEVVSSVGTSIVNTPYNPSASAVSGVWLFFQI YVVHSFRAKFQQFQFPVIIYSIVANVTFSYAPRMTTMAAAISMVTKLLEACLVGLALA TGVCLFIYPVTSRTVVFKQMAGYVGCLRGALQAHTVYFESLEESDMFGRAETYDSKVE KITKDGKVYSPEAQAIRTAVQKITEIHGKLHGDLTFAKREVAFGNLGPDDLQAIFRNL RQVMIPVVGLSFTVDLFQRLSEYNKWNTPIDPTATDIPDLVRHRVVQEWNDIMRAVHD PFKSMIQAIDEGLQHISFVLKLAKPPKKTATAANGETSSSGVEDVEASAVPSPGDKNF AAHLEQRLRDFKVAKRIALRTWSEEKGIKLPKDFFDRPTDDMEDISYGDPFIGRERSQ RQLYLFLYMEQLLSSTGQMVLEFVKFADDKRESGKLSRRHIIIPGWKRLRKWATSLLK AEDTHEDDNIGDINAQNNILQLGEAYKHRKDPEHLRPETTFQKIGDKVRLIPAMFRTQ ESAYGFRVACATMTIAIVGLLHDTQSFFIKQRFIWAMIMVNLSMTPTSGQSIFGFVLR ILGTVLAMVLSFLSWYIPGKQTPGIIVFFFIFLTCVFYVPIKLFRFRAIGIITIITTS MIIGYELQVRKVGEQVATSNGQSYYPIYLLAPYRLATVTGGIAVAFFWTFFPYPISEH SVLRQSLGASLYLLANYYSLIHETVSARVRGEAGDMALKTSAGRRLLKSRNQVFSKQM LMLNNLRTYSEFLKWEVPIGGRFPKKQYDSIIACIENIVSYLSLLGYASDTLLQIGDD EESNSAWLHDFKRLVASGDVERLMKDVKKLVGELDFSFHAVSTVQSAKSSAEVSRYSR ATERNKLE AOR_1_816024 MMGAAVALQVLLAPQLVSIDQASSQQTAVSVKASIQNPSNEPVT MLKWGTPFDPKAGILGVFQVQDETDGQAVPLDTIKFSRKLPPAADDLLEIAPESTVDT VVTLPPMPLQSGHDFSIRAQGRWHAVWETPVSDVQNDKLEQLSDANRGDFESNTVQFK IE AOR_1_818024 MRVTTLSTALFALASTAVSAPTAGSSSPGLEVKLTQIDNTRVKA VVKNTGSEEVSFVHLNFFKDAGPVKKVSIYRGQDEVQFEGIKRRLRSSGITKEAVTSL GAGETLEDEFDIASTSDLASGGPVSIRSHGFVPIVVDGKITGYIPYKSNDLTVNVDGG KAAKVTKALSQLTRRTEVTDCKGDAESSLTTALSNAAKLANQAAEAAESGDESKFEEY FKTTDQQTRTTVAERLRAVAKEAGSTSGGSTTYHCNDPYGYCEPNVLAYTLPSKNEIA NCDIYYSELPPLAQKCHAQDQATTTLHEFTHAPGVYQPGTEDLGYGYDAATQLSAQDA LNNADSYALYANAIELKC AOR_1_820024 MTPLPKVSTLLALILPITAIKNPILPGWNPDPSILHLNNEYYLT TSSFEYFPGLPIYKSTDLTNWTLHSHALTRPSQLALYGTPTGGGTWAPTLSYINNTFY IATMTRWTYDPVAKVWPRITWISSPDLETWSDPIWAEPWGIDPSLFQDPVSGDVYLNL MAPDSKETGIWGIYQCQVDLGTGKCIDEYRSLWNGTMLHDVSARPEGPKMFVRGEWYY LLIAEGGTDDLHRASIARSHSPRGPWTPNPNNPILYNGAYGFDNLTVQSTGHTTIFDT ASGDSYAVYIARRKINGSSPLGRETFLSPVTWKDGWPVINNRRPILLSESFGDTPDQS TPDIWIDNFEGSTLDNRWYQLRTPYTENFILREKGGIIFRPNVYSLSERDVPAAILRK QTSLNMTFTAELLPIRSELRQSETVGISVYLSEFQHQNIGVRGCKDGPGTGMCVYTQL VRSGTVEYDEVRLNASSVDGLVLYIRAEPLCYRFGYGVANSSVNWVSSIESKWLAFAP QGWFVFEGAGFALFASGNGRPWSVGAPEVGFRSVTEEYFEEDIPDYDRWE AOR_1_1530024 MPADPKQTNVPDGESATKPTGQKQTPYKICKNKKAIKTKKIADM TKEERK AOR_1_824024 MSNPPTITILPASPEDAPTLTRIHGDAFQNSTLLDLMFGPPTDE NLKGFTAQLAELIANDKTARFTKAVDNDTNKIVGWSWWNIFPDHKSRLEGSEAFAKDH TDPPESAISPEAYREYFRGVEERREKWVGGRAVIFLRVLVVLPEYQRKGVGAKLMTKG LEEATALNLPVWLESSEEGYALYKKLGFKDLNDSIVMDLTKYGESGIATTACMLLENG NP AOR_1_1532024 MAAVETAFRTAAAGLESAISTRIHDTHPFQPLGSPAEEVYSLLF RLPGEPSDAKAAFEKQLQAFIGHIQFLQNGALEGDISPPHSDNGYLPHSTLCGQHTEM ILSPKPRKRKFAWLDDIPTSHQVDHTPEYGFANDDHYSLVKRALDEQKDEIKLPREVV SSLFRELLRHRAANKHPDHEVDLRKHQQMNKAFQTALQEIAKVITKVANGDLSTKVPI HPLEMDSDIITFKVTMNAMLDQLRVFASEVSRVAWEVGTEGILGGQAQVSGMHGVWRE VTDNVNTMALNLTNQVREIAVVTTAVAHGDLSQKIERPAKGEIFLLQNTFNTMVDQLR TFATEVIRVARDVGIEGVLGGQARVYGTQGMWNELTTNVNAMANNLTMQVRDIATVTT AVANGDLTQKVRADCKGEILALKNIINSMVDQLRQFAQEVTKIAREVGTDGVLGGQAT VHGVEGVWKDLTESVNRMAMNLTNQVREIADVTTAVARGDLSKRITANVNGEILNLKD TINGMVGQLNQFASEVCKVAREVGVDGTLGGQAVVANVEGEWKALTDNVNMMADNLTS QVRAFGQITNAATDGDFSKLITVSASGEMDELKQKINKMISNLRDSIQRNTAAREAAE LANQSKSEFLANMSHEIRTPMNGIIGMTQLALDTDDLPPPTREMLNVVHNLGNSLLVI IDDILDISKIEANHMKIESVPFSLGQTVFKALKILAVEASERSLHLTYHINNSVRDCV IGDAFRLRQIILNLIGNAIKFTETGQIQLTIKEAVPRCHPDKYLLEFSVSDTGIGIDK SKLDLIFDKFQQADGSMTRKFGGTGLGLSISKKLVNLMGGDIWVTSAVGVGSTFHFTC AFTSLDPPVKDITKYVIPYKGCRVLFVEKISGHCNEDGKGIQQTLQQMGLHVDVVRLE DGAAPTETAAQHGKDLRRQYDVLIAPTMDSVSQLRNFDRFKTLPAVLVCPVVSVAMKS ALDLGITSYVTTPCRPIELVNGILPALEGRPSRRSAEETRPLAILLAEDNEVNQKVAL KVMQRYHHNVTVVSNGQEALDEFRHKQYDVILMDVQMPVMGGFESTLRIRDYERLHSL PHTPIIALTAHAMFGDREKCISAGMDDYLPKPLNQTQMMEMIHKHATLRDVLC AOR_1_828024 MEPPHEPGLSGLLSRQFKRFATSVAIRDDECHLSYAQLHAKALN LVYQIRCLQVQPEVPIGILSPRGINHVVSQIAVIYAGATCVPLDVNHPVQYLANLLRN LDISILLTDKANSHRLEAHGFSQIVVDHRSMLTLVCEELGYDVIDLQPSQYRSHIFHT SGSTGRPKPVQVLARGIINYCLNETFVPVHEGQQFGHLSNVTFDVSIMETLGSFLRGA TAVVLDRDTVLDPARLVKRIREDKIDVLWQTPALLATTINAYPQAYITVDTLLTGGEV VTAQLARKVLIYGPPKRLLNLYGPTECTMFSTFHNISMDDVERGNISIGKPMDGYEVI VVNDNLDVLLHGEVGELVIGGKGVAGGYLSESKKPGNAFIQLGHFCPNQQVYRTGDLG RWNSAGLLEFVGRRDNQVKIRGHRIELEAVEAILMATGLVSAAAAVKIDVDSNSDAES ILLACVVPISEDTNPLTIRQAYTKNAPYLMLPRLEVVGHLPLTNTHKIDRRKLVEQYS ERIGQKRTSPLHEPLSPIQQIVADVFGSQMGPEDNFFVMGGSSLHAARLVSRVKEDLG VQLRIAMVYEHPTQLELVNLIESLRKGEVWTDKNETLWQPDLNLGKDMRPIPGDIVDW TTQSEGRVFLTRVTGFIGIFLLKELLLRSNVQRVACLVRATDELEAVKRILKALDLYG LRPSHMEKIWAIPGDFSKENLGLGGEVYDYFAEWASIIFHLGARVNWIEPYEAHRQAN VLGLLNMIQFANTKRPKAIHYVSSTSVYGPTGLLTGATYIPEDENPEFHLAALKYDTG YTQSKSVAEVIAWNAIANGLAVTIHRPGFVLGHSETGIGNSEDFFSRVVPSCVGMGCY PALSEHRDAFVSVDFVVLALLHIASSEKFFGRAYNLVEPHGDAMISLSEIFEGISAAL PACSLIEVPYGDWVGKCQSRTYAKTQHPLKPLMPMLEETVLDNKTRWELQARMPVVGT ESLRNALRASPNLLCFPTIRTLLPKYIRHWLEMARQGVTST AOR_1_830024 MGVESKILYHTDNESGSSTYRESSQQCLITTHATDFATATQYTY REEDTRQPEWTPGVREWLVTTCISILVMMDAFNTTVVIPLMPGLSHIFQQPLENALWI NTAYLIGNASGQALFAMLAEVLGHGPILLSSSVLATTGTGICGGSLGLSVLVAGRFIQ GIGGGGVVGVSLLIVADLIPKSHQVQFSTYIFRAQTIGMVIGSVAGGVYHDYTTYIWA FYSSFVFCAMGLLVIPFALDLRGHGPENKLSATSRFRTMDWIGAMLTLLGMGTILTGI GWGGTQNAWDNWQTLVPICVGGIFLIILVLYETMWAMQPMFSSRVFRDLSSTMLQAGG FLHGFILSSHLHYLPLYLIFVKSLNTTLIGLSLVALTGLAVPALMVSGTGQVFRRPHI STWITRIGWLFTITATGCSLLLNTAIPTYGWVIIFLVAGLGSALLTLGYNLCIHVNTL RFYPGQETRESAKVSTTSILIYSILRTWGMCIAIPISGTIIFNYLSSKDLLDMSTGYT SRHFGAYAPQEDKDAFADALQVLWKGYTAIAALGGISSLFIRSAS AOR_1_832024 MSPKPILQAPKANPLTTTLQLLTFLLILSIVGKRTTTLVLASVF TLWFKTRFNLYQDYVEERRSTRRERERQRRSQEVRVISARIVEEVMRGSSAALRDGDG HFGGFTGEVKAVNGVGECVEDIAEDGESEKGLGDLDGGVVVGVEVYGVGGSGSLGEDE VSVGIGEVEVLEGEGETEKEEQIEIVEQRWEQAGHEEEVYEEPVEQQAIDEKVDVKIE IAEPEEVKQEEPQREEQKPESTILQEEKQESAWAEEKPEKPIEEDKKATTDSIPKVDE PEPEKEAKKPEPKQVESKPAGLSQSRWSNSLSGSKKVLDPRASTFQPTEFKSSAKSQY QFSLPTTTRPQSSTPLAYSSIGVLASSSSSPLSAYSPPSIFSSMGRSTQNSTPSYGDY SHSPSYGYNYATTSSYGSSPSYTTSSSYANSSYANTSSYPNSSPYGNTSSYGNSSYSC YTPSPYYSSYNYASAS AOR_1_834024 MPLNTHIIILLVSVTSHCLAFPSIWPRADIPLPDGMPNPSEEQL KKIQLRAHGTLPNTPLPSKISKDGITNLQLIAFNELFEVAFYNELLLNITKNVPGYKI PNPKKRDFIVESLVAILTQEELHALTANQGLEHFKIEPVQPCRYYFPVSDFDSAIALA ATFTDLVIATLQDVIVRFADNSDVDLTRVIAATIGNEGEQQGWFRVNQGKIPSELPTL TTGDLNFAFTAVQAFTIPGSCPNLDDIALTTFKSLDILTPPEPKTQFILVSWESEYEV KGDKLWLTYVNQLNVPIVEELKIVSRKDGKKVVAKALFPYHENLMNGLTIAAVTKSAG PFAGVTDVAQETLYGPGLIIVN AOR_1_836024 MKYASFIAAAAAALASAVSAAGVSGSAEGFAKGVTGGGSATPVY PSTTDELVSYLGDSEARVIILTKTFDFTNTEGTETSSGCAPWGTASGCQLAINKDNWC TNYEPNAPTVSSITYNKAGVLGITVNSNKSIVGQGSAGVIKGRGLRIVSGAKNVIIQN IAITDINPKYVWGGDAITLNEADLVWIDHVTTARIARQHIVLGTQADNRVTISNSLID GRTDYSATCNGHHYWGVYLDGSNDMVTMMGNYFYYTSGRMPKVQGNTLLHAVNNYFHN IEGHAFEIGSGGYVLAEGNAFQNVDAPVESPISGQLFSAPDATTNEQCKSVFGRACQI NAFGSSGSFSQADTAVISKFSGKNIATAHLAQNIPKWVMANAGQGKL AOR_1_838024 MAALRSTSRLVASSKPLFRPAVFARSYATVDAAAQDPNPSETPR TKTFHIYRWNPDQPTEKPKMQSYSLDLNKTGPMMLDALIRIKNEMDPTLTFRRSCREG ICGSCAMNIDGVNTLACLCRIPTDTAKESRIYPLPHTYVVKDLVPDLTQFYKQYKSIK PYLQRETKTEDGLEYRQSPEERKKLDGLYECILCACCSTSCPSYWWNSEEYLGPAILL QSYRWLADSRDEKTAERKHALDNSMSVYRCHTILNCSRTCPKGLNPARAIAEIKKLMA AH AOR_1_842024 MSKGTPLSSDCICLSDGRSSGKAWESDRTLTNRGCVFGRRKAWM KADAAVSSELHIPDLELLHHYTTSTAYTFSLHPLLQTFWRVEVPRIGFTAPYTLRAIL AISALHLAFLRPEKMQFYITQASTHHEAALKLATPEMANISPDNSAPLFLLSALSSFI SCAKPLKLGNFFLLEDNNIADWLLLIRGTGTILDFADESLKSGPLASMFNVRAQHRNF STSRRHHALEELHQLILTQIVRDVLGA AOR_1_840024 MENITENIEPFVAVGKRLLGDSHRVRIAAHASCESIVKDQGLDF FAISHDVIHPMSNIGSREGTRGSNAMNEGIGRIKRSLYQSYHESWRACIASYKSESRP FLADAIIANPLAHAHIHCAERLSIPLHIMSAMIWSPTKEFPHPLAHIDGSEDMDQVMA NVLSYALVEETIWKTIIEPINRYRQHVLGCQSISSATGGRLMIDNDIPHTYFCPEVLV SRPGDWDDMINTSGYVFAEEEAQYSSAKDLSSFIESGSPPIYFMLQENSMESPGMLAR AIQDIVVKHGLRAILSQGCRDTCRILNDDNVFLVDSIPYAWLLPRVAVVVHSGSADQS ALALQYGKPSVVIPHTAEHVFPENGIFSISTAPLMSNMFSPDALYQALEFCLRPDVQE STRAVQKQVHNESGLESAIKAFYRWLPPQVQKCDITNQNLAMYQIWNKPSMRISPEVA AVLLEEQLIKQTDIVL AOR_1_844024 MLPGMQRKSDDSSVEKPKRKNLTKDVGIDAARFFWNIALLPFTS TALVINTVAYGVKGVRGSKTRDEESAATDIVASYDESELPQSDSARINETRQRPSMMQ DSGIIHRATGLRKQSNLNASDFSTEPNTENKRKELPSSVQTSRIQARDEEHAEAICRR HLDQLKLNLYS AOR_1_846024 MNSTAYYPYTPDVPFAIIGVGVYTVLAGIHAFRVVSKEAWDGSF MVLGCLAMGCGARLISSWDIHDKLSWAAQKLLLLWGPALIMFTICLSSTEFTKTYKPK RFVPPWIARPLYFALNTVLFLLMSLAAFMEVATAEHEVHAGHKLLRAVFIIRLLFWTF ILLGNTASHNDHQCQPGNNVHMKPRFEQYYLVLQDFFIVAFIHNIIQLVRLYSGPDGF MNATEWPLYALDILLGTRPLLEWKIWYWSGGCMKSVGS AOR_1_848024 MSVPRTIEMKKPPLTRDKENQYLKSQARELYQRHLVDSEKIRRL HDLVSQGREDASKADRLHHEELTKLYNKINSLQMRRESLEDIQVLDKMRILNQNLELW IQSNFKDVKRLAGLGQPDVQFPRSSLQCRAWIQGCVTEMIYDSIFSPFYFGLPDDPWG QIIEFIKAGVGKTRA AOR_1_850024 MARGPSIVVGLDFGTTFSGIAWALEGSVDELEVISAWPGGGNRT SVKVPSVISYDGQRTYWGYQVRPFTEAFRGVKLLLDESQETKYTPSLASKVLLGKRKT NAVQVVADYLKYLVEYAKSVLQRRFGIPAKDMDLRFTLTVPAVWSDTAKDRTLNAAIQ ADIRPQDITLVSEPEAAALYTLRSIQSNSMAQNDVFIVCDAGGGTVDLISYQIKTLEP LALVEVTEGTGRICGSMLLDQRFEKLLRDRMSVNYATLSSKSKEAALSYWQDRVKPNY TGKYDNDYADVDYFIPLPGVADNPKIPIEDGFFQLSSDDVEDIFEPIVHDVEELIAEQ VAGITKLGFVTKAIVLVGGFGSSIYLLHRLQKKNPTVTVLQPPNAWSAVRAIKLSAGL PVDITGLNIQQGTFHIPIMPKTHIGIH AOR_1_852024 MSLSNNRALDILNHAASNHYGVPAMCCYNLEGILATVRAAEAKR SPAMILLFPWAVHYADGLLVHAAAEAARKASVPITVHMDHAQTPEIIRYAADLGGFDS IMVDMSHYEKEKNLALTRELVAYCNERGIATEAEPGRIEGGEDGVADTADLEGLLTTP EESIEFVNTGINWLAPAFGNVHGEYGPRGIQLEYDRLQRIHDTVGDNVRLVLHGADPF TEEIFSKCIECGVSKININKVLNNEYVRVQREKAGRVPLTTLLEEATNEMQKAVERCM DMLKSTGRYP AOR_1_854024 MSKPTIGFVGLGAMGFGMATHLVHEGYTVHGFDVFPASVQRFQA AGGRPASSLRESAEGKSFYVCMVASAPQVQSVLFGDEGIVQYLPQNATLLLCSTVPAS YAQSVAAELQSRGRGDILFIDSPVSGGAKRAADGTLSIMAGGTDKALESGRDLLQTMS APSKLYLVPGGIGAGSNMKMVHQVLAAIHILGASEAMGLAAQLGLDARQAADNIIGSD AWTWMHENRFPRMVEEDWNPGASALTIILKDAGIITSSARQHRFPTPLCTTAEQIYLS ALLQGYGTKDDSAMVRQYFTTPIASVTASAEDQSAALQLVLDLMQGVNLVAAAEAVAF ARYLKVDLAQFYDLVSAAAGASKVFITKGLEMIKGQIGEEAPAGSQTVDEAIAKLEAV VQQARDLHCPLHLGNAALNVLFTARRHGLGAEGATSVIKVFGKD AOR_1_856024 MSSTTITPKRTYSILNEGIRLHHCSMHYWQDRHLLRKSQQEETE KPTQDDIEAIKQDKNLFRQAVQMLSEHLAHTARNIIRTDRVEDSDTVRKYDAETKDGT ITSRGIVLIADEDSQYLETEVQVPVIVRKRGLTSKE AOR_1_858024 MFALLVVFTHPCCGSVRRYLPPHNPSSEKASSSDILTNLAQLTA LQLGADCAVISLCDRKTQYVLAETPSVARSKRERHRDHTAPCDHAMVAFIEENSDWFL VADLAQDSRFDAYWSTLPRNCSYLAVPVRTSAGVVIGIIESYGSAQRDELDDEQSRLL QDRAGTVLDHLATLRTMREEHRGERMIKALGAFMEGKSDIDEWFVSSLPGRQPPILES SRSLDPATSIHFANSQKTLDIPPSHIPQTELGIPLRGRRNSYRSRSPPRRARGGSLTS EETYSVLHRASVLIRQALDVDGVAFLDISTFNQERHGLSSKASSNSRSRSPGMAEHES STGHVLGCSTVQGDSRDITVPASLLGNMVHRYGRGGIFHLDEDKLSPLSDGYSSDIDG SCSSLEDMASSYYGIDRRQISQAFSDATSIAFFPLWDFQQNRWFAGCFVWTQDPGRLF AESTDLTYLAAFNNSVMAEVSRLDLRAADREKADFISSVSHEWRSPLHGILTMLDILQ ETKVTNVQRSLIDITMNCGKTLLDTVNHVLDYAKINSLLGPTTHDRTLENAEMPQGSQ LNAPALIEHVNMATLVEEVAEALLASQDYMGRNAEALFSATKQTADRPFRESNIPNSV PRAIFAIVDIECREGWECRVSAGAWRRIVLNLLGNALKFTPSGFVQVKLRHEMVKVGH DTLPAILLQISDSGRGISPDFTFSNLYTPFQQEDSLSPGIGVGLNVVYRIVDSMRGLI DLKSEPNRGTVVSVLLPITPASRPSSPSIPYGNLREKLQGKTISLFPNSSEYGDLKIE PDIFNTMLLSMETMITQWFGVRVLTPNERDHEHPDIFIITEHEYRYGEAKESAATYSR RSKARKNPKTSFPKIVLCTHAHSWFNQPRDPSEPVVFLQQPIAPKTLASALMSCIEHR SQLRDAGDNRVHESTTATLDEMCQRRLQITTSKSPEDKKQDDKESDGRNSVVHGPEPT KKQNSVSSNPEDPIAPCKVLLVEDNDLNLKILQTTMKKAGFAYESAVNGLEAVQKAES ETFQAIIMGK AOR_1_860024 MDSLHVKLNFLGQLPALRIYTQICLCFPFDASSDHEIVRTLENG LERLSTNFPWVAGQIVSEGSSHNNPGTFMIKALGKTPPLVVKDFRHDPDVPTMDDLRR ADFPFRMLDENIIAPRKTLPSPDEDIISPAFLVQANFIHGGLVLTLVGHHSTMDMTGQ GQVIHLLSKACRGDTYTGLELESGNLVEGHLVPLLDGSYGLGPELDRQIIRPGAQQSS VLLPKSTWSYFSFNKSSLAKLKLLAEQSKASEFISTDDALSAFVWQSVLRARLKRLSP AHNTTFGRAVDVRKYFGIPPTYTGLMQNMVYHTDTVQDLLDKPLGIIASQLRMAIDPQ TSSLRSRTRTLATYIESLVDKSSISFGASFNPSTDIMISSWASVNCYELDFGFGLGKP EAVRRPQFTPVEGLIYFMPKRSDGEIAVAVSLQEEDMARLAADEEFTKFGKYIP AOR_1_862024 MRSTVTRSLSNATRARKGCISLRRFSLTTGKHKLVEAEKQRDGE QNHTSTNLESGDKSAILHSKLDTKPPTIVSSNGNYLIAEGGREILDASGGAAVACIGH NNSRVNNAIMRQLQSFSYIYAPFFTSKASEKLATLLSESTQNQLSKAFIVSSGTEAIE AALKMARQYFLELPNPEPSRTRFIARRQSYHGNTLGSLSLGGHVGRRAPYAPILTTNV SHVSPCYSYRGKRAEESDEDYVARLAQELEDEFQAVGASNVCAFVAETVSGTTLGCVP PVAGYLQAMKEVCDRHGALFILDEVMSGMGRCGTLHAWEQEGVVPDLQTVAKGLGGGY APIGALLVNKRVVQALDKGTGAFVHSQTYQGHPVACAAAYEVQKIIKEENLLQNVRSL GEYLGNRLKEQLGDHKHVGDIRGRGFFWGIEFVKNKETKEPFLAEQKIAPVIHKTGLS EYSISFIPGTGVADGKNGDIIQIAPPYNATKADIDLIVERTALVVKRVLG AOR_1_864024 MDLLTELENLALEAENENESNILETGLVHSHRPTTQLKAQRCDY TTTYLVKLEGPLNSPSIIQQIAGLLERPLLKRGEGELGDASFCLIKGTTRLAILSAFS NYAPTFIRVNMADKHLSNYSISPSLGSDIDTTLPHNRAPSTNQIFLPTQNQYPVWYFF YGTLTDPETLARKICLPVLPVLQQATVKGGKMKMWGGKYKALIDGPSSSVVNGWAYEV NSEEEEEQLRYYETDQYEVVRCEIHIVDSGDIVKGLTFRFIDDRSRVRETISANPIAD QIE AOR_1_866024 MRNQDEITDAVTGHEADRNSTRKEKIEWPDSPASEVSFSHGKIT KTVDDVEQRIIEAGEIKYHRVGWVQLTVLLIVEAIALGALSIPSAFATLGMVAGVICT VGIGMLAIYTSYIIGELKLAHPSIRHYGDVGTLMAGRFGYEAFTVMLILSCVFVTGSH CLTGTIAFRHITGSDICSLLFGGVSAIILLLLSIPSSFADVAWLGYVDFASIIAAIGI TIIATGIKSSNTPGGLSAVNWSPVPQGNPNFAEGFIAISNIIFAYSFATTQFSFMDEM HTPKDYKKSIWALGLLQIAIYTITGATIYAFVGPDVESPALLSAGPLVSKVAFGIALP VIFISGSINTIVAGRLIHQRIYSNSITRYINTTGGWITWLTLITVITVIAWVIAEAIP FFSDLLSIISSLFTSGFSFYLPPVMWFMFLRKGKWYSKENLLRSVVNALVFLFGVAVL VCGLYASIQDIRNNYRTGKVHGAFTCGPV AOR_1_868024 MDAGDKTDQEIEMGGYLSDHYTTADGFYELRKNFSLLSAIGMGF SLANSWFGISTALATGIESGGSVQVVYGLIIITIVYGCIAVSLAELSS AOR_1_1534024 MPFAQRKEIVTKALGLIQERKQSLGEELTVQMGRPIAFGAKEVE TMQKRADYLLKIAEDALQPIPGQSEAGFRRWIEKEPVGRILIIFAWNFPYLIIVNSLI PALLARNPVILKPSPQAPLVGDRIKEIFDEAGLPPAVLQVIHSGSGRWIREATASRFV PVSLELGGNDPAYVRPDADIRYVAEQLLDGAVFNAGQRCCAVERVYVHTDIHDAFVAE VQKELAQYKPGDLSGKTVNVGPVISRAAQKSANSQIQDALAKGAVNSTSRNPTFTSAP REGNYVVPTELTQVNHDMVVMKEETFGPVLPIMRVSSDEEAVQLMNDSDYGLTASVWT QDLATGERLLKLLDAGTVFINRCDYPNPDLAWTGWKNSGMGHTLVPKAFDPFVKLKS AOR_1_870024 MSPLSFAVSFFYLYITGSVFFDTAHYLLHQWSKSQWRFLRWLSW CHQFHHLYYNRSLKFNNRYLRQNAWISLPLEMLSKILGSVLGWFLARSLITDNNGDPD TTPLILVSAFEFIRTMVVIAMSGRDSNHIAFDTVPKDRSWLFVGPEFHALHHVYPDRY MGSMVKLFDWVAGTAYFVRNKRVVLTGGSGAFGRAIEKQLLAEGVKDVRKIGFGKDWT HQDFSRVGPILEDADILILSHGTKGLDAMDSNCNSTIRFIELFLQQQAAGEGRASKTV PEIWYVGSEIEIHPAWGIPEMQRYSASKRAFMPYARALYDDPRVIYRHIVPAAFESQM GKAIVSPDWAARVAMWWIRRGACYVPVTYTGLAYLNFFKFQYLVRPDMSRGSKAKST AOR_1_872024 MSEKKQDDIFPPEPYHVEYIDKDQGVIDQDAVFGEITEEGPNYR NVGWLGTTALMMKTQIGLGVLSIPLAFDTLGLIPGVIVLCAIAVITTWSDYIVGVFKL RHREVYGIDDTGALMFGYPGRVLLGGAFCLYWTFVAGSGMLGVSISLNAVSTHGACTA VFVAVAAIVGFMLASIQTLARISWIAWVGLFGILTAIFIVTIAVGLQERPYAAPQEGV WVSDYKLIASPTFTQAITAVSSIVFAYAGTPAFFSIVSEMREPRHYTRSLVICQSVVT ATYIAIGCVVYYFCGSYVASPALGSAGPTVKKISYGFALPGLLMTTLLVIHLPAKYLF IRILQGSRHLTANTTIHWATWLGCTSGIAIIAYIIASAIPVFNDLVSLVGALLGTLMS FQPMGCMWLYDNWSKGKISKSPKWIFMVFWSGFVILSGTFLMVGGTYGSVVSIIDSYK KSGGSAAWSCADNSNSV AOR_1_874024 MSRTEPTSLFGSRPGNHALGISIVVFMSIALYNAVELVVLIPLS FHRYSSLYFWALMTSTVLGVIPATIGPSLQFFDLIPLWLSMVLSNLGFIMMVPNQSVV LYSRLHLVSQNKLVLAFVRWLIIWSLLTIVVPTIVLNVGSSYMPQSLPWVQGFEAMER IQVTWFAVQETCISLIYIWYTICMIRLSPDEDKRRHKILYELVIINIMAIVMDISLVI LEYLGFYFTQVIFKATVYSIKLKLEFAVLSMLVSIVHPPRSSVATWGTNCTVSTFS AOR_1_1536024 MAKHVIGKVAARRTLYRHRLLFHYYRIFNGHLDKPHLQALRDPI ILPRQHLVDRAGRHWNGDVMTLKGALVRMVRYWPHLPDTRGIECPGEFTDAELKGFAE KGQMLFDLNKLVNYWRDEISINEDGWVSNDLYEDAVRKAAQRKESLVEAAEGDEQDIR LLKEGGMFRDREEID AOR_1_878024 MEKVPPTPVPVFDQQPEFYHPQPAAPPNAHVQSPVHDPNMMTAP QPYVYAAGGHPSGYNAATPLHALQRGPTPVDCPICGVREMTRTEAESGNTTHGWAAVI CCCFCLGCIPYLMSSLKDYNHYCGQCGTMLATWHNSGRVEVHQNVRQ AOR_1_880024 MPPSVERPDNPASSSHKDAARTAPKTDYPSAPPVMVIRDLASDV GVKSPDASSNESVLDGLISADLAINLMTIFLEHYGRWVLFDPESDPKALLGKVNRSPL LFSACCLIAVRHTTECLATTLAPRLYQSARSLISTALLVSPQPIEFFQAAIVLSLWST TVGQVPLSIDSWLLSGFALQHCQSSPLFDAVNTANPHVQLTKTTLDNWCIWNHLCLAH LQYCVGTSRKSMIHASQIPKCRAIVGSDHATNYELRMVGEIHLYWTLYEHTSSESIDL LKSIASLQDWKKEWQFILEQPRAQFLLMGFHFAHLLLYDQCLKCKTARARESVISEMI RHSTAIIRLAMDTADDRTRHLTDHIYHMITFAAIIICRLLNAYEQQLSQIYNLDELDS LILSLVNWMQTIGLPCHAAHTLGHVIGTVHQKLRPAVVTQPLATEQSEVFFGHDLASY FPEFLGVETTEDGNWDLMPSWGFSSPP AOR_1_882024 MDQISDTHGKSSSSIHADEKADPTWIQALSIEKGGIERVTPDQR QENVTHFWNACTFWLSANMAVATLTTGALGGPMGLKFWDSFVVILVVNLVSDLLPAWT AAFGLTGLRMTTFSRYSFGYWGNLLVVVFSMVATTGWNAINSISGAAVLNALSDGRCP TWAGVIIICTVVWIFCVLGISWIHKIDTFIWIPPLIVWCVTAGTGASHFSSTEPKEFK TSQDRAAAILSFMAIIFSFSVSWVNCAADYNVRMPINTSRTRIFGATYIGIFIPSVLI QTLGAALYSGTIQHPEWKAAYTSAGVGGLLKMALEPAGGFGKFLMVLAALSSIPNNIP NNYSFALHAQNFGPWALRIPRIALVTFGFIVSLVVGCCAAQYFKDTLQTFLSVIGYWT VIHIVLVAEEHLVFRRGWQGYDLDAWDDPKKIHFGWSAIGAFGAGFVGAALGMKVAWY VGPIAGLIGEGANVGHELTGAFSGIAFLVLRWAERRICGI AOR_1_884024 MEQHTLRRRGLGLRGTDPKVSPGYILYTPLTSRTAHLISTTGKE VHKWTLPYRAGRHARLLQDGNLAYNGAHPDAPNLFPMWAKYRGGAMIQVSPPGEILRE YRDPKAHHDQHHLPDGKILYTTLEALTPEEAAKVQGGITGSEAPGGIVYGDCIKLVEP WSTSNRSSSEDFEGDGKGGAKLLWTWRAIDHLDTELFRMHPDYPREHWPLINSVSFDS DGNIIASMRNTSSVVIISRKTGEVLWHLTQPVVNQQHCAHQLPSGDLLIFDNGVFRPG ISVPFTRAIVVARETKDIIWEYKDRSTGGIGFFTPFMGSAQKLPNGNVVLCEAATGRI LEVTESGDVVWEFVVPQLSDYTAVLGEGELEEMWKMGFAYESNAIFRAYKYLPEKVPW LKED AOR_1_886024 MARLSIFCLAYFALTFLSYANAWTLTWRNETGAQIVDGDSEQNC TRIYHTKGEEFSFNPEGKWCLKFWDEATCEAQIGKTCEGRRWQQIASRNISAFNVYAM PPADISANGMASTSTTSTSSTSSTITTTSSASTSAVTTESTQSGNDDSSKHSLSGGAI AGIVVGAVAGVAILAALFFFWGRRKRNAAAPAPASTTPAPGPDDRNLPEAVDPSKPAM SETQTQVSSVSPYGYPATRGKTVELPGEKVGAELSDSRQLVEMGNTPLAEMDGTSTIK RP AOR_1_888024 MPAIKALTPHVRDEIVKRSNWAGENPGVILVFCIVFIVGVGIIS LFAYRLWMKRKAKKQFYEVQERK AOR_1_890024 MPSLLYVSSVLLATSSATVTYLYFLHRSLGDRIHHEFHQSELPD SVSTILSLPVEVSRGEYRTFYDHASRRVARKLLPAQKLEDLFTLLLRRNMTAFSRFPQ AWILRLTVPPADRITFHASHIQSLQFKEGDLVCGLYRVQERTPSKAVLELLFKGEVSG RMVIRFWEDGEDVVFCTETIMWTRKVNAGQGKRVIVPLENPMLKFLHEMAAWWLIDSG VTYLLDLKGNSPLEASS AOR_1_892024 MTIALLITGATGKQGGAVIDGLLAQDADVEILAVTRNTQSPAAQ KLAQKSDKVKLVQGDLNNPAGIFENAKKASSLPVWGVFSLQSPFAKGESLESEERQGK ALIDESIKQRVQHFVQTSVDRGGDASTDNPTNVPHFITKYNIEQHLFEKTKDGVMDWT VLRPVFFFDNLTPDFIGKVTSTSWDAYLQGKPLQCIATSDIGIIAAKVFLQHDRFKNQ CLSLAGDELTFEQMAKKFKTQTGQNVPTTFRFVAYFVMLMAKDLRLMFKWFYNQGYGA DIKQLKQIHPGLKDFDTWLKEESQFLKH AOR_1_894024 MLFKSIVSTAILAAALCTDNVSAAKHGRFGQKARDSLNLAKRAA EQQKSSFKTPLDDFRFLTNKTKSYRVDHLPDVPFDVGEMYSGLVPIDKDDKSRALFFV FQPTLGDPVDEITIWLNGGPGCSSLEGFFQENGRFTWQPGTFAPVENPYSWVNLTNVL WVEQPVGTGFAIGKPNATTQEETAEDFVRFFKNFQELFGIKNFKIYVTGESYAGRYVP YISAAMLDRNDTEHYDLKGALVYDPCIGQHDYIQEEVPAVPFVQQNANLFNFNSSFMS ELEKLHDSCGYKDYLDEYLVFPPAGVQPQKSFNYTSDADCDVFDLISNEALVANSCFD IYEINLMCPLAWDVLAMPTAFNYQPAGATVYFDRPDVKRAMHAPLNVTWSGCSSENVY VGGDAGPEQEGDLSANPIEHVLPQVIEGTNRVLVSNGDYDMIILTNGTLLAIQNMTWN GQLGFQSAPATPITIDLPDLAWGEVFEENGQEMLQSQGVMGVQHYERGLMWAETYQSG HMQPQYQPRVSYRHLQWLLGRVDKL AOR_1_896024 MKDPISRIIGLSERVPFRRVLEILWSNPRRRVATSAGAVATYLI LVACLRFQRLRRLHRQYRQYSTRQGMAYMTDHDAWAIQKQVLQLEFPTISLKALQFAL FRTYGIPTISKLLLKTSQFSDPATSFKRYADTGALIGQFMSFEPTSDRALTAIARTKF LHTGYRTSGSILNSDMLYTLSLFATEPIRFTEMFEWRSMSELEKCAIGTYWKNLGEAL EIDFAELPSAKTGFRDGLHFLEEMTEWSHQYEEQYMKPSPENKAVADKTMDVLVYVLP AWLKGVGVNFASCMMDERLRVAMMYDAPARLYYAIFFSLVAIRRFYLRYLSLPRPNFL RLDVFSEDPNEHGRHYVRTWSGAPYYVKPTLSNRWGLSAWFSRLVGLPLPGDEGEKYY PKGFETADLGPKYFEGKGRKTVQDYYDKLVKGRKVRPFVD AOR_1_898024 MTSPEYWSRLLIALSITGAVVATLFYLLRLYSQCLGTGKLDVSD VFLGFGLVLSYGITITAVIAALEGAGVDLSTLPSRTAHRMYWIAQEFWPASQVCIKLS IIMLLRRLLGSVKRMLPLTLFLAVFILAWGLAALVANTFQCWPPQYFWNQETGGHCIS GERALFMATGAVSFVQDVVLLAIPFAIVWRLQMEPRMKALLTILFGIGGIACILSLMR LIEFRYYPTDNLTASGTRERIWTLLEIDIAIVCASVVLLPPLLKRCTDTCWRIYRHAI SRLKTTECTQVESWPFQKHCTNFSQDGRSEVRSQAYPASAAEVRDRRAEMAGGCIRVE TTISRDIDDREVLWPQVGSSSLTSGIQATLADEKKFAEDMSNVDFSGVGWDATYP AOR_1_900024 MASLNLFSRIESPSEQEKQIFAILDEYAQPSSSTTASTAAQSIH EFAAPLLSDSQADGLENLLWQFWNIVINVARQIPCDSPSQERLVELVKALTEIPPTTI QIWGNDTKLWVDLPLLGPEMREAWNLNPTGNEAEEKIKEWINLNSFVARLLSISLAPW TVLGVWALRDALEEESSGRKVECDIAVAKEWLQHGGPVLRQQTLAAENKEERIMAGGT LYQGPAKLCPERWNFWKERLSQISDQGGDVGKVASTTKTAMDQLEDN AOR_1_902024 MSTTSSTFKSSSGANCHPTALEIIQNNGLEGKLQDKVILITGCS SGIGIDTARALSVTGATLYLTARDLKKAEQALGDLIEKPNVHLLTLNLNSLASVRACA EEFLPKSSQLNVLINNAGVMATPEGRTQDGFETQFGTNHLGHFTLFYLLKPILLASST PEFNSRVVNVSSLAHRYGEPVFDNINLEGIYEPWRAYGQSKTANIWTANEIERRYGSQ GLHAFSVHPGGIRTGLQQYVPKEQKDAWDQDDAISSVWKTSEHGAATTVWAAVAKELE GKGGKYLEDCQIAEKYDPSTGTAGKGYAPWVYDEAKQAKLWEMSLNLAGLHV AOR_1_904024 MADPQVPSSRAINACLTCRKQKRKCTKERPRCSTCRKTGRACDY TPIGRSSGIATERDNCERLESQETGESAALSRRTHLSGYESRATIGASSPDTEGLFTL FLDSDIPPDRSYLESNRKIPLPPDYLRYLRSPAQVRHEVDVFFNSVQTFFPIVSKLRL YQQLSNADRRDDPDLGLLFIAMQLHTRSCSEVESGDLYRSAKACYVYIESSNVFSVKV LQALLLIALYEISNAIYPAAYLTVGHCARLGHAMGIHQRSDSPQMFHRDAGTFVELEE RRRTWWAVIILDRYVNLGGRNRPLACEDAQPEDFLPADDKSWDRGEIIVVEPLAVRAN TTIEVCPFTRTCQASHILARVLGHLNDRNSDADFRYEEAMQLHRTAQALSHTLSNELE QRIERVYDPTAHLQLFSAIGICYSASLLLYDRYCCSGILGVAGDVEVQQTALSSINEV SRGVLQFAKGIRSAMDLGGALRMSPLVLDCLYQAAANFMWQSRETGNSDLVSMANEIQ SVLEVLGTRWKAPSKLDYWNVRLLSYANALALGAYLSILRKVGGHC AOR_1_906024 MTTSTVVSLLSSLMQTQSTSEHEQELAHFLDDHLTNLGYTVERL PIAEGSTRENVYAYLGTQRKTRVCLTSHLDTVPPYIPLRIEGSTIYGRGACDDKGPMA AQICALEELRAEGAVKEGDVGLLFVVGEEKGGPGMIAANHQDLSFEGVIFGEPTEGKL VVGHKGHLVFELIGEGKACHSGYPQHGVNANFALIETLSDFVQTEFPSSSLLGPSTFN VGKIEGGVSYNIVPETSKALCAVRVATDMAGIKKIVSDTVARHSNVRLEFKFEYPETL LDHDVEGFETAPVSYGTDVPRFKGNHKKYLYGPGSILVAHGDNEQIEIDELMEGVRAY KKLTMHALNSAR AOR_1_908024 MGSAQVEFKNASTNTLLELVKARRTYYGLKAESPISDDAIQSIV ETSVLHVPSSFNTQTSRVVLLLKEEHQKVWDIAIKAMEGLVAAGAVPKEAFENHTKPK LEAFRAAYGTVLFFVDYESLAPIKEKFAIYADKFDPFALESNAMSQYLVWTALESEGF GANLQHYSPLIDADIQKTWDLPASWKLDAQLVFGAPTSEPGEKEFAPLENRFKVFGKS A AOR_1_910024 MDFTFHEGVNGSSPWVEFYPYNPSQTAGYAFMAIFGITTVVHII LMFPYKAAYFIPLILGGICETFGYYGRAWSHNNRTLISSWALQQMLILCAPPFVAATI YMVLGRIIRAFDAEHLSPMRSKRITVLFVLNDVFCFFTQLGGAGVQITGDAKVMDIGR KVVIVGLVFALVVFLLFVWIAWVFHRRLAAEPTGVAAANPGLNWRRYMWAIYGSCLVL MVRNLVRLVEFGAVKSALNQQEAYIYVFDAAMMFLSMGVLVFWHPGVLIKKARRAGEA GRLCAGMEDGVDGDGVPLAGYKGYQRC AOR_1_912024 MAAFGSQVFCLFPLPTAPVAALQIDFLSAATSLLGDVLFLTSPE PSFQEQVTTWVVARLALLKAVLIFLESGNKESILMLHCRELPPEQLAGNFGKTFFAWI YPILRKGYTDILTPQDIPGLEGKLSSGRLRRDILQAWDQRRKPETTLTLPVTLLRLSK GAFLSAIIPRMFLIIFRYCQPALINAAVRFVHNGNENSNDRNYGYWLIVMAGIIYFGL AVNHALIALLHHRTLHVQSEHHDNGGPITLMSVDVETLSTLGDMLHETWAYILEVIIG TTLLASQIKWLCLVPLVGVCCSSWMSAYVARHLQSRQRDWNAATQKRMAVTTALLQSI KSMKMLGISDSVKTWLSGLRGEEIQASIRLRYVLLAYNASANALGMFTPVITLVLYVL FARSKSNGALPAETAFTSLALLAMVTHPANMVMTIVPRAIASLANSERITNYLIHGTI EDCRLDIRQAQVGSGITETHKRAAVLLADVSIQSSHTSKPILKQLNCKVNKGSIVMCA GPVGSGKTTLARALLGEISPSSGVIYTSSKRIGVCAQEPWLPSGSIKEVICGGLQVDE TWYEQVLLASELVKDLDTLSDGDGTEIRFPGLNLSGGQRQRVAIARVLYARCEIVILD DTFRALDGKTEKVIVHNLLGPDGIFRNHGTTAIVITNSAQYFPLADHILVLSDSEIQR QGSWDKLQHDRQQIDKFMPDEREYRHISKGSEAQGSLQKDPRVDAAQDLTRQSGDLRL YGYYLNAMGVRNGLFMLMCTASYSFFITFSQYWVKWWAEASDEQTAFYMGGYIILALV AWISTNGTMWSTCMRISPRGGAVLHSRLLDSIFGAPLSYFSNNNIGVILNRFGEDIEL VDRQLPNAFQALGTQVFKLLVQATILFSVVPVMAITLPFCMVIVYFVQRVYLRTSRQL RFLEIESKSALYSSFVEMVDGLSTIRALQWQRKYTSDIVGTIDTSQKPAYLLFCLQRW LNLVLDLLIAVVAVGLVALAVTSRGTERATAIGLSLNMIILANTTLLRLVESWTSLEV SLGAIARLRSVVTETPQEENTGEQKLTAPTNWPVAGSIVVHGLEASYSPPNLALQNIH LEVKAGQKLLICGRTGSGKSTLLLSFLGLLDLQPGSIMVDNIDISNMSQTYLRRHCFI TVPQDPFTLAAATLRFNLDPEGFLPDSVLIEVLENTGLWEHFCQSSKLNHSKSSEIEA LLDRPMSSLPPLSAGQQQLLSLSRALAHKRAATAHGYSDLQTQLSVADRKPILLLDEA TSALDPETEAVMQDVIEKEFTQEGYTVIIVAHRIGGMLKYFRDDIDAVVWMTEGRVER VVHTQAAVRLALKDDRGGGSNRCSTDLP AOR_1_914024 MDSATLNKIRSRRSHHKSRLGCKNCKRRRVKCDEKKPSCGKCVN HSIECDFSVSTPTSPSPSPPAASSAHQRYRFRQSKYQTLASPAPNEAQRPGGNSIAVQ CDFSANISAMVGISFADLQLFHHYLISTYRTLTDEASDPYDVWSIHIPQWGIAFPSIL HLMLALSALHLGHEKPELRHQYVMQANDHFTFGIRSVTTVLSQLNSENCQLIYMSAVM ICLVYFGHGPRPGEYLVFSSQGQAEWLVLMRGVRSILATNHDKIFSGVLTPQTDDSIQ SVSPELQGELRQHHDRIVELKTFIEIQTAGPEKDLYLSAVGSLPDTFDETYTMRSAGK DGVCLLPMVIGWIYRLPEPFVVLLEKKDPFALVILAHWSILLTYMTSSWLFVGWDQHV IKGIRASLEEEFYNWISWPETVISTRA AOR_1_916024 MEKQSNTTTCYSSSMTIKEISPSHEDNIHPIVDIVAVHGLDESS HSAWTDAPTGCCWLSDLLAHDMPRARILTFDYKADATTFFGSSSSSRISHHAQTLLEG LGTHRYLESCTERPIIFICHGLGGIVVKKALVTSAASTTMKLSHLHSVTTSTFGLLFL GTPHEGIEKAKWYLLSKGVKGILRQHSQLVASMEKNTETLQSITEQFTPLLKQFYIHN FWELRETVHGFSKGYVVSPSSAAPVDDSERPISHVLDARKRILGEEHPYTLWSMNDLS KVYCAQSYPKDALELLIPTLDVAVRTLGRSHIGTLMTMSNLVHTHRMIGTPSNIRTAE AMLGDLISAQIKSLGPSHPDVYGAKLQLAQMYERKGQLSQAEIVYRDILSAEGESPGP RIHTSLKVKESLSEIYHMLGRLEAMPQVEAKL AOR_1_918024 MLTLSFSALLGIALAWAIHRLAWKYSRLKRDNKLATQYGCEQPP RLRNWWPLGIDRLIQIWTADSEQRLMDLFTFPFKDVGYTLEQKFLGTIAFGTIDPENL EAIMTNINVFSFGLRRHILFPLLGDGIFTQEGKSWRHSRELLRPQFTRQHYRDLNIFR PHVDRLLHYLTGNGAATDLQPLFFRLTLDTTTEYLFGKSVNSLMPGEATKGQSFANHF DMAQNYVVQRFRLLDLYWLIGGPKFWRSCSAVHRFIDEIIDTRMDSKEKDKDCNSKSI FFDAVARDSRTRRDLRDQLTNVLLAGRDTTACLLSWTFHCLARHPDVLGRLNVEIASV VGTNTDLTRDDFKRMPYLDQVLREVLRLYPPVPVNTRTAHKTTILPTGGGKDGTKPFM VREGENVAFCVYAMHRREDLYGPDAGQFRPERWDEDLPLFQNERTATWGYLPFNGGPR ACLGRKWS AOR_1_920024 MDLSKIPVAPPPPGVTPNFDNPEGSKFKIYSVSLAMCSSATLVL LLRLYTRFYLLRTYGLDDLFCVMGQICAWIFAILSVINEDIKNGYGVHVWDLHLDKIT PFKKYDLAEEDVYALGVWFVKTAILLFYLRLNPEKRFRQMTFAIMGFVAFYSLLSILI FTLGCNPVQAMWDVTIKDAKCVDQFAFVYANAAFNVFSDLVTLILPIKICWSLQTSVR QRMLLMVVFGTGSFCCVVAILRIVTMMPYIHSSDFTHFKVTLANWCMIEINVGIICAC LPTMRPLLARCFPRIFSSIDRSNNKNYKGSDGSYSLKQRKKIHNWDHLTTLQGTQLTT QDPENLKHSESVQELVKPDGHNDAQGIMTSTEYSVTYNRDHSHLT AOR_1_922024 MGSIDPNFDPIQEELDQVIAAATRYKERLSEADSYDARYDLMAK AGRLYQTIRGPADMVFSKFEDAANMGAIRALLEAGVFHVIPAGGESISAKEISAKTGV DKEVIVRLMRAVTPMGPFRETGEEEYAHTPFSEIYMAPQMMAVYKLMVDEYFTPMLRN HEFLRQQNWQNNFRLRNNPYTFVQNCEGETMFEHISKFPDRFTTFNEAMVAQDSGLIA IGLYPFADELSNLAEDNTATIVDVGGGRGHILRQIKKSAPELKGKFILQDQATVIADN GTETQPYGIEAMAHDFFQPQPIKGALVYYIRRCLHDWPDEPESRQILENLAAAMDPEK SRVLITEYILPEVGSNMFHAWMDHTMMTFAGRERTEKDWERLLEISGLKLVKVWRAPG IPVGVVEARLK AOR_1_924024 MPVTFKVAKHEAEKWWAQKATTPGEFLERTSPRDYRRSKRIVQS SFEKLPFYDTHDLQDRHITPSENGLVRAIFSAYSSHYNLVLRPEDVWFSILSQLGFYV NAHAEELRSYFVSHEGQKELTVKSAIRDFGALAMAMTEQIQENVKDPELREWIMPAFS TTTTSDKIVSAILMMGVLQKYFSYKMCLMCGIPSVTLLGERDDWVLLLSKIDKIPELG EEPTQFTTLLRPILQHFISSFDNPSSPDTVDFWQRSVHSQGGGSGPSYLSGWVTAFCF WDAEGRPLYRQDTVANGLGGNLDGIWYHRVDTDEIPSGYNTVPVKVDDFGNVYMTKML AGLVGIEATPITSASDQEAGSLNTIQPVAGWWMYEIDSGEEEAREKEKRQLKAEMNSI EAASADWANDPQLSERYWKLFSKHRDLELV AOR_1_926024 MTLNMGQGDQKPTLSTATLPKNTPQIIGDTARNLRRCTQREIRH GNVGGYILGTHVPPELVDPELDDHRGTFGIKCRVTYDTKTQLMIAQIPCNPHIIAVGH FGQKIMMQSFRKGDHSELMGIGSVTLIDGNLQKEPAACYSPRTLPPERTRKWWPTLVV ESGWYENLAHLQMDANIWIGRSEANVKVVILISMTKDRDALVLEKWVPGNPPQTGSCA RFFAYREQSVRIFRYGNGVVRTTGDPLIIRFEEVFLRPPNSPLEQDYELGQAALISIA EGAWNMPI AOR_1_928024 MGLVTQYSLLLAAAAGLPFSSADGQYRSRPDLTVPKLNITVPAP DANGSEYVFVAPYANTIPQPGAYIYRKDGDLVWSGVGYYSGFVANFHPTTYNGKTVLQ GFQGTMDQNHGEGVGQHVLLDQNYEHLISTRTGNHHIPSIHEFTVVNDKTALVEIYLP TIANLTLWGGNSSQQWLGNGLFQEFDIATGELVFEWNSLDYLDPADSLNALGSSASNS GLSSAQTWDYVHINSIDKDNDGNYLVSSRHFSTIFKINGTDGSIIWQLGGNHSTFTQD FTFGFQHDARWRSQSGNIDVISFFDNSGNGEITFNNVSRALFVQLNHTDNTATVIRKA TAPYGLEANSQGNTQLLANDNLFVSWGSAGAFTQFNADNEILYHAFIEDAVSYRGFLA NWTGTPSEAPALAAYVDSANTTRLYVSWNGDTETKVWRFYQVQEGETQYLGEQSRTSF ETSFLWESEYSPANDVKFYAEAIGDNGDVLVKTLPSLATSFTEITE AOR_1_930024 MRLINVQTFALEEFFNEQVPPYAILSHTWGNDEDEVSFRDITER NTGDASWPVKFKGCCERAEKDGFTHAWIDTCCIDKTNSVELGEAINSMFRWYSNASVC YVYFSDVTTDDRKQLPSQISSSRWFQRGWTLQELLAPSRLLFFNSQWVDIGSKAQWAG LIETITGILRAFLLGRRPLSEASIAQRMSWASKRTTKRKEDIAYCLLGIFNIMMPMIY GEGDHAFTRLQREIIRETRDDSIFAWGLKSAGPVSTEPQEMISAGIFAPSPASFIGSG HILSLERESKCRTAFGFDRGYIRGNFPLYTRQDGQLFAKLSCGPETKCVEGQIVGIPL YHEAPGEEYYIRPEGRYAQLLPDIEVVSFTPIIYIKTERQRASTTTATNQSCFFIEDP INAGLKLIEVEPQDCWWKEDSQIVMENDTADKTIQRAWARFRHEREGSSDFLVLLESR VQGSQIKIRSHIMTSSQTTALRDLAEQRNRMRKAAFGKKVATDGVTTIHIKTEQDTTE QGMFVVKLTKATALPSVTVNGTFELELRAVASGLERVAKRRDEILLEIEHFNQQRGTT LTWDSIRSHLDAIDEEKCVLEKIGKSQMEWTKEGYTFARQANSLAEAALANGYVPVLE KKSATGDSGTVTADRLYVIIY AOR_1_932024 MSSNVDKRETVSQFIPEYFTGSCSKEKMTIRKEWRHLTAKQQTS FLDAVQCLMDKPAKSGLTATTSRFSDLQALHRGMTNTAHADIIHHVGQFLPWHRYYMH IYETLLREECSYTGPIPWWDEQKDADSGNMWQSSMWGPDAFGGNGTGSDLCVIDGRFS NYTLHIGPGDEDTDYCLRRAWDNENAIANANSTALNNCNAYNTFSPWWDCISNIPHKG IHTYIGGVMADIKSSPGDPIFFMHHMYIDRVWWKWQKEDPINRLYDISGPTLNHTANV EPAGGWQNATLHYELSSFNIKPNVTIGEVMNTQGGYLCYGYD AOR_1_934024 MALAFPEGECFFKRSALNSSNKSFYQADRADHFYGHFLLEFIWN VPTHTGDAARKTHKHPRSTYHLNDLSTWTPPPMMYKTNGPYIGSWSQLPFVYGSHYSK GKQRRVQHNLCTNADRLQAQMKGAAMESWFMIMPMPKRQEGWVFIDRLTNIRGIYIPV AHQASEDHPELCTFVHVNFATADGTVNMFHHTGNAKNPKTFWKPVTLKKTVGLQDWSN LTVGFNINGLVNLLSLPRQLRAQNAFTSKNIIILESDMVASPRVERSYNDGTDFDWAK VAGANFGLSIQWKPAPQKQDWLENFLKNSLTIAVGFIPGVGPIAAIAFPLIWTAIADP DSFVDTWRNLCPGVDLQLKLLEAIKDSAKETREYLPDGWEKTALGPKFLSGPHATGMR VAALVSDTGSKQDDLGSEALIINELKALVGESADGLGQALDPGRPEDQIVILEADTEA VGDGDVKDDDHRLEDQVDAEVVPDKVTLDEVGPDMSFKLAEQALKDTARSEDESEWKK LVDNAVETAKDIASKLPTIPGIGHKDESSSNDSQNDPAEDPTASDGPVNDFNWMDDYF KALFSGTLPLEGQA AOR_1_936024 MAVSISQISFEHHREALGIAESKPRISWRFEGIAPNWTQAGYDI EILRNGVPQVYSVNSSDSILVPWPDTALGTAEEARVRARAHGNQDQSSTPWSDWASVE PGLLNDKDWGSAVPIAADRETEVNGPKRPIYFRKSFGVDQNVKSARLYITALGVYEAE INGQRVGDRVMAPGWQSYNNRHVYDTYDVTDLIKQGHNGIGATVGEGWFSGNLGFSGG HRNNYGDTIGLLSLLVVTLEDGTKLEVPTDASWQANIGPTVSSEIYNGETYDSRLEAK IAGWSSGSFNGTNWLAVKQLPSLKGKLTPPDGPPVRRVEEVKPQNIFKSPSNKTIIDF GQNVAGWLRVSVSGPRGTNITFHHAEVLENGELALRPLRFAKAIDTFILNGNGVQTWE PRFTTHGFRYAQVDGWPEATTPLNGDSITAIVVHSDMERTGWFECSHALLNKFHQNVI WSMRGNFISIPTDCPQRDERLGWTGDAHAFGPTADYLYNTAGFWRGWHRDVWSEMQIN GSMSVPPYVPIIPPNTDSKQGAAAVWGDVTVGGPFNHYQAYGDLDMLEEQYSQAQGWI DTGIPREDTGLWDRDSFQYGDWLDPKAPADNPGDATTATHLVADAYLVRMTELLTNIS TALGHSDLANKYSEQHTSLVDEFRKAWLKDGAMANRTQTAYALGLHFGLFTTEEERSA AGDTLRSLIADNDYLVGTGFAGTPPLGFALAEIGATDDFYRMLLQTKVPSWLYQVVQN GTTTWERWDSLLQNGSVNPGEMTSFNHYAFGSIADWMHQVIGGLAPAEPGWKKIKIAP VPGGNITSANSRFISPYGEVSAKWELNGDSFDLEVTVPPNTNAVITLPYGNVTREVGS GQHKFNVSRE AOR_1_938024 MILGLIASLGQAAVAAAALQIVPGATWTAAGTNQHVQAHGGGII EVDSTYYWIGENKLDGSSFQSVNCYSSKNLVEWTFVGELLSRQSSGDLGPDRIVERPK VIYNDATSKYVLWMHIDSSDYGEAKTGVATSSSVCGAYEYLGSFQPLGYQSRDMGLYK DDDGTGYLLTEDRPNGLRINTLTDDFTNVTETTHLFPEHVEAPALYKQDGVYFLFGSQ LTGWSNNDNKYVTATSLSGPWTNWTDFAPSGANTFESQTTFVLRVGGSVVYMGDRWDS ANLMRSTYIWLPLTIEGTTATLNNETAWVLPLDGTWSAAGDGTSYEAESSDNTLSNGA KIISCSGCSGGKSVGYIGGPDDGTIVVNNVASDASTDTTIRVQYANGNNSQRYANVTV NGQSHVLAFLPSGSGNTPFTSTLHTTLEKGDTNTITFSAYEEGWGPDLDQLVISG AOR_1_940024 MAASSRTFLAYQHDWNLLSLDTPVTTVYRFNELEDPNRQLFPAG NDDDHVVATEKTIFHPQGGGQPSDVGTMTGPAGTTFTVTAVRMDATGQGQVLHLGRFG DGSSSAFSKGETVRQEVDAEKRLLHSRLHTAGHVLGAAVRHLLEKEVQDFDELKASHA PGSASCEFQGLIEGKWKEPIQKRVDQYIADKREVRVEWWDEAMFREKGLERLIPDRSL MLGEKFRVVNIVGAEVYPCGGTHVDTTDLCGPTSVTKISRKSGKSRVSYAVN AOR_1_942024 MASFDQEAKEGNDAKNPTNTPDALAGDVEEANGNTLKRALEGRH IQMIAMGGAIGAGLFVGSGEALANGGPASVLIGYLIVGVLLLCTIMSLGELAIMYPIN GAFYQYSTRFIDPCWGFAIGWAYSLGWLVTLPFEITAASLTIEYWNSDLNPAIFVSIF LIVLVIIQVFGVRGYGEVEFVLSIIKVIACIGLIILGIIINTGGVPGSPQGYIGGKYW RDPGAFANGFKGFCAVFVNAAVAFSGTELVGLAAAETKNPQKTLPTATKQVLWRVTIF YIVNLLIVGLNVPHNSPQLLGSGDAASSAGVSANASPFVLAIQDAGIHVLPSIINAVV LISSLSVANSSTFASTRTLQALAADGGAPSFFAYIDKAGRPLAPIALQVLFGFLAYLQ FASSGLTIFNWLLSIAGVSTVMMNLSINMAHIRFRLALKAQNRSTDEIPWKSTLGTVG SSIGAFLSAIALVAMFYSALYAPGGDPPSAFNFFQQYLAGFMGLVLMIFWKVWNRQWW LGVPLRQIDLDTGRRFMEMEQVTPDETGESLPWWKRAKQTIC AOR_1_944024 MANLLRRYVAPAETARHVATILGFPSKISIAANYYEDACKEIAS LASAISAFEPVRLYTRPEDVSRARDLVSKSIPIFNGTDSNINIIPFSTNHLWVRDTGP VYVYGTGAHAKDRFAVNFRFSEWGKKDDIGDHYRATDGLDWPVMGPEQVRENATFAQQ VIGNDTSPAPVVQVESKICLEGGALVLDGDGTLLATESSILNENRNPGLSRAEIEEEL QRLLGVEKIIWFPGRRDLDVTDVHADAELNFVRPGVVVLSKPHPSVPQPWQDVHNEIR DILDREVDAKGRRFEVHIVEEPDPTIFGDLSYPDPATNYVNFYFVNGGLILPQFGDPV KDAEAVSTLQKLCPEHKVTQVPVRGLPLAGGVIHCSTQPVVAVGEQ AOR_1_946024 MSKSTVNTNPYPFHFDASTPVQTNTNGKTQDLSVIIPNSRGKIP SLQASRLRSMVLEAHNDPSKIVAHVCSYDALSSKLCEEAGFPILFLAGYAMASAFALP DTGYIAFQEVAAKVQEVVRATSVPVLVDGDTGYGGPMNVRRTVEGFARAGAAGIMIED QTWPKRCGHTKGKAVVSRSEAYARWRAAVDARNEGLDIWIMARTDSLIHGYDEALERA REAIKIGVDCVFVEALPDRETMLRLRKDLDFPVFANIIEGGKTENLSAKDLAELGYSA VAYPWTLVAAKLKSIRETLEAIKGSFLVGKPPTVLSYEEVCEGVGFNRYYEMEEKYQY DGSITGSNGYQWA AOR_1_948024 MAPSNQDRAVASRGKTYKRGYLACISCRARKVRCVLGDKPPCAK CEREHRECVFEASRKAGKRRQAPRWSLAQDHTSESPQGQRIQGQPSETWHQDADRSPR VISSVASEPDAHHVIDSDAHDNPSLSGRIMSTILARPSDALDVLFDAARPGVGESPSS QARDRDKTQTVVSASGLVSVSGLSHPSEDVLDLWDRCRFVRQGWFTAQEAVTYIDLFY KYLAPLSPVPTVAYSDHRNHEQLIVEEPMLCCTLLMIASRYFVLPGAGGISRSHFIHH RLWQYCELLIRRIMFGQEKYSTAKTRIVGSIESLILISDWNPRSVHFPPETEGWDGEL ISPAYDHRNRLQTGEDVPLIRWREDVFEPAKRSERMSWMLLAAAVTLGYELGVFSDGY INTPPLANAQAVRVYRARKLLYTYVTQMAVRMGCPSPLPDSILFVADASQANITEPTN RKWEGYMKSWTELTRLMKTASAMFFQSIAHVKQQLLSGHYSTLLQHYASSLTKWHDEF YHSTDTTKALRPLLLIEYHHLRAYTSALAIQAVVERAVTRGVSWIGETSRESVDACLL PHDQDFIRGVILSSSKVLEIATHMAADGMLRYAPLRTLVCVTSSSVYLLKAISLGVHH TDLQASLHTLDQCIVALRSSGTDDMDFSLRYARLIEKHVDRFRANFVSGRVATMHPDH QRYHLQTPEPLTSTQPDGRPHMHSASSSYPGQQSSDAFATPPMNSWWAQPFDPNIAPF NFNGEGVSIGFELDSLDFLLNLPQVGGE AOR_1_950024 MSDTLGRVKRAFSTLTIRDKKEKKREEIVKTLHEIYNSLNPLPM TIISPSTLANLSIDLHLFNPEGHTATTFFRPLTAAQLAKYPKDLESYEVRHHQERKYS DLDKGADWYEAYGGRYTLWDWGENICYMLAWELGIAPRLGTGKPRPLNNIIKWRGSEG WPVESQYLPMSSSYNEHWEPQYHWRPWREEDSHIKICQYHGIIGSDGQLLREELLVIV GTICTQMNKERFKKHLVIPVMMFSFMGERHERIILAQFDGDSQKLVVHMSKLYRFLAE DEDSLALFTRYAASVVEPSGNMEALHG AOR_1_952024 MSPRHMDYIHLNQIFQHSTNTHSYQIRWTSLGNNALPPLIFVHG TPWSSCVWYTYARSLSTYFHVYLFDNPGFGESPLGQPLPGKEDTITKEVALDANLAQQ SEVFAALYHCWAQNWRHEKAHVISHDHGGLMTLRAHILHNCEYASLCLINVVALGPFG QPLFKLVAENEEVFNALTGPVFEGVVEAYIRDAAYSELGKETMEMLKRPWISTEEGRK AFVRQMVQANSRHTDEVEGKYPEVGKRMPVRIIWGKEDKWIPVETADRLKESLNAQDV VLIEDAGHLVIRFKDSPTLSSHNCYLTSSYVYTKVKISVGFSHHANADKDNAEKPISC DFFRLEKGSPLVHTYMCDEMKIITEGEFQISDETGQTVSAKPRDVFYFPRGTKATYTT ESYGVGFYVIQRARKA AOR_1_954024 MASVGALPASSKRTIVTWDTWIEKAVELAWSSPYVPDKSMAVYA ARKVIGEKAMWDWVDDRNLRLGANSGILIPPVVLGSPLSTKTQDYPSSSAIPIPLLNN DQHAMNAFIDQFPPFFFVDIADAARLHVAALIHADVEGERIFAFAGPFNRNDILAVFR KLRHHRRFTDYICRSDREQVHRWIEPQT AOR_1_956024 MKSPIPDYLRQVLEKARPDDSGQLANYIDVLAKADPSKMAIALS TVDGNLYSAGDDEVEFSIQSISKAFVYAIAIEDAGLPRVLEKIGVEPSGDAFNKLSLQ PGTNRPMNPMINAGAIAAHTLVAGESASAEERVDHILKVLSKLAGRQLKVDEEVYEAE LKDANRNMAIGYMLKAAGIISCDPQDAVRGYIRQGSITTNVRDLAMMAATLANAGVNP ITGEEIMPHQSARQVLSVMTTCGMYDAAGDWVSRVGMPAKSGVAGGIIGALPGQVGIA VFSPKLDERGNSFRGVKMFEQLSSEMGLHMMDISQVGRATVRTWTLTIAGGAKQPDER EQEVPVFGLRGAVRFAGSERLTRAIVRELTPPNPDDPGSGRHADASAVIFSLKDTYSL NYVALRIISEDMRRLLAADKNVVVIDPVKVLKIDGNPAFKEKSPCVVNDEAEARRYIG GQGCRAVSHSDEFY AOR_1_1538024 MTAATAKETKASSNHVENLDEMKVEAEENYVAEQRQQLQLLNAR IVRKYDVWVLPLVWVLYVISYLDRGNIGNAKTAGAQEDLGLTSYQWSWVLNSFYITYT CLEWLVLCWKVFPAHIYVTVLCLLWGVCAMCSGLVHNMAGLVACRVGLGVLEAGFGAG VPYYLSLCYKRHELGTRVSILLGSSPIANCIAGAIAYGISQIHSHMEPWRLIFLIEGS RSIVMAPIVWFLLMDSPSTAKFFTNEERTFAVERMETRDTTRKSSLSRAQLFAGLTDY KNYCHACLHFCCNYSFAGLSNFLPTIVHSMGYDSVQAQGLTAPPYFGAFLSSILVAWL SDRYGSRGWILAISASVATVGYALLATQTGTAVRYVAIWLTSCSIFPALAINMTWMLN NNAGDTKKGIGMSLLAIIGQCSSFLASFMYPNSAAPYFVKGTAIGCGLTGIIVPVGMV LHFSYAAENRRRDREFGPVPQGDGPIDVSAVGDKHNHFRLMT AOR_1_958024 MRASLLFAALAPAVSIASTCEPSFFAPYLTSNVSIVYARTFTST ETFEGPMGNYTGLPEYCALYVNVSSSTTSAYEFGLWLPTRTWNKRYMAYGNGGFTGQV AFADMAPGLNYGFAVVSTNTGHNSSVQEAGDAGWALNNPETRTDWGWRALHGSVALGR VLTEAFYDNNIEYSYYAGCSTGGRQGLKEVQMFPDDFDGVLAGACAWWTSHQQNWDLK VALDNLPNNASHHVTAELMDVLATEVLRQCDVQDGVKDNIIMDGYACQFRLEALLCSS PRTNTSTCFSADQLDTIHRVYVSLMIITDDDSVDEPSGIAYARDFVYNDASWPAEHLD YATIQLSEYLDPGNATADTYDLRPFYQRGGKLIHYHGFADGEIPTGSSIYYYKQVEKT MIPLGYDLDDFYRFFLIPGMQHCSGSVHGAPWYIGSANQPSALTGSNIWGVPGFRDTK HDAIMALMAWVENGTAPAELIATKYIDDTPALGVQAQRRTCPYPQRAAYVGGNWNQTS SFKCE AOR_1_960024 MALKTVMITGCSDDGIGNGLALTFQKQGYHVFATARNVGKMTKL NGLSNVTLLQLDVTEPSQIEAAVAAVEAQTGGILDVLINNAGRNHFMPYLDEDVEQVK ALYDINVWGPLRVTKAFAPLLIKAQGSIAFITSISGYVNVPFMGTYAGSKRALELMAD TLRLELIPFHVKVLCIPTGAVRTQGQTYFGDFKLPENSLYKPIEQTIAARAQGQDGTE RMPLMEYSSQVAAQIEKGATGRFWCGANSDKTKASLSGDSNEMMDDIFVKITQLDTL AOR_1_962024 MDANTTVAERAALAAVFCLGAFTQPAITSSYEQQVPILKDFDET GVLGGLYRPNSTSARSRIAVYVMHAEQDYTSFVACTELPKRGYTTFCANNEASKYGYM SDLNFEDMMTEIKIGMVWLRNLTDIDKVVLLGHSGGGAMMAAYQNIAENGVSACNGPE KIYPCSDAMAGLEPADGLLLLDANYGLSTMALLSLNPAIGDETDASKLNQSLSVYNPA NGFKNNTQSNYTAEFKQRFQQGVVARNNRILQYAQGRLKAVEAGKGMFGDDEPLTIPA SLYVGFNNLFFAQDTRYLHHTTYAWPLLRKNGTTTQIVPSVRVPGNFKDYSNNWESGA LKTTIRRFLSTFAIRVTDEFNIKTDNIEGIDYASSQTAPHASVQGIHVPLLTIGMTGH YEYLNAEKLHLNAVSNDTAIAFVEGAEHTINTCTDCESYPGEFGDTVATCFDYVADWL AKPGRFI AOR_1_964024 MVTRALWNLHVDGKWYRSFRRPRGRITSPDTPATLKVIRNIISA ITMNNWGPIPFPTPLHIHLDCVYNIDKDLGILTITQWSGPDGVLSRLVRQAKLAEFQD PSLITIEAVLQNAEDFLEQQRTQHDQTQSAAALKIDIGTPTSLNEIQFRLFTDFCFSM EILF AOR_1_966024 MGSIIPFLKEGQLRFFLLSNRVIQWISSVIVLGITSYFIKTGPR GLTIVYLEVVHLGCGLRGSVPNGICVSIPKHTSEDFVLFVDVIFSYLWLAGFIFAAVD YNQNNCHANAPPGVVCSVKWANEAFIFLTFIFTIFALFLETVALWLSRRSQNSPSPDH EKQAPRSSVHSGTRLQSENTVESTASPAQVV AOR_1_968024 MTFARSITRAALKASPLSRASRTFSSSSSAQSKVLMVLYEGKEH AKQQPRLLGTVENELGIRKWLEDQGHTLVTTSDKEGPNSTFEKELVDAEVIITTPFHP GYLTAERLAKAKNLKLAVTAGIGSDHVDLNAANKTNGGITVAEVTGSNVVSVAEHVLM TILTLVRNFVPAHDQIRNGEWDVAAVAKNEFDLENKVVGTVGVGRIGERVLRRLKPFD CKELLYYDYQGLSAETEKEIGCRRVEDLADMVSQCDIVTINCPLHESTKGLFNKELIA KMKPGSWLVNTARGAIVVKEDVAEALKSGHLRGYGGDVWFPQPAPKDHPLRYAEHPWG GGNAMVPHMSGTSIDAQVRYAEGTKSILDSYFSGREDYRPQDLIVHKGQYATKAYGQR K AOR_1_970024 MKFATTLLPLLAGASAFCIHSPVMRRAAGGLDDANKFNYTGLGG PLNWYGLDEANEACAKGKHQSPIVIDSAAIDYAASGSLKLDLPLADGSKLENLGFGLQ VTLTNGSLTANSKTYTLAQFHFHTPSEHHVNEEHFPMEVHFVFQTAAKETAVVGFFFQ LSEVGDSVPLFDSVFAPIDNIPDAGTSTTTGQLDFGGLLDHFNRHGVYQYTGSLTTPP CTEEVMWNLSTEPLPLTVQGYNKVKKIIKYNARYTQNALGQDNLLEVAAQKLNSIR AOR_1_972024 MPSSTSPHPDQRGVEPHPEPPKNVNGEVDYVPHPERSISISPTR AAIQKSIIALYGGSASEDDMKVYAEQAIYDDPFSYCDTRYKIAGQWYGIPKLFAKSET LATEVTASTEHELVWKQRQKLEGKEPNEKVVYHKDMWNSKDYSHEGLGMLIKKLNGDK LTNITKPPESI AOR_1_974024 MSSRTAFDVVTRAAEEEAAQAAFHKSAIESWTLYSIGVAATILR TYARGNAVGLRNLRADDYLVWVGILFYTAQTALAYSVGNVAHGLANNGMTDAQRAGLS LDDPEYRYRVIGSKIQVAGWTTYSALIWSLKLSMLAFYVRLTDGLGRRYRVPVYVGFA LVIGTFIASIVTIFAACRPLHKYWQINPDPDICQAAISKPIVWVSFAANISTDLYLIT IPIPVLWSTKLKLVKKIASTIVLSAGVFVLVCATLKSVFVLVDPLHGAELSGAWGTRE TFVAVITTNLPMIFHLFKSWLGRVYGSAFNSNPTNKYPSDFQTIGGGGGDSRSRNRRK PSSGYPTAGTLTLTESEERMVGDVKMQNLKTYPASPTGTVASSILVSNRIEVTHEDRS RRNSELDPDRLHETW AOR_1_976024 MLRLKMEVVAALAAWAIASACENLPIANHWIRGLCPRSNDVKDL GIKLSPAAKVYFPGSEEFEVASTRWSVLEAPKVNIVVVPGTENDVVETVKFANEKDLP FLAYNGAHGAITTLGKMDHGIEIFLDQLNTIDIAKDGKTVKIGGGTKSKAVIDELWAA GKQTVSGTCECVSFLGPALGGGHGWLQGHHGLVADQFISMNVVLADGSLKVLDKKSDL WWAMNGAGHNFGIVTSVTTKLYDIVHYDWAIETLTFSGDKVEAVYQTANDHLLRNGTQ PEGVINWSYWLNNPNADPENPVILFYIIQEGVKTVDSAYTKPFHDIGPLSTEPKGGSY TDLAEWTGISLSAPPCQKAGLVNPRFPNYLEEYNVPAQKKAYEIFANAIRGSSAFNNS IFMFEGYSMQGVKAIDSKSSAFAFRGENILSAPLITYAPAGPELDEKAAQLGNELRQV LHKASGRPEVRAYVNYAYGDESPQQWYGSEKWRQDRLQALKKKYDPTGKFSFFAPVA AOR_1_978024 MLGDLFESVLTNNSVTLLITVAVAAIALHLSSPKSNLPLVNDKK PWEFRFTKARKRFLANAHNLIKAGLAKAPAFRIVTGNGKRVVLDAKYANELRSHDDLS FGLHIADNFHAHIRGFQPFKQGSNDDEIYQNAVRMKLTQSLGNLTQPLVDETLVALQT YWTNDTNWHAIPLKSNIQKVVAQLSSRIFLGDQICRNPNWLRIAVDYTVDAFKAAEEL RLWPKAFRAIVALFLPSCRKIRAELQEAQDIIRPVLDARRKDKQAALSAGKEPERYND AMQWLEECAKGRSYEPAFGQLTFSVAAIHTTSDMLTQVLYDLCGRDALIQALREEVIT VVQEEGWTKPTLYKLKLMDSVLKESQRLKPISVVSMQRVATADLRLSDGTFIPKGTSL AVSSDRMWDSEIYPNPLEFDGYRFLKLRELPGHETSAQVVSPSPEHMGFGFGRHACSG RFFAINEVKIALCHILLKYEFKLADGSVPRATKFGFSFTSDPTTKLMIRRRQEETVL AOR_1_1540024 MESPRTNDTLSASERKRMRDRKARKVAREKRDTRIKALEDRVTY CKRHHGAVWVQHIMAAMENLQRENQMLRERQERLHAIFSSWEQDETTLQATRGDMMPI RIDPSNASADPLSTEQPLNIGVINRDGMPSQGSRSSHSNPASPLFPSETASGSIPAWS LTPINEYGHISPPLPATCLWLAHPDQIAASPSLPSPLDLLHGTRRNFLADKISQAIRV RAIRDPERLASGWLIYVFSKWRATPTMAAFLHIPPFLRPVLLQIQRGHPAAIDLFVFP QIRNNLIKSWDKYDFTEVVDHMSCCQKVRWPWGEDILERDGQDNLHIRREFLDVFTRE SGWGLTPEFVLPSIG AOR_1_982024 MAYSVPTQAAQLLRKGILQNPMLKANIPNDASSLADHVTFTGNA SPNIPINWRFAESISALKGLESVWINALLKAKYNHGPVKVDIDTDHATLFVMSTLLID MVDENGKPANPDMSPMQRIVSIFPSPVGTFGNETPHRSAITNIYKTKDGRCYHVHGSM DATPSSTALGLNPDREAASPADAISVIQERVQQLTAEDLDELMNEKYRQAGTICYSTD EYKASEHGKANANVGLYELNHVPNNAQKPGWWKSVPDTGVARPLAGLKVVDLCRVIAG PSISKGLAELGASVMRVTGPGVVDAYALHADLNWEEGKETLRKLILEADVVLDGYRPG VMEKLGFGRDAVLELVKNRPFGLVYARENCYGWHGPWQHRSGWQQISDANCGVSLEYG RAMGHDEAVTPVFPNSDYCTGVMGVCGVLNALIERADKGGSFFMDTALNYYSQWLVNS VGTYPQPVWEDVWQRHNRLAFRHNDNMPATIPLMMNSLMVNSGAQLFQSRFFDIRYSG AVDRYFKVLRPVLSFAEKQVDLRFNVGTRSNGHDAARWPEDLRTEIVNSA AOR_1_1542024 MDLRTLAPKPYIRYFPARYQQSSLKVWAHVEGQPPIEVDPVPKT ALFAGQTSYEPTNPADLQSFGPTRRAPLRSIVLARSGDKGGHANVGLWVRSEDEWDWL RTFLSTPSFKTLLGDDYRPKYRVERFELPHRHAVHFVTYGILQEGVEVCPLSMALPRA LGSLCVHVG AOR_1_986024 MPTPTMPEEYAELMKHNGKEHYLYIPQRYSEFHPGSVGYFDNFG LWNKITDLSQPGYPDNVGFKSIGQVLGLQEPTEYRWENTSSGIEAETSFGLKAGLSGL LAAAAPVEAEVNVSNERGSSGTAALLTPEPVKNQRLEGGSSRLISDWVKKNGKLLMKS RYGDEIRDYGLWVIHTTWSTPECAIKLKSAFHRNTSAGLDVGATDVGTIGGNGSSLKK LESKGWKSFQAKESDQGLVVAYGGARFRLHSIRPFWINPLKQTERVSDRPQERPVYNE KQEKIGTAACVLVYDKDGGSEWVPISTVEFVFDENRKQIGNVYWNRTSDEFGRPIGWA PYEKEEYNFDENGEKTGVDYFRPTRDSVGQQIEWEWYDKVGEAAEAKKKEIEMERQAR REYEDEDEFDIECETVGMDEDEYDTETVERTETPPSLLSKCCVM AOR_1_988024 MKGVPSSAEASNSIPGSSLPSQRAHEPLTHKQPTLDHPRKQSVQ SISSEHGGMAENFSKITKVVQAARRPLPTETGDGTYIEPENGGSLWRDLRALGIKDAN TLKDLIENKAGGLVKGSGQVVDDKTMLMERIIQLVAKLPTESRNRVKLTNMFLGELWD SLPHPPLSYVGDKYAYRSADGSYNNPTLPWLGAANTEYARTIEPLKVRPASLPDPGLV FDSLFARDTFKPHPNNVSSVFFTWASLIIHDIFQTGHPDENFNKTSSYLDLSILYGDN QEEQNMMRTFKDGKIKPDSFSEPRLHALPAACGVILVMLNRFHNHVVEQLAAINENGR FTKPPERILDPVEARAAWAKYDNDLFQTGRLITCGLYINITLYDYLRTIVNLNRDNTT WTLDPRAHMEHDTVPTALGNQCSVEFNLAYRWHSTISRKDEAWTEQAYQAIVGKPGSE ATVEDLMSGMRRLGANMPKDPSKREFAGLKRQSSGKFKDEELVDILTMAIDEVAGSFG ARNVPKVLRSVEILGMEQARRWNVGSLNEFRKFFDLKPYQSFEEINSDPEVADQLRHL YEHPDNVELYPGIVAEDAKKPMIPGVGIAPGYTVSRAVLSDAVALVRGDRFYTKEYNS RNLTNWGYEEANYDLEINQGCVFYKLALRAFPQYFKQNSIFAHYPMTTPSANRDIMKM LGREEDFSWDRPSYTPPRTTLFDYANVRRILEDSSNFRVIWDEATGYVFGKGGYDFML SGDSPFHANQRRIMKESLYRSQWHEAVKEFYLEITEQLLSEKSCRVGNVNQIDISRDV GNLAHVHFASNIFSLPLKTKEHPHGVLTEHEMFDVMSIIFTAIFFDVDPSKSFRLRHM ARKAAETLGPLVEANVKAVSSASFLSTLIDGIRSNKNALSGYGVHMIRRLLDHGLDAS EVTWSQILPTAVAMVPNQAQVFTQIIDYYLSDEGKEHLPNIQQLAKEDTPASDEMLLR YVMEAIRLNGIFGSYRKSHTNLTLDDKNKMVQIKPGDTVFVSFVDANRDPNVFPNPKK VDLNRPMESYIHYGVGPHTCLGGEASKVALTAMLRVVGRLKNLRRAPGPQGELKKIPR DHGFYTYMRADETSLYALPMTWKLHYDGTIPGRERSVPEKLTCNVPGHWHD AOR_1_990024 MAWPNKNKDMLYRRVGNSGLHVSAIGLGGWLTFGGHVDDDITFK CMKQAYECGVNFFDTAESYANGQSEIVMGDAIQKGGWQRSDLVISTKLNWGMANGEIL INNHGLSRKHIIEGTRASLRRLKLDYVDIIYAHRPDRLTPMEETVRAFNFVIDQGWAF YWGTSEWSADEITEACGIAKSLGLVGPIVEQPLYNMLDQKKVEGEFQRLYTRCGIGLT TFSPLKMGLLSGKYNDATTQPPPGSRFAESQDKFANQVRNDWENKEWAGTIEKIVKLK DLADKLGFKLSQLALAWCLKNENVSAVITGASRPEQIVDNVESLKLLPKLTPEILAQI DELLLNRPAQDPARQD AOR_1_992024 MSSIKPSVTKRACDGCKIRKIRCGGGQPCRSCTKAKIKCTYVRV QQCRGPRRLRSTTQFLIEQAQVEGDSNGCVASHSSTEAILERLHSNSTPARQTTFTES SRIPLDALTSPLSIYHVRMYPVWPIVNVEDMVSVLQLDADQSDNETYALSTAVAAATI AQLPLEQGPGARETITAEILAAECLRARNLCDYRSQANLNSIRTSFFLHVYYENQKPG GSESLLHLREAISIAQIMGLHRESSYNGLSFEEQQLRRRVLWLLFVTERGVCILHRYP VTLKTNISAPVIDENDETHVLPAFLKLLSLFQIFEKSGMFDIIQDEETAMQPGANNVG RVDWPFLESLQRNLQDGSTLFDHISDVQKADLYLGSSPSADWSMSLYFPLNVAKELVS IVSQLPRSAVEAHGLGMELKIYEVANSLADAITDLAALPLSPEWEGESRPNYILSRLH SILSTFRGGGNKKLVDVLYQKMADVQVIIGPALTTPLRNPGSKKRRTFTMECETQVHG QQGKRIAELTNYDPRVSTYSDSLVYPADANDLTYASVCDMSFGSSDDGDQNQAQQYSD ADTLFQPMYDEAASNFLFDPSSVAIATEEMPPLSPIWSSFAPMNAITEDIASQILRAS TQDLLYPGAEFPNFTTNTDFAGLY AOR_1_994024 MADIERADKGPKEQTTAPFDDDSSKASIAVGQENLSYVTAPHES FEGYHRFDPTASWTEKEERAVVWKTDLLFLTWVCVMFFGLQLDRGNLSNALTDNLLVD LNLSSNDYNNGTTIQLICFLTTEFPAQLLIKRYGFRRVLPIMMMLWSIVSWTQAWMTG RASFYVTRALIGAFEGGFIPGTILFATYFYKTKELSVRLAFFWSTLNVARIISSLLAA GILEMRGVHGKTGWFWLFLIEGLLTFLIGLVSILYLPSSPTNTKSVLVPRPWYTERQE VIMINRLLRDDPSKGLTNIHESATLSDVLRAWSDKSMWGLYLIGLVAYIPQSPVQSYL SLTLKRIGFSTFDSNMLSIPSAVLQIILMLALSKSSEYFGERSFHCLVGEFWSLPLLA AMLALPNGGREWGRFTITTLISGYPYFHPIVSAWISENTFDVKKRAITAATYNVIVQV GSVISSQIYRDSDKPYYFTGNKVLISICAFSLVVFVVQREFLRYLNRQKEKKWNAMTP EEQVAYQSDQAAREGEGNKRLDFRFKY AOR_1_996024 MRFLPVNLTTILVELPGLEETLALLTSLQSEPVVGIKEMIPAAR TLLVHFDPESISAEALATEVSKRDLSTINPRSDRQIEIPVRYDGVDLGNIAKLTGFDV KEVIRRHKESQFTVAFCGFAPGFSYLNGGDPALYVPRHQTPRTRIPAGSVALAGPFSG IHPQSSPGGWQLIGTTSVKMWDTNRSPSALLQPGDRVKFVEVDDVQTFGADATPSTEG DNTPASGSTDREEEKPALRETIGTTSPHFKVLTAPIPALFQDLGRPGQANKGVSASVR GGFEIDPILGSVSTDTLAAVGPSAVTAGSALIWKNATQGLRSVSLHEAAAFTLPSAND VVILDVVLGPRTDWFTKEGLETFLQQRWKVTAESSRAGIRLSGNVSIERKDDKAELPS EGTVTGAIQVPHNGQPVLFLADHPLTGGYPVIGTVAEYHLDLTGQLPVNTMVQFRPIA PWSEIQPQHDLAQTV AOR_1_1544024 MRKELKKVLIANRGEIAIRIIRACCDYDIKSVAVYSDLDVDSPF VRLADEAYGLKGFQTVDTYLNIDKLIAVAKRSGADAIHPGYGFLSERAEFAQAVLDAG LTWIGPDPSVIEALGDKVEARRIALRVGAPLVAGSNGPVSTAKEVMAFTREHGLPIVI KAAHGGGGRGLKVAWTMDEVTECYGSAVREATAAFGRGECFVERFLHRPRHIEAQILA DKHGNVVVVGTRDCSVQRRHQKLIEEAPAPLLTTEQQEKIQNAAQSICSAAGYSGAGT VEFLLGVDGRLDLVVEQFRIAEGLPLSISSPIPPRGHSIEFRINAEDPGRGFLPTRGQ ITTFQPPSGPGIRLDSGVVQGSTIPAVYDSLMAKLILSGATREQALRRARRALKEFQI SGVATVLPFHIETLNSNDFLGTDGFNVHTRWVETDFAATLAPGPRPDPVPDLELIRTH LEIDGKLVSLGLPSMLLSGIGSVAAGSSASAQQKSAKKDNGDILAPLSGTLRALKVPD GASVRNGELLAVMEAMKMETQVTAPRDGVVRLLTKEGEYVQAGGVILTFEQEELMFKN AOR_1_1000024 MSEALDLSLLKGTPEQREQISAELLHALKTRGGVKLKNHGLPDK LVHELFDWTRKFFALPHEDKMLAKHPPQANPNRGYCYVGQESISSISGYEKGLPQGRF VRDIKETVDFGSPRDELVDNIWVPEEKLPGFRKFIEDFYETCFKLELEILAALARALG VDEDHMVSLHNKAENEFRILHYPEVPASELADGTATRIAEHTDFGSITMLFQDSVGGL QVEDQQNPGVFRGIESADKTEIILNIGDSMQRLTNDTFRAACHRVTYPPSVKVGSEAV IPERYSIAYFAKPNRSASLFPFKEFITPSTPCRYEDINAWDFQNLRISRLFK AOR_1_1002024 MSKVYLTIIFTVLFLFQCVSSFTPCPLLGPPFPAFTLDKNSSIL TSALANLTGTFDEQNTKGSGSHGDTTPNTTSFSVSLFSTNQGTASPSPFFFDYHYTAP SLQNSSNKIQHANRDSIYRIGGLTQIFTVWTSLVEAGDAIWHDPVTKYLPELATITKT ANAKQDPVRYVDWEDITVGQLASHMAGLSRDYCVDGIGKEGYGIDDGLPPSNGSSGTC RANNSSQAGSLAVLAQQLPVAPPGVTPIYSNVGFQILGYIIERITGQPFSDVLESRIL KPLSLTKTSLHTPSSSSSGLIPTNPRTSGWSNQYTGEAPALSMYSTITDLSTAGKAIL NSTLLPRTQTNRWLKPVSHTSNPANSLGYPWIVYSSGDYPSTSMVDIYTYYSSIGQYS SYIGLVPDYNVGFTVLAADSVSAPDLNAHADIIGDVILPALMKTAVTQAGARFGGQYT AASGLNSSITVSVDELPGMFVDKFVSTGTDFRKTLASLIGVEDPAALSIRLYPTGLVS ETASGGSRVSFRAVLQDKNELADADTPTCVSWMDVDKFKYQGRALDLFVFEVDAGGNA VGVEISGLELRLNRKK AOR_1_1546024 MEAGSTGSAAELYVSNIKADLDKLRSQLPVGFYETPVMAMQLYT TELCLYQVSLSRQSALSSRSTYSLVSETWWDEIFCSGLTAAENILNMYMELPPGGEQT FNNTQWVQMAFCILVASRQVVAASRMEKAALVP AOR_1_1548024 MPLSSTCQNCAKSKVRCVRNSEESDICSRCARLNKQCVYRETGR RFKGFKKDRQIEALESKINELMANCESTSAKQTTPGNATYNSNGEEADQAIMDVVARG YLSMEAAQSFIDIYRTDMTLHFPFVVIPPQVTATDLRQQKPFLFLAVLASAAYSNMPL QRLLGREFKKVIASRMITSGEVSFELLQGMLVFLAWSHYHSRPHRYTQFLQLAIGLMV ELRLDRPPQTKTWKTALRFNKEYTLDDEQYIRPSWGLDEQRAVVGCYYLSST AOR_1_1550024 MAPNGLRASEIVNNGLPDLFRGINHTTNDATHDLLFNGALMPWP NFHQDVETAYLNFAWIPRIIDHQQASGRVSNWNLQFEQTAVGDETGVQGRWGQHVNQV MSAVFLSQNINIQIGGFRATTSSYSKVPDMAGASRATGALRFVGELKTPWVEQHVLSE AMGDDHTFRHILGQIAQYMQGTKAIYGVMSTYDEVIFLRQMPDPTTGWRLE AOR_1_1006024 MASPSFIYPNLMLNPVSLVDPFPSQWRITAKVNEYAYEMEENEL EYGFRVPCFRAKFHCEEVGNPGHQAYMVLYMQIPFTGTEYTSPDMRTQQASSDLPDVA LEEFKCYQTLKDIHCEHTPTLIGSSKEAQGPDGWVPGGYLFYFAFTKVPGVRLKSGVI GKGLFYTLPLPQRDQIREAFKVAYTSFSRTGVRPQWVAQDSLFWDDTTGKVYIAGPFQ LTTSPGEWEPRLWKHWFLDEGRITGGKTMNQ AOR_1_1008024 MAADNPTGGPGIVTESTPLLRDQPTPFSRTPKDGRSVTASTYDG DLLPYNSYSTIDWLQGLIKDSARRSQVESPPRHSIRMRVATWWDLTQGWVAAFAVGIL TAGVAFAVDVSVETVADWKEGYCARSIWLNRRACCSVAEFDGSCSQWTPWAQGFSSRY AIYVGFALLFGLISVSLTMTTKASMPAANSNNSIGQGQPQKGDKVATGKILYLASGSG IPEIKTILSGFEIPHLLDLKVLVVKAVGAVFAVATGMCLGKEGPFVHISTCVGYLVGS LVPKYAANERKMREMLAVACSAGLSVAFGAPIGGVLFSYEEISTYFPRRVLWRSYLCS LVAAAALKELDPAGTGQLVLFETNYGVDYDVTHYVIFIFLGICGGVFGGVFCQANFAW SKSFRQLRLIKRSPVLEVFLVVLLTALLQYPNQMIRDTGDIVMQRLLVDCNVVSENWI CQQEALDEKGGYYAWLISGTFIKLILTTITFGCKVPSGIIIPALDGGALFGRMVGQLI PDISPGIFAMVGSAAFLAGVSRMTVSLAVIMFELTGEVKFIPPFMIAILTAKWVADRI CADGVYDLAQHLQGHPFLDSERALAKVRELRTTGETALVEALVPPPETMEAITVFTGP NYRVAPSILRAKLEGLRARGLIDAGLVFVNDQGLCHGYITQAELGDALDAVARLDDDG DINLLDGIFSGLINRSPVSVSAKAPLEYAVEMFDKLGLRYLIVVEEETARVAGVVVKK RLLKLLDE AOR_1_1552024 MTSSTTLRKVPEGWTTEPFYMSYFVEGPWAKIVRRCGLENPEAV MCTTPESGEHYGLISAGGRYYFTDDLAWSISEIIKPTTLDGIMKKIVDGKEYSIKTKA LREVETPEDRQEREERIREDNALMEQKRAAPDYLEWKRMDSN AOR_1_1012024 MAILYCSTKDRATRWPADFETGTSKRPPGEGFASNANVSNAGLV AMIEDFGSSLTKLQQTGDELSPAVAAIQDNIDSFLEMLGSALELFFLNEAGVVPCATR K AOR_1_1554024 MSLLLPLIFVVLAASIQHTTPPFESPLSSGTGLLSRGVLDNQQF NVNTNYYSKTPDTGVVREYWFDITNATAAPDGVDRPMMLVNGQYPGPTVEADWGDTVK VHVKNNLENNGTSIHFHGIRQFLNNQMDGTVSVTQCPIAPGTSYTYAWRAEQYGTGFY HSHFSLQAWEGVFGGIVIHGPATAEYDEDLGVLFLNDWPHRTFNEMYMNQIRNPATPV IDTGLINMTNVWVNGDGDTVGQRFKTEFVRGKKYRIHLVNAAMHAHFRFSIDDHNLTV IASDFVPIVPFTTNNIAIGMGQRYDIIIQADQSVDNYWIRSVPQSACSNIPTGDNIKG IVHYMGADDDGDPMTVRWDYGDDTQCLDRPMSDLVPWVSLDAMISNATNISDAVAPIA AENSGIFLWTIGGQAFNVSWRDPTLQHSPTTGINNTISDPKAIELPEANQWVIFVITT TQGVPHPIHLHGHDFYILAQGVGPFSKSIPLQTRNTPRRDVALLPAQSDGGYLVIAFP TDNPGAWLLHCHMGFHSSAGFVQQIVEQKTEFWRFLNPELLRDTCDAWDDYAAVNPYG VQYRGTNGQYESGT AOR_1_1556024 MNATDRSPLFVVEEVLCTYPISTSYDSCPRYLFYALLLAVCVTR WTGWLADVFLGAAATYAGTAAIQAFILVSSSANHRAPEKVSIPWIPDNTSLWDDFPAL VTDTNEIDISPAAIELDADAVLSIVVTAYLVFLPLQCWSRIFAKERISSIIFTLWNIL MFAGSICSLVYWPRLKKTPIQYGFCLPQLPPITPISSDGWESWQFTSSWNNSVWDIFS NDTLLLQLNDVCFYPCFNTTQVLRQQTSLQASVAAGDNRFTRRHKFWGKVIYSQRYIY SLVALSMVLNVLLMLVRVLPYHSRVPSSRVWEIWKERKNIIRGLKDDFYAAIHTSRDS RESMAEKTRTKFPFWKRVSRFFTLRVIGLWAKVAFDVIIICAVLFSIVISPLTVIAFV CWIEYYIYHDGPSQETPQQVGQWSPLVSIALLSISAGIYKLKYWVAPRHEIEHDIEEL KKEIERLETLRDEKS AOR_1_1016024 MRLQILFVAHLWLIGCVATSPPRPGDARPESAHDESRSPFPIAS GHEVHLPCHTSSICGSNPDRTSKSDDYLSLAFATENDSLLVNKNIILPARLPMRLNAT KHSRSQKTDPEILTLRYGMNILPVQRFQSGPITDQFRLDIILFDQSGNPTDINMISIG LSRDAHDALRITMITINPTPETSKCHGNHNPRHRHPAHSEPEPPMKHAGQSDTSESES QLHKWLDAGRRFGGKSYGSFTSAFKSRPCQEHCSERHSYPPSSYEHSRVHIVDILRVF YPAILPFLLGVVAGGAICLIGIMVRRSAAYWSCGKRRETETEGSAVQNEGESTEEKS AOR_1_1018024 MICTRARLIVVVTLALILLWLSSSSLLRLYYLLRLPFVWKASSA DAIISQEYDDFDVTFTDYDANYSTYATGIRPYIPRRIHHIHLGASSPPKNWLDARAEC LKHHEFWEAHLWTDENADSFVRDNYPHLYDMWTSYPFNVQRVDALRYMILQKYGGAVL DFDLACKRSLEPLRRFEFVAPAAHPAGFSIGVMLAAPNNPFVHALVENLPVYNQVWPL LPYATVMFSTGCHYASTIFTLQSNRTNLRILAGPPDQPRMHMLNGVVNTPLFRHFGSS SWHGHDARLIQFFKDLDQRILFAGLVFVLGSTALIILLCISRRRAPKADDEERRLPSF TIKWMTKIA AOR_1_1020024 MRVLWFLKRLSRMVRGQSLRRLAFPLTLLIVAASLGLYLLLAYS LANDPRLVPIAFQEAKSILLVTAHPDDETLFFSPTITYRRDDANVKRSLLVISSGNYD GLGDKRQSELHSSCEKLRISEDRCVVLDIAELQDNPKQWWDGDMVKDLVSSYKEKWHV DLIVTFDHGGISGHINHRSVSAGVRKYIQSTPDAPAAYMLQSTPLLRKYSSLLDLIPT TIPFAWRILKALLTTPLGKEVEHNTVHDVVPLAAYNNKALIVSSWKAYRVSRAAFSQH DSQYSWDRSLYLVVSRYMWFNTLAKIA AOR_1_1558024 MPLPRWRFRRLVVLASALAFVFICAVQWSHAEALNALSAERGSP LLSKYVQTQSGKGGAWHIPDEWIEDSTSRPKTIIDAAKLAIQRAALSSQRKIPHSEIP LIVHQTWKNTHVEAWSPLMRQSATRWLQAVEESEMAYFLWDDEGVAQFVKSFEPELEV QFYSLPSNVERSDVFRIIVAKWIGGIYGDMDTEPLRNPSSWITTGDLRNWEDSETNQT YKAADPIRVIVGLEADCPPESDAYWRMGYAEPVQLTQWSLAAAPGHPIFQTFLDRLSA NLRNTTFRRSSRIHDPLLLTGPAAFTEAVKGWLETTTGLRWNALSGLTDGGQSKVVGD VLVLPITGFSPGRGMYGNMGSKSVDDPSARLFHHAQGSWRKTDFVVEVGKFCRTVFGL CRDWSKVSYVLE AOR_1_1560024 MSTMKAFQFDDVQSGLHLRTVSRPQPAHGQVIIQIKAAGLCHSD CFILQDDQYNMIMKRPIVLGHEVAGTIIELGPGVSDYEVGDKVVVGIPTHPVAQDSFI KAIGLGYDGGYAEQAMAWVENLVRIPPGVSFAQAAVANDSIATAYHAVITEGHVSPNS RVAIVGLGGLGLSAIQIAAIHGARIYAVDIDETKFPLARELGAIGCASGLDKFEDIVF DTVVDFAGAGITTAAAVNAVKPCGRVVVVGLAAKQMNLDTHSVITRNITLQGSIGSSL EELKEVLRHIADGRLSPILEEISFDSIPQGLERLAQGKVTGRLFTDPTA AOR_1_1562024 MYKCETCGASFEDQATYEKHMDDKVHRPECETCRRTFGTWSACD QHMDDTDHWAPRYDCQTCSREFFSQSAADQHMTAVGHWSPRVPCETCNMTFPNKLIAN LHMTAMSHFKNYCRPCGRRFQSEHSLQMHLVNSKIHQADVVCPFCKLDYTSASGLIHH LEQGSCPDADILNRETILRIVHERDSQGVITNKQID AOR_1_1024024 MSSPSPLEGVAPHIRNLLTTLHKQSTTQESAITDADFESQTFDD VMRDKFIALDEDKSQYLYQLCRIINAKTVVEAGTSFGVSTIYLALAVSANVAATGGTG RVIATENEPTKASKAREHWAQCGDAVTNVIDLREGDLRETLKRDIEAVDLLLLDIWTP MALPTLKLILPHMRYGAVVVADNTIAAADKYKELLDFMRDPSSGFVNMTLPFNNGLEV STYLPRQ AOR_1_1026024 MIADSPFGCLSRRRIQLILGISVFLVLLSYPFRSSIVPSVVPAS SPPIARALPARTLLSRPLSRDGTTIPKIIHQTWFPAGSNMSERAQDWVHGMRTQNSDW EYVLWDDETNRMLVEQYFPWFLQTYDSLPKEILRADVVRNLYMYLFGGMYADVDTEAL RPVAPLFAGHETALAAHEDILSSAPSFQKTTVQRAFLGRMARTVDILSSAAVPNGWMA SPPGHPFWLLPVLNVIEHPEGTGDGSMAYQELNGGKRPTWNITLDILSWVFWSNLTVL FNKWILDSTEFRYPILLTTWHLIFATVVTQVLARTTTFLDGRKNIEMNSRLYARTMVP IGLLYSGSLVFGNIVYLYLNISFIQMLKAAGPVVTLLVSWSWGVATPSMEVLINILII TCSVGLAVSGEIQFSLLGIFYQMASLVCDANRLVMMQILLSEDGQKMDPLVSLYYTAP VCAVMNSIIAWNTELRDFHWSVVPNTGYLTLLANAVVGFMLNVSIFVLIGKTSGLTTT LVSIPKNILLIVASVVLWHTHVSTIQIVGYSIALLGLVYYSLGWRTIKSSIENIKAWR KDPARGIYSDKV AOR_1_1028024 MVFTIAIYSIVLLLATISQSLAKNILPLGSAKQDEPLPPSQDPF YTPDEDWEASEPGTILKTRSVTIGSIIKLPTPLQSAHQLLYRTTNAQNQPSYAVTTVL IPINAQFDRLLSYQVAYDSPNLDCSPSYTIQFGAPTAVDDPVLELSILAEPFMLAGIP LSIPDYEGIESSYTVGTQAAYGVLDSLRAVLNSTDITGIQSNATTTLFGYSGGASASE WAGELQASYAPDVNIAGAAIGGLPVNATQAFPTIDGTSNSGLLVGGLNGIANAFPPIA EYIEEHLKPEFKSEFDLAMTMCAASVFNSSDGYVPQLADKNISAFFDNGWAVVSEFAE LLDTVWVMGQHGVPEFPMFVFQGTADTTVGSLGNATDLVQQYCNDGAIIHYAQVAGAD HIPALFRGYPRAQSFLMDIYNGVVPDKCTDTEVLII AOR_1_1030024 MSKTPTLPPGYTLRQGYPSVRDYIHLRSASGLSPHSAAQAEAAM RGSWYGCYITYNQISEPDNKNIDLSRSNNTTSDELIVGMGRVIGDGGWYFHIADMAVL PDHQRKGLGDAILKNLMSRIRSLAPPPERAADGRLMGTYVSLFADVAGRKFCWTGSLL AOR_1_1032024 MLINRLFGILTLSVILTYAIDLKGYKYIVVGSGAGGGPLAARLA LAGHKTLLLEAGNDQGHNLNYSHYPDEARQARNLNTVYGTPDGRQHIGIDPPPGSNIK GILYPRAGTLGGCTAHNVLVAIYPYRSDFDYMAELTGDSSWRAENMLKYFVGLEKNGY LPPGKRGYTTVESVHQIPHEGDHAMCTCPIGTDDDPMAVLDSKFLVREVHRLRVVDGS ALPRISGTFPVLSIRMMAEKAADVILSEIGTN AOR_1_1034024 MTISGKSGEQISQTLSENGTNHFQKRRSFFRSTLFQILVVGLCA FCAPGIWSAMNGLGVGGSQSPNLVNAANALLYAFMTVTCFAGPWLTNIIGFRYTLAIG SIGYPLYAAGLYLNNRTGATWLVYLGSITCGLSAGFFWSVEGAIATGYPEQHKRGRYI ATWFTFRNFGNIIGGAISLGINHNVDKRGQVGYQTYLGFIAIQCLGLLFGLLLSNPEK VQRDDGTRIEAPRNINWRTELRAMWRLARSKSILLLTPLFWYFGWIQAYPGTYLATYF TVRSRALGSFLSAVVGTLATWLGGTLVDLPWLPNRKARAISTYVLIAAMNTTTWIWAV IIQNEYRHTKPVLDWADQSAFGRGFGVYMFERISLGMVENYIYWCIGNLSDSPGDQIR YSSLLRGIETAGVAVGFGVQAVPTALIVTAAINLGLWCFALPFSYYATLVVVRKFAKM GLLGQEERTVSASPQRN AOR_1_1038024 MTTSIEQLNIPTITFEPFTLPPTNQRILGPPHPSNTVIPLALRP TEDNKKSLTLDSIISTIKTLQSRDQTFTKYLARHGTLLFRDLPIHNADDFSKFAHAFG YKPHEIIGIVVDRPLLAPNVAPANEAPKDVQIYNHNESPQVPHAPEYIFFYNQRAPAK GGETPISSSLELFRRAQAEIPEFIDELAEKGILSKVAYNIEKQYEGGSTLRQAFGKEI QDGDSEETKRRKIEAQIARYGRGKHTTWEWTETGIPGTNLPTLFTGLASYYKRLQAND ERKNVTHQLYGDGTPIPEKYLAHLAKITDEIRVLHRWQEGDVLVFDNVIAQHGREPWE GEQTDRVVLASLFDGPFVPGAYGFGDWTQVAQALDG AOR_1_1036024 MRGLSWQQLGGAVLLLLQGQVALSALSVQVNSKDSLANAGKEIA DPMMDFYAQNQTEGIPGKLTDTWYIAGAMFMTLIQYWATSGVEQYNKVVSHDLMFQSG ENYDYFSSNYSQWLGNDDQMFWGLASITASETGFPEVSGKPTWTSLARTVFNMQIERW DETACNGGLRWQIWPYQAGYTMKNSISNGGLFELSARLARFTKNETYAEWAEKIWDWS ASSPLILTDKWYVADSTSNENNCKDSGNNQWTYNYGTFLSGAAFMYNYTNGDEKWLKR VNGLLESTFATFFPSTYGGNVLSEVACEPIMSCDRNQLGFKGYTAMWLAHTAILVPST AERITPKLQGSAEAIAKQCSGESENLCGETWGKDTWDGMKGLEVQMAALGGITSNLML LESKSPQTIDTNPDAAEHHIDNNENSSKDPTKAKPIETADRAGAWILTVMIAAGAIGA VGWLIKTQ AOR_1_1040024 MVGQSPKEMILCIEDIKSAAGQKLPASSRDFYNAGSTDQVTVAE NSTAYGKYRLRPRVLVDVSETDTSTTVFGQKITFPLCVAPAGIQAMAHPDGELATSRA CAKRQVHMGVSSFANYSVEEIRAAGLDIGPIQHTMQVYTMQDRAHQERIIRRAEAAGC VAIFLTADSPILGVRYSEHRNDFRAPEGLDFPMLEKTSEMIRAERHEDGFTGVNSSSH SWAREIPWLRSVTKMQIWIKGVLTAEDVELAIQHGCEGVVVSNHGGRQLDGTPATIDV LPECVKAAKGKIRVHIDGGVRNGTDIFKALALGAECCWIGRPIIWGLAYDGEAGAGKV LDILHTEFKRCMQLTGCKSIADISPASLGVVRSDGPLARL AOR_1_1042024 MVYTIVVHMRAKPDEESISKLHAKLLEASAVYSKDKETLSWFVM QSVHDKQDFCIVERYLNEGSQKYHLENPYWKTFDPYVIPLLEKDMDLRRFEELVPEGE EKK AOR_1_1044024 MSASVADTHDKGPRILAVVWTLSTLTTIFVAARVYIRQWLIRNA GIDDYIIVVSLCLTLTSVGMTTANVHMGYGKHAWFLDQSTVETISLVNTISFVIGIFC FTIPKVAVTVLLTRILNPSRLQRIWLWTMIGVTASVSFAAWQRRLVTEGKANCNDVQI LIKYAIFNAALSASADLYLAIYPSTVLMKLRMPLQKRLLINASAAATAIAKSTQFPDF ALQDDYTYETADLVMWTNIESNVLILASCIPTLQPILELTLRGHVHTRSPRGKDANYP RDSVFQRTGHRTNRRSDRSITHVESQESILGAEERKNSHPLGAIVRTDDVSVEFNTRS GHSMPERHMTWQIA AOR_1_1564024 MPNKDDFIFNELVGGKGGDNFGDALWSDKPVTEVEAWYGHAWGA DFTVLKGLRVHWGDRSSPMVGHPSGDALHTSYSFAPNERVRWMTLNGADPGSEGRCDA IRFEANNPFAAGGTGGFERHENPGNHVSMALLEGRQEISIAWGLFSTDNQDAGPRLLH ILHDYYKGQSAKIHFLS AOR_1_1046024 MTGNPEIWSATTGVLGLLLISLNTAPATLNVVHRLSKRSQPIRL ETLLIAKPGYQDEDGEATESSLLSFADSWQRVTIAILSVVGLELSLALAILCLETGQS YFIIPFWLLLGCWALLCLQSVAFFTEPSTVERYRLSIYSFWTSALTVAVPCIELCLFW SAGYDANPGHAQTGLLASQIVAAFLRGLCSILIPRRPNVYHDGQIVDQELTYSVYSRF TFSWVNDLMKYAAENNSLEIDSLPKLPFAVRAENLYTRLEQTRQSRKLWKALVLGNMR PLIWQAVLSLITCVLSFGPQIAMYGILKALEERSVGLGNSLHAWLWVAALGVVIVVSY SIESWLWWIISSQLWVPIYEGLSSIIFAKSIRCKDVKGMKPTKDDSTPEDEGAQEEKG RQSIMNLAAVDSKRVADFATFNYLIPSCIMRLLLAAGFLAHLLGWKSLLAGSSVSLVI TPLNTFVTKRYSAAQQEFMKANDKRTSTVTEVLQGIRQIKFAALEQQWQDRINEKRRV ELDLLWKTSLCTTALVTVWILGPLMLSAVSLTVYALTYGDLPASVAFTALSVFGNLES AMAGLPDLISKGMEAKVSSDRIDEYLSSAEKVPHTSDVDEISFESATIAWPSQEEGTP KLPEMDDSFVLRNLNLKFPPKGLSIVAGRTGSGKSLLLAAILGECDIIQGSIAVPHAP SLEERQDDRATRDNWIIDTALAYVAQNPWMENATIRENILFGLPYNWRRYRKVLKASG LEKDLTILPDADMTDIGANGINLSGGQRWRVSFARALYSRAGILVMDDIFSALDAETG RHVYEHGLTGELAQNRTRILVTHHVGLCLPRTDYCVLLENGYMTHAGTVEQVRAAHGL TEFLHGLNAEMRDLKDREIARDASFRKRSSVGPPPATESHTPKQFTQDEDRATGSIPL KVYTAYMTKGNSWLAWTFTILMYAGFMALLVGRSWWVSLWTSPKPVSSTEESTTIWED TIDRFKAVQVDNDLMFYLGVYLALSAAACVIGALRQFAITYASLQSSRQLFQDLLAVV LRAPMRWLDTVPLGRILNRFTSDIYTVDWRLAFDLGHFVYKALELASIMVAGLLVSPL LLVFAFLLLLFCLYLSNSYLAGARELKRLESNSKSPVMELFDSSLAGLTTIRAFNKAD VYIRKMYSKLDRHAQTVWNSWLFNRWLSFRMSIVGAVFSTGAAALVVYVPTIPAALAG FAISFALQYNYAVSMGLRFYAEMEMDMNATERVLEYSTIETEDQGGYEPPAAWPLRGR VEVEDLVVSYAPDLPPVLNGLSFNMQPNQRVGVVGRTGAGKSSLTLALFRFLEAREGR IIIDGLDVSRMTLQALRSRLAIIPQDPVLFSGTVRSNLDPFHEYTDTELYNALERVHL LSFEDAITLASQSYHGSSSGSDTVASIPMSPTGGPSKPSSFFTSLASPISEGGLNLSQ GQRQLLCLARAIVAQPKIMILDEATSAVDMETDALIQRSIRSEFGRNESSLLVIAHRL STIADFDRILVMDAGKAVEFGPPRELLGIEGGVFRNLVENSGERAVLEQMILGKRN AOR_1_1566024 MLKLASRSWVKEGFEYKLNAVNWLRSCRRVYQETLPVLYTSTTF VFTHGIDQLFRLSRVMPQDHLALITSLSVEIDIYRIMKWPPHIDIRFKSFYEEVFRIL LCELKNVKDLRFSIAGLSQHAGSPVQWISHDEWDWIAPWEGLASSRSWRRLEIAVPRA WVPEFEGVVQRNSVVEEQKRYRLVVGSDGWPRGW AOR_1_1050024 MVRSIHIAVLDVDIPPRKLYESHGLCSAHFRNILRETASRLNET SLAHDDPIDISVTPYDIRGGHYPDLRKLRGHPDHVVYPDTSQIDAILITGGAPGVYEM DMSPWMQRLQTFLKTVFEQYPEVRILGTCFGHQLIGHALMRNPADTERDVFVEKCPLG REVGIYTVQLEKNFVKAFPLALGHLPQQQLRIQMFHGDRVMAVKKGSTSTLNDKACLP APWINVGSTPICPIQGLYYPGRVLSVQGHYELDAFGMQNMCLEFAPSFGWKDSKLALF LEQVGPHETERRDDARSFATAVVCFLAGMEGLHTGE AOR_1_1052024 MKSSLLYAVSLASLGKCLSLPPLIPLIPGVTEPLTENAPPLPIL QVPTPPLESPPFTPSDIKPKKIGYFWTGSGDKFHKDFLATYSLDDDTFGTLLWVTDVP SSGNDPHHLGPSLDGKTLVGGGLLSLLKTQDTAFYFDTTNPYRPTFKKSNRALLSSIA DEIRAKPDGGFYITYMGSAVGTSPGRLVETDANFDIIHEWPEDVEGTLNILGEQFSPH GLSIDWERNFILTSDFVEPISILKPSTGIRRANTLRLWDLKTKKILNTITIPDGGGIQ DVKFIPGNPDGAALATAVHLGQVWIIYPGQKDANGKPGRAELLYDLGPKARDTTAIYT DISQDGRFIYLTLTTANHIAALDISDLNNVKRLDDPDEDQPTVGPHYVKVTPDQKHLL VTDYFVQTGEIGLINTPADFKALYIDLNEDGSLSFNRTIDFSKEFPERGGAKPHSSVV FDLTDPENPLYY AOR_1_1054024 MKAASLAFISFLPSVLGAVVHDKRSGFKDGQPISDNGKGAPLLG GTNKALDLQNPDNLGQPSTDNGFSHDISGAQQHLKKGAIRELHWHRVAEWGFLYSGSL LLSGVDENGQFTTEKLEEGDIWYFPKGVAHNVQGLDDENEYLLVFDDGDFEKVGTTFM VDDWITHTPRDILAKNFGVDASVFDKVPEKFPYILNGTVSDEANNTPQGTLTGNSSYV YHTYKHPSEPVPGSGGTFRKIDSKNFPVSQTIAAALVELEPKGLRELHWHPNAEEWLY FHKGNARATVFLGDSKARTFDFTAGDTAVFPDNSGHYIENTSETEKLVWIEIYKSDRV ADISLAQWLALTPADVVATTLKVDIEVVKQIKKEKQVLVKGK AOR_1_1056024 MNHKTTKTNFMTNMTSTESASPITELALFHLKPSTDRATIRREL SSAAKAQASYSKYPTYLFAQIEDPSYIYLLGGWSSVSAHMDDWIPSSTNQSLLASLKE KLDLVYLIHIELDPAELGVFGSPVGGSGEVPIVDAPVMAIGRYFLKTGQKEAFLNRLG EAKKHLEAYIAPRVLKGGIRVEPKDKTDDGVEKEEFVLFSGWGEVQDHFQFAEPDGIK GFSQIEDILEGEEIMHVSVWD AOR_1_1568024 MDANSVSKHVQPWQQIFPFIARTQAPHTWKSPPYGMTPRQRKRW RQLWQCATYPIDQATDPITDPIYQRWMMSDIEQACLGFCIELLNQTYYAQEYESVLIC AMAVLGRGESGWRDAKSYPPILSRVIKIARFMIIQKALWLDPDVVQIIDTSQRPQNCA DWTLRSAVAMDDLDSLYGSEDERESTVSHSTSSVKSQDPTYRIQRNQTSRKTFQEQVT YMVGQFMVRGTHTPMETLQDWRTYGLKIHYNTIEPGHVTWIHPDQLLYQHIQFTMSNF RAFVHGLTAATHAILCDDLMFGQTPVISWQTLYDDPTQTAVGWSFLRDSRTKWPVDGA TWLIERVREPGVQKQFIHYRQPTQFQPRLVIKYLQRVTEFKEKLAVLIHIVSGQLSRI PELLSLQHVNTETNRRRNIFIEDGIVSFVSAYHKGFHASNDVKQLETWYQTEELSTPA STSAYLWGPDPGTQRTRSSNRFREVLQRGTKVHLRYAVNIQAYRDIAIGISRRWMRPA ITFRSNVQEDHDAVQAALDDDAENLDEEPSLGYIAGLQAARSPDIAGMVYGREIMEQG GSTSHRQRMCRLASTDWHRLLGFSSVNDSEAGKRKRAPWEDEAEASPELLVLEH AOR_1_1058024 MSTTTLPKTMRALLQPDIQETRLIQTTLDIPTPDPNTNEHLIRV HCVSPCANELNWLKYFPPPKPRTQIPCYDIAGTVVKSPRNSPFSVGDEVYARTNYLRP GCASDYTIAVTDELAHRPNRLSWAESTAVPLSAQTAWQALFVQSGIGNFESEEWKGKR VLVTAASGGVGIWVTQIARLVGATVIGTCGSRNVDFVKGLGASEVIDYRQTDLRGWAQ EPGNQVDVVVDCIGGKSLADAWWCVKDGGVLVSIYQPPKQVRPEELREKSVKSLFFVM EPKREHLEAITKLVEVGKCRPVVDSIWPLELFEEAFARLDGGHARGKIILDLSLNQ AOR_1_1060024 MSTDPFPSILVSPEDFHNARKSATRRVIPVAAGRGTLRSSYEKQ HIPGSVFFDMDVIADNTSPYPQMLPTASHFAHCMGEMGIQPDDILVVYDAVEIGMYSA PRVAWACRLFGHDSVHVLNNFRLYTEQGYPIQEGNMSPLPQTVYPVHEPGANQVIAFE ELRDIILHERKNYDIIDARIPGRFSGTQEEADSTLRSGHMPSAINIPLAAVLDTESKA FLPLPELKRLFETAGIDGTRPVILTCNSGVTAAALDLSLQETGYDMERRVYDGSWMEW TRRAESDLVVRD AOR_1_1572024 MTCRGVWNLRVKGKWYRYGDSAWLITPPGHISTLRKLNQLLGNP DNIKGWKKVTSPTLDLSDMDFIYTLDTETGTFKISQGKRFTRPLFPVPTDTYLKPATL REGHDIGIRFTDLGYSHLVPHKYTRVDELDLQRLNLKRLQLEFGIPTAMNELQERIFT DFFFRWNRYLDPSDWGYTNRDFKSLSMALLSLAAWDFEVTSGSRTDMGKAVLEQWQYP KTEVFWFHRFLVVIQEDILPVSMKSIAILKARIYLDHNGANGHVPRLILLSPIHVAFV ELRKDGDWCSKSLLLVTSSSAAWCSPGFRALSRALSSNYQTPIGDTAAQFEKWRVALP FEILHMILKLCEPRDAVSFAQASVAVEKCSYTSIPQFKGLAVREFVLSIPCCGEPAGL DVRGLCCAECYAWQHLACVGLLHWPPDVQYICSKCQKEEPNYRLVLLNSRQYRWRDRP VHIGKSAMSLRYEGYASAKPASYCISFKGMFSGISYVLETIPEMKSDGRRFGRFQDED KVLLYL AOR_1_1064024 MTAFKPLLSPFHVSKEPKNPRGVLRLPRNIPSTSVVIRDNFTLS TWLFLGGLLQGLAVIIFGTYALIPTMLILLYRTTDHLLMAANITRNRYMDGVMQTKAS AQAPDANGTFGKEIASESIVVFHLGTRSNHPLGLLAPGFSELNRQVIAMNREMNSDPV KYGLLGTSAWGKQDDPAGNELMAIYYLRDYDALHRFAHGPLHVEGMRWWTKIVKDHPH IAIYHETYLVPKGKWENIYINSKLTGMGDTWFPVVEKEEGEEGGVSRFVRPIVDAKHP TLRSAARRLVMRQLEGAEKGEDDLYDRTW AOR_1_1066024 MFKKKREENVFHINGLSLTREIVMLFTICSAQGMVQASLAQSFL PGLVIGEAFGAESADIAWYPAAYALTSGTFMLAFGRVGDIVGHKSLFVAAWGWFSLWA LLAGISVYSGSQVFFDICRAFQGIAAAALVPSALAILGMIYKPGPRKNLAFSLYAAGA PIGFTLGAVFSALLAQLASWPWVFYINAIACLIYGGLACLFVPHIGRKPSPTREPFDY LGTLTIISGKKSNPVMPQRAKSLSPGLVLFNFAWNRAPETGWASAQCITTLIIGLFLI AVFFPLEKRTAHPIVPVAQIDRDAAWILFIEGLGWSSFGILCYYSINFMILLRGNSVL SVAAQLSPVPPAGIAASILTSNLLTRGVTPPVILAFSLIWFCVGNVILATMPVHQTYW LNVFWAYLLSPFGMDMSFPAGTILLSNLVPVEHQGIAASLIATVVYYSQSLGLGIAGV VEVNVANDLVLEGYRGALYLGIGLSGLGFIVGVGYAIQCMRQNKTNPGSNERLETAED PLPIPLAASNEQQ AOR_1_1068024 MDSNQILLPSETNPSKTLLLNSLKMRPHVLLPFLLPLTTATPKL QDFDALGAWFDGINAINTNNETHNFTNKNTTIAIIGAGISGLTAALLLDSVGIHNWEI LEASNRVGGRFRTKLVGNTGEWAEMGPMRLPVRVRYNDGETVEYSDHAMVFQLSELLN NMNGNESQWKIDFIPWIQHHENELLARGTGRLADGSIPTRREVYGNETGLGTKDPMTT AEYERTKSKMEGILSAKDMLKFIQRDVWRAHRAVMDRGLDEWSEQAMMKHVFNASVNV TDAIWTDSDYDVFWDEMHHNSNLGLDGSPGSLGETEWVCIDRGFNRLSDAFLPHVSDR LVLDRKIRKLEAVDGPDGRMQTRLSWYPSVENRTYESKTYDYTIMAVPFTMTRFMDLP KFSSVLGRAISEAGLRFKSACKVALLFSERFWENGDKPIYGGYSTPPSNSVGALYYPV YGLNESRPGLIMHYRGGDWSDRFVSFSDEEHVQTVLDAVVSLHGEQARELYTGDYERL CWLQDEHTATSWCRPDVEQHRLYIPAYHQTEHNTIFIGEHTAPTHAWVSSSLHSSVRG VVQLLLELGLVDEAKRINKEWMGRWIR AOR_1_1070024 MIPAITAKYDWILAITSIAFVFSAFGNGANDVANSYATSVAART LEMWQAGILATITEFVGAVALGSRVTSTIKSGIISPDRFMGKPGTFMLAMGCAEVGSA AWLMWATHFGWPVSTTQTVVGALVGVGFATQAEISWGWKSGSVSQIAASWGIAPAVAC GFSAIIFGTLKYSVLERKDSFKWGMRLIPFYLSLTGAILALFIVVEAPTAPSLEEFGA GKAVGIILGVFFGCLLISYVFFVPYFHRRLVKQDPRIRVWHIPLGPWLLKDDCPIYWP GKGDSFVTNYYEDAHGEVRAGKKDTEKATDQKDTNISDVERTAESAMATPQIQPKKAI IGPHERFLQPVEHLTWFHPAKYWGWTKFILLQGVTRDVITHDSEHLRAVHARAHRYDD RVEHLWTYCQVVSAMMMSIAHGSNDVANAVGPWAGSYATYLSGAVNTKSETPVWFLVI AGLLLGAGFWFYGYNVLRAMGNKITQMSPTRGFATELGAAVTVLLASRLGLPVSTTQC LVGAATGVALMNFDAGAVNWRQLAFIFMGWVLTLPCAGLVAGLICLMALNAPHF AOR_1_1072024 MEDSHPAEAEQHDLLLVVDATASMSSFLASLRASLRQIVSMSVL TRCFSRIGLLAYRDYSAPNLLQWSGWYDTSQNATGTQPDLLEIAASLRTEGNYDTPEA AKTGLAKAYEVMRPDAKTVILFYTDAPPHMAGNAQLPDLWRIEDNGISEREALSDPTS YGGYGPVFKDWVSACNLLREGEKKAQVFCLLQPGKQAVEDVGEARYPQLVSYPDLTGI DSLHSEHDEEASLFFPTPGCFGPAGGLKLQHLSPYIMEKYTHKKGSPVDDFAKSWVTD PSYQELAVKHLKHIITEDVSVIALNAVFATLWRNICNDRTHPARSELLDAFSLHVSRI RNDEDRSRMTEWLEASYDYSGEVAELINSVPEAERFPCVCLDPTLTFERSSPIDDGRG QQETVGITKFTRAELLEIGRSCHPDILRRLSRVLTQLTYIESPADLPDHLATMTNWEV PRIPMALAKDDYRRRFWQILLHVVVPGTMLSSRPASILAAFALRLGITPLISAAEIEV LAMRDRWNNIEAPEIWTVSCMSLLIDADRKYQQLHALEQAMDDAGEFTAAVVKPPTLL KPSDRELFEKLIAYRFLELNLHTTVTAQIGWKPEKTTLPIGPLVTCRTCQYPRSVTIM GSNEQCGMCLNSEFPEAYGATKEDTEQTPMTWVECCTPTCRAQYVVYGVDKLRVRPKC YYCRARNASKSTEDVQHPLHDAPCVECNRCLSRIIWPEPYRPANFSEADFTCPACTAG RQTIVSEETSAAQLAGENTLSWLIKDSLQPEGHVFSDRSLYHTVSTIGPDNFNSRITL FPVSDPRLTVRGKLVRNRCGVMTEKISGCGHIKCEVEDCLTHWCYFCGDKFEEDAIYT HMNEAHGTIYDQEDELYSDVDD AOR_1_1074024 MKLIQLSSVLHFTALSSALTLPLNRRSPCEEETSHNAPLLATLG GSVFDVDVTIGADNQTFKLLVDTGSSDTYIMQDGFTCINATDNQIIAPEDCKYGPETY HVSSSYEQVPDQNFGIEYGAGLASGVMAYETITIADVTVRTKLAFADRSHPMGDGVNN GLLGLGYPSLTSAHPGTFTPNDTYFYNRAVYNPVVNEMYEQGLIEEPYFSIALAHTAR DSTGAFGGYISLGELPPVELTSEFTTVPVEIMENIPINITSDKRQISYWAFTTPAVKY GPAEEEEDALVVDHTPFQLFIDTGNEFSILPTAVVDPVNTRFEPPAVYNDELKAYIVD CGAKPPVFGVVVGNQTFYHAPEDLIYDTGNGYCVSTLVPSEKNGRPVLVINILGVPFF KNLVAVFDFGKDEMRFARVSNGYFL AOR_1_1576024 MSTFISNIAFHKLDINQTVEKYSSPDQNIFKFKKGLNISAPRPG SSGGRQGESRMGDKFKHAQGWVNDYLDRKEVQHPGIALAVTSDKRKPLKLPYNDHNHP VISGSLILLLTRGHVPVPGIDKMLDMTLEQSGIKRLVRGHPSGHPLNVGLPQGSIERV INKKIHEDVLYLLVVNLPCKKEVQESVNQLERLMKKNDVNADSHYIVAGGQFH AOR_1_1078024 MRISIISVAVTACCLFLVGCGILLYNNTRVPPEAMDRHAYCADC INYASRVDDMIRRTNNVRGNKQFFKYASDVSCRGHLLISKRCLRYRRAFLDDPDKFMF DIEVPSQACIAIKAC AOR_1_1080024 MPPRRSHKKSRNGCDQCKKRRVKCDEADPCLNCTRRSLSCSYQP RVVTPQPEPKKKHAEQLIACTPAPGTSLAEISARTDAALRVFQRNLTDTAYFVREWNG QDPELMHHYCISTCTTLSDEEDVRHVWRTEIPKIAYSYEFLMHGILSLSALHLSYVKP EKHSHYLTSSTFHMALGLQTFRTILRKPTAENCFALFAFSSVIMVWICAVPTDSKDAQ PLSSVIEMFNLCRGITTLLEFFPLINKSCLGPLMARDWSEKRGTPLRLFNGLDGQISR LRYRLTVEVLAEEERSVLEHAITELEKTCQRIEHARTLSASGMIYIWPIVVRVGFISL IEQRQPFALVLLACYCTQLHVFRRFWVLERRAESLLSEVLEVMPPEYADLLDWPRQFC LHGPDLERWCVLNPSSEGIVQ AOR_1_1082024 MSGNDDGGIDFKLYRYTPSLVAAVIFIVLFTLTTAYHLWQTVRP RFQIIGYICRALAHSNKENVPIYAVGTIMILLAPPLYAASIYMTLGRLIGYLDAERLS PVSTKWLTAIFVTGDVIAFLAQGAGGGIMASGTISAMNTGENVTIAGLCVQLVFFSVF IIVATIFHYRIRKNPTSRSAGTSIFPRHWREATWETVMLGLYGASVLILIRSIFRLIE YAQGNDGYLISHEVFMYVFDATLMFITMVGMSLFHPSKVLSPLAKAEASLDRSYTMTT ELRDEPV AOR_1_1084024 MESISDPPPPYSESPISNTPEQETLPPTTLILSNKSIHTETAPS TPLYQVSQDISSLPQKFTSVKFERLETSTPLKPESKSTEPEKKHIFYLAHPAHAQYRK DVPAYYITSVSPGSLGNIRFEVSKSRFQKIDFRALLSPRKTMEDKPLFCEDVETTLFS AKMKWTGGGYRWTDARGMEVAFEGGKGEGSKLVVTASMDQDMRDALIALWVLRIWYDT ADSSKAKADELAAWLPPTAYGRTDLGLAKTSGALGALGALGGGGA AOR_1_1086024 MSKGSASLLAALANRRSYYALRRESPIPDSKIQSILGSIMHKTP SAFNSQTTRTILLLNQEHEKLWNITKDVLLARIGPERFKPTGAKLDGFKGAYGTVLFY EDQPVIEDLKAKFPLYAENFEPWSEHTSGMHQLMAWVALEEEGFGANLQHYNPIIDEK VAANWNVPASWKLRAQLVFGVPEGDRPAEKEKKELGEVLKVYGAKI AOR_1_1088024 MPTPAKRKRASKPKVRTGCITCKIRKVKCDEGKPACARCVSTGR KCDGYASQTTSSNISQPLLTAVTAKSSTESRALEFFFHKSSSQLSGFFEGSFWKVTVL QLSLVEPAIRQAIAAIGSLHEHKGGCKLLPSFNTAGGDPHPAIQLYTRALRSTIEKSA ADTGAIPVVVVASILFTAFEFLRGNASAAASHISNGINLLWAWREKTGSRPRVPWGQG YSSFQSYFVETELAPILSLFNLNSSEFHPGTRRKVLLNPVDTGLLILTDRFESLREVR VAMVDLVTATAAVFQLLDQNLKLGKLPDAGLLTIISGLRQTRDRWKTNFDDLVRRQSA TWDKNEQDAAEVLRLMWYSTVMGTTTYRVGSECAWDEHREDFEEIVRIGEKIVSDPDR YPDELSKTLCLDFGLLYPLHAIMEFEEACVDPHEVSTTEDASIIPEHVRVHDFYTEQT STKANGCILHKLTLLTKPNGLDGDWHFTTEDLWLPTPPQDGDTVSPFNLFCCKDWAKA ELSNTQTVNLVTKAVLGPEESPGTSSDDSFHGKRSMLMAPILKPTSASGWFVRNR AOR_1_1090024 MASRSEPPQKRPREETPPESPPPQVEPEPQPEPQPQAEPEPEQE QQPEIPQGHIQGIIEPDTFSDADGDSLYAGSLGDASYTTSITSSAMNYQYENGRRYHS YHEGEYILPNDEQEQDRLDLSHHIYRMILKGELHAAPIKNPARVLDIGTGTGIWAIDF ADEHPESEVIGNDLSPIQPSWIPPNLRFEVDDFEAPWSYSQPFDYIHGRELEGFIRDH DRLFRQALANLKPGGWFEIASIEVNCFSDDDTHLKATSMMEGVKNMHISAKKFGKDFD TVKNWRSQMENAGFVNVREDVYKLPQSPWPKDPKMKELGRYHQVNMIEAMPPYCYALF TRMLGWHRIEIEALVAGMRKELRDTSLHLYSRLHIIYGQRAL AOR_1_1092024 MVGVPRSTGCRACLQRRVKCDQTRPECLRCQKRKITCPGYQKRF QFYHKTAPAVVKSTRTSKSDDARPSKPTPNGYHHQQALVLARPTIDQSVAPNLTATAL DTQLKEVFSDVVYAIFPNLYAAFSARVDLTWVDFVRHHSAAQSSAVNWGIRCLITWFL ARRHHDHDQLQASRHMYNRALFRLRGPDAHRSGFGRTMYTTYRAFLVAEAFTCQEPCF LESEEWRTMNREALLAEERDGKGSRLGEITENAFEEVLLCPGYLVRTRDMIAGNASES SRESLTAEIRCSRDLLRGLQRRLAALLELQMNTQLVQKRSPLDGSIPKQFVHTIAHRS LRGMDSALALLDQLLVLLAASKVRMLGSDENNPAQSPWNRVTYQPAPEGTISVTDEGT GTNEVKSLDWLDQLALSMGTLALKT AOR_1_1094024 MAAADGPVSNDREEQPSFELSRQEPPQIFHQAERWNHPRSNILK TLATYWSFLVMGMNDAAYGPLIPYLESHYNLSYTVVSLVFFSPLGGYTLAACLNNRIH AKLGRRGVAWLSPGCHIIAYIVNCLHPPYPVLVVSFIFAGFGNGLSDAAWNAWLGNMA NANQLLGLLHGFYGAGGVLSPLIATSLITKAHLEWYYFYYIMIGCAALEFAFLLTAFW DSPGTPVEDACADESKGGLRQVLFKKTYARVTWLCAFFLLGYVGIEVALGGWIVTFMM QIRNGEAFASGMTATGFWLGLTVGRVVLGFVTPRIGEKVSIVVYSLLAIGLGLILWLV PNFYASAVAVSLQGFFLGPFFPAVVVVATKLLPKSQHVSAIGFAAAFGGGGAAVLPFV VGALAQARGVEVLQPFIIGLSGGILLLWLGLPRMPKKGEGNRLSA AOR_1_1096024 MASSAASQRTESVSSHSYKKSLSNKADPNAALREEQPIANAIGG TSLFSLRSMQHRDAKGEIITDPDRSNPTRPRLERPLDTIRGFEAAIEARRRENAM AOR_1_1098024 MKLSWAVGAALLGTAVADVDPIVIKGSKFFYSSNNTQFYIRGVA YQQDYSSNGTTNGTSSYKDPLADATACKRDVPIMQELNTNTIRVYAIDPTSDHTECMQ LLADAGIYVVSDLSDPTQSIDRSDPTWETTLYSRYTAVIDELAQYNNTLGFFAGNEVS NTVATTDASAFVKAAVRDMKSYIKQKGYRTMGVGYATNDDSTIRVNMADYFNCESEED SIDFWGYNIYSWCGDSSYSASGYKDRTEEFANYSVPVFFAEYGCNEVQPRQFTEVDAL FGDNMNDVWSGGIVYMYFQETNDYGLVSIVDSTSVSKLADFTSYSKHIASATPSGVNK ASYTPTNTALQSCPAVDSDWRATQTPLPPTPNENLCECMSDAAACVVKDSVSSDDYAD LFSLICGYTTCSGVSSNATTGSYGAYGMCSPKQQLNFLLNKYYTEQNSASSACSFGGS ATVTSTTKATGTCASLMSQAGTAGTGTVTSQPTGTSGSSASSSTSSGLAPTTGSTMVV VGSVQLGAYIVTAVCAALFMIML AOR_1_1100024 MAVTPQSQKSVLAVLKPEFQKRNFGLFKSLKEYEICSVAGKCIC YDTPTFKRVIARDVAGIKQYLEWGLDLRRHTLWKHNGHITEENVFTVRWHFGSVVTLG DAVVLGGYSDKAMLFVDIVGSNMVASLSKVSMFEAFRRLNAGALKRLIEAGLPLTIGE DINNTVLHVALDNRDASAVLEFILPLTPELYNETNTDNETVLGREITLPFMNALRPFI KVDGISPSSNGRRLSPLYIAASTQDRITHVFVTNITLIDPTESRQQEEYSRLQARLQR STGSSDTSHPRQRLLTALHAFHRYKERNLAETKRWRDWYKKIPKKQRSIVESTVKYTR KLNTVEHLFETNERLAHEIVKHGMQFYNISQTELDQFVEENEKQGINTDKTSVSQAMK HFVRDWADEGHDEQQDAFPCILGSLANMSRTFEHPLRVLLPGAGLGRLAHEVNALGGF EVTMNEWSMYMNLAYRYLSSLSSVNSKTFHPHIDWWSHHATTADLQRSISFPDTLASP SVLLVEGDFTTVFAEDTGKYDVIVTLFFIDTARNLVSYFENIHRLLRPGGQWINLGPL LYGSAPFLQLSLDEIVALTEHIGFKFQETDPSCGGITIPGLTVRGKEVAYARNGKGLS KNAYQAQFWVARKN AOR_1_1102024 MTFEPKIQTPLTKILGIPHPVMLAGMGVSAGPPLAAAVTNAGGI GVLGGFSATPDRLRELIHELKELLVDKNAPFGVDLLIPKIGGGARKTNYDYMNGQLDA LITVCIEEKAKVFVSAIGIPPKHVIDRLHANGILYMNMIGHPKHVAKCVEAGVDILGA QGSEGGGHTGNIPTGILVTSVAKLVRGVKSKFTGVDVQVVAAGGIVHGENLAAAIMMG ASGVWMGTRFLLAKEATVASAFQEAVRTAGYDDTIRTVIYSGRPLRIKKTAYVLNWEE NRQAEIKELTGRGILPVAHDAEQHPEDDEILDNIVPYLMGVAAAQIDSVMPARDIVNE VVNEAAARLIAGTQALVTKPKL AOR_1_1104024 MSWTHLIRFIAVEDSQEHLGQLVDTTRDIGKDSVNGVEIAAYLI DGTIFDGRVSTTVMHVKQLLSPVTKEQCSYIRCLGLNYLDHAKEANMALPTVPVMFTK PRTALANPYPATINIPKCAQDETSDYEAELCVVIGKTGRDIPEEEALDYVLGYTASND VSARALQFATSQWSFSKGLDGSCPVGPVLVSSSVIKDPQTLSIKAIHNGSVLQDGHTK EMLFSIKKQISYLSQGTTLEAGTIFLTGTPAGIGFTREPRIVLKDGDEINVEIENIGT LINKVRYE AOR_1_1106024 MIVRELPAPAQGASIADITAIGPDQESVASWRKRCNIDTGKQIR LVKLSHMRYQHPDLDEITVFLQDFGMEVVKRTADQIWYRGYGTDQYVYYAQKGEKKFL GGTFEVESYQELEKAAQLPGAGEIQDLSESPGGGHLLTLSDPEGFPVNLIYGQEPREA GEYPTSIIVNTDSEKPRIRKFQRFNPGPAAVHKLGHFGLCVQKFDELVDFYTTNFNIV PSDFLYVEKDGQKKNVALFAHIDRGTDYVDHHSFFLSTNPTSHVHHCSFEVHDIDTQH LGHQYLNGKGYESVWGVGRHILGSQIFDYWWDTTGNMVEHYADGDLINEETPIGYGPA GDESLAVWGPEVPSWFLN AOR_1_1578024 MGEKFGGRGDRDHPLSDFYFNGVGNHEYGVLAVGGGSGSGLFTY LVSPLEAIKQRANFREKTLAQYVPNNSAIIEENSPYLKALLPSTPDVCLVLLKSWATE GEDRTSLHSDWNGDEVEKIASTCSNTVVVTHSNGVNILPWADHPNVTAILAAHLPGQE AGSSIVDVLWGEVNPLGHLPYTIAKSESGSSFAPIQNSTTLQNTNDPTAWQSDFEEGY EFGYGLSYTTFSIEKDISLTPLFNGDLSALPADAKILPGGNPNLWEVLYRISITVRNT GEIGATVPQLYLSLPKGTAQEASPINVLRGFEKVYLQPGESRNVTFQLTRRDISHWDV TGQQWMIPSGLMQAHVGFSSRDFRVAGSFTPIR AOR_1_1580024 MTDKGETDHGGSDGHHSLLKLLSEARQDIVSQVGRILPDLQVLR GLGSTILSGGIIDDRQYLIEEIVQVAASLPNGSKLRDGITAEFVKTLWNSLDHPPVAR LGDEYKYRAADGSNNNPLYPQLGAAGSHYVRSVTAQHPRPYVLPDPSLIFDTILARKG PAKEHPSEISSTLFHFATIIIHDLFRTDDADITKLKNSSYLDLGPLYGHNEDQQNQVR SFQDGLLKPDTFAEQRLLGQPPGVCALIIAFNRFHNYIVKELALINEGGRFSLPAGVT PDSPKYGQAQAKRDNDLFQTGRLVTCGLYVNIILGDYLRTILNLNTNPVDSDWKLDPR TEISALNSPTVPRGTGNQVSAEFNMIYRWHAAISNQDEAWAHEFMKSVFGAEVNPGTL SVDEFVGGLRRWFEGIDTDPARWTFNGLQRQQDGSFRDADLVNILQTGTECVAGAFGA NNIPEAMKAIEMLGIQQGREWGLATLNEFRHFFKLKTYSTFEEVNPDPAVWEALEALY GHPDDIELYVGIQAEEAKKPCFPGSGLCPNFTISATILADANTLVRGDRFYTVDYSPV YLTNFGFANTVPDFAVAQGGLMYKLLLRAFPGWYTPNSVYTLYPFTTPEQNRKIFEKC KTADDLEFVRPKYENQPTTVTSWQGVTQVLNNQKAFRVPWGPHTYQLTQHDYMLSGDS SANMQQRVFVKDCLYKPTDGLEEVKKFYEKVTMDLLRQHSRKLGSTYQVDIVRDIGNL AHTKFTAEFFGIPLRTSDKDDMTDAYTERELYNVLSDLFGYVFLDVDPAQSFKHRVSA SRSSERLGEVMQRHVASLNKHPFAIARDILGSHSASSKECVLVDYGPQLINRVSNGGG STDEVVWTIIPTAAAACATQAQGWAQLIDLYLSDPYHKYWPDIQKAARSDDKDSFEKL KKYALEGFRLSTPAFGVLRYAANDTTINDGVRDVPVKEGDTILADFVSAGRDPTKFPD PQAIKLDRPDDLYIHHGWGPHSCLGREIVTVAAAAMLSVLARAEGLRRAPGPAGVMKS KLVNGVFKVYLPEDGTEWTPYPCNKKVLFDSF AOR_1_1110024 MEMEDWETTDVVICGCGPTGAMLSAYLGQMSVPNVVLEREAEIT TDPRGIALDEDGIRFLQGAGIYDSIFSEIGSCMGRFNFISGTEPVLERRPFLALDYST TAGGTGHIGFLCHKQPILEKNLRKAMSDNTFSTLKSESTVYELCEDEQWTYCKYRDAQ GTERRIRARFFVGADGKTGFTRKQYLEPKGVHMEKVTEEFYEETWVALNWQITLPTPE SHPEFSLWTLGYTPDEVYDLFFPYEFRFLCNPNRPAVCGRFGLQTDRLWRFEFVVRPG EDGYEMAKPESIKNIVFPNVTHQGSRYGLAHDVQFPEDCIHVIRSRPFTFSARSCSIW AKGRVILCGDAAHVFPPFGGQGIASGFRDAISLAWRLTLLCHHHPTTPRFHEQVLAAW YQERKQQLEKSLASTIENGKFVCEAHFGKILVRDWYLWLVQLVPSWKRHLQLGQRKDG LIRYVHSEGMPFLPELNGGLNLPQVFCKDMNGKVFFTDDVIFRCKQTSIFRLFVYMRN DEELAFTRTVLRAVEELSRGEFAADHVPFVIEKITKHGAENDTNLFQIASAEEFARSP LCRGRPEPTYYEPFLIRREVRARYIIVRPDRIVFAACEDEQSLKTAIGRIKDILRC AOR_1_1112024 MRFSILALFALASAALAQESTSQDPGPSPTASVGCEPHGDHWHC DGPASTSETATPAVPSPTESVGCEPHGDHWHCDGPASTTASATTTGTQSHTDEADATA TPTKPSPTESVGCEPHGDHWHCDGPAETGASTSGGSSSTGSSTSTAAAASGTGSTEDN AAGDVGVRLGVAVGLALGVAALQL AOR_1_1114024 MESFNSTTALPQMASYTDLIKLAHQNPNLSSMENLWEAYYSYWN NDILATGIITFIAHELIYFGRCLPWIIADAMPGTFNRWRIQDHKAPPSVATQWDCTKY ILAIHFIVELPLIVLFHPMMDLCGLKYASPFPDLKTLAAQLAIFFIVEDTYHYWLHRA FHWGPLYRSIHRIHHQYATPFGLTAEYASPWETLLLGLGTIAPPLVLGYFTENVHLIT VLVWMGLRQVQAIDSHSGYDFPWSLRRIMPFWGGADWHDDHHRYFVGNYSSSFRYWDI LMGTVAGPKNGREHRRRN AOR_1_1116024 MGSTDYKFEGWMGLDPKAAEGNMVWQEFQPKAWEETDVDIRITH SGICGSDLHTLRSGWGPTMYPCCVGHEILGVAVRVGSQVEGDIKVGDRVGVGAQNDSC QGRKGDCEECASGLEQYCPNLMCGSYNSKHWNGDKSYGGYALYHRSPSRFVIKIPDAI PSAMAAPMLCGGITTYSPLRHNGCGPGKKVGIIGVGGLGHFGIMFAKALGADKVVAIS RKANKKEDALKLGADAYIATDEDADWAKNNSRSLDLIISTVSSSKMPIMDYITMLKPS GNFVQLGAPEDGALTIPAFALIVKRIKFGGSLIGSPSEIREMLELAAEKNVRSWVQEV PMKDANKAIVDMDAGKARYRYVLVNEQ AOR_1_1118024 MASHTGIPPTESRLSTRISLRWLPEPASETTDTIVMSVKGWYVD LRVDKKSGDIDWAIAGQRVVDSSDPRRVQFTHEIDSHNSFDDVDCGTFTTLPNGDDLE TGSMSRPDLPGAPVTEYEEVWRELSFREGPEGPGKGVSWVLESKHDLELGEGQEVEVS RTFLARIWGTYLVVCQRQVYVRLAGSKDAVVKTGKGVSARREEWDSTRWSAKYVLGLE GDSLPSAQDVEANEQLRTPGGTILVKGEPYTIRSYEEVV AOR_1_1120024 MWSLWLSSILLVGLYLFKRLSSPLAKVPGPWYTNLTSFCLKYHE FTATRRLFVHRLHKKYGPVVRLAPNEVSFASLDAIREIYASGGSGYDKTEYYDLFRQY GIKTMFSTLEKQEISHSHRKRELADRYAMSNIVRDKHVSAIKERAQAFVSRCAAIEGS VNVYSLLHCYALDGVTNFMFSPGGLKSLDNTKDYEMMEELTYHQSLQKNLLYYYLPRL APYFPSCLHPRPAPRANAYVLQMAGQQHPEAHSLVARLTRKGSPLSHMQVAAECKDHM AAGIDTTGDALCFLMWELSQPQNLQFQDRLHKELLSTSDDTPLDKMSYLDAVIKEALR CAPPIPMSIPRYVPSGGRTIDGYFIPENTIVSCQPYTVHRFNEEVFPEPDRFNPERWL EEKGFNDRNRLFFAFGTGGRGCTGKNLAMVEMKILLRELYSRFRSSVAPDMTASMDLD DQIISARPKDQICKLNFAVRGDDVDTA AOR_1_1122024 MVSDAPKRVTLRRSCQACVRGKRRCDQLWPRCSRCQARGIECEY INIPLPTGPDSSNITSRFVPRVASVSTLPIHRPLPLVIMKAYDREIISFLIAGMRSFP IEFANNMKTEFIHPDLYQSSEASAVFQHVQTLCRLHTQASQGETSTSLTPLLQQNCVE LLRKSGRPASFQELLASTQSLLILQCLLIFDAKVAVDGPYSETISSMLSNVGRRLWQQ APIQLSHTLSPREAWLFAESVRRTIIVAFMLRSVYSLLKRNYSVRTPFVDSLPFDVRT SLWDADHEAWDDATPASLENMISLQQYSTLLESGAVHGISPFSALILAACKGKAVSDV PYPPITGYEAY AOR_1_1124024 MSFPYSKVLVIGATSGIGKALAAKLVQNGTQVVIAGRRKENLEE FVKEHGSEKVKSKVLDVLNLEAIPQFASEVISENPDLDCVFLNSGIQRPFDFANPESI DLNVFDQELITNYTSAVRLTKAFLPHLQKQPTQTAIAFTTSQMALVPMMRCPNYGASK AALHHFILALRTQLQGGPGNVKVLEIYPPAVQTELHDAKHQPDLKDGHLIGMPLQEFI DEVWAKLTQGEEQIPVGSAKDIFEAFEVERQQIYQQMTEMLTGLLKKFLR AOR_1_1126024 MTLQAKLIPTPGTPPNVHKDALKVFQTLQEGGIAIVPTEVGYGL IASSSEAITRAFHAKTRRPGHTQGIIGNYDVHKALHILPEERFNITKVLTHDLDMSLG IIAPYKSDHPLLQGMSAETLEQTTKDGNMAIHVGGGSLLLEVVRLHYEVGKVVVGSSA NVTRKGQKFRVQDIEEKILEAADIVVDYGLQRYHMYWKASILFDFGEMKVVRMGACYE LFRDRMRRFWGVELEEDLIYPDEDEKGE AOR_1_1128024 MGSTKDLETNVSSAPLSSAGSSDRDDNYEVYQQTRALEYTPEEA KKVLRKIDLRLMPLLFLIYLLQYLDKNSLNFSSVYGLKEGTHLEGQDYSWLGSIFYFG YLVAQWPAGLALQKLPIGKFLSTTTIIWGGLLMTTPACYNFAGIAVNRFLLGVTEAVV NPGFVIMMSIWYTSSEQPLRLETYYCTNGIATMFGGLLGYAIGHITGGLPRWMYVFLI FGAVSLAIGIASLIFLPDLPSTAKFLTEREKAIAIDRVAINRQGVRNHHFKWYQVWQA ARDPKTWLLFIMAVGAQVPNSALTSFTSIIVGSFGFDTLGTQYLQIPGGAVQFLTLLF GGMIATKYSGRFHSRSACMIFASLVCIIGSGLLVGLPDSNKWGRLVALWLCYFQGLGF SMSLTIVSSNIAGSTKKQVTGALLFTGYCVGNIIGPQTFKDSEAPGYHSAYVAMLVGY AVKLVSIIALYLYMYLENKRRDREALGSDGDESDGVEKGMLDQTEIDNKTFRYVL AOR_1_1130024 MTVKLLMGLAMAGLVSASSSVTSMFLLGFDPQSLQASIVGNDAT ATTYSITCAPSPTASASDDDDDYECGLGPGFTLTDASPTTIMEMNDKPNFSMTINCSV AGTTSAVCTGIGDGPEANFPGTIISTIQQSEMSYLPVTITAGSVTSVAATASATTTTE SGSKATTSAAQTASKSSASSSGSSSSSSAVSTGGMPQITGDAGMLFGGAVVALAAAVL AOR_1_1132024 MHFKTLLLVSLASLAVSHPSANPDEAAVANNCGWPNGNCYDNNC HGELSSNRITCTSGPYLGCPCGYGCGTNTGKCNENGCDGRNGRCTNNYLGCSCL AOR_1_1134024 MGFTQDLVSVIRPSIESPLAFCATTVVAVALYVLVLGVYRITLH PLAKFPGPKLAAFTQWYETYYEFFKSPGGQYLFHYRKLHEKYGKFDSGLEIPLSVRDS SFFEEMYSQSLPWDKPKELEHRFGNANGLFPTHKHEVHRHRRAALNPYFSKRAINNAV PMMQEQITKLCDRLRREYQGTGKVFRLDWMMGCIASDIIVRYCVDRGYDFFEAPDFKS PFIQALFDLLDGVHMITQFPWVATLFNSLPQGLVETLQPGMKSVHHFHKEMADQVAHI LSNKEKRNGSEQTNVFNALLDSDLPPEELTLTRLQQEAFTVIGAGFDTTRYALSVAGF HIINTPSIYQRLREELKTAIPDPSNMPSLTDLEQLPWLTACIQECVRMSYGVSQRAFR ISDHITLTYKNYVIPPGTVVSMDNYSVAHDEEIFPESFTFKPERWLGDPLAPDGKKLT RYLVSFGKGTRSCLGINLAYAEMYLTIANVFRNFDFELFETDRSSVDCYRDMFLPHVK LGSQGVRVKIN AOR_1_1136024 MGLTIHTVGTSTLHRQAERAVIYLDVSSDGSDQSTVSQDVTRTS NRLQSLLKEIAPKQDSGDPTPEAPVTFWSMSSISTGSYLPWDHDKQEHRARVYTARTN FEVKFRDFSKLGEFVSDVAKDPLVSVRDVDWQLTDDTKQQLGQECRKLAVWDALAKAK DYAGALNMSNLRPVEIDDSEGHVSPGIYASARRAPAFAESGGEQALNFVPQSCEIECS VKMRLEVE AOR_1_1138024 MSDSAGRRSKSHDSAYLAEYYDLRAKADVTVLNAQDDAKIYISA LKKQVESYYPLDHHGQHLIVLDVGTGTGRVLANLATDAVQDNIPLSNVEFIGVDEKPS MIHCALAVQQETPSMSHVGRVDWQLPVESPTVDGSIKRALYQLQVIKRTNAGHEEVIE ENHIESVQRIWKEPELLECFKEAGLECVETTQTSYETYYVLKQAG AOR_1_1140024 MLKLLLASFLLLQCLVQAEPGIFYNPPTRGPIHVYKDNPVYELE QMVQLRWATTLESISIMLWQDNNPYFEWLQTNLSDITTYNWVISTQRSLDDGNVFFFQ IRDADEPETPDFFASHYFNITKRAKDSTTTTTTLSTSISSSTTVSVTTSLISTTSPIT PSYPSNGGAISRQAKVGLGVGVGLGCAVLLTLAIVIWYLKLRTRSLQTDRAQNCLSGS TVVVDKSYIFKPRLVEVPGHQLAHHELAS AOR_1_1142024 MLVAPRRYVYLGTGFSLVLLFWYTFGSPLAQATRIVSPLEPASV PPPTHSASNATLGFQSILALSSGPSWRTRGLMAAANLTGLSIHIPPQPPIHPDLVETF ETLGSEYIRHPSHGASIAWLAHLDLIKYTIQADLDTALILEDDADWDVTIRSQMVRIA ESVRNLTGTTESETAPYGRDWDVLWIGHCAEYWEDLFETVFYEDPTACPHKYYFGFAK ENIERQDEAFDVSMMHACSAGKLKCISVVPEVVHQYFPNQTFGVKSMVDIGNGEQAGP DDSEFEHIMGSTENILESARCRALWNKRCLRE AOR_1_1144024 MAQETSSNNAVVADGKTFALNGENVSYRFRVNETTGDLVSDHFG GSITGNLFPGFGAEALGGWVGLAGRFRREFPDHGRGDFRIPAVRIRQEAGYTVTDLQY QSYSVIPGKPALPGLPSTFGSEEDVTTLVVHLYDNYSSIAVDLSYSIFPKYDAIVRSA NVTNKGTQNITVEALSSFSFDFPYEDLEMISLRGDWAREAHRQRRKVEYGLQGFGSST GFSSHLHNPFLAIVHPSTTESQGEAWGFNLVYTGSFSVDVEKGSQGLTRALLGFNPSQ LSWQLGAGETLTSPECVSVYSSDGIGGMSRSFHRLYRNHLIKSKFATSDRPPLLNSWE GLYFDYNESTIYRLAEESAALGVKLFVMDDGWFGDKYPRVSDNAGLGDWVPNPDRFPD GLTPLVEDVTKLKAGNSSTDLRFGLWVEPEMANPNSTLYHEHPDWVLHAGQYPRTLQR NQLVLNLALPEVQDYIIDEITNILNSSAISYVKWDFNRAMHETPSPSNDHEYILGMYR VFDTLTTRFPDVLWEGCASGGGRFDPGVLEYFPQIWTSDNTDALMRITIQLGTSLAYP PSAMGAHLSAVPNAQTGRTIPVKFRGHVAMMGGSFGLELDPAELQEDEKAEVPGLIAL AEKVNPIILTGDMWRLRLPEESNWPAVLFISEDGNQAVLFYFQLGPNVNHATPWLRLQ GLDPKATYSVDGNGSYSGATLMNMGLQYKFESDYDSKVVFLQKQ AOR_1_1146024 MQFKSLVLSIFVATASAGYVQICGNGGTCTDTGSANSNSCIGPV TGNGFTFTAHDMEPRNSMSIFADEGCWNYNVASCDDCTSVTYNGKGPVWAIFH AOR_1_1148024 MPAKVFVTGITGYIGGDAFHLIQQNHPDFEFSALIRTEDKAQKV RQKYPKVRIVLGDLDDADKIAKEAAWADIVIHTADASDHVGAANAIAKGMVDGHSPER PGYWLHTGGTGILTYFDSEVRKVSGEPDDKVFNDWDGVDELVNLPAAAFHRNVDEIVL DVGSKHSDRVKTVIVCPPTIYGRGRGPVSGRGRQVYELASFILTQKYSPQLGRGLARW NNVHVYDLSRLYDGLVRAALDPTRQNDKEIWGAKGYFLSENGEHVWGDLSRQIGQQAF KHGYLTQEPEHKQWSLDEALKSPAGFEAASWGYNSRGSALRAREVLGWKPQEQSLEAE IPEIIRAEAARLGQ AOR_1_1150024 MTVIEIEVVFDFVCAWCYIGKRKLDRAIALYQRVYPGGISDVFS IKWRPYYLNYNPHPYSIPKSELIDDRLSDMTLEQRTSLFSRMNQIGRSVGIHFKGGGM IGNTRDAHRLVHLCGTQSPEVQSALVEKVLEAYHELEKDISSKEVLTELAVDAGLDGK QVREWLDSELAADVVDEEARKNKEEEGNTGVPRYVIQNVHRLAGAEDPSEFIGIFAKV KEDESQP AOR_1_1152024 MSSRKPPKYISTRCNRVQPRCDKCEAINAECIYVPRKARAKKQS NPSEKDLLLDILRRLERLEDHCKINPDSEGHGVASSSISVSSDFTRSRPASIDLPHSS QPRTPNTQSVVHSMLNGIKDDRARSLLASNVFCHLRNVESRLFENETCVKAVEAAMAE VERLESAREVNVLDPPAITKEMAKRWVKSYYEVYQFEGFRVPLEKSFVMSIPDLLEIP HVHLDSTSQIIYYNVLLQGIMLDSEYLPGRGKIIQYLYQSSMTLLDDWLCHIENTLPD MFAAFLMISMTLEGCNSEMAWKIFGYACNIARAMGFFSVDEPSDGQNSQSEPHSNSES EVDKNRKRFEFWHLLRMDCLFRLSFGKPALIPGGSWTVNFPDPTITGIDDASTRFIQI HFLASMRLTLTLLKYLDLVGVEMQQDTDVYDQALDGLIAEVQTIMSDWNAEELVSSAM NHVDTWFIVDILFSSYKMLIVFTQSKRCNQNSQFLPRHTVDVARKSLRMFQSLMSSEL SAYWGISLILMHQFIPFFILCGEIIGSHRYNELEDDFILVSWLNDFVDKAAEERPELR PIAAIAKAMTIACQQVQFPAS AOR_1_1154024 MGRLSDSSSPHNLPEKPHHETGELYAPPQPVKDESESIVVNSDR TGYEAESNDGRKNAAEAEQAASLANYFRVLSYTSAKDRVILGIAFICSIGSGVPLPLM NIVFGKMVGEFNGYFIPGTSVTEAQFKSSVSKLSLYIVYLFIGKFTLTYVSMLCFRVI GLRVSAALRLEYMQSLFTQPITKLDQVSVGTVTNTISTLSNSIQQSISDKLAILFQSL ALLVTAYIIAFKYSWALTLVTSASLLFILIACSVTIPIITKVQQKVDKADEKHSSIAA EVFGSIRTVVSLGAEEPLRKKYAVWVEEARKRGQGMSIAMGVQFALMFFAMYSSFSLA FWFGLKLYREGHIENINTVITVFFSVMIAVTVLGNIATPLINVSKASSAATSFFEMID SERIELGGLRDPDVSAHVDIVFQDVHFTYPTRPDTAVLKGLNARFEKGKTTALVGPSG SGKSTIVALVERWYQLESSEEDHSRGTISVGEHNINSLDIKWWRSQIGLVQQEPFLFN DTIFNNVSFGLIGTKWENETEAVKREMVEKACREAFAEEFIKRLPKGYRTIVGENGTK LSGGQRQRLAIARSIVKEPTILILDEATSAIDVRGEKIVQAALEQVSKNRTTIVIAHR LSTIRQADHIVVMKGGVNVEQGTHDGLLADEKGVYHDLVHAQKLELLAEDETNESEIP HELKEEVQLAHFALDDKQQVEEENKKDKSRGFFSSIGLLLYEQRANWPFYLTTIFGAV GAGAAFPLQSWLFAKLIHVFQLTGRQLADAANFWALMFFILALGVALSYSIVGYSSNS LSVRIGSSCRKEYFQSILEKPIPFYDINENASGSLISRLATDPKQVQDLLGMNGIFPV ISVFSMIGCIAIAFSFGWKLSLVAVFAALPCTFLAAFMRIRYELQFEAMNAAVYSGSS QFAAEAIEAFRTVSALTMEDAILDRYADLLRQQQTKAFRKAWYATLIFAFSDSVELCA MALTFWYGGQLLASREYQPTSFFVIYMAIIQGGQSAGQFLSFGPNMAQATASANRVLS LRSNSSTKVAASMGQKQLAHFASQSGASIEFCDVAFKYASQDVPLFTGLNVSIESGQF VAFVGPSGCGKTTVISLLERFYDPFQGTISLNGQDIRSLEKSSYRRALSLVAQEPRLF EGTIHENITLGLDKSDFTENEIIQACKDAEIHDFITSLPEGYSTELGIKAQTALSGGQ RQRLCIARALLRKPSLLLLDEATSSLDSQSEKVVQGALERLAGKRSMTIVAVAHRLAT IQKADMIFVFGESEAGHGSRIVEQGTHQELLRTKGTYWQMCQENALDR AOR_1_1156024 MGTEKARNSAGALKASLNGGNAKHQQLRPSWLTEIISFILFTAA KAVYSEGPFQFFATRLPHSSADAILGYAGWLTLQAILYIYLPGSEALGPVTPAGRRLK YKLNGLAAWGATVVLWAVGSVSGIIDPACIAKNWEGLVWTINVFSVVAVVLFHIKARI MPDNEAETFITGSFWYDFFEGGGILAWTLIDLSFAAYQYQSHGYLTNTMVAVVTLRVF VVVDFFINELWFFHTLDGMYESFGFYNIYGFSAMMPVLWSLQTQYLAKRPEELSTIAL TSVILLFLAGWLIRFSADYQKMKFRQTGGECRIWGNKANKIRASYQTADGKLCHSLLL CSGWWGLARHANYTGSAMYTLALCAACGRGGIFPYTEAIIVGGIVIHRCYRDEGKCAA KYGKDWDEYCRQVQWRMIPGIY AOR_1_1158024 MSQCPSLPRLSNLTVILGGSGSGKSSFLNALSGRTQNGRLNVTG SITYNGSVDIGAFRSAYLVQQDILPAMLTVREILSYASELSLGSAGASEIDRAVDNII SRLGLENCAETRIGDSKNKGCSGGERRRVSIGIQLLKATSVLFCDEPTTGLDATTAFQ VIRTLKRLADSGMTVVISLHSPRSDAWSLFDNVIILSGGHLMYSGLPSTVGDYFKDCG YEMPPFVNPADFLLDVTSVDVRSEASKSNSCARVEHLKQCWMNRLATNAANCLSNYRD ICDSMDFDSKAPSYSRVCKVMTQRNLKICWRDWKSLLGIWCAVAALAAINGWAFWQLD GSLSGIRSRQGSLWDATGLYGYLILVHEICRLVEEIGLFDHERRDGILSASAFLLSRR ASRFFLEDLSLPLLFTAIYYPMVGYRGSASQVCIFLLVMLFTHYLAIGFASLCVATTR SFHGAGLMGNLFFTLQMVASAYFIQTDQTPPYARWLKWVTHTFYTFGTLCANEFIGVH GPYEGNLYDCPFSADRTDPRCKQYTGRFVMDSLGMPKEWVWRPIVILWSMTCAFHLSG AFVLHINHPKPPLTPTERVGSPSSTEVRIQPRYNRSVTPVSLCLEDYALTAKRQQSTR RNVTSTISRICGPVSTIFQPGKLNVIMGASGSGKTSLLSSLAERLPLSSSSKWCRTGS ALYNGTQLPKVQVRSMVSFVAQDDDNLMPALTVAETLLFAARLKLPSSMPDDEKRGRV SEIITKFGLESCARRLVGSAIVRGISGGEKRRLSIACEVLTMPQVLILDEPTSGLDSF MALTVLEVLQSLAAEGCTIILTAHQPTSSMWSLFSSCLLLSPDGLPLYSGEASEMRSY FHSAGFECPMAVNPADFFMDLATSGETQGNSEDSKKRLDNLVNAWKSHESYARKQSFD SRAGTERGRTELIPDFATHSHHSSATAFPVLVHRAVLNTLRQPVSILARSIQAPGVGL LLALFTAPMKTDYLSIQTRMGVIQQYSALAFIGMLQNIATFPPEVDVMYRETSEGLYN IEAFLAQYTILELPFEAFSALIFALLLAYAAKLAPEADLFGFLFFSAFCTLNSGESIS MLFYLVFDHISLAVSFTASLLAMFTVLGGVMSLDPPKVLQWFNYISPVKYAVTSISVL TMRDLTFTCAEEQRDVNGKCLIETGEQVLQLYKFNQKNPWLEALGGVVAMLCYRLLVY AILKVKVKRLSSRGMRDLLRGWRREKAGEDERGLMLSTLNA AOR_1_1160024 MDLIFNYLDPLIIDSIYDSFRSSLQLRLCSTSHSLSFCSVITDS KLWCRESIYRQSLSIFIVTWFVHWLDLVESQLIELTERLSSSVFFLLLGTVCHYLYFD KALTRHPKYHKNQIRHEIYDSLLSLFGLNVLTVPIFVAQVRGYAKLYDFGSGKVPLWY EFGQFLFFVLFSDTCMYWLHRIFHINFLFNLMHKKHHRYIIPTPFSAYAFDPLEAYIM SLPIYAYSFLWPMSREAQLIVFVTTNIWTILLHDNRDQFHTVHHKNVKLNFGQFLTLW DQLGGTYADPEKYFAGRREGKVKT AOR_1_1162024 MENVSDKQTQRFEVIIVGCSVAGLTLANALSKRKINYVVLESRK HLPSPLTGNALTLLPNGMRILSQLGVLDDIKVTSQSISSHSTWLANGYLLKTINMMQL PSTRHGYDSVVIARWDLLQILYNRLVGDRSRIAFDKRAVQFDQSSSEVKVKCADGSSF AGDVVVGADGIHSVTRREALWHQDLAKTLGRIQNRPLELTSEYSGIYGISNPIPELHP GQAHRTYGNGFSFIVNVGKHGRIYWLLSIKSRETRQYPRLPRYAQDQASIDEHVRPFL DAHISSTILFKDLYNNSKTCLHVGLEELLCENWVSGNIVCIGDSVHKMTPNLAQGANC AIESAASLANRLVCILDKRQGRVCSDHCGRSGSFVSHNTDS AOR_1_1164024 MEVHNAIVQRISTCVKQFYNDKTPFGIYHGSTNSTRASTKLRSN TVDTGSLNRVLMIDQEKKVALVEPNVPMDMLVQATLPWRLIPPVVMEFPGITAGGGFA GTGGESSSYRHSFFDRTVNWIEIVVGNGDIITASATENSDLFFGAACSFGTLGITTLL EIQLLELPIEPAVELTYFPISMGVDEAVRKIEHLTPDPTYQYLDGIMFTKERGVICAG SITSATDHCSRRMQTFTRPSDPWFYMHAEERASTSAAEEAGPAKDLIPIADYLFRYDR GGFWVGKYAFEYFLFPQTKFMRWALDHISHTRVMYHAVHKSGLFREYTIQDVAVPYKG AKELVDFVDDSFGKYPLWICPVRSTTAAVSGLVAEPRRQPASDSDDPGMMLSVGVYGP GPKGREFLHFNRGLEKLVNKLGGQKWLYARTYYSEEDFWSIYDRSTRDELRQKYHATY LPNLYQKVRADRDRSFGSSMHRSWISRLQDRIWRQWPVCGLYGLMHTFWNKEYLLNRS KNELI AOR_1_1584024 MSTAQDQYIALINASEPVKPAVLNSIFDKLPPIKPNQLIGEWNG GFFDTGHQVASTLKEIRWGKWGFASLKEMVYRGVVSTTMIYDEWPVFDHFRYVNDKLI AGVMEGKTLGKDFFFYLKR AOR_1_1168024 MPSAIVTGATGITGSAIVYHLCKDSFYDKVYSLSRSNPGYQDSK IQHEALDLQTSADDMAKTLAGISAEYIYFCAYLERDDPAESSRVNGVMLSNFIQALET TGAIKNLKRFVLTCGFKHYGVHLGNCKQPLLEDDPILDGNKGGISWPPIFYYDQQRIL AEAASRGQWEWIVTLPEDVLGYARGNFMNEATALGLYCAVSKVLPGSELPFPGCKANY FAFNCWTSANLHAKFCLWAATAKNAGNNIFNVMNGDTESFQNLWPRLAARFGCKIPNP MFPNGGVPDTKGFKDFESTTVRMPNKHPLTVHGVDIGVSLHPEKQETPTLFLQVDPEK WAKRRDVNEAWAKLRDTYKLDQVAWDKATWDFLTFVLGRDWSCVGSMSKARKLGWTGY ADTWDELEETFEILEKEGILPPVEQLKRDF AOR_1_1170024 MAIKVPPGQSPPFETVDGDHHAGIIIIVSAICLVLSLVCLLIRL YVRFLLSPPFGIDDVILLGATVSAVVESIIVFHAASIGFGTAIHLLDDHVVRSIQNSV VASDVFYLITLYLSRCCVVAIYSRLTPRRRHKNTLWGILAFTTAGIVISVLVITVDCS LNKPWVTPREHCTSLFARWQFITAIDISTEIALFIFSVVLIYGLQMAIKPKLVIMVAF ASRIPLVAFEAVRLSEFQSFTSSRNPTFDAISHYTWTQIALNYSLIACTAFCLRPFMN AVTTSYGTAGESNLSTSYPYASDRGRSNQGSYALQSLQNRSVAAPEPDLFRPKVGAGE TTVTSAQPGSSTGAHSDRDERNSIGSEGSTKMIIKKDVEYTVHHSPNPDH AOR_1_1172024 MVSLNSLKGGPQVSTTYGDEAAQGGHFVPAKYRGTVKDQADMSA LGREQVLRRNFRFISIVGFGCTLIATWEVILTLLEQGLTDGGTAGLIWGFLIVACGFL LVFLSLAEMASMAPTSGGQYHWVSEFAPRSCQKFLSYITGWLCAMGWQCAIVSIAFLA GTIIQGLIVLNHEDYVFERWHGTLLVIAITFFGILFNTFLAKKLPFVEVLILILHVVG LFAIIIPLWVLAPRRSAQQVFTEFNNGGGWNSAGTATLVGFSTTITALIGYDCAVHMS EEIKDASETLPKAMITSVLINDCAGFLMLVTICFTLGDIDEVLATPTGYPFIQVFYNA TNSLPGTNTMTAILVLTLTASTITELATASRQLWSFARDGGLPFSGFFGYVTPGWNIP LNAVMVSLMVTVLLSLINIGSTTALLAIVTLTIGSLMSSYVITIGCVLLKRIRGEPLP PRRWSLGRFGMAINIGALCFLVPVFVFAFFPLTSTVDRESMNWCVVMYGGIMIIAILY YIFRGRHVYIPPVALVKRDI AOR_1_1174024 MTSATAIAIGILLAAFVYKYLVHPYCLSPISSVPNAHFTSPISN RWIEKQRNAGKEVLTIYNLHQKLGPVVRLGPDELSVNSLSGLKTIYTGAFEKHSFYSD VFINFQTPNLVGMIHNNPHARQKRMLSRIYSKSYLQESRDLRDISKIILSQRLFPILR RVAKSGEAINVLPLFQAVGMDFTSSYLFGTKNSTTYIFHLPEWQQWLEEYEKFKYMSV QDRYMGFIESWCLSLCRKVENNDQPNDVPIATNAVVYNQLRQSLEKDPDSRPLELAIA SEVLDHLVAGHETSGITFTYMMWELSQHPELQAELRRELLTLTPNLRSLPISDNAVDL PSLPSPSAIDALPLLDAVLRETLRLHSPAPAPLPRVTPASPTGVSIEGYHNLPAGVRV SSSSYSLHRIEEVYPQSSDWLPERWLKPEPGKIHDMRRLFWPFGSGGRMCLGSNFALQ EIKLVMAAVYTNFTTSIVDDEGIEQDHAFISLPTGRKLMLRFTPIKEA AOR_1_1176024 MLDLDDMRRRYRELSSVEDSKEKIIEELFSQVEELRKDLTKAHD EVDNHKELVAMFKDKSNKDKEALEMKNRDHARLSFISVLVDGDCMNFQDSLIQSGYDG GQKAVQLLRKAVEDYLFQLDPEANPRIQCKIRVYANVSGLSKTYRDTNIAPVDGTLEA FIQGFNMENGLCDFVDAGNGKECSDMKIRALFEQDILDVHCQRVIFCASADNGYARVL GPHRESDRISLVEGPSFAREMKDLAPHFATTSFPDVFRSTKIISRRVSFSNVTPPRTP PQNYASAVKTTPPRSQSTSDVNRRSPSPLTSARISNRNRSPVQLAVCKNAAGQRVDPP LRYSTRENVDSLKQRKLCNPYHIVGSCPYGENCNHDHVSRLRPQQVEDLRYIARLRVC PRGVSCAEESCVCGHRCPRENCLGPGYNGCKFPKIMHGVDSSIVSTTL AOR_1_1178024 MDSDHLLGLLVLGIGKCGQILAGILMALNGRAGALYRIPFPVLC RSSWGSLGALWPTFNRAVMAIVWNGVNAVQGAQCLNVLLHSIFPSMANIPNTMGAKSA LTSAGMICFFVFWFLNCAFLFIPIPKMRILVYIKVVAYYSATVAMLAWTLSLSGSSKH TLRSHSTIHGTEKSWMVAKFFWLGLASVATFVSNAADLQRYARRRNDVILGQVFSFHV ANFIIAIMGCVIAATSEPIFGEVCLYGTPLVFSNVSWKVIAIQLGTDRVAFFISLGFV YSTIFTNIFENSIPVGTDIAALLPKYLNVKRAVFICAVLSYAICPWYLLPSAAVFIKF LSSYQIFLSVIAGILLCDYYLIRRGRLCIPELYTMNPEGRYHYLRGINFRAFAVYLVS IATSFYGFLSQLGVKAPLSIQRFYYGSYPTGLLIAFLGYHLSCLCFPIVGMVRTRGWY EPREYIDVHDSARDDTTMDFDGVDVSRRESDAFDSVAAEGIKRDEDMKRAIA AOR_1_1180024 MATQTYTQIELLSANGTVLRRVSTAPPRLPTNDEIPIIDLAPID GTLDERKALTSRIKAASENTGFFYIRNHRIPEELIQTSLSQAKTFFDQPLSEKMKIDS SASNVSSGYHGVGSTQVNHLSIFALALGEREDYFDDVTSHPGADALYIHYPGIPDVAA DSDIDVGIGSHTDIQCFTLLWQDNSGGLQVLSAQDEWLDARPIEGTLVVNIGDFLQRL SNNKFKSTVHRVYNRQPDSRYSMPFFFGFNPEAVCKVVPSCVDDEHPPLHGFDLVFPR NETYAPTQYFPLVLAARNSSAAWPSGMILSMTIWPDSEDTPPWNSRFNFPAKGHTSGA PPSDPYFAIAGTHLTNGTEDGFTVIWSVLLQETCREDDSNTESFSSGASTTCALPRNS TLRCPILRKR AOR_1_1182024 MYLSKIISISFLAALAGAAATPSNLEARQESSCSQAQSALKQTS QHYKSLADQWNGSAKRAIQQLLSQLNAETANIADICAKLAEAEKSAHESYSGAESDLS DKFS AOR_1_1184024 MFLNMSTLPRGVLKPYAAEFLGTALLIVLGDGVVAQCLLSDYQY GTWLSINMSWAAAVCISGYLADPSPTINPAVTICTALIRPTPGQWKKLPGKLFAQFLG GFVGAALVYINYRSAIESWDPEYTIPGGSILSPQGHHSAGIFSTYPASTLGSNWEAAF NEVLGSAVLMFGGLTISDPANASRFYSPQLSSFLLLLAIGASLGWQTGYAINPARDFG PRLFSAIIYGREVFTAANYYFVVPLFAPIIGCIVGAATYDSLLYEGEGSHITDALDKV GDRDGSLRLD AOR_1_1186024 MYQKLLLVPLLLTSALASPHDASSHQKFHQLNERAAFPIPASKG SQTFKEPYYVKGTYDGGMKTFGRGVKCTGQKEGGDKDAVFIVADGGILRNAIIGADQI EGVHCEGSCTIENVWWQEVCEDALTFKGTGTGVHKVIGGGAQGADDKVIQHNSGGSAI IQDFTVQNFGKLYRSCGNCKKQFKRTVQISGVKASNGKTLVGINPNLGDSATIDGCAS SVKEICVEYEGTDNNGKEPKKAHSGPSNTCKFKEPLASC AOR_1_1188024 MASYSGRNAVVIGGSHGIGLSTAQLLVDKGAKVLVTGRSLGPIE AAKQQLKDTAEITVQSFFGAGQSIDLLFVNAGYASLEPFATVSEESFRRTFDTNVFGA FFVAQKLTPLLKDGGSIVFTTSVANQVGIPGMATYSASKAAVRSFVQTLAAELVNRRI RVNAVSPGFVKTPTMGVASASSDDLREFENQGVQTTPLGRVGEPIEVARAVLFLAFEA TFTTGSEVTLDGGLALLKAH AOR_1_1190024 MSKHIGIFGLGAMGTALAAKYLEHGYKTSVWNRTTAKAIPLVEQ GAKLASTISEGVNANDLIIICLLNNQVVEDALRDALQTLPSKTIVNLTNGTPNQARKL ADFVTSHGARYIHGGIMAVPTMIGSPHAVLLYSGESLELFQSIESHLSLLGMSKYLGT DAGSASLHDLALLSGMYGLFSGFLHAVALIKSGQDTSTTATGLLPLLTPWLSAMTGYL SSIAKQIDDGDYATQGSNLGMQLAGVENIIRAGEEQRVSSQMILPIKALIEQAVGEGH GGEDLSALIEYFKVGKNVD AOR_1_1192024 MALTLSQSTQNVSKPVNNGILSRYSTLLAVKILKHFRPCHGNVL MLTDKLCVKYGRRVHLSEASTLRFIQRHTSIPVPKVLCSFTHHGLTYIVLERIKGDII GSGWVHQNEESKAKLLSQLAKMVAEMRELQPPADIVVASVDGGPLFDCRVPGRSLHFG PFNTVQDFHRHLRMGMEFDPGLDPQIQDLINQQSKTWPSVFTHGDLSSLNILIRGDDI VGIIDWETAGWYPSYWEYTCAQQVNPQNSFWVNEINKFLQPMPQELRMERIRQEYFGD T AOR_1_1194024 MHPSDVQFVVGLSGYFVKDLQAVRQSPTYDPLIDNIRPQTPGFK SVVQAGQAISILIHLPNGTIALGDCADVIFSGAASRDPLFIAEEHLPILESVVKPRLL TCDVTQFRQNAIVMDGPWPELQHAKLHSAVRYGITQALLAATALVHRCTMAEIISREW GTALSRHPIDILASCHRNDHLQLDRMIMKRVPMLPHASFVHVHDIGPKGQALIDYIEI VSQRVQERGSPGYRPRLHFDVYGTIGDLFPDNETLVSFLGQLQRSAQPYDLLIESPII EPTQSEQIRRLTNLRQLLRQRSIQVQIVADEWCNTLEDIRKFADAGAVDYVQIKMPDL GGVQNSIDAVLYCQDKGVGCCLGGSANETEVSARVTAHVALATKPGFLLSKPGIGADE GVMILTNEMLRASALAGRTRVSRL AOR_1_1196024 MATQTESVVEVPITKSTKPLEKYIHPPESKQDLKYADLVTIDLS EFDRPGGKEKLAAQLKDAVHEVGFFYVTNFGLTQEQIDRQFAIAKEFFSLPEKERLSF RAPLEEGIYNGYRPLGSIEILPGLRDNIEFYNIMKFLPQYDRTHPDVVRRYWEEIEKF HRHCHEHIAYKLFRLLAIILELPEDQLVDGHRYEAECDSGLRYMCYRARTPEENEKYK HLYSRGHTDNGTITFVFQQPVAALQVKKYDDSEWEYLPIRPGTLSVNVADIMTMLSNG WLKSGVHRVIVPPEDQQHYDRLGLLYFVRPSDRLKLKSVDSPLLRREGYHKDTTDIDI PAPEWTRTRIKKNWTRSPTDLGENVTMGGFKAKVFYE AOR_1_1198024 MQNIAHKPGDVARESLASPLPTDALQTKPNVSSLGSWSHLIAGA AGGMVTAVLTSPLDVLRTRLQTDYYQSQAAKSRPVPTQPHLRPSFYRTSLLHFRDTFE ILFSIHRVEGWRGLFKGLGPSLTGVVPASAVKFYTYGNCKRLLPEIIGCEKDSSLVHA LSAACAGIATGSATNPIWVVKTRLQLDKAGARRYKNSLDCARQVMQQEGPKGFYRGLS ASFLGTIETTLHLAMYERFKSMISKKIDLNEKSETNGFVQGLAMSGASGLSKLIACLI AYPHEVIRTRLRQAPMADGRQKYTGILQCARLILKEEGAAALYGGLTAHLLRTVPSAA ITIGTYELVLKVLERR AOR_1_1200024 MAELHNRKAEKAIEKDTTPTLKELKDAIPKECFESSAVTSLLYL ARDILYCAILTVAAFQIHRIPSLPLRIIAWATYGFFQGCVGTGIWILSHECGHGAFSP NQRLNDFVGWAGHSFLMVPYFSWKITHARHHRYTGHMEKDTVYVPWTDEDLAQKKNVR IEQLKHLTEETPIVSFLQLIGHQLFGWQIYLFLNATAGTKSLPEGAGKMGPANHFNFM GPLFTGSQRVSIALSDLGLLIMGSILYYASTQIGAWNVVLLYFIPYFWVHHWLIAITY LQHTHPEVPHYTAEAWTYTKGALATVDRTIGFIGRHFFHEIIDYHVVHHLFSRIPFYK AEEATKAIQPLLGEKYHESKDESFLYSLMTTFRKCIYVSAKGSSQPGVLHFVRADDSK AOR_1_1202024 MHAAGRQLMPATRRKHKAAPSRVEVLEKKVDQLMSQLAALTRQI GQTSPDTSNTLTTDSGPSRDAALDSTDIAAMLDAAKDPYHGLDPPTSSVLENQPSIVD RGLLSELEAERLVTTFQRDFVPKFPFVLIAHGETAARLRDREPFLFLCIVAATMGSAH PLRKTITEEIMKHVTLRFVEGSERNLELLRGLLIHSAWYSYPAEKYHPRLLLLIQFCV STLYDLELHKKPSLNSDEQRALLGTYWLSVGFCGTLGRPIIMKHDGRIDECIESMAFT ERLSDRWIAPFIHLQSFLATMDEVYASMQASGGRALVQITRGSLQRQFDTVRASVEKD LASCPSSTGNAVRTEIKYMEIRLEELALREELWLAEPASAVRTTMLMGIIHRSKELIQ TITNLSVSEIAQMAITTSARICATVGYMSTAVLTLLNLSTGLTNSAREAQVQAVVDAA DYPNLVTELASALETKFEGMSAADKEMDVVGSLCSKMRLLARCYPYQIRAIVGSAPSQ DARQDTSMMVVHANEAAMTPQVWPSIYGDMSDIFPVDDMQWDSLLSNFTGFS AOR_1_1204024 MSSYAITGASKGIGLEFVRQLAADTTNTVLAIVRDPESPGISKL ASNHLNLHVIKGDVTDPKSILEAASAAAAVTGGKLDVLIHNSNAVDMATASFNPTQIP FDAQAVRAMFDLPLSTGVYGGLWTTNAFLPLIEKGSQKKIVHISSAMADLDFINKTGV SYGVAYSIAKAGMNVQVANPGWVDTWEGEKPPQVIQALQLMLKQFQLAEPEFKGQIQP EESVRKSLQVIDRLDTESSGLLLSHNGDRERWL AOR_1_1204024 MSSYAITGASKGIGLEFVRQLAADTTNTVLAIVRDPESPGISKL ASNHLNLHVIKGDVTDPKSILEAASAAAAVTGGKLDVLIHNSNAVDMATASFNPTQIP FDAQAVRAMFDLPLSTGVYGGLWTTNAFLPLIEKGSQKKIVHISSAMADLDFINKTGV SYGVAYSIAKAGMNVQVAK AOR_1_1586024 MLQSRLSHVCDACKARKVKCHKPSDLSADPSVACANCRKKGYDC VYSPLRRRFKARNYSPRRFLPASCLPLEETDRVEAPTPLLTASSSGRHPEDGGSNSGA SQFQGLITDALSGPLYMDQLLQTRQPTGRTQNASFLLGANENHLASSSMSFFSDSRLN ALSACLGNDALKSLITRISHMVKSRVEVLHRNLGPSSIWNQSGEVPRLSSDRRRHLID MFFENIHPLHPFLDRHEFESRAFAPTLQADLANGVSWTALYYTVLALGCEFDGGGSFA PGDGEAWSLFKVALDLYPRVLLLNTDLLEVQAITAMAVFCLNLSGTQIQGKIISEAAR VAQRAFLNKASTSSDAIIRSRAFWVVYYIEKTVTFHHGTTSFIVDCDVGSPIPIVQEA VFGDFDWFLTSVRFARLYSRTFTDLFSISATNNSKTAYLAKINQLERLLEQQCQTIPP QFRPGTKLRPQTFSHPCEIAAALRVHYHYHELKIALHRLKLHVTRDQSSSQSPADIID MMKSARAVIDLTRVIYQEPSTPFFIMIFMPLTALFILFDLVVHYPAHPDARSNLVLLE SVTGYFSALEYATSGYLPGSMLIQFASIARTFHSSSHPAQVEDINNGNSGMGTTLGHP SPETLGTGSSTNSQMPWEVKPDLKNPSDISDYLTLRSPTR AOR_1_1208024 MGGGSFMVEVYRGMNQDHYHNKIPVTSAEFLGVSVNAITWLSTS TLFAYCVSAPFVFHTVERMGLRGSNIVASVLLLVGNWIRYAGTVSAVKNYGVVMFGQI VIGLAQPFCLSTPSKFSDSWFTDQGRTSATAIATLANPLGAALGQFANPYLAKIPDDL PRMVLIISVISSVASLPSLFIPSKPPTPPSAAAAVNRTPLLVSFKDIAEKRDFWLLSL PFSIYVALFNSTITLINQAVIPYGATEEEAGIAGGILIGAGLLGAAIISPLNDRFKWY TGTIRILFPITCAMYISLVFAPASSWGIWPTYLVCAILGFSSFSLVPVLLELLAELTF PHSPEIGSTISWLGGQIFGAVFIIAQSAMIAGPTGNPPYNMHKSLVFSAILSGVFLPL PLLLNLFGDPTVRQREQIHDEVITTALGTV AOR_1_1210024 MPFSHHSHSGEFCPSHAQNTLEQVIQTAILQGMEVFCLSEHMPR SSDELYEEEIEAGVTSSSMISNEAKYFMTAIQLRKKYASQIKILVGFESEWIRSDTSL RLIHDSLSRHPFEFFVGSVHHLHGIPIDWSRKLYSKAREVSGGTDERIFEDYFDVQFE MLQNLKPMIVGHFDLIRLHSDNPNASDGGFRSWTGVWQRVARNLRYISSYGGLLELNS AALRKGLEMPYPAAEVCQEFLALDGRFCLSDDSHGIAHVGAMYQEMLKYVEEQGIQKL YFLELAPDGTNQGLDPRFPRTLVKCRSLAEVKKMAYWKQ AOR_1_1212024 MYALNQTAKSVWLPLTQLSGTSKYDIVSRLVCTILIIRSVLTVY QNVTCYGVAGTLTNALEYCRRWISLETRAAPRKFKRVDKDIEAISNSMVQKLARHGAD VRRNLSLPKEGWDIEKVEAELNELHNLDHTRWEDGRVSGAVYHGGNELLEMQTRAIGK FSVSNPIHPDVFPGVRKMEAEIVAMILAAFHGPEDGAGVTTSGGTESIIMACLAAREK AAAERGVTNPEMIIPSTAHAAFFKAAQYFKIKLHLVSCPAPEYKASVTEIRRLINRNT VLLVASAPNYPHGIVDDIPEISRLATENDIPLHVDCCLGSLVIAFLQKSGFPSPYDNS GGFDFRQPGVTSISVDTHKYGFAPKGSSVLLYRNRSYRNYQYFLFPDWSGGAYASPSM AGSRPGSLIAGTWATLVRMGESGYISSCRQIVGAAKKFEAAILTNPILKPHIEIIGYP MVSVIAFTSKNDEIETYDIADAMDARGWHLNALQSPPAIHCAFTIPTAEAVDGLISDL IDVISEILLQIQEQKQKGEHIVRQRGKSAALYGVGGSIADRTMVNQFAEGFLDTLYKA AOR_1_1214024 MADKCPFHNQAPKPNVAGSGTQNRDWWPDQLKLNILRQHTTVSN PLDPDFDYAAAFNSLDYYALKKDLQDLMTDSQDWWPADFGHYGGLFIRMAWHSAGTYR TFDGRGGGGQGQQRFAPLNSWPDNVSLDKARRLLWPIKQKYGNKISWADLMILTGNVA LESMGFKTFGFAGGRKDTWEADESVYWGGETTWLGNDVRYSHGFAGSSKHGAVIADEA SHRDIHSRELEKPLAAAHMGLIYVNPEGPDGNPDPVAAARDIRTTFARMAMNDEETVA LIAGGHTFGKTHGAASSDHVGSEPEAAGLEAQGLGWQNSHGSGKGAHTITSGLEVTWT KTPTQWNLNFLEYLFRFEWVLTKSPAGANQWVAKDADAFIPDAYDSSKKHRPQMLTTD LSLRFDPAYEKISRRFLENPDQFAEAFARAWFKLTHRDMGPRARYIGPEVPAEELSWQ DPIPAVNHPVISETDIAALKRDILATGVDPSKFISTAWASASTFRGSDKRGGANGARI RLAPQRDWEVNNQPWLAAALKALEDIQDKFNSAQNDGKRVSLADLIVLAGCAAVEKAA SDAGHIITVPFTPGRMDASQDQTDVESFNQMEPVADGFRNYGTSTARVPAEHYLVDKA QLLTLSAPEMTVLVGGLRALNANYDGSAHGVFTTRPGQLTNDFFVNLLDMNTSWKASG SGNDIYEGTDRRTGSKKWTATRADLVFGSHAELRAIAEVYGSSDGKGKFVKDFVAAWA KVMNLDRFDVN AOR_1_1216024 MISLPDQHSSLDHDLPPEKKIITEISTDQESSDGEIIKDWDDKE ENALRRKIDFILIPILGLAFFALQVDRGNISAALTSTITEDLGITTNQINIGTQLLSA GIVITEIPSNIILQRIGPQIWLSIQLFAWGLVATFQAFVQSYPAYLVTRLLLGLLEGG FIPGALYYLSTWYKRGETSFRTTLFFFGQMFAAATSSLISAGLLKLDGKCGFAGWQWI FLVEGLITIFAGIIFTLLVPPKAGNGYALVGLKRWSYFTPRESHIIRNRVLLDDPHKA HGHIKITAKDIWNTVRQPTTLQHFFITLVAMSGFQGLTQYTPSMIKSFGFNAIQANAL ASVPIYCSMVWCLVLSYFADRTGHRGPFVLLSITWNVISYACLRTMPYSSSSWHKYGV IAVANVIYASMHILNIGWLSVYCKTPQERSVSMALIVMAANCAGISGSQIFRTSDQPR YLHGLTAICALAGASWVLTAALGVQYYLKRRKAGGIGGGV AOR_1_1218024 MHYLTSQQLCATLLLLFLHAQTVWSDLGIVANNEDSLKKGAQEI IPPMMEFYKENQTEGIPGKLTDTWYIAGSMFMTLIQYWQASGDDSQNAVVSHDLMFQA GENYDFFSSNYSQWLGNDDQMFWGLAAITASEAGFPERDGKPSWTSLARAVFNEQVER WDGQTCGGGMRWQVWPYQAGYQLKNAISNGGLFELAARLARFTKNETYTEWAEKIWDW SAKSGLMDVNKWIIFDSVNNDDQSFLYNYTNGDEKWLNRVNGLLGTTLKTFFPEKYGG NTMSEVACEPIMSCDRNQIGFKGYLSMWLAFTAILVPSTKDQIVPKLQGSVESISKMC NGQSDGQSNLCGLTWGANKFDGVKGLEAQMSALGGVTGNLMLMAAESPNTIDTNPDAK EHNVPSSGEGKDPSKPKPITTADRAGAWILMVMMIAAVTGSVGWLVKP AOR_1_1220024 MKSKIIERTCHRIIQEGMEAPTPIIELSNYFIRPFYPGDVEAIS KEGNNPEIARWLRNRFPDPYTIEDAKAWISIASSSSPILDFVISRREDNVAIGAIGLK ARDDVYYRTMEIGYWLGQDHWGKGIATEALSAMTAWAFENFTHVLRLEAEVYEGNDGS QRVLVKAGYELEGRRKKAVEKNGIVMDTLNFCTFRPGE AOR_1_1222024 MSARYHSDPVDVTPLGEPLHFAFSQRTAPNRFYKGAMTERLSSW SPTDLKARGIPSNELINLYKRWGESGYGMISTGNIMLAYDQLEAPGNPIIDLENPFHG ERFEAFSRMAAESKKHGSLIVAQVSHPGRQVEERVQADPVSASDVQLQTEALKMKFAK PHAATKDEIRDLIKRWTHAAVYLHKAGFDGIQLHGAHGYLLAQFLSQTTNKRTDEYGG SLENRARLIVEVARSIRQELPSSSGFILGIKINSVEFQAEGFTPAEAQQLCQILEQNE FDFVELSGGTYEAPAFSRERDSTRNREAFFLEFASMITPVLSKTKSYVTGGLRTASGM VAALETVDGVGLARPACQEFNLPRDILEGRVTGVLEQKVDQQNFGLTSAAAGTQMKQV GKDEQPIDLSDEKNLALFMKHLAEWAQQVQEDAPKMNMYGFMDLPKGEAFRG AOR_1_1590024 MYRDQGYQNPRHIEDNLGKLEGHAGRIIKKASDTFKAGQTLELT RRERDTIRKFLFLMKYRNSTFYARYNHDSIMTYDSNDKHRLESYMREKRFKSPRDIWY SNLKTFLDLEMDPDMQWIKKVHERAFPDDAMMFIHHMQSKFMAFCQPSSEEDEFILTH NAYGVFEGPSDVQIDPTTGGAIEGAYTEYHNFAPISAKLIIILRSSLLVDPSKEGAED LQEEWENLREEVRKQHLFPDKAAVSLLESLPIKKCGNSYSTTINGKLVLKPNRGPRGE DKFYFTCFRISSYYVSLINSIFLEQATKGDTIVYRSKSALGRTLKSYLEIGREGFKLV TDEANDPHLAFLKKLEKIAGQLVGNVCLSGLHT AOR_1_1224024 MSFLYRWFGPLYDAILCPGLPWSIRWRLLALQPVVLLTNAIQYW RGIHSKHPKTTIWIPLRRAPGHSVRAIVYHPLEKASKDGPRALHLNIHGGGFLGGLPE GNTPFCDRIAAETGAVVISTSHRYSPRYTFPVAHRDVQDVAEWLIENAGRLWGADPRL MSVSGFSTGGNLALGVAQWLARSEFNVKAAVMFYAPVDLRLSPWEKPKPAKYPEKDPL AFVLPLMDAYAGSEREKYRDSPILHPILADIESLPRNMLFLCAKVDILLHEQTVFVNR LKDEAAALNRKIECPQEPSQDHSSTARSPYNIEATFFDDQIHGWIEIPSMLIDTKLRD QVFNDAVRFLDKVYTSQG AOR_1_1226024 MRLFGITLVSAALAAAQSSTSTSSSASPSNTSEPCALASQLADE NQVIPAQTAYECLKSVPVAVEQDKTLIDQLKVLWEWHSETGYLKNTPESWELGSVDLL GELDKIKENLSSYDSEYDVQLAINKLTLKTGNFHFNYVPDILQVFFFGRLIPVTTVSE DGTSVPETYAVADLVQKDSDDSIEISPIAKINGEDTQTYLNKIAAQEQYIDPDARYNS LMWRGNAAASVGSFVNIGLGIYEGATTNITFKNETTRVIKNYAEVRQNLTAVTSGKSF FEELCTGSFAGIEAMTTSMKKTPYKGAPHHWKRQSIPSDGYPKPVVEHSGGMVAGYFM NGSDFEDVAVLKIVTFDTSSDERGDAAVEFQDVVKQFLGNCTDAGKKKLVIDLRENGG GDTDLLLDTFMQLFPGEVPFSAQRYRAQEQYKLIGEAINSVYEDQSVQSSIKKATGES FADVGLVRYWAYWNFVDVNGDNFKSWDEFYGPHKYNGDEFTTIMRYNMSNSNPVSIRD QTGFKFLNPPAGDPPFAAENIVMLSDGLCGSSCASFHEELKNIAGVKAIAVGGRPQEG AMQTIGGSKGGEVIPLYTIPISLQTMMNLTAPLGIDTLDDNSLTNLANPDVLLTRAGD SSSRIQVQDQIRKGDESGTPLQYIYEDADCRIFYTTKMLLEPESAWEAAWSAYTDDSK CVKDSAKKSSSISGGYKPFGPGDLNGKQSENSTSSSSDKDKENAAPAWRPSLAICAAA TVISFLL AOR_1_1228024 MYSLLLIVTLHFLLIYYIIIPIINYFRDPKGLRKYPNLTFVSGI SDLPLIYYSHKGIRSRVLFEAHKKHPVLRIGPNKLSYADPVAIKDIYGHGTKCTKDVF YSALSGSHYHLADVVDKEDHARKRKVLSNAYAIKNLEGWEYKVADMTQRIIKAFDERC TSPLPKGRNPDPQDLTIDYRMWTNLYTIAAIANIGLSEDIRFLDQGNDIISSEAKDGT VKKVHFRDCLYANASATSTLVWAYDWYEALVRVSKLVSSTYRQKWKLAEDWDGIVNNR ATTRWKRYEKGEKLDDFFSALMEDKAGAPNNLEWGEIVAEVSIMMNAGSDTTGISLNN VMLLLLKNPHCLEKLREEIDGVLEDDEVIAPYDKVKHLPYLRACLDENMRMYPPVSFH VPRRTPQEGTMIRGEFVAGNTSVGISAYVVHRNEDIFPDPDTYKPERWLGDKGRDLQP YFVAFSAGARGCIGRNISYLEQTVLLASLVHRFEFALPSPSWEPVRHETTNFNSGPMP LKVWRRASRVYEDN AOR_1_1230024 MASQYAEWFTRISHDKDLFFLDPTELATLQSYLEGNLPLQDTIS SLTAPTAPQWHSTQSSRVWAMLLSIAEEYGEAYDRIIALIEALFSLPKPSQPNEQDWP GEKEFGFPRCWRDIHDSLWARESEIEYLSDSVATKWINYQAFTARLLASSLLSAHDRA LLHTVDAFEKTLEPKEFAMRQDVDNRCCCTVLGL AOR_1_1232024 MEAYSDQRLSVVVVGMGQMGHSHALAYHRNPGFQIIGLYNRSPI KNLPDALRDYPFLSSFEEALALKPTVVSINTHTATHADYAVAAMESGAHVFVEKPVAT TVEDAERVVRTAQQTKRKLVIGYILRHHPSWIEFIHRARQLGPPFVIRMNLNQRSSDD AWAIHKRLLQDVKNPIVDCGVHYVDVMLQIAGSKPVQVRGMGLRLCDDIPSDGQVNYG HLQVLFANGSVGWYEAGWGPMISETAYFVKDVMGPRGSVSVVMDEKENSIDSAGASAD INSHTKTSNLRMSTVVDGRARDQILSMEGEPDHYELCAREQQFLLEAIREDRDLTQHM NDAIQSLSIVLAADRSMRENRAIDIV AOR_1_1234024 MEKTGPMETSECDGRMDDKVAPTTVQAPRDNEARPEAFSSTIQE ILFVAVATMAIAMSSLLTGSITVLTSQVQRDLNMTTAELTWLTSSSSLASGSFLLFFG RLADLFGRRALFIGGMAFFAVFALAAGFSKTPMQVDILNGVMGLMSASSVPPAQGMLA NIYEKPSKRKNRVFACFSAGNPLGFVFGSIFSGIATQLFNWRASFFLLAIIYVVIVAI ALFTVPVDNTPTEKISMEAVKRFDVVGTILTIAGIGLFSAALSLGSDAPQGWKTPYVL VCLVLGVLLIAAFILWECWYSYPLIPMSIWRDRDFSLVIAVLLLGFLAFPITTFWISL YMQEIKHYSALPVAVHMLPMAIMGIIVNIIAGLIMHRVSNTLLMLLGATSYVGSFLLM GLQHSDSSYWAFIFPGLILAVVGADFEFCVANMYVMSSLPPEQQSIAGGIFQTVTKLC VAIGMGISTAIFDAVQARGTATSGYFKDDPIEPYSATFLYSAGIAALGIPLCAFLRIG AQGHGGDGKKGRDHEKV AOR_1_1236024 MGMTHLPETDHARRADEEKPANRLGPDPNLVGWEENDPENPHNF STLYKCWITFQLGMLALSASLGSSITSPAQTKLEAYLDISTEVSILPVSLYILGFAFG PLCWAPISEIWGRRWSMLPAVFMLGIFSIGTATSKNTAAVLITRYFAGVFGSAPVSNV SAALGDFFHMKARAVAGTSYAICVVGGPTMGPIIGAALTNNPRLGWRWTEYIEAIIVF FVFTVTFFCLPEVYGPVLLHKKAKRLRKETGNQELYHPHEHLKVDVYSIVTKHFSRPL VMLVTEPMVTVIALYASFTYALLYLTLEVFPIVFNEIRQWKPVVATLPFIGLFVGVLL SAAFVILVGQPYYIRKWEEGGGNPVPEARLMPMAVGGFLFTGGLFWFGWTAEPSYSWG LPVVAAVMFGCGFCIIFGQCINFLVDTYGPYAASATASNTFLRSVLAAAFPLFAKQMF HNLGVGPAMSILGGIAAALIPVPFLFRIYGVRLRKMSRFAPFKG AOR_1_1238024 MKPFTSIVLLAATVTASPVPKIFNLVSSNASDESQNGLYLSTQH VDPLNSKAVFRDAADAADFYFLDGTVRYNAPNGAPYALALVSGDEVQSEVEVSVSPSS GGTGFTFTNDNILANDNENWGGWLLCDGAGDVPGLYYHNNSNGDDVPDSCDPIELGAV YKASS AOR_1_1240024 MTLLAEVFSRASPEYALYILPFISLIYIGHLYFHNGLNRYPGPF LAKFTDLWRFLDVWGRQPHETHIALHRKHGDVVRIGPNTLSFSSPAATKVIYGLNKGF TKSEFYPVQMTVSKGEPLPSLFSTLDDKFHAELRRSVNHAFSMSSLVQYEPMVDETTL LFLDQTDRLFATGGKVCDFARWLQFFAFDVIGSITYSKRHGFIEKNEDIDGIVKSLAR IFNYAGPVGQMPWLDKVFWKNPIFDAMQKWGLLDNSHPVAIFARQRMMERMSSKAAID PSSRSDLLTKFMKAGELRPNFMTEKRVLTMAVSMAFAGSETTAISLAAVFYYLLKTPD YMRRVREELDEAIQNGTIENRPSGLVSWTESQKLPFLDACIKEAFRIFPAAGLPLERV TPPSGADIAGQFIPGGTIVGCSPWVIHRREDIFGSDVDTYNPDRWLNASEDKLKIMNG MMLQFGAGSRTCIGKNISLMEIYKLIPSFLRRFDVRLAYPEQEWRLWNAWFVRQYNFN TVFTPRKIEVQ AOR_1_1242024 MSEEKAGSQHVEDDSTGSTEIYDPIATDRSAQPTVQLDPAAESR LRLKIDLYIVPVASLMYLFCFIDRANIGNAKLAGLEDDLGLTGYDYNTLLSVFYISYI IFEIPSNIACKWLGPGWVIPALTLGFGICSLCTAFVHDLSSAAGVRFLLGAFEAGLLP GISYYLSRWYRRRELAFRISMYMVMAPLAGAFGGLLASGILKLPSFGSLKDWRMIFAI EGIITIGVAIFAFLTLTDRPETARWLTQEEKDLAIARIRSESEYAGVTEVLDKLDTKK TMRGIFNPVTLATSWIMLLVNVTVQGLAFFAPTIVRTIYPKHSIISQQLYTVPPYIIG AFSTLLIPYLSSRFNRRLLFFVLTPPLMMAGYVMFLASSDPHVRYGATFLIAFGSFPV GVLCNAHSAANVISDTARASAIGTVVMLGNIGGLVATWSFLPFDAPNYYIGNGLNLAT GATILVTSGCLWLWSIWDNQRRDKQDVGRMLDGMTDRQIQDLEWRHPRFRWRL AOR_1_1244024 MAIFADDAPHVAGSVIMLTAVAFITYALRVYCRLSRKSWGAEDW IMTSAVIPFCVLTAGCLGGAFNGIGVHSTLLNEPENQRYQAEGQKYFLIFEVGYVAAI IPIKLSISWMLIRVAEGRKLYINIQYGVIAMFATMNIIALIFILINCTPPEAAWNTSL LKKGASCRPAHVLTDIYYATTAVNIVTDWVTALMPIPLLWRVQLDRNTKISIIGLMGL GILASLSACIRLKYTVNLQNQADFLFAVANVVIWGFAENAIGMIVGNVATLRPLFRSL FERTIRSKGYGSHSHRTGPSRFAASYELSGHGKSANNTFTTSVVERGVQGKNRDSYSQ LSDGDSQKQIIQNDCHGHADIMVSRQVNITYE AOR_1_1246024 MDPVLIVGAGIVGLTLGQALKKKGIPFEIYERDSTPDARGQGWA ITLHWALEYLQQMLPADVLARIQDVQVDPDVALNDNGNFLFINLATGEPKFKIPPSVR WRVNREKMRKALLHGIEDRVHWDRRVVGVDLETLPEKVNLKFDDGSSATGRMVVGVEG RVAVDLSPEDIKPLRSMDPLLFQGCHPETGAFFWFSMLETPHVNGTVGTDHERYRAQI CMSWPVHTSADEVAHTDEGRLANMKRRAEGFVPVLRNAVQKIPEGTPVLEIKLADWEC LDWDNNNGRVTLAGDAAHAMTMYRGEAANHGLLDAFHLADAIAQIYSGQTGQKAALDM YETEMRMQLLKTLYDPDTLHITDLLVSHISILVTEYLHSSHSISGALTVPYSEETGDL REAILHGFPDAENLPTSKPMRQGPAEFGSDRDIQWDLAKVWNDELVNVGALRSPTIDG ADDFSRVHWFIEDLAPRHLFDAAVVEIWTPEQLEEARKEVEATLGKYLDGWGF AOR_1_1248024 MTAHEALNPIHPSVLPHLDPVFIKLYNENVANTPNKPIDLAILR SKYSVLYSYGTGPAPDPARIYDATVPGYNGDLIPVRVYEPSSPGPWPVHIDFHGGGWG LGDLDTEAHICKHLSVKADVCVIDIGYRLVPEQPFPIGIQDSFAALEYIHAQGASKFN IDTTRISLGGVSAGGNIALIVAHLARDASIPLKLVAVGTPVIDDISKYASASESPYPS VQQMEHAPTLNWARLKWFDNLKWESLSSDVGLRKEQLDKISWYANAMNAPSFTNLPKT VIYTAGCDPLRDEGEAYAMKLVEGGNEVTLKRFEGVPHPFMHMDNDLWQAKEFIDKTA AHIRVALH AOR_1_1250024 MSKILVTGANGFIAAHCISLLLSTNHHVRGTVRSEQKATATQAA LTAAGVDITNLELVVISDPTDVTQFAPAVAGCKGILHLASAFSYDATPEEFEEKLLIP ALKGTVTVCEAASQYPEVKKVVIMSSFAAVYDASLGPQPGRVYTEKDWCPLTYEEGKN ASLVPIAYRASKVIAEKAAWDYVRDHEVSYQLVTLCPGMVFGKMIHPIESLSQLNASN QIVWDVLKGNGIPPTKAPVWIDVEDLARTSLQALTVDLPSHQRFLVTEGSYDTQEIAD IVRKELPESQDRIAEGEPGKRIKDTHYSCDSGKVQLMLDVRFKPLKESLVALARQLYA LEQAS AOR_1_1252024 MTPTNEKDIGMNGKLSGDDYDVGDLHDDRALLRRVDWRILPVMF LTYFLQFVDKISLNYANVMGLQDDLHMSGNDFSWLATAFFLAYAVAEIPQGILLQRFP ITKVLGVNVFLWGVILCCSAAAQNFAGMIALRVLLGMLEAVIAPALTMYTSMWYTRAE STPRYGFWYCGLGMGQIVGGLISFAAQHAPPNMSFHGWRIMFVVIGVVNVVASILVLF VLPENVEKAKFLSQTERDRIAQRLRDDQAGVGQKVFRWGSVMEAFGDLQSWLLVVLTI LITIPSGVITTFSSILIKDFGYTSKQSALLNMPSGVVSIAATTLSTWAIARGFSRWLA IDVLLIPTLLGSCLMSFLPRSNQAGCLVGIYMVNTTVAPLALIFAWTGANFKGYTMKV TGSSLVSAAFSIANVIGPQTFQAKDAPAYIPAKITIVAVNAGAIVVSSALRIVYGRRN ARADRLGTPARSRMEGKLANGRMAEEDVQDDVNFRYVY AOR_1_1254024 MPSRTDSPLNIAIIGAGLGGLSAAVALRRQGHSVTVYERYDFAG EVGASLSAASNGSRFLEQWGVDIKAAKPVILKRLIMHDWSTGEVKSEYGLGDYKSKFG TDYNNFHRIDIHKELLKSAFEEPGEGPKCTLKVNHKATALDAEAGIIQFENGASATAD LIVAADGIRSSSRNLIGITPNLTMSTSCCYRCIIGADKLRSLGLDDYISNEAIEYWGG FGIDKIVMSPCSNGEVVSCYCFYPAEYNELREDGWNISATPQQLVDTFPGLDPRMKKL MLNAEDIKMWRLYRHEPYPYWVKGKVCLLGDAAHPMMPDQSQGSCMAFEDAGALGLVF HRTFREQYSVTEGLSLYEKLRKPRATRVQEASFRAREDLSERIGWSSSTDRPGKLTIE EVCGYDMRKHLDELVAAIAQ AOR_1_1256024 MNSTGRVCARCAKIKQRCDGGAPCSRCARLGHVCEPQRPGGKLD PKTIAYRRPRASRSRGGCLSCKARKKKCDEIQPRCSDCRRLNLPCQWKASPSFPSSTD PSLPPSSESSLSLATPDPISPSDQAVDDPIGSLSPWLAVEEIIMPVASPCGSANPYLH NDEERSLFNHYLHIVARSLSRSGDPDGNPFLSILLPMAASSDTVTSVILGLSGCHWKR VYPGIWNRALARQGKALAQVKQLLSTAGGQSTLEACTTVLLLCLTELCDGSSHAWEWH LKAASALLASVGDQSLEGTPEGKFCLQLFRYLDSMSTISRCKPPLLREGAKLTDLTAD KSICSFSSAPVDAVSGMVPALLELLGMVNLLAAHRSRRVDELSELGFRTAASHVQSQL DAWRADYDSTAVTDHETDQVTTAFEWAVRLRLHQVVDGYDPHHEMVETAVSPILNAVM TIPYGSPVEGCLLFPLVIAGASSIDVERQMLVKERLMVMENTLGFGHISHARQLLETV WADEAERNWARVRYSLFPGMVFV AOR_1_1258024 MNPSDPSETVEVAKATANLHDAHLNEKKAPSIDKDLDITPSIEE VPATKVVGATTDDTDLQKTYPTDEELRTLRRVCGQIPWTSYTVAFVELCERFSYYGTT AVFVNFIQRPMPAGSSTGATYDSSRVPGALNMGQQASTGLTLFNSFWSYIMPTAGAFV ADQYLGRFRTIMYSIAAALLGHLILVISAIPSVISHPQGAIACFSIGLIIMGVGTGGF KSNISPLIAEQYREEYPYIKTLASGERVIVDPAITVQRIYLYYYLTVNIGSITGQVSM VYAERYVGFWLSYFLPTCLFLWCPTVLFLCRNKYYRVKPQGSVYTQAFRLWKLAMKGR WSLNPVRLFKRNDKPFWEPVKPSALGPNRPQWMTFDDEWVDEVARGLKACKVFLWYPL FWLAYNQMLNNLTSQAATMHLGGVPNDIINNLNPLALIICIPIFDRVIYPGLRHLGFN FTPIKRITCGFVVAGCSMIAATVIQHYIYVKGPCGKEANYCLDEYGKYSPISVWTQAI IYILGGISEIFASITSLEYAFTKAPKNMRSIVQAVALFMNAFSSAIGQAFVGLSKDPL LVYNYMVVTILAFVGAIGFWLSNYKLDRREDELNNLPQSKYTEKNGVSLDEENARK AOR_1_1260024 MKLSSIVALSGLLAVSEALPQQQILQRNVAKKPNFLFIMTDDQD LQLNSTAYTPHILSLIKEKGTDFANHFVTTALCCPSRVSLWTGRQAHNTNVTDVRPPW GGYPKFISQGFNDNWFPVWFQDAGYNTYYTGKLMNAHSLSTYNKPFPKGFNGSDFLLD PHTYSYYNSTYQRNREPPKNYAGHYTTDVITEKALGLLDEALESDRPFFVAVSPVAPH SNIDPNTMGTAASIMSEPIPAPRHRHLFQGVKVPRTPSFNPLNRTGVSWVSNLALQNQ TVIDYEDHYYRQRLRALQGVDELVEKLVSRLEESGEIDNTYIIYTSDNGFHIGQHRMP PGKTTGFEEDIRVPFFIRGPGIPEGKTEDSVSTHIDLVPTFFELAGLPLRDDFDGTPL PIKRQSASISHEHVTVEFWGTAVLEGDFSKIGPDGDASMPNNTYKSVRILGEGYNLYY SVWCHGEHELYDLTADPYQINNIYTPRNTTSQLLGRPLQAVITRLDALLLVLKTCQGP TCIEPWKVIHPDGSVQSLKDALHPQFDNFYQEQPKVSYDHCLDGYLLSNEGPLVGLQY RDGLSWSAWA AOR_1_1594024 MATYAGFQRRILRSIYDGVHSPFFPASINANQGHQHDPQAHLTL SFVSSHRTGSSGQEGLPTVTISSPSATIVGSSGNVESFNGIPFAQQPTGSLRLKPPQA LESPLGTFKATGAAKACPQFFFTTDTSQFPSSVLGQLINIPLFQKITNAGEDCLTLNV RRPAGTTPESKLPVLVWIFGGGFELGSSAMYDGAPLVSSSIDLDMPIVFVAINYRVGG FGFLPGKEILEDGSANLGLRDQRLALEWIADNIEAFGGDPEKVTIWGESAGSISVFDQ MMLYDGDHTYKGKPLFHGAIMNSGSAVPADPVDGVKGQAVYDAVVASAGCSDASNTLQ CLRELDYTDYLNAANSVPGILSYHSVALSYLPRPDGKVLTDSPEVLGKAGKYASVPFI IGDQEDEGTIFALFQANITTTDDLVDYLSKYFFYGASQDQLQELVGTYQTITEDGSPF RTGILNNWYPQFKRLAAILGDLTFTLTRRVVLQMANDIKPDVPNWSYLSSYDYLTPVL GTFHGSDLLQVFYGILPNYASRSTHTYYFSFVYDQDPNSRRGDYIEWPQWKEDKQLMN FLANSGKLLKDDFRSDTYEFISKNVASFHI AOR_1_1262024 MVSFSSCLRALALGSSVLAVQPVLRQATGLDTWLSTEANFSRQA ILNNIGADGQSAQGASPGVVIASPSKSDPDYFYTWTRDSGLVMKTLVDLFRGGDADLL PIIEEFISSQARIQGISNPSGALSSGGLGEPKFNVDETAFTGAWGRPQRDGPALRATA MISFGEWLVENGHTSIATDLVWPVVRNDLSYVAQYWSQSGFDLWEEVQGTSFFTVAVS HRALVEGSSFAKTVGSSCPYCDSQAPQVRCYLQSFWTGSYIQANFGGGRSGKDINTVL GSIHTFDPQATCDDATFQPCSARALANHKVVTDSFRSIYAINSGRAENQAVAVGRYPE DSYYNGNPWFLTTLAAAEQLYDALYQWDKIGSLAITDVSLPFFKALYSSAATGTYASS TTVYKDIVSAVKAYADGYVQIVQTYAASTGSMAEQYTKTDGSQTSARDLTWSYAALLT ANNRRNAVVPAPWGETAATSIPSACSTTSASGTYSSVVITSWPTISGYPGAPDSPCQV PTTVSVTFAVKATTVYGESIKIVGSISQLGSWNPSSATALNADSYTTDNPLWTGTINL PAGQSFEYKFIRVQNGAVTWESDPNRKYTVPSTCGVKSAVQSDVWR AOR_1_1596024 MASITVVQPQFLWDLAPTFPQLSSCFKHPTNERQQLSWENIDPY EAWPKYGDATPYAMCNTGQSNLARSQPVLNAMSLTEATLPIQKTTQPINGELKGSAQN TAVSKPDAKEASNTFTKHGRINKDWKARRDKILQRNRQAAKRCRQRKKLVVEEIESLA DAHAWRNNELRMQIEQLRYEILDLHSEILKHAQCDDEPIKRYLARRFSADRPAAVFTF LRIRT AOR_1_1266024 MDNRGIARMDIPATLKHLVAMEQSYDVIIIGAGISGINTAYRLQ SQSPKLRYTILEARNNLGGTWDLFKYPGIRSDSDLFTFGFSWHPWDHGNPIADGPSIV KYIDNAAETHGIKKHIRFEHRLLGADWSSAENTWSLSVEHEGQSKSFSARFIVFGTGY YDYHTPLQAEIPGLDQFQGQIIHPQFWPEDLDYSDKKVVIIGSGATAVTLLPNLAQKA KHVTMLQRSPTYILSLPNRHRSWLSYILPAALDRKIQRLNWLLSSRFFFLFCQTFPTL ARFILRLSVSRQLPSHVPHDPHFHPRYNPWDQRLCICPDGDFFKSLHTGRAEVKTDTI REVTASGVVLNSGEKLDADIIITATGLKLQIAGGASVTVDGIPQYVSEKYIWHGIMLQ DVPNAAFVIGYTNASWTLGADATAQFLCRFLQELEKRGVKAAVPRLSPATAKEMQPRR LLNLNSTYVTKAERDLPKAADRGPWLPRDNYLDDIKFAKKGRIDEDMEFLGEKKLQ AOR_1_1268024 MLNTAIPLSLAEKLDFIPALVSLCATVVWAMFTGLWRSSSYPKS WLLHVGYAAFRKATSRLSVAQMQYVMPPTNKIYDQYVRKSRKVADTVDLGHGALGHWI GDRNADNVLIWYHGGGFALPANLGYFSFFTRLIADSGRANKSLAVFSLTYTLAPHATY PTQIRQAVEALRYVVEQTKFSPGHILLGGDSAGGNLVGGVLSHLAHPHPAIAPVNLSE HLRGAVMIAPWTSMETDFSGQEIDSRGDLITPAVAGPWASAYLGTAKRDYYTDLSTAP ADWYSTFPVQKILVCAGGSEILFPIIQDFVKKLKEGFPGVELCVGQREGHVAPIYNLY IGDQTETEQGKRVKSWLRETL AOR_1_1270024 MSLDQSLQLLRHWGQTALEHLPPQAQDVLLHPLVPKALTAFLAL GIISKANRSLGYWAANNWQRAKPWQNERELVLVTGGCSGIGKQIMEDLAKAGVRVVIL DIQEPSFQLPSNVAFYKADITSSENIRNVVEKIRATHGDPTVLVNNAGVGHDGCILDE PEAKIRQTFEVNTVSHFLMVREFLPSMIKQNHGHVITIASMASFVALGEIVDYCCTKA SALAFHEGLGQELRLWYKAPKVRTSVIHPHWVKTPMIKILTDVGHQFRGPILTTEMIS AAVVKQILTQSSGQVIIPSHFSVISLIRAFPSWLQEAARGKGSKDLRVLRDVQEAKGL AOR_1_1272024 MDLMLALITLSLVEGFLLQRTVFVDLTFRTVTLSSVGVNLVLLT IWNTLIYPYFVTPLRHLPTISGNLNNARIVFDDPRGRLPLEWMKTVPNEGLIHFRDIV NRSYLLATNHQALLDIMSTNTYDFEKPWRARDFLARIIGFGLILSEGSAHKKQRRALT PSFNVKNIRALYSLMWEKTGLLMDELEKQIKQNPMDGTNAQSGEGKIEMSVWASRLTL DIIGPAAMGRDFRSLHNPENKVADSFLAILEPTKEKMAFLAVNFALPQWFARRIPWRL NKVINDETGFLRDLCRDIVHEKRASITATKATAKELEADILGTMMLGGDFTDDELIDQ MLTFLAAGHETTASAFTWACYLLTLYPDVQERLRTEIRERIPSGNHPITWSDLETLPL LNGVCQEVLRLYPTVPMTIREAVRDSVVAGKHIPKGTRILLCPYAINRSPEFWGNDGE EFRPERWIDTDKNGQLVTNNTGGASTNFAQITFLHGQRSCIGKDFARAELRCAVAGVV GRFRFEMQNPKQKIHIAGAVTTKPVEGMHLRMSRVDDW AOR_1_1274024 MTINSGPETLAFPVPNNINGAKGCRQLPVTLLSGFLGSGKTTLL EHILQSDHGFKIAVVVNDMSSLNIDATLIKNHHVSQTKENLIQLQNGCICCTLRGDLL EELARLTKQDDVQYVVIESTGISEPMQVAETFTAEFSAAMLEADVADEEGKKILNQIV ELGGLHKLAKLDTTVTVIDAFNLLSNFDTAEFLSDRYGGEVIPEDERTISDLMVDQIE FADVIIINKVETVDEKTRERIRSILKLLNPDAKVLETSYSKVNVNEILDTGRFNFLKA ASGAGWLRSLHEMTKRETGNGERLAPVPETIEYGINNFVYKARRPFHPRRLFSLIHDK FIVLQNVETQGDDENEEEDNGNEAEDEEENEEDDDVEMEDFSQLDPQEILSNRRSHPV LRPILRSKGFFWLATRPYQFGEWSQAGGMLTIGCGGPWFAEVPDEAWPEDADVRKSIE DDFQGPWGDRRQEIVFIGEGIETGAITDLLNECLLNDREMKVWEGIMKKNRLSKEEKQ EKMMQTWEDGWEEWPSLEEEDEPEQEKGKHRISEHLGHGHSHNHNHSHRMGIKA AOR_1_1276024 MKFFLATLFASAVSSIAVDRLIPGAQIIPESDTRALLRVGGHHD KYHDRRTITIRPSRNDTDDVSRDFLWGIKHANHGGRLLLKKGEKYVIGRKLDLTFLDD IEVQLDGELKFTDDVSYWQENNFYYDFQKSITFWRWGGKDIKIFGTGLLNGNGQRWYN EFAGQEILDPDNEYYRPILFLTENATRISVEGITQLNSPCWTNFFIQSKDVSFDDVYI HAFSTNKSALPKNSDGFDSLNVDGLTVTNTRVDVGDDCFSPKPNTTNIFVQNLLCNNT HGVSMGSIGQYPGVMDIIEHAYIENVTLLNGQNGARLKAWAGQDVGYGRINNITYKNI RIENTDAPVVLDQCYFDIEAAECAQYPSQVNVTNILFENISGTSSGKNGKVVADLVCS PNAVCSDIQLKNINLTSPAGSPPEIICEGVQGDIGVECQASAD AOR_1_1598024 MSAILTTLGLQAPPGGQASNHAVAYLLANWLISFGVFSTRREKL KLGIDHNQAPREDLAKFGEAAVQSGKITRQTLNRLKRQEAIMANSAEHYPLFVAAILV ALHAGVSNDIINRIGLWYAVSRLAFGFCYKYIESLKLSFVRSVFWWSGNICCFTAFWF ASKKL AOR_1_1280024 MPAHLHAHPPGSTSLAANHEAESPSDSVSSPRVFLSHDDEDAIA EIRRTLTEISHHNSKQGYPEPHSSFDKFLEAELQAGRKKSNLGVCFQSLSTWGDGEEH TDVKTLGTALWRTLTFQDVYEWTIQPWLSRKEPQSGRPLIRDFSGAVRSGEIMLVLGR PGAGCSTFLRTIAGHHSSFLGVTGSLDYSGLSLEEVKKHYRGQVAYVPEDDVHFPTLT VQQTLEFALQSKTPQRYQDRIPRYLEIYGRVFGMSHTMNTLVGNEYIRGVSGGERKRI SIIESLATDSSVSCWDNSTRGLDASSALDYARSLRIMTDTCGKATLMTLYQASDAIYD LVDKVLLIDEGRMLYQGPAREAKRYFEDLGYECAEMQTISDFLTSITVPERRRFRLGW EHRAPKGPIELEEAFRKSSAYQKVQYDVQHYEDQRLGGKSVRCSQIDSDDGSLEDFKK AIQTDKSRFVSPKSPYTISVFRQVVLCAKRQLWQIRGHMSPLYIKIISSVVYGLLVGS MFYNQPQTTAGMYSRGGVIFYSSILLAWLQMSELEEAMQGRDILSRQKKFAFVRPSAV CLARVITDFLIAAVITFLYLIVVYFLSGLKSDAGAFWIDFLFIYLCTICLTAQFRLFA AASSNFEVALRYCGVSVLFCIVFGGYVLSVDRMIKDVPWVGWIAYTTPALYTYEAMMA AEFHNANFTCSPESIVPSGANYTNIAYQTCGYAGSQIGTTVVNGDDYLAAQYGFSFGH VWRNFGILCLFTVVYIVCTCWLSEIMEWEPDSAGPIEYKKSRRNSRRSHRQGLDEESN PVHRDVTMPDSANNFERSGQAITGSMSTFTWDNLELFVQVGKETRKLLNGVSGYCKPG TLTALVGASGAGKSTLLTALTQRPNSGKLTGTMYVDGHAVDESFNRQIGYCQQMDIHD ESSTVREALEFSALLRQNPGVPDKEKLAYVNTVIETLDLIELQNALIGSLDIEKKKRV TIGVELCARPELLLFLDEPTSGLDSQGASSIVALLRRLADQGLAILCTIHQANQQQFE DFDRVLALSPGGSTYYFGEVGESGCSIFEYFSKNGHKPENVTNAADYLIEVVVGGMKK DTTHQVNWADVWNRSAEADMVKKDICDIRSKGAEAGVSQHAKEISQPPLYRQVGLLTQ RTLRQYWRSPEYPYSRLYASFLHALINGLTYLQIGNSSTDLQSKAFSCFLVLMLVPEF INAISMRFIMNRDIWKAREGPSGVYGWVAFCTAQIVSEIPYAIISAVVFYVLYYFIVG LPLGFAAGYSFLMFFLFFLFATSWGQWIAALSADSMVAATLMPFFIIMCELFNGILQP HENMPVFWKYTMYYATPFTYWIGGVLTAVLRGMPVICDSSELTMFESPPNMTCAEYAG PWLAEHGVGYLSNPDDTSKCGYCKYSYGDDYLSGIGLDSSKIWPYFGIFLAFVISNYS MVYVLVYFKDVASYFQDRRMQKKSKSPEYLKLKKFRGEMLSREKQDHQKAYREKAFTL EEMLPHRRVADELVSLYLSTFETTYRILHVPTFLKQYETYWAGTETADMAFIAKLLAV MAASSCFFSPTTRLNENDTLHSAAGGWIMAVQSWISSINVSSTIDFNMLQIQCILILA RQADATDGDVVWISSGSLIRSAMMIGLHRNPARFPKMTRFWAEMRRRLWATILELDLQ SALDGGMPPSIDLDEYDCDPPSNYDDEDLTEDLTEDVIPKDAAMITRSSFQVLLARSL SLRVRVAKLVNSLKFALSYDEALRLSEQLVQYRDNALALFPDNASTSISPESQQFTRS FLMFIMVRFLLVLHRPFSLSVQLSPKFSYSRKICLESSLEMLSQLDAPAVSLPEAQAC PHLGQLSGGMFRDEFFHAAITVCVEVSLQASEFSSSKQPPGQLSSLSSLNDLVRSQQD VLVRAVEHTLDTFGSRISPRGKGCKAFIFLAMALASVKARLNGEDALRKVEQVAAKSI KDCERLIRGKAWADIRREDGPVVPDTLAANLNSAAPEVPFDPALVPYESASVSPLDFG NLFDSADYGFPELWNIDFITGF AOR_1_1282024 MQPQVTVPLYAAVLGLFCTALSQRPVTFSPFDLDGVFFSVACPT ITASSGSGLIFFQIKASSTLQWVGLGQGSQMARANMFILYSASSSNVTLSSRSGKGHF QPVPNLDSRVSLLDGSGIQDGMMTANVLCENCNDWQGGSMDPKSSSTQWYYTYREGSP INSDNVTEFIQKHDGHGGASADLSHAETVSTNPFLTYSPATDSSYTTTSTDGTRVPDM PIAHGLMIAISFILLFPSLALVVLLPYAISIPKVHAPLQILTLALAISGMDVGLQLAV EKNLMMNSHPIIGIIVVVLLTLFQPAVGFFQHRHLRRDGGKSVFAYAHRWLGRSMIIL GTINGGLGFHLAGIGNPGAPQSAMIAYSIIAGVVGLAYLGVHLLVGMQGRSHRQERKR ESTTSR AOR_1_1284024 MLYNALIRTHHITSRKKVAALKQAASAHNCFALLRSGGIPGIMY VESKEKEAVEAWVSVVRNLRYKDFQLVARPGLLEKDHQIGNANEKQWDEQGGRDSVAG LEEVETVKEFGSIMEDRGVWRWWRKGMGYVN AOR_1_1286024 MIVTGRRRCGIATILSLALCHLAAGASDPMENLFTFSCLPLTTQ RSDPIMSPGKPSSHTHVVVGGTAFQRLMTTETARNANDTTCEVAIDRSNYWIPQLYHQ TRDGFETIEFENSAIYYFNRACDYSPNATSCDGTKYPLAPPPGLRVVAGDLFLRTYNE TNFAQRAISHMCMKDDGTSSETKHLPQQSCQKLRSQVFFPSCWDGKALDSPDHQSHMA YPAVGDYNQGVCPETHPVAVYSIFVEFFFNTKPFPDYENWVYAMGDPTGYGLHGDFLN GWVDQNALQNAMATCTGVEGLNDPDCSITNNQARALTPIAHSLDVPPPLEQLGQHGPL SKLPGNNPITGSRELQ AOR_1_1288024 MAIKKVPRLPYRRGSQSSEDDFFDIPDEPCLAIAKRQLRHIRTW VVFALLVLFLVWLRRERPQPQALPHINYDLVDWSRYAYSQYATSSAYLCNAVMVFEAL QRLGSRAQRVLFFPEDWDVSVESERDRDSQLLAMARDKYNVMLIPITLETIKPGAGSG ESWDKSISKLLAFGESEYDRIVHLDSDANVLQNMDELFFLPPTKVAMPRAYWGLPDTK QLSSLLIVIEPSYKEYNALMEAALPAMYGQKAVNTSSTQRYDMELLNERYADSATVLP HRQYGLVSGEFRAEDHRNFLGNNYEVWDPDKVLAEAKLVHFSDWPLPKPWVLWPQNLL AEMLPKCKHNPGTPQESGCRDREVWKSIYHDFRRRRKDICKLLSYPAPAWPPTEHSES SGSKEPV AOR_1_1290024 MSQSLGRECHRNLNPDASLVLVGIRGCGKRSLGFVAATALKRRF ITEDHYFKQVTGLTRHEYLKRFGGQEFQKRDIEVLKMMLDKHRSRCIIECGLGSLTRP VQEYLRLYSVTNPVVYVVRDMVRIQTLLGLEDQAAKLLCEGDPLHRMCSNFEFYNIED RSSMIPQLDEVTPDRRSAGYSFKLKEAKEDFTRFVRFITGADVDHSSYDSPFVLLETP PENRSFTHAIFVRSSAMLQEDVDLCELQSGGDAIELCVDRWDTEMASTVSKQVSLLRR SARTPIIFSIDASTSGIGSGTLTPTQLRSLYFEIVEHGLRLAVEYLAIDLDQDKSLLV EANRNRGMTKIIGQHIFKPSSSVTWDDESCLSVYLEAEKLGCQLVRILRVAAEREDNA AVAKFTNKIHSLPGEHPPIIAYNVGSLGRTSQVFNSTLTSVTHPAIKRPTGNRIDPQI TSRDAVQALFQSYVLDPLQFYILGASVAYSLSPAMYNAAFHHCGMSHTYSIPESPSLT ALDKLGRDPHFGGASVVQPWRVEIFQKLSSKSRHAEAIGAINTIMPLRARADGTMFPL QEQASRRNQAGPVLGWYGENTDWVGIMTCMNRNLSPRNAISPLKTTGLVIGAGGMGRA AIYAMLRLGCRKIFIYNRTLSRAENVARHFNSWAAAQVGSTKVVHVLRSLQDEWPSET CHPCLIASCVPADPDQDEPPANFEMPMQWLESPTGGVVLEFAYKPLETPLIRQMRRFR SETGRPWVLVDGLDNVIEQAIAQFELLTGRKAPRRLMTLEALRNYVGEHGQFDEETLQ TRLDGVQ AOR_1_1292024 MDEGPDSRAPRRKRVSRACDRCRSKKDKCDGLRPACSACQASGQ TCSYDPHAKKRGLPEGYVRGLEKLWALSICNIEGFEDTMLSLLGTTAESAGRRDRLMR LWGDDGSSETLHESWKTSRLYGALEKMLSNSDTLTLQGSGKRPREEQETWGNEWGFRI DRGPNPLPSDGPRVVEPSASSPRAKRTRLALPTASQSPSLTATNGCPDLQLPPQASQL LDVYFAVTHSWFPIVAKHNILRASYLYATAPVSITQSVPGSGDHAALWALISYTTSQS RANPQNGSSKLLAKTKEYYAVAWRLIPSEVEHYELGHVQALLLLVLVNIGLEKWTAAW LLTGQAVRMAISMGIGTTTDVRRSDELRQGKAVFLGCFVIDSLISFRLSRRPYMQPRD LATVGLLEEDGLEEWNSWADVLPATGAGQAKNPPRRGPLLALSCFNRLVELASVLNKI AQDTAVRHNTHAFAQQLVLELKQWDDRLPLGCRLIGPESIYPERHSALLPHQTYLGLT YIATLLWLYLRIAPHELGLHRAQRPAIEGAKKLLYRVLPMISQHLDNFRICGLPPIFE VSLHTISKQAFTLRNNVESDAFPFERWAEALLQRTKELSPSWPVYSSLTANIEQWCRS KEFSAYSPAAFQRSRDNLPTVVPDGPTNSYASDITECYRGTNGEASMQHVRVKPPHMM DYDYPSSILGISIPVDGQYMTPKDTEMENADPSRDAPLQPQYQQMNQATALPDKSNPS NSLDALLQPAHHNPPTPDSSVSNTVMSGMNPITDHSISSTNMMDLNAGTSGSPERQIS TSDLDSIFKDLAYLDTTEWATSREAGLKDFGFLDDSTFQAFCHDPDRLAGSQPLVHPP SIADIWPPPGFFPETFQESSEDPMES AOR_1_1294024 MAAVLPASQERHSRLSPDPLRCPIAQRYFLDDSDVITVKSPAND YSPKDCPSPTVSSALSPFPHSPDFAGTAPVHLLPPSTTLSSLSFCVRDGQDDDEDGVD EIILPSYDAGPCAPKLPEAPSEASTESSTDIHRVNLPRPADDSSIEEEPSRHVDYLAH EWKEEDIWASWRYVVARREIYDHGVRLENASWRTWAKLKLNRGTVSPETLNWLKDYDV TWLYGPLKSCRKRKTVSNVSPPPSRLETPTDRKPILKKRTASETILQRSLSQHTLLQH AGAILKAQEAEVSRNRPSFYRYPSKLGQTFSQSSEGYSRTSCTATPINGSSGTGSPCE KRHIHFNNEVVQCIAVEAKDDDDDDWPAMNDGSSSEDGVVMMRRGSWQTSTSERSTTP RSSFSSDNRTIAPLPSTTLKYRGDTPEPPVDSILNRWSGYFTSYSSPSIETSQASELA ANFFLDEADNDLDFNWEPTQRLHDSTNQNRPWFVNPEDDAELDRHCLLSSGVSYDDAE PANATIFDRVVDTVNTAKDIAHVIWNVGWRR AOR_1_1296024 MAEVVQSGPVEAQARHVVYCGVCTLPPEYCEFGGTAKKCEEWLK EKQPELYQRLHSEEAISANLSTLSISAQERAAKDAAKKEAKAALAEARDAERKAASKV QIKRVERNKRKHVTVIAGLEVYGLENKKVAKELGKKFATGSSVTRSAAGNEEITVQGD VSDDVQDWLLEVYGKEIPEANIEIIEDKKKKGSS AOR_1_1298024 MESSLRNPLPALLSPSRQLSASHPFTTHGSSTAGNHKPTPTPTQ IPPNADGPFTDPRNGDYVAWNSSREPPVLQTHHDRNDRENASGAPREKHHRHLAFDPA SLDPTKFRPRHKHKHSKSRDGRFPRIMNPIASSASTRGLLPPWSGGREKESDLDDGLA LLRPVTRETTRSRWGSESTTGLGTGSRKGSIFDDIDRNNHLGLIRRQEVRSLDDLEQV RYRRKQGERYLRSALSMIGTLATDITRRLDYTYYNLLEKLAALHVTIACFQELSDSTS TLLTDFERETTNLDQDTRKQIGDLKDFQPQIQKIEALEERMKAGRMRAEALSCRLEGM RNEIDRWERKEAECQIRISRRLRIFWGLITAGILALAVALIVQNWIISESPESDMTSQ AATVTNSSTEALVHEQESGIHWLATDIPEDRYALSQYSSKLISRHDTRYATDPVTWTS ANPEDARATDQDRLRIFDEL AOR_1_1300024 MSSTVSATPADPTKGMRKNGKNWHDNKKPFRPNAGLTSYEKRLE ARKHQEAVKEHERELKEEKEAERKAHIQRIKERRAAKEEKERYEKMAEKMHRKRVERL KRKEKRNKLLNS AOR_1_1600024 MAAAEHAITIKNDMSMELPTLPPNQGVEAFKDIMFGSTAGMAGK VIEYPFDTVKVRLQSQPDHLPLRYKGPIDCFRQSFQADGFRGLYRGLSAPMAGAAIEN SCLFWSYRMIQDVLKSTCYSSTDPLPFSALLVSGAASGSITSLALTPIELIKCKMQVP LEGVNTRAASPLALVASIFRQDGILGFWRGQLGTLIRETGGGAAWFGGYEGVSALFRA YPTSASKNSSEHQSASLPLYQQMIAGAAAGISYNFLFYPADTIKSRMQTEDITHGSIN GQRQTFWGAGKALWKQQGLKALYRGCGITCARSAPSSAFIFTVYEGLRNYFA AOR_1_1304024 MSSSKPSLTTQELQTLASKAIAAKATAYCPYSKFRVGACILTQS GEYIVGANVENASYPVGTCAERVAFGTAVVAGYHDFKAVAVATDSNPPASPCGMCRQF MNEFTTPSFPIYMYGSEGTYTIKTMRELLPDSFGPEDFSKERVQSS AOR_1_1306024 MIYRWLLLVSCLLLALLAQRGAAKSSSPKIAPPTKIDHKPSSLF YFEDTDTVLMNTVNGDLLRSVDAGETWSVVEGDDGGMKHHVLLIRQHPYDNKKAYALG PNGRHWVTTDQAKTWASFNIAEFPAIRHYPLVFHGGDSSKVIFQGEECAGRYCIVRSY YTTDDFATVKLLRESTGGCAWAVGHPQFAEDLNLAEEIKDRSFCVVPGLKVPLPHANR LVYSDDYFKGNAEGTETKLQEGRPVSGVISTAAVKKFIVAAAKSKGTEELALYVTVDA KNWHRAEFDGHRIEEDAYTMLESTNYSLQVDVLTSPRSGMGVLFTSNSNGTYFTRNIE HTNRNSEGMVDFEKIAGIQGIVLVNTVQNPEEVESGSAKKKITSRISFDDGRTFQPLK SDGENLHLHSVTALRNIGRVFSSPAPGLVMGIGNTGNHLQEYAECNLYISDDAGVTWR RAIKHPHKYEFGDQGAVVIAVRDEGRVDKINYSLDHGKEWASVELQHKIYPTMVTTTP DSTSLKFIVVGSLKESQDGEHVIYSIDFDGLHERKCEEDDFEKWPARLDEHGKPDCLM GHKQFYMRRRANANCFVDEEFKDPQPIFEACKCTAEDFECEYRRTEDGKGCVIPSPLT PPEGECKKPDDKFMGPSGWRLIPGDACIRDGGENLDKEIERSCKDASSPSTDGKIRVT LQLLEARDYAQYYYLERQSSSSGSDETIIMLSSEHEVYVTHDHGKTWERPLKGEEITR VYLHPYSSDVAFLLTDGKEGFWTEDRGHTFKPFQAPAPPTQDRFLQVMAFHPVHKDRL IWTGAVDCHSGDCHSDAFIKKGRGKNWEPLLSYVQKCEFESRETRPNSTNLVYCEQFE KQSKNGRLQLLSSDDFFNDNEVQFVDVINYATMSEFIIVASRQPENPDSLVASTSVDG RTFARAQFPPNVQVPVQTAYTVLESSTHAVFLHVTASSTEGGEYGPIIKSNSNGTSYV LSISAVNRNSLGYVDFEKAQGLEGVAVVNVVSNVADVSKKVPKKLKTMITHNDGAQWM LLPPPTKDADGKSFGCSVVAGKGTDDCSLHLHGYTERKDERDTFASGSAIGLMMAVGN VGDHLAGGDEADTFITNDGGISWKSVKKGKYMWEYGDSGSVIVIVPESKPTKTIHYSL DEGDTWEEFQFSDVEVRINDISTVPSDTSKNFLLWARLSNSEVQDKFATFNIDFSGVR PRPCLLDENQGNSDDYYIWEPKHPFQENNCLFGHSEQYHRKKPSAQCWNDWRESHVHS IGTNCTCTRADYECDYNYEPQSDGSCALVPGLPKPDAMEICKKDPDTIEYWEPTGYRR IPQTTCQGGLNLDHFVSKPCPNKEEEYKQKHGISGVGLFFAIVTPLAVAGAAGYYAYS KWDGKFGQIRLGESAGTSQSFLSRDSWLVTVPIAIVAGTVAVARALPLLVTSLWRGAS GFIRLGRGRGYSRPYASRGSFAARRGDYTSIVDDEDELLGVEDAELDEDDEA AOR_1_1308024 MKAEPHEELDFESLPPALQRKFFSNVERLRLAHGDRWDGFPYQR RLAKVPRRLPFCTLQGRSYPTSAIKPLNKNRKCHPHKSSFPNTTKKLRKVNSLQLVHL AAQVDSRCFHSLPPKIQQKLFSKEEQDWFYRAQFEARFFDAAGEAPHPLEKARHCSRH QPPEIRFSDGNSIATSRSSTLYFDLSDSDLSSDTDMDYSLCDNFRWFDDNGDLDLRLD EYHAHVAKTLPNSPPRRRPSLRTALSFNPDVSIRQPTSAVSRQKAQSARRSSTFPTAP MNITARNSSSRPSSSQSQTHVPRSSTSSIDPSAQYYQDPEARLKLRVYLASPQNFDEA VEFGFPAQNNERTMPAERKTKPPMFTGTFLDEEDTSVSDERCDKRMNVARLSYVHNSR SSDSGRPYPRHQSWLLPPRPGNQQNTGSNREMTLKMTLTRPDLRTTVPPPRVDPLKLP LEDSGSHLWGSCEDEQGLMKKMWRKFRKQKC AOR_1_1310024 MPDSASITSINPTSRSVFPQGPSFTLEDFSSRDFIVKEFIEALS DSAISNRRSTLGPTTGNQLFDPKPLIRTLEHAQRRLAELSGDLEIKENELSAAVRRAE AQHSQNINTLGRKLKQTIESFQQLDTSLNGTGAGPGPTGSELSGSTGNMAVETGRKLE ELDRQRRRALDAHFLLECWDSVSNRGELTLLENLRRSGTGEAKVRSAQIARQLLRISQ RLDQKSWNNSGGKNNGASGHGAAEEGTVEETGLTRLNTREIIEKFSETLEKDLLKQFD DFYRKANFEGMKDCATVLQDFNGGSSVIALFVNQHQFFIDRSQLVTEEVGGDLEAWEQ LADPDSEPLKVEPSLQSLIDEVKVVVQEESAIIKRAFPYYEQVLGKFLQRVFQQSIQQ RLEMVLEKANSISSLAFLRSLQSSRSYIGALVEDLKAHGLTEHPDTISAQTALILDQQ LEDLFVPYFVGSSYIEREKKTLEELYTSLLFKFTTFHARRKKAATTFMASLSKSGTEL LSSARDAYINRLESSDFSPTQRRMLLQVAGLRDANDLLRRTEIKLTEEDGLPSISHAK RMLKWLAEGVSRGLELSVSSETPKDMLALLTLLLSIMGEGYIEVCLDAALETATSQEL GKTEPDFAYLPAVRSAIGIANLMVMCINTLLIPLATGSITVRREMEKKTNLTTMRIEE KVNTIEQKIIDASLVWINKLLSGQRKNDFRPKEGDNAAWLEKLQTPTCSSICTFLSRV HNMTATSLPPGGSNLRQLLTEIAMGTRSLLLEHFKRFAVNGPGGLMVTKDMTQYTDLL KSWDIDEQVKGPGGALDVLLEVGSLFVVGSEALRERVWSGAASSSSRPGNNTGTSSGR GAGGGQVEAGLSVQEVRAYVSRREDSNTAAMQNVLSVL AOR_1_1312024 MVSQRDKELLRDDVRARGTKLSAADRESLLKPYLPDPSELPLRP PQRRRKTSPRKTPIRTFLKSQLHQLTYTLIHIFFGIVVRLVQSYHAVVDRVFAIVYYH HRTPELIRKDVKNLDRLPEHLSVILSLRQEEDSLTILMDEVAELAAWSVSAGIPVLSV YEKSGVLKSCIPTLHRIVTNKLSAYYGSPSQQPTLRLFAPHHPVYQPQDSHSTEKTNT DSLTVLLLSATDGRETFVDLTKTLAEMSQNGKLSPEDITMELVDAEISEITTQPSQTA LPTAAGNKTISIPDVSVKPEPDLLLVFRPFLKLDGYPPWHIRLTEMYCTGDKSNSITG YGEAVEYQGFLRGLWHYAGAQMRFGR AOR_1_1314024 MENNISELVERLGSDEDAVRKMAVFKLQSSIGDPSFADIFIAEG GLTRLRYLSLHASGNTLAYSLTSLARLLEVDKGWEFVDQEVVERIVELIVTHPLVNIL RGAMSILVSIVSHPHTGSRLSQNGTFGFRALKPAIALYPQFLEMLVSRLSSADHALCA NALQLINSLMRDSITNDSELEWPKFIQKLQDLGVIRAVYALMQGSALQDHAHPLIEFQ SLTKVLLRKWRDVALDLEKPEHRRALKGIHLASNSERDLEKEAESGNEARRSKRHNPE KWRRLGFESESPTVQFETMGFLGMMDLADYIRNYQDEFQKLLLEQSTKPAQKRCPIAR ASLSVTQILYEHFEVDKSEMEDAKSYLILESRVNFDKIFKPLLLHWTRLHVAGLHAFF RLWKVTGAEVEDYEKIVELVRILVESVVGGAPRTKDVQDVEDDLAEFEYSRLRELQME LLELTYEDVWGQHLRQVREELHHEALQFVKEQRIRCLLKGAWFPNDGSSKADMVASGD PSWKFVQLSHNRRILHFGHFHSVKTQCPELDALPEKLDLSIVSSVVSNVSATSDDSSS STVKSVSHHFSATKITIHGHAQSRSSTDESGKIEDHARSTSKATHREAVLLTLRPQSP SIASEWLDGLLMLLNQQPITAETSKLVNLVSDYGLKIRLLNVRFDDGVFAGEPPNVPS REDLDDDYYYDVFGGA AOR_1_1316024 MEGINGNEEDNDDIIDDDYDSYDELFTQHLANEEAVRNTTKDQT PWQVQSPKGRTSVARKSADPRKRFVLPLVSDHENNDSSPIHSQAHKLPWAQQYSPTNL DELVVHKRKVSDVQSWLRNAFAGTGEHKLLVLRGPAGSGKTTTINILSQTLGFDILEW KNPPVSEFATKAYVSVAAQFEEFLGRGDQFRKLDLDELTEFPQDSNRYHRQRIILIEE FPTLLHRGSSSLAAFRLSLQRYLAMENTLPSHGLNRSGVKAQASSSPVVIIVSETHLT SGSSSESLTVHRLLGPVLFNHPGTTIVDFNSIAPTFMYKAMKLILEKEARHSRRNKDP GPAILQSISRSGDIRSAVASLEFLCLDVGRWGIPATRAKKSSRNNVILTSAEKETLKL VTQREASLGIFHAVGKVVYNKRDDTGVATEGPILPSPPEYMRHHDRPKVSQVPVNELV EETGTDTQTFISALHENYVPSCDGPSFVDCVDACIVALSDSDMLCIDHKSSYGSRTNR SNAGVDVMRQEDISYQVAARGLLFALPYPVKRRIATSTGEDRSSDTHKMLFPSTLRLM QEAEEIGGLTNVWVKKLLNSYRGTSAGPDPGLSASSFTRCKSGRVEDYRNDPGGIVTM IPRQDLVLYHLPYLTKIYRGEAEIAQLRRITGFHGSGCPNNCLGNNPDVNRSGLASAS HGTSQMLYSTDLKSNTFGPQLPPNYEQEGEKLILSDDDIVDDL AOR_1_1318024 MSKQHAGTLEIDDHLTERDEPKEPHASLGSITTAAAYTVLPGWT TIVLMISLIFGGCCANVFALEAIINFLSLSAGPQSLFLSRRVIPLRSWLVYTAFFVTV NLLNNWAFAYKISVPLHIILRSGGPVASMIVGYAFNAKRYSHGQILAVAMLTIGVIAA ALADARTKGQSISVGYHQNDSTMASTFIGFSILALAMALSAFQGIFADRLYESYGRNH WKEALFYSHTLSLPLFLPTYSHLLAQWRALLSSPSLLSGISAIAARKGSVSSSPLLLG TGLATASKTAFVKSVPMPIINTTSHLLAELERFKSFQFVLACIPIQGFYLLMNALTQY LCIRGVHLLSAKSSSLTVTIVLNVRKLISLLLSIYLFGNDLAPGVLVGALFVFVGGAL YGFEGARLRKTYKPSNKKD AOR_1_1320024 MASLSEDLLVTVNKLQDLVFNTIGNDSLDLPQIVVVGSQSSGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINIPSERHDKPDTDEIHVPHTAASVAGQHE WAEFHHLPGRKFEDFALVKQEIEAETARIAGSNKGINRQPINLKIFSPHVLNLTMVDL PGLTKVPIGDQPSDIEKQTRALILEYIAKPNSLVLAVSPANVDLVNSEALKLARQVDP MGRRTIGVLTKLDLMDHGTNAMDILSGRVYPLKLGFIGVVNRSQQDIQSGKSLSDALQ AEVDFFRHHPAYRNMANRCGTQFLAKTLNTTLMSHIRDRLPDIKARLNTLMGQTQQEL ASYGNKQFSGKEHRGSLILQLMTRFASSFISSIDGTSSEISTKELCGGARIYYIFNSV FGNSLETIDPTHNLTVSDIRTAIRNSTGPRPSLFVPELAFDLLVKPQIKMLEAPSQRC VELVYEELIKICHTCGSQELLRFPRLQAKLIEVVSDLLRERLGPCSAYVESLISIQRA YINTNHPNFLGAAAAMSSIIQNKQEQERKSALAEDRRKREKRRQRELGGINGSTSLSP EDEEEQALEQKAQNIPIRSQSAKGTRNMPPNIGKLQESGIAATLNGAHQNPHAMFGGT NTTRDSFLNYFFGKDGAQPSPSLPQPSSQSRPSNHTNEVSTTQSIRRTEVRSPVMPPD DYVPVPEYIGEISSLENTEPTLSDRELLETELIRRLISSYFDIVRETIADQVPKAIMH LLVNHSKDVVQNRLVSELYKEDLFGELLYEDDGIKAEREKCERLLETYKEAAKIVGEV L AOR_1_1322024 MASLNLSSNGPSIVKSYQAVVNSSPPTNSSSPTYGQWAVFSVSA PLVSAFQQDTGSKESVLKVQSTGDGELADLIDEFSEGKMQFAFVKVTDPNTGLPKSVL IAWCGEGVPERTKGYFTSHLSAVSKLLHGYHVQITARADGDLTAEGIIRKVGDASGAK YSAGAGQPAAAPTKPPISSKPVFTPSRTDGISSNATPAAPQKPLQKDTDNDGWGPDAP PVTRTELEKVQPAYQPTRVNIQALRSGKPHVANMQVQHTTEDHSDVVKGGYQPVGKVD IAAIRRQAREAGEMKDDRPEPVKGAYEPVGKIDIAAIRARAQKPSELMADDSKLAAPN NAERQAESGNYTNPGHSERLTSLPKPKVANKFGANQSFVGTKPPLPSGSMPKPTSAVA PVGSASRTFADEGGKTPAQLWAERKAKERGQATASDVPPLGHEEPSLQTQHSGRAEWK SSYSGRTWTPVQTTHTGKSLGSNTSHQATDPTANDATATEPQVPQSVSALRDQFADKS LDDSAPEARSDEPWDTGRSVPLPGLPTGPVQPEASQEPEAHQVAPSPPEQPRSPTPTP PVREASPIRVAMPVGRGATDIHDDQRSPPAVLPTESLRQTVPKDADLADDTQDVARAT AEATVGSNLQTNGIQAVVQYDYERAEDNEIELREGEYVTEIEMVDKDWWLGSNARGER GLFPSNYVELLEDKPQNSTSPDLHEHNLDTNIQEPHAEIVAPLASSSANGPTATALYD YEAAEDNELSFPEGAEITHIEFPDDDWWFGKYHNKEGLFPANYVELRK AOR_1_1324024 MTPRLDANVNAAETSSEDEASLHSHSGNPQARVGDTTANESSFA ASTKTSGDILPRDRLLKTPTYDYAYEKSMSHAEAKLFYQHHQFASRSADSEPTLNPVP ANHNAAVTQTEGTPSYTPTVMEHNSSEDYTVSTVCQNDTFSKFQPAANPTSLLGRDSH FIQEYQSNGSAVNDTHGTLGLHAMPSRVQDQLGQTAFGAGNDHSAGDVLGTAQGILNT TPGDDAVTSELNVICRKILRLLDRRSEYIQLSLQGAGDNPKDHSDWKVYPAPPEPAWE GDNETGKFNDTSRPDQKKRKMGQDIGEDFDMAECLPLPEASDWVFKLDGNSVYQVYET YDTACPNEPVVQIPSLRDFYMDLDAVIDVSTDGPAKSFAFKRLSYLEGKFQLYTLLNE YQEIADSKKVPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKMKKSPDEVVLFRDGK HLTLKEVFESINLTAYDLSIDTLDMHAHTDSFHRFDKFNLKYNPVGESRLREIFLKTD NYIKGRYLAEITKEVISDLESSKYQMVEWRISIYGRSIQEWDKLAAWVVDNKLFSPNV RWLVQIPRLYDVYKSSGMMENFEQVITNVFQPLFEVTKDPNSHPKLHLFLQRVVGFDS VDDESKAERRLYRKYPIPREWNTKQNPPYSYWIYFMFANIASLNIWRKRRGFNTFVLR PHCGEAGDPDHLAVGFLCCHSISHGILLRKVPLLQYLFYLDQIGIAMSPLSNNALFLT YDKNPFANFFRRGLNVSLSTDDPLQFAFTKEPLIEEYSVAAQIYKFSAVDMCELAKHS VLQSGFELALKQRWLGTNCSAAGVSGNNVAKSNVPDIRERFRHETLLGELALIGRYVD SLSTYSKALPLRGQLHPSVTTENENNPSHPLRKEAQATAFLQTSNTVNPPKYLQNQSE TNAAGEACFPSSTPPGPKSSEDTGPETLPEQKIFPGIVHERAQRGSMLTRALAEDDKD TKDGGKDVKNCPSPS AOR_1_1602024 MSYHTTEPSKRVRRFLPEPIETTTRKSETHQPISHVGRKLLHER DDLNTAEGGKENTESHSTRPATSTKSLLNIKLPASTASEVDGNSPTRLPSNIYLERRH TGEKHATESRGLLRQSRLSGKQNELFSNADNPQLRPRKFVPQLMETASRSFRRDSSPH APHDTYRLEPETENLRRRSLIGDTPVHAEGHLAHESRFSYSRLRQRQETRRHSFRVPD LPVIPSSGSEASEVSDLSLPVSPSASSRQSVIRPKVEEDRRESCDELFSSYLLSLAAR SAEKQLREQALAAFPNEQVYQPVDHFAIDREEDCSSQGEPAIPEADDVLTRYRRGSSA DLSWELEYMRQHKEEAEMRDRAMAGTQGLHLSSVAPSKLMACGQPDNHINPDKSQERD RKLGQQRHAKSPPMLGDDLIFPQSSSPKTTFCENSHLAECNNGIHNFPSLWHAAPHRN DHCGRDGLWMGTCQRDKHCWTPKDSLSCSTLASVSQVKGEGWVWPNGMTTSEDKAHLK PQKKLELPDEKERGSSDGDTEPQFQDGFVTQIYNYLSLGYPCVARYYDYELSRVSGVP VPDLRRDDMNTDARGYVSVAGNNRTGTSVSDVCMRWLALRSYIREWARQQPITAEDNS SHGTWGVRERRGSWAG AOR_1_2974154 MTLQAIKYSGGKLAIIDQLQLPHVEKYVTIHTSEEGWHAIKEMR VRGAPAIAIVAALALASELTTLIARNQLSSNAIETQTFITEKLHYLVSSRPTAVNLSD AAGKLETIVAESVKMPESTGHAVATAFIQAAEAMLAKDVEDNRMIGEYGAKWISENAL SKNFTKATVLTHCNTGSLATAGFGTALGVIRALSSTDTLRHAYCTETRPYNQGSRLTA FELVHDRIPATLITDSMAAALLARAEIGVDAIVVGADRVAANGDTANKIGTYGLAVLA KYHGVKFLVAAPLTTIDLVTKSGNEITIEERPASEVTTMETVCIAAEGINVWNPAFDI TPGALIDGIITEKGVVEKDSDGLFHLEELFKA AOR_1_2972154 MENSSASEGKDPSVFLSEIIGAPVTVKLNSGVVYKGELQSVDGY MNIALEKSEEYVNGKLRRSYGDAFVRGNNVLYISAN AOR_1_2030144 MTRSHKVNDRDHSVLHDGIAVSQENLPRYFAKSGPVDADPRKTK KDGGGKGNWGQPGDEVYDYNCKLTNARRYSNSSTQGLADYKTKFETIEPEPVFEESFH GVQNEERPVDGNKVLNVDGATSLGKTLV AOR_1_2032144 MFLPKAAISSASSLRNPRRRQRTSSDEPVNPPKAKRQRSSLRHV SSDIPSEDPSELHEHGGSTTTASQDFVPQTADNAIFQESMPIRGSKKSERRGEADGTI VLSKTDFYNVVQLPALPDQIRGLQSDSYKCFFGAGNCYALAMTNSHAIIWPYSIATSS PSPADVFTISIPEPCREPNGPVPLGILLSSVTAGLPGLMIITPDTGRIIYWETVSCAA SLGLARQKQKTIQGSIPNLLSGEHITDLVNGEPSGVIVTLSSGRVAHITVRDPQGKPT VTVNFLRNTSGLSRIGFFGGIRNVLGGGFARKDLAAVRAGESHQRGQRDIIIATSGGL FEIWDTHWNNGIILKRQFDITNDLCHHLGTGDSNSSDDSNIEILDFSLMPAEYSVREL QASTSVPSWHLSLLVTQSEMGSQSMSLVQVNLAEEVHILSTHPIDLHGVSTALDSKPR LYLPKPGDTAFVLMGHSVVLLSLSLGKDQSAQHQQPRVFQDSISFRSGKAFEILGSGA EDKRDENSCPACLIMVRDFGIIRITALPHHNSPPTAECVRITAKQKIEQAIFYGTMLG NPLNLNNKSSLDFSVMDIEQAALEICRELLQSRSKFIPTTAISLEQNLRSRAKALDDL ACLLMQQNKLLGEQIWWELLWGAEKLAAQRAMWKIEEGSRKLKGKGSTFLTHVINSMS DKFKTRVEKQDGEVDPVRNWFLHDTFQMEHVVPWIFNAIKPKKGHSSRQGRKMSEQIL EASELSLAVLETAFRFRDEHASRYGIRDGYLEDGVLVTGFKGLPEFWTSQNFSYVETG HLLDLELDSCRAWIQQATSVTEAPESLTVKRIAGNSARQLCVLGQMHYERVRWLSAQE DPKLVDEGIATEQAHVKQRKWRLFKLAGIGRLDDAITLAEKFRDMSALVELIIELQDQ TKGEILPSSRNDASDTISCESDHLNKKISLYFEKFGESWANAFFSRQISMRQSGILFA MKKFQPFITQFLRKDAAYSRLGWINDVIGENDYNAAAQSLEKLAIEGESDLWSHRVEL SLAKLAKLATLEETNIPSHSGFHNDIRHLEDLSEIDAVQELIYAYISPALQGAIDQRA EVDLAIDHFGKNIAGDRPSLHELLSETLTKVVTRQIIDIHQLVDILTLIDSDKASEYG QSELSGKEFYLALRVIRLGIYAQQDSLNYITMQKLVWRRCMIKDNWVATGRAAESMDN GSEPFIYDTALFRTMGLCLRDRYDEDASYASLYIPSSPQDVFLNRSDSELLSTRYRLE QRTRIIHDLERENEILHENVEKGRLDFWFKNLLTSAEESASIPIPPTFTSEESNNNNQ QVKRSPQPREGSSKARLSWL AOR_1_2034144 MLFTSGSNYTLYIKSTLHHVPCRSLFILKCQRRWYSVSRKATLR TALFFPGHGVQRVGMVSSWINNFPDIAGSFLDEMDSVLGFRLSRVISEGPNSELNKTE NSQPAIMATSILILRILEQKFGFDTKSRVDVTLGHSLGEFSALVTGGYIDFGDALKLV RRRAEIMAQCTRRASTESGEDYGMVALVCEPDHLNGLLATIHEFLGLASNGMRDDLSY GMPPIEKVMVANVNSKNQIVLSGSIERIRTLLIQLRQFGGHDPRAVRLNSESPFHSPI MMPAAEYMVRALDKIKLNFPAHMPCVSNVSGLPFRSADELRRLLSQQCVDTVRWWDSI RYLDQERGVKRWVGIGPGKVGRNLVGKEVGKVMTKGGGVWAICDTRELEDIVRSLEQT EFEASCD AOR_1_2036144 MSGPKIACIGIIGKADNPLHISLFPPYLNSTVEFSFLLNSCLDI FEIRQKQTSVDQDLGLLHAVDEKLAAYGWLTTTGVKLLVIIDLIGQPVPNSMGKQKGS PRTGSKDPDLKPVFRALQTAYIRLLQNPFYSPDDHVPTIKAAAPTSNSLQITDHEFIA EVRNIGETWVLDATIL AOR_1_2038144 MLPLSARALPGALHARPRLVSSIPRIQLVAHLSTTPNQSATALE HKGHSGQPLTASGKIRKEVPLPSQEKKEGAMQYVLTTLDQVANWARQSSLWPMTFGLA CCAVEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPDPR WVVSMGSCANGGGYYHYSYSVVRGCDRIVPVDVYVPGCPPTSEALMYGIFQLQKKMRH TRITRMWYRR AOR_1_2040144 MQDYTERAARGTESQFQTGHQIPVQHQKKPGLQEELEDPKPVST YIPTEEGGYTTYKAAGKLVGKRAIITGGDSGIGRAVALLFAMEGASSLIVYLPEEEKD AQETKRRVQGTGHDCHCLAVDVRKKENCRKVVDTAVQCMGGIDILVNNAGFQNMIGDI SGLEEDQWERTFDTNIHPFFYLSKYALPHMKSGSTIINCGSVNAYIGRPDLLDYTATK GAIVAFTRGLSNQQVGRGIRVNCVCPGPIWTPLIPSTMTSSAMDQFSSVPMGRPGQPS EVATCFVFLASQDSSYISGQSLHPNGGVVVNG AOR_1_2044144 MADFAKDPALNAALSAPWAFLCPTSELNDTIVGSAKFFLDSLAL SISDAQSARQRQNRKRKRCEANLDQNTEVLQLKQLFVEGFGSDQIWEQALRILDSAGQ EIQRDCTLSNRHAGHSLSDEGITSFEGSDFAAESSENSELESHLEGTRDLSDVDEEVS MALDLDQGDSIHDALDSRSIDETLGEESESSETGSDGEQPGTYTEDPFGLNDGFFSID DFNKQSELWERQDARGGPDDESESDEEVDWHADPLATGNISASLNKTPPSNETDRGVK DQSMGDDDDDDNDTSDEEGPTFNNVNLQDGLDSDTDDAYPGTAQGADWINTSDIKYSD FFAPPPRKSTTKRSHRAMADVRRDLFEDDASIENSDISDGELGEPETQKSTHEKQRAR IADEIRRLEAANVAKKEWMLAGEAKAAERPVNSLIEEDLEVERIGKPVPVVTAEVSED IEGLVKRRILAREFDEVIRRRPGITDSQVARKSRFELEDSKAQQSLAELYETDHLRAT DPNYVDPKNQKLLREHNEISNLWKEISSQLDTLSNWHYKPKTPHAHINVVTDAATIMM EDAQPTAGGAVGSAATLAPQEIYTPGADGKASGEVVLRNGLSVSKEEMTREEKSRLRR QHKKQKKTTTNDKNRQSGKAAERQQIVSDLKKGDVKLVGKQGEVTDIHGQKLTGTGPR SGADALKL AOR_1_2042144 MMEASNSPDQQSVLSESILVSESLVPARDLKGVGHAEISFDGLL KDPLIIKEDLKEGCGGQLWPAGMVLARYLLLQHRSDFNNKTIVELGAGGGLVGLAVAR GCDIGSSSVYITDQAPMLPLMETNIKLNNVSSRVAATVLNWGESLPDCIPKHPAIVLA ADCVYFEPAFPLLISTLKNLLGPESICYFCFKRRRRADSRFLKLAKKLFHIVEVCDDP MAETYKRENIFLYSIRSK AOR_1_2046144 MAQTPQQRKANEKYAKSEAAKRGKGKTATKQKQNLKSPVSTGWV VVLAFAVCGGLAFEALRIVPELWSAAVAMFNRKLA AOR_1_2048144 MSLRANQQRILNHNVRTSFEKSLYDLIKGLRNHKGAEEDYIQEC LRECKAEVKSQDMDKKATALLKLIYLEMFGYDMSWASFHVLEVMSSTKYLQKRAGYLA AVQSFRPDTEVLMLATNLLKKDLVSPSIPNMSLPLITLPNIITPSLAMSLLPDVLSRI SHSHAMARKKAVVCLYRLALVYPEALKLAWPKIKDRLMDDGEDSSVTTAVINVVCELG WRRPHDFLPLAPRFFELLVDGGNNWMAIKIIKLFATLTPIEPRLTRKLIRPLINIIQT TTAMSLLYECINGIVQGGILDGEEVLEEKNEIASLCLGKLRGMVVTESDPNLKYVALL AFNRIVMSHPVLVSAHQDVIMDCLEDPDISIRLRALDLVTRMVTSDTLQSVVNRLVDQ LFNARQVTKSTLAAGSCETGIRTDMGEFTLSEGPHAQKLPIALPDDYSIEVVHRILDV CSYNNYSELPDFEWYVDVLVQLVKLLPPSIEELPTHYTSYRDPEHYKNCVAFRIGSEI RNIAVRVRDVRMEATRAAETLILVDNKQGPSPLVSKQTSDILGPLAWVTGEFAEHLAY PSQTLQSLIDMSNVSLSASTLSLYIQAIPKVLANLICDGDETWDSLKNSEMSLLLARI IEFLDVLAAHPDLDVQERAIEFLEVMRLAADAVQSETLEVGQAPSLLSSMVPSFFHGL ELNPVATNAQKKVPLPDQLCLTQEFNKHIYGLFNNPANGLPEPAGQLPSQMFYHVREV SVLDKQPVEFLPVDLQLNPTYQDLSSGFGDDTAALKRREERKERNRDDPFYIAAEDES SCTLTPFHQTLNMSNSSGLDIDSIPIVDLNLNGAGSHRTLASLSRDNRQGGSRPKKYE IAPDEIIGQEDSPHLDSNDEPGKAKRSLLQVDSSGLEHLSLDGRGGSSGDPTVPTVVG GHDVEMAMAVQKVEKLRLEMQRASERVHPNGIPAEGTLVRKKRKSKKPTRIGSQEAAL LKAEDEMSVDNTQQMPVSSVAPKKKKRAKKQINAGSSSAL AOR_1_2050144 MAHATPDFHAIHSSSPITTLRFSQQAPVILERKQEEPEKYGIIE QLFFSCLQTGDDRSALLCLEQLTRRFGSSNEKVMGLRGLYEEAICENQSDLEDSLRKY DSYLLENPLNLPILKRRIALLRSLARPADAITGLVELLKAVPTDAEAWCELADLYQSQ GLSSQAIFSLEEALLIAPNAWNIHARLGEVLYICARAAETEVTSRYLRRSIQYFCRSV ELCDDYLRGFYGLILATSLQLGREYTMDFSQASASASSEDFLPKEKVEKLNLLARRKL EDIVKQRSVNRQLWEHAQGELIAAKELLDRESC AOR_1_2052144 MAFNIRIGPSPHGSDHSSLSDEEDSSGVQVSPPSDSGSHDYQDP YDEWAHLPYPDELKPSDSASRPRTSHRARSRHPVHGSSSTRRHPGRRHIVQEREHFTR RPRRQPSPESPESMDSGDEYDSPYGRVSHEKRIWPPVAQGPGYARSPSPVPSYVYPNG GAPHAAYAHQSSHQPPSDQLIRLGPHGHPAQPNPYGQASYAYNHQLQQPHGSSMLPYF HDHHLGHHPHPTPPSHVRGDGHTPSQHPLARSLSSHGPSPYGGPPLGPHDLVPYGPGG YYPFREPYGMVPGMIHPSYFNPYPRVPSPSQVESTGSPPPPPTDTSKDEAIARLEKLI LEERTEREAREQREAARQAAMEQEAAEQAAREERAAHEMRIVEEAAARAREEAEQKAA EEAAKAKKEAEEAAAAAAAEAAAAATEAANAAAAEAIAAARAAASDKPPPEKKKPIKF KDAVGRKFSFPFDLCCTWQGMEELIRQAFLHIEIIGPHVAEGHYDLIGPNGDIILPQV WETVIEPDWTITMHMWPIPEKPKTPEPAPPPDPPVPEAAAAPEALPAPEDVAVAVPAE EPKKKSDSTGAKKPRTRAPDPGAFAMWMAGGNRRFNKALKVGKKPRVVLQHGLASRVM AOR_1_2054144 MSNLRVFSRDNPQRTLTITTNDFALIFKHRSTAPTINKSPTLGD GLGTLGLITLGEEVFLCIVTACSDAAAVRPGETISKIDNVDFFCLSHSDYESRLEYES ESSFATEEFGRGPSFENKEMVTDHPFLALKKLLSDGSFYYSLDFNLTDRLQDRSEKSA AFDIDTLDEDMLWNSYMIHPLLLFRSHLSPAEKQRLDSSQILTCVIRGFSGTLTIPAS ISILPQLRTSYPSTLTIISRQSSRRAGTRFNSRGIDDDGHVANFVETETILWIPPNTT FSYVQVRGSVPIFWEQATGFLPGQQKIEITRSSEATQHAFNKHFENLELEYGAIHVVN LLSKLKSGESELSTEFSNQLRKSPLSQKADSNISSNHALLRATEFDFHVETRGPLGYG ASSQIKPEISDSLDGFAYFLSEGSVSATSDENQDEPRSSFVILQQEGVFRTNCLDCLD RTNLVQTIISSMALESFMLQRKGRLNPEVQLKHSTLWADNGDALSKIYAGTGALKSSF TRHGKMSIAGALADARKTATRLYVNNFSDKARQKTIDLLLGRLTDQLPVRLYDPINDL VSDELNNRALEYSSTKPIRIWTGTFNVNGRHRGPDVDLAPWLWAGIDEQTEDPTIFAV GFQEIVSLSPQQIMSTDPTTRKVWEVAVGNCLNSRARSRGTSKYVLLRSGQLVGAALM VYVREDALRDVKNVEGSVKKTGLSGMAGNKGGCAIRFEYSNTRICFVTAHLAAGFANY DERNRDYETIYHGLRFQKNRAIEDHEAIIWLGDFNYRIGLDSHFVRELVAQKDYQKLY NNDQLNLQMLAGRAFPFYTEGLITFPPTYKYDIDSDTYDTSEKARIPAWCDRILWRGS CLRQIDYNTAKLRFSDHRPVWATFSCVINVVDEAMKAKLRRILYTERRNDPYNILSKT IEQARAQSEEHIPPTPIAPGLPPASSDHHRWWLENGALVKSALRPPGDGYNLNIHHSS NPFSSSSDASWINTHRSFKRQTITKNQDTVSQGPQLPPRLKVQIPPIDRGTGSHSSSS LNTINRYSGQLGNEKAAPPIPRKPVSLSSKQCLTASCQVTSSPQTVANTEPIFKGYNP IGQNLGGKVNNSTPSAPTERLPDTGMSNGFWIGGQEEHRQGKSLQTNSSIRSPPRSTD EVHDLLGGTGDEIIEWKPLIPQ AOR_1_2056144 MGLAAPRKRTKISHDPNNTNWSRSTSGYGHKIMSSQGWTPGSFL GARNAAHADMFTAASASHIRVVVKDDTLGLGARSKRDPLDEPTGLDAFKGLLGRLNGK SDADLEAEQKKHEDAKIARYAATKWHTVTFISGGLLAQEKLVSLSAQKESPGAQHGSH QNRGGLDMQKSEEDANTSIKDNTLKALREEQVSSKDKKERKTKKRKHMDEPSPIDSDI PERAILETDLQATVTDSRDTTPPVAKVLSKERRPMGRHLLRGRHIAQKKKALMDDRSL NEIFMVKS AOR_1_1608024 MPLPPTPRDRKDFSVAIICALEVESDAVELLFDHIWDANGDRYG KAAHDPYSYRTGVIGNHNVVLAYMTGMGKVQAASVAKSCRWSFPGVRLALIVGVCGGV PGGIEGGVFLGDIVISDEILPYDFGKRYPGTFQCREVTSRSNGDNEVQAFLRKLRSPK GREHLLSRTAHHLDVLRAGAGEYSCPPRQSDQLFEPNYHHKHHGTSKCKTCNEGKACK RAQKATCKALRCDQERLVVRLRSSQREFNIHFGRIASGDTVMKSGRDRDRIADKEKVI AFEMEGAGIDGIMPFLVIKGVCDYADSHKNKIWQKYSAGAAASCMKSLLEQWAVIDQF EKRHYQPRDFSQESHCHIQETASEACALSTRRDEGYHSQYNPDTNNENLLQVLPEIDS SFKCVIRSLREHRQYLPRDADLKAIIKNQRFLVSDHAKILLSDDCLGSSWLSCLEIAL EIQKKLESIKDLTRQLRVATQARSQPTSAVSSLKYSVEDLGSLIENFRSVIHQPKKTH TWQEPRIDKIPECRRKFEDFRVIQQAAHSLYDAVGTACAAHTVHKVHIPLQPAIDGTT TRIRFNVALIQHSRAPGDVVWIDVESTIKSHEGYSQLGSTSPSGQNPSLNRRQEVERG NCLSTQRKRVQFRLPTAPIQPLCSEADVVEIPYLHIQRNFCQVVERCLSQQERNRCIG LLGDNGTCKHLAYLASRTSITTTTKSLSELISQTRSDITKEMSQYERVRLAKYLATAV LYYHATPWLNRAWRSEDIHFFNYPDPSIEQPQHIPAYMMSSVSTEYLPASPAYHRFIR NPVLFGLGVMFLELAFQVPLAALELSIDLQERGKSDLAEYSTARRVVEFSHGKISKSF KEVTKRCLYCDFGHDDDFHSPALQQAFYNNVITVLDDLEKRFRELQLD AOR_1_1610024 MKTKAETTDILDDWVVSRIDHSYENPNFGFVETLYQTCSAALVS LWKSHLRLTSDNPQKSTLKKDIASIQFWEENFPVGHLDTILAESSGLKIRVLENLRGI GKILIAFFTDYDEVIFSTQNERNPECNFANDLLIQVEKAALMLDVEEKSERSSDDETD DDSSPATERHQNRLGRLDSYISCLIDLTPVVERHIYSLQCKTEAQYVPIETTFRLSHR AQPYAMRIRDRFANAPTSLVERLAEANLQRSIRLRTQEEEKEEVDDHTNHDSGLGTSI PTMSQYAATVASHTSFLSVAGEEALGRPRVPPLPQEGGRPFRCDYCHRTISMRNRIEW KMHVFADLESYICTHEDCRDVFKTFPTRQLWADHEFNAHFTLIRWRCFICSIALNTAE RFVEHLAQEHGSVLTGHCVTAATSEARETVLTPGFGNYKCLLCLQDGWHTRKGYTTHV GRHLEEISLACLPRDEEDSSDDDLDTNISHALSDVDEPTSTSGESYGVDANSTALTPA PQGNPCIATDAKIELGVHRMAEATLPQEKEAVEKNAPPHTSHPRGYLGRAKEGMYVFG STEAEPGMATTEPSEVGYGSLPTSHWSVHEQRDFPRLLAHFGRDFEGISNFMKSKTPV MVKNYYQRRLDSGNRYFEETALTAEERKSRGEPTGPLPVLNAAPMRHYGATRSTIAPH PPAPHGHTRAPAGSMTGGILALKPSAEGSPRKCVSLDGWWQCCHCESLMNPALSTGGC TVCSHHRCHLCPVQ